From b9f42ef9bd83dbfa1ae2ba658724a2343b620415 Mon Sep 17 00:00:00 2001 From: Kithmin Wickremasinghe Date: Mon, 3 Apr 2023 22:58:55 -0700 Subject: [PATCH 01/18] Adding files for the ASIC flow tutorial --- asic_flow/input/rtl/full_adder.sv | 28 + asic_flow/input/tb/full_adder_tb.sv | 26 + asic_flow/libs/saed32nm_1p9m_Cmax.tluplus | Bin 0 -> 1048037 bytes asic_flow/libs/saed32nm_1p9m_Cmin.tluplus | Bin 0 -> 1048062 bytes asic_flow/libs/saed32nm_1p9m_mw.tf | 3380 + asic_flow/libs/saed32nm_hvt_1p9m.lef | 191963 ++++++++++++++++++ asic_flow/libs/saed32nm_tf_itf_tluplus.map | 93 + asic_flow/scripts/icc2/icc.tcl | 137 + asic_flow/scripts/icc2/icc2.tcl | 98 + asic_flow/scripts/run_dc.tcl | 121 + asic_flow/scripts/run_dc_45.tcl | 126 + 11 files changed, 195972 insertions(+) create mode 100644 asic_flow/input/rtl/full_adder.sv create mode 100644 asic_flow/input/tb/full_adder_tb.sv create mode 100644 asic_flow/libs/saed32nm_1p9m_Cmax.tluplus create mode 100644 asic_flow/libs/saed32nm_1p9m_Cmin.tluplus create mode 100644 asic_flow/libs/saed32nm_1p9m_mw.tf create mode 100644 asic_flow/libs/saed32nm_hvt_1p9m.lef create mode 100644 asic_flow/libs/saed32nm_tf_itf_tluplus.map create mode 100644 asic_flow/scripts/icc2/icc.tcl create mode 100644 asic_flow/scripts/icc2/icc2.tcl create mode 100644 asic_flow/scripts/run_dc.tcl create mode 100644 asic_flow/scripts/run_dc_45.tcl diff --git a/asic_flow/input/rtl/full_adder.sv b/asic_flow/input/rtl/full_adder.sv new file mode 100644 index 0000000..4191965 --- /dev/null +++ b/asic_flow/input/rtl/full_adder.sv @@ -0,0 +1,28 @@ +`timescale 1ns / 1ps +/* + This code describes a full_adder circuit +*/ +module full_adder ( + input logic a, b, ci, + output logic sum, co +); + + logic wire_1, wire_2; + assign wire_1 = a ^ b; // bitwise XOR + assign wire_2 = wire_1 & ci; // bitwise AND + + wire wire_3 = a & b; // bitwise AND + + always_comb begin + co = wire_2 | wire_3; // bitwise OR + sum = wire_1 ^ ci ; // bitwise XOR + end + +endmodule + +module full_adder_2 ( + input logic a, b, ci, + output logic sum, co +); + assign {co, sum} = a + b + ci; +endmodule diff --git a/asic_flow/input/tb/full_adder_tb.sv b/asic_flow/input/tb/full_adder_tb.sv new file mode 100644 index 0000000..198e197 --- /dev/null +++ b/asic_flow/input/tb/full_adder_tb.sv @@ -0,0 +1,26 @@ +module full_adder_tb; + + timeunit 10ns; timeprecision 1ns; + + logic a=0, ci=0, b, sum, co; // b != 0, intentional + + full_adder dut (.*); + + initial begin // simulation starts + $dumpfile("dump.vcd"); $dumpvars; + + #30 a <= 0; b <= 0; ci <= 0; + #10 a <= 0; b <= 0; ci <= 1; + + #20 a <= 1; b <= 1; ci <= 0; + #1 assert ({co,sum} == a+b+ci) + $display ("OK"); + else $error("Not OK"); + + #10 a <= 1; b <= 1; ci <= 1; + #1 assert (dut.wire_1 == 0) // 1 (xor) 1 = 0 + else $error("False. wire_1:%d", dut.wire_1); + + $finish(); // simulation ends + end +endmodule diff --git a/asic_flow/libs/saed32nm_1p9m_Cmax.tluplus b/asic_flow/libs/saed32nm_1p9m_Cmax.tluplus new file mode 100644 index 0000000000000000000000000000000000000000..46c0f4f7a374edb04736174785c20e9ae6a063a4 GIT binary patch literal 1048037 zcmeFacT`l%(mo7g0>Ok441=J8AUP-skANUZ6i@^cIma1t&LBBT5=E3Cl4lrlHi0Mz zCQu9*z#Nez`fW_tbG+yGp7pKw{&DYn_gb)--d$BshpJs&T|IDfb90l7%`B|!4;fKR ztgH@Mni-jzky*G|xJgr&u9cmcjW zv>=;WnAy8KkX+5k6e|aNX_Deb;<2!xi0DQgEs>4C)H_5}ktRur{*a-zTg%pk@~u=v z;(Murk*%$nDanXJTK|*vMk{A?QQdFVNgJ(56eBZJF;RQFLn4lw?G7o}8MzA*HAovB z{@D{}TNg({%=)ReO|^Qern!}^ne@-b^8CxL?``5Cspu+w6Z+2(5{Q0Q)X|mG)>hc7 zOL8PTn3z#0U#Upu4&<+dq^VYu)Eq3VOh{90Cy59OOY9L65!|##NK{Z_&kr{L`UYv6 zxmryPH%Zxw;_N{7AbRub#NisxgNOCx&=V)i*(TAA3`Pj$bMy(x+8 zNO2-Ln3E_Dc4j17BM)Mte{Jjk^y{are@(kL{^0+wA8iE(M-Q@Fmh`m>g+w-^n2}x0O#ji@x|UXypEKIjjABBza&(@WJyVMK zwU3=Gi93bjU}8l~98;31gNcisnZ2{o*IGtoGm?pey}6YsQ8YE0KU)5kl+YAMvXz;$ z5!r*}?C@t?Kdb)yW4nruf|{I)x}x^aB3(kdl|7+lcHaaN!kmq)>K*@{q>O>ah!LBzp2SpgnKD#F zslAD&PK*owpK(tr|g5dmGf6y zF=eHVe)9U-9upT^;??QsLUweZnEj7q^IdT$Ux(nEz7gYZXJkW6|F4#bc;fKa<3o(y z)R;OG@9wX-e#|^#$gE8MA^l%%F247GuA+jnhNhb44t<&5-`C&kY*$fKQ&iB^R#6~n z$?52bki1B`$|?$~8j3nPBpH&Jps+AWQCmh#a5Ks0JIVK(f~Lmy-3q#z+9Y-D?P`Cb z6BZN`Bk8HDXvm0?biUoRwRCpL5akj-8U0DN=%0A}J=vT8L?tXJD*8<_;Q&c~6aMwD z@A5@A{WJO7e`h1{Kev0+Z?Z*xh!&RkDSPVA@4`j?sqEj`{0-Ss|2wjOXY)5?OaAZ3 z{+-R=kS+1QBl~wYe?zwT|Bme6+58RJV*fj`e`oVIWdB(+34QwiGtYl#^EYJw*&_Wx z_7C+ImiT{b*gs(+`ai0-mZqBiZ=EhICHb@IVn4-i`mW(3|J1nc(A@hwA7P>{VOYg~ zT7-YtQ_^-7B_+bO^-|F}^!3l57MZZ0L{q=oRpKvk@t**`w_il!hmropwr&^xO}dDP z_#cITx9NLSiA!YzYYW;{GSv5 z@P_u;6LWX zcYITN@C~2nSA1f>;rnMqe?a&f;Wvc;ly1&FY^`7~LQQ|8`$-je9 z;tv>qBm7H@5`Vz>XS%<{IQ1U>hEeh>MybDpQSuKMe%~!1!mnzr;A@$9==N=_|&~KQW3(ZvJizezQE^eCKauo4=Ax zm3%d;->lGS`-9Wj+Yz`#)YZ|?IWGd)=T1zR~z zO~2jTUw#sKHYLOJbs})u|4Fsls>>)y(H>uDNW~f6dl`*)i92~-k#2ho&Lo{n+pZvn zGbg1ltTot-Gr40|dj|Y*CTHGAck&sWDIMMGQ1}673O>e(>qX(rDaL-2JH-8Hj=*A7 z2b@WkiV|dVLKb^`zh`z5a?Gx>$-}<2 zVT(}s@|HdmiQ&_Kzq zȈWl(a!xK)lUf)YJL>eX%iDABTX&U(&+5|!!DAgw@&Tts~Miq*(*60my z8_)D&AD}pZja6TB0HwOE5z}rm6Klr0(%F&|C=)r_xUF^t%Jd$pD&CrpGV4{*qi46E z%;`KFHW#9dGEgBUNhj{}rwfEEMVWhcOZ%`9%3Sg!1QL}|MiyDd$nAqN`=Ccb-Ud1@)G);U(sqpjq#*zNdd5G=HnGUDOoU$pqSn=e(!^1<=OIG@?@DKpTCP_$0Lfw9mGpH9OycHsSHOd(@LC zpIPB0Rshy|z9=ESC zQ$Xz(bMCt`_Ne_7;BnMlk|R=&yoMfbn?{67?*u!Qqt zzpdx;wpA$v@mg{}w+~h1LskNghrB;aZT?ER+GBTa&hEX10 zekr&w3RKNcd-T>Af=YdFwn4}h)WJDp@(0^M{W7trU34jEEZ1L-`>2D))|Dz&asxD0 z&d6RI>+E$4Q1K09}#lfI+vZa;8Z2;YW&2Zap zKhUWeIj2ckpm#)=oLsIA`b~lNE}Qp*-g`+;_rgcw^BDm)m2%Lprk8`&0QC0dQA<5e zgWmM^vFgDYpwk_yecDcdUT~3Q>(`Fby*y513XPy`_Z68GzXUohvNl_$169j(>4le0 zp{loQsjW#asv!rl{`sgTw@V2OW}-SWZcHU+0M*IQ$%3-aP@O8QG@6)9d@ftEj&(b# zV^!=_sWeoF8C50oaiH3#r&K2Y3PJyJCew9ARGZ)T?>!cdiq_qCzDV|=nzoN>b<+uT zYZscI*kO$N!{qty%^GM>Rj9sDw-ybh4Fj|bY-o72xJIk>DH=XS_)hR#MdM5gLh5zU zID7L3p5UWsoFSZYlI0^BK1*(V6uSfs!?f~chCXO`9&_OE@^UoXpD)9f;f;nK+bvJc zBG9z&`88X|duWmOInBBZ`6^cX4(|#&3PqC!8I~ zH(f^J!r41!o^5GaID0+Z%kbdPFS`HT=l_TD|Htco&5)PYTkd+~tyLdri&DoC>f)NN z`)?6CUb)o&tuWkdvN-g#y=Mb@g3T(!TUZ=sfbVGY zd7mc^SbjsV#oYY~n8z0}-jSUlJNC9%`9o>0?9<87@(wy*e9zi~BhPu-tg3ktCazIg=4}JF+|1KF{?V{pD>2AE!GyiJc|wl7 zX;9&M5N&qu5;lh)jw$H!17ClTp-|6Ja4hX7QJIv$vSpZite{(VTsUdg*0brdPam8b zG|Wtq?LAcgO7%dj>_rdVpe^SNQES_*KNJ>#yi|2o+Peox;a>1*V1EO`?AIqJ=MTZ{ z!QnL?OtWBVtmAIe6oS2vJs7&VjGQM<_A$zfmb^7`_B-G|B*>R04Bd=qH z_R!7MNYSNo@?Q%@*oo6}fis=qzEqio3Vv9o4cw7<)DQjTe95~OIYA{M+t>F>9Hbc* zd|p@f78?#FapILWII^WVcIGF5rEFEXmE#xL@srm$SCUr9J~PtHndSaYwzo?#Wy1$; z*^5t}mrt%0LT%@qqjjxr$a@}g%*9+CDYi6I35nkKBU0*gL0(y+>h5Z|EAA zN`U?*n+2ss51>-grI5xH1nDI=+*!1)5%M>^dT2fY4v(8JKUiD^OYEW{-f2Cu<8fPd zd{OR}efD-sXW9IjvbPUWc%HmHE8D@f@%YVC*{H3#;;$pbhP?NT20^3CkrLb|Y_pl@ z|7$0##ud2Xu6!}qZ#_3G+fFy`;~9p&;-?GlPIgeKReME^HiGo3eX*}q2e84aa&}Ns zA2^Qi<(99w0G2EJuJXsL$&RP07^VrVk$u|3Y!J8TgzPQW7oOhKUfBx^JGyG4b$`+Q zUwnSCuRed*cc0$K*um9I%ht%=j3NDTQNHZ_d;^AG*98Bz@BI^!A7$->Y>@k0MS7B* z1!ol3b?_1Ir{dK4lNG_TC=I#Mm*W?XGRGH9!O?e6&J`W;a7QP~^SsB_Ui1W&EwYz) z-3L(jjh!r6&If90vGYY<3s5h+j9;}70QKFORdRh2pv@axCU)@@XzLH0sm-+oZF6Yx z?9Xn9vwzCQOn;JB!Bz6>@I6KcubQVgdHD!fzXQE8OD0nFI8p_2v4R$^{gYsvy zPi3;t0hMyW-LYI9)P7z@x1<@M3GPfAopuE@JCXOdUw;7YwC?Op6rT|YjVc{O+587KA{cpb!t^ax{U~xueox7mFs}nh~ zDFT&hwqd*UPNFhlk5BZeR#aY@%ei*g6P08784r|mP{lcxsyb~tswBtjwnf;YN^Rmr zlI}JXukyc>zxNi(Sw&qKEh9iPeUl&AsSLVIXmQ!+7pOcT?7noR6ROIpckaCaR0q=b zJia^+HQXPz=PSsdChN{bPuz3VPTN1vbW0v;HG-yS_AJykijBtUzCrDy zT6y_B;izL;ZMUMo8Fd_Wcg)215`KsAfm?4UP<2r-r;1Y+wVV2+2ixpXzw*+uTYK5j zkoa!Xo``5PR#+#hF-N0Gb>^dO3PNbgK6*GOd^ws1IVC*3#L&DVHnu-@49!XvChxev zpxOGQ+C6?5G>5R8HZIXXbNZ5Hrzk{uL07cTy-qY&t-aXZNB>3lfB5|06rWINt?#;T zY-a1=_D%O4Wmw*0zSq95p8pTO;NMpFeUufJRo$L}pd)RTg=4o67O-TQy96&H0?D;k z`X3?cN``(Frw3wU41!lz96{`WKEK_F?{cg@xvmTGkD3B@h^ixgWMH4}{T1*N>REBETNJ^` z@8s-jKO;PbD{j^42}EWMzrV(3is*?0BUW!^5qn?@H${BnKXk=Q$k z_fKUlSZ+n|uVkH@co_-v1ny>JzC{B1g9aBbLxMTKi+=eYBm{4`{Q1*SB%}oeFMeo) zgd*1!O*#4qU|GPna!Vva7wa(JC)S}6TzjkqUb7;4$GP}fSMm`{li$vDv=4C?GR84O zAMrl@ZvrG%B7w!*XyT~^5?pk#Ihm~M_kq!hs#Id z$UF9qy+Wr6eD@7`c&_i!Q4Wx=(Tf(}E6{$N*z4*x` zNHzUve#di{p_jPbo+by2nav zeIvuQUsI}Z z_@xxM)jWMt0~`1ijwk zgMPDbp^1lWm*N%%l*RYmc4i_W_jBB9T_#~yAsX~BpT--oJVR(XgIRH!Q}TaSp4 znOt*^jw5*An|U96MB$gf89o129o)}USGLU$0mXdBd@YSa*i$tnkEnfw<-r5tIMfak z>!iKXucdKlQCXJtIzjBcWA#d~<^`H!3a>1SIf`=9XQgG2ijjBKy_M(wCS;vIewOKY zI8wdVe>`>}6$vUum9EWWh&-of7{@A!kiGo2`U!jCpHN@Ncl0(qUR@*0>@0(`m6MC_ z(R*;@XT3d-rUI+-xXVrV)L`nqI+>M8s!~j4Bj7LZMI0EIT5mdMd1K zyNJPC91HPgxGX+`6#f85e<2&hU-wj2+8T<;+Vws3<*W#4J>E|~r-cB%c6sxTOnC7w zj@h>D3|#1ffw@cd;IxbN@fG1t*pw(47>9jBpk5Na}_8Dl?SMb!26!n#Vkc>FYr=;OIzMHXXF%wTLQoc28(5Ye&|#S0o=NT zOWJdkvp+Aqdp!-*d5mTbrcR(A#Ty78c~*65CgCH`6InX_oDk?j@jLf)T?Kt-(A)#_LXkt+@aX=FMx620 z-Pfac0;Tge4_)BkMOiP~UBMVXP&X!OUPu`NO&Eq(pWg-T<8EH1*RxO&dtcPjLlt^ciOJ_@e4T zzxI0fduCHqx*n{u%)gGx;ehte`_8B`pk_YJ)kIaH%B<^rtf+dwKro5H0o8m)$}=Yx zqk4~ci)~pWs=Zvbk8=^R!t`T4Gr5XTV&yNyd5In6XGO>?l6KKB7s(@b5YlC z*~gE%)&nxR+5D(yIAj!;Hw*Qmw~Cg(QbGLzCOcxY1@-=pUsj7GfbJ~5(%RaLSWBEt zcRsrfwG&&mp8og|^+VnVq?Qz+(Ms-4g^~l|>)(tydvY$CS~Kn_xL!sxx9E$T?e1uH zlv^gA{RGX$JqBA>eL(Yo$}`U0bI>xkS>D7p3N4~@RrU_OLW^eFr?vC9qs8*@i_Yu` zwD`njT3XorqWeF5{%?v;IP&x7ebeoHYcuA2W8%laj5+43xBu;z@b~Bcwm!e(T}pDS zM+014-)Dbfwuk4Pm51WXqu{$$<=H#8HuzhHPQEI=i2&2P@qKl12wZQ?CUPSkfeqsG z62&ucP$XCvS_vM))NSJif~rTp&>YN z(MVs=4Fs1go>Y>*3a8gA=S|$)3Aea(SNCH@@SdI$88Rcu zJiE6QgL(+ODK34)RtQ0qv;Ld!av!75gLeO(iqI! zXo<)ji>eN6b3~+XeR#k`6e5c(lt1O3MdY=HTn(!`2-50PC1qz2C4TzuxNRuXqAg-gS#mkkrzwETDzDD?uEQc00&w7b?u8%{fIL^nPYSs4EbVU3HGMMN49w8ib&)g%V^g_z%16*CCWC3+oA-F^!U8j%m)}~isEfR!{VZHSw`~dvD&)CH0 z9fOzlHLB|uDY*8Ls=gSofUq0j*3^)7(PB@r%GU!=FyCal3{c_TQ^Ogh0XU zsJ9siQFKbr-*^oHK9{!5-Qxxy=DXTYS%l%P9pZ3+vLDVqLFv}>QsK0}#PJyGbJ)d~ z`&>6ogq2Fj>=toWG;f<2N{cv8#1iESkMQnC!E9xEjTRrWA7mx1>spATK_+MYYKV39 zn&{gnF(2{a#;f-{3P+TTNM=AFAHrDNqJ`@v5XA8M-ix$)_#HicTSRI$JP&(vCdV_t z)!eym``BVom~=ODPMZZs$v0&uQ)k2G?9-!MGd7@QHXGw&^9<0!(%QFm@S>1$tU31L zRh$a35e#1Q9LH5U^-TBdK&sEu4WkA_M4X{k_i}$OV$1rb)8n@zf??q5-Hc@jiM~31 zV8t;6PG9rU*MkP%{YvC>!UOOqv%J=_q69AY7)SQ5ehxB~ar;IcT{yCCICa&NEW=DlKp6Zvf7xqQXQ81GI$W0-*>i6q67O9GML zp6h4dUxAp|Q#^ArD{wgI{bX>?0fbN(Lm4u-5h%dm>>fJ^-dhZ(c=L1{uuyXn zMaD73<*i02b?W}2I(sI{>TBQoMiX)1$|vlN?jUVP#+}hTKxtU*9y+Z9Fj-H8-9DP z$Y7kBCeuNeFh&8F_%w~g>nLukSF$jfgR+fQyh|iGQSQGy*;?xssQ$~U{FSvqQ}CQ0 zd8Griz8<+3xgx00YNo`e8=<0jC1189v41~0w9}q$3%Yd7_=(HLpxYYurD+iR>B&)_ zj@lFP_R4!Ir6d``cdl?T-O_-ZNlBi#*TpF0b#`##Rzj)c%Qxqi0_F02S&l~Opk^{y zDui2tb}He5jNWQg*dOl!nE~`UhYuU8EC4+;UMrSZ*VBh$HpHJL;^I=PSa&KBKEBuW zo!0K@s4R6m-hM?Im3J0pGbsq7iXn8XjQBxRt(Nq0P`r%OyLq<;FX}@{#FLfNw-C8C z{H_ZfR`!85E5s}}&>t1HFPBFVeCfXS1z8`WiM7K(>ZDBuDnItACYzE_bsC;@16G7srNFBMa+r)y(Aa@SWJ0DOrq99f*=Z%`BL1m#<8mJld%h4M# zMeXTH&RtnzsFOM}D=*6eb*Bg8;#<$5e&&0T_3^c+*L%y&fAJ~mQ)(j~7AK?r@;b3f z)-KeKn}}~{c!CB}-qZ5!1EBX$x;%GHA0#E;<`#*gCuZsWwILo6h&hVdc zmIoGB28>BNa^P@!RM0@78|0^qubnvC;iAO&WkF4yWTsc`m_9Ez;!us#rLtk)`7<DqPNQj3Szmqy)_iaX#PzGZM-zdyV`iiLH>U4@V4 z4vh;@3*eL5(nWZ*lD+WbPCc@Z@T2@p{b;e=zVJ&Qz7f857W^)LQR{iw z2M67*v30j1K{>tlg+kCvxZWRsAH84*9_H0Mo;Ih$YyW3O)w-MTez<TI&g3I3P1#xL0Y0{*X# zymdLlNUWg@nCHA6MZnqw%OIUvP+TAG*ge1pH;?%7qB?GPwvc1@DHg!zkcfxuzCQTb z-SaBf7KVRl*!tXC3JBP+FSX586aj^8I=fk?BXDv4eQC#s2(*6V-(Gzffu)77tH&)7 z_)KDJWikap9P+$udx+Su{PEhe4L%4m(|KRG%N#+0c1$tH?!jwb#617H4e(pWMT;os zLcrz@MuC7N1SYq{e_>EYP|?nTq${HcjtaaQbg~p781lRzbqyh1lZwME(FomP@1`lb z4x#zypUMw?KSS> z`rsGc|LOC8RsO#|$M>J3`;B)h+Y90vP!{OarO(2IJgv)CpUb?F)vj0SCKime&Gvfa z^TeK1SYtJNU@BtX){IBaX+roIP4H;iY6N>$Eajf5fdD4nmABeQ;GHDrVY5pY?(%`J zROXz3bHm!bIXNP5x-+ntGi4C=!`l08UzNam%#Yo;X&g-|^JRIeDJc7Nem2LaxyYZB zeQ!Mc2u|#OUcgT$Bb}H1#GaFaNYZdWsO50n>u%!e{h`t&^ zQ}vye-mLv7Pv@tw8ZJQoXXhgwSMMX6#IJZkR}UFB_WOkTN0EGBM}*8;d&JLL{pGSM z53vVHzs$(?l8CqKYj?y>AaEr0;>U9i@Ea3dslcuSuT7KjR|x!Wdn%v%4jRLGt&>=K z^$R%p=G?pdsRs6us(MXELugiGD`Pxt2&$v;+c!+!D9}zb2%K1glTyNETN;)i6MZDL znwdn-Q?gZDZ3hwzeDzHuNQizGG4#lA9>VJy3_DHFBba)AdTP`h1o*dZ%gbL0pZ-pb zkL9NDDCm;wUM~+<@pUDW)?JReT9c$9#=(9hK8H=U7vh;=-d?LIQympX3MK(MTqNRO4eJ>h^Qo&k;Q|n5$^S_@w{?0Le`qg+?)Rqfd-MC>^(E#Kl9wW zgsRK%Ud@;AG<+xA&%4Yg@4EYo?*HQROa8q$|FKIf|ITN4k^RYaGGY2GoaUL6&3Hi! zMOSD8w1!(KEpkhlo*Ig>$)I_Lr~Oer-oY}E;0|hS#WwlH8lY)uFFuqh2wLkzqBIY& zN3;5X%D~-zR9L!MteBRMiu|6{bwWh^z1MT2CI5BM8FR++a)BDND18K%}P))}Z6pVymQkqo-xgv)HgFQJF0?)IGU0=;%mnlRS^&>w4S zDwcgjI8CtBD)A8dR&>gHb4q{-0Ymen*wy@z246Z&0OkpNZp&1ga=H<|iUrak_-#T;ozAzkf7=i%R6; zmRn`yH!UXCP^wEWX*^Fx1ua#6TEbe;+hoIymK;arae->K=R_P|iDz^ATr*U)Gc95l z=s>ktQ2tiuB2OUBH_gO}x zVZ*~qdb@X^L7(yRy>|&{@b_vEuiJ}C?)yjhu8E>%+pQPUp`oZdpSG}3{52Zt?>a10 zE<_WzU0SL)JDM8SlzMRtpn3BgouMz+(VP))(Q4O&=I5p&rh@8d5jgirVO$d}#%H(P z%(O*I{Gfw;vmIKh*wY8?HlyX%&Q{ySZ_x6-^U5^7ShUXZj1*nD^%vd$;q!k}d}2jE z|M)lE&X;au$(JX7cDAuZe+%({{Vn|cIq~7&GoRns)^1v*iv}$Hm>zYrpM;(4P%G)t12Vxy=ejUdvf5ktoE?vHF=POQ(L67RY1wuX&|$Mkmt0$ZB)1xE*!!q z5AJ^kmt(88y|v#2*I6nFwM8%Bx_>Fhkj+83X5GH|@_-y%ds%vB_7}lz_8xB|4j#A( zPj~oud@ zIa^O#cQ?_l0M7^!$_cm%mqj%uOowaDV0U8@!EeKsJZ5^h_ zfO6F9v8N6LTvk1~b$JfazMU_Ep|}-p67M3DnY-Zj>R$Xc-|KJ>crlwT{1MzooHO>> z_rgQ3L3z&`9eCvF-_X8443D8}JOctM@LYY|XQer@MpM6|t?i};PZz-e{c1(n&!Y;v z&benF^W^mtf?z2++UU+z3&A6e!1y9#-QrbO6c!9-& zG~fWQ`}>M^mOO>GNs*)JHCA|ciXLQC@`n%atgY_TCWtkWVFF7}8hpx_@Hu=xe4dER zPdZaUtX)h$%@;3+^Lh@Z%k!PmW{DC_LSp&`DaVw>f=4ftGJ2oGQt=1=N*N!08ar*5l_7oiUnZ`t2-i8oH zw-af_WeA8`HHT`p6F&K|GdCBWBj#W=6XP^f!sotu*ZaK|0U8*3~t))&OlNOZNSHO zJ>tv`kGZx_A|l&+pVIpVgnSlLuoxRcpoQvSiRUo<)~{H9D3+L`dATLL&RgI*!CRTx zX9UVeXQn5T#&B{jp7_Wb0DIcK4f}~RKuz^}d+RNBqWsjFp~3qmIK4D{d)LD)$d);} z(*B7iGKyd>|A7g~r!4z+t&l^!_NIXM1?v#CHSLvfVGzQMHl&>oA4E{v4NlfYnZ!DK zJr~otc6bLYZOxQ84EM~*5bw&DaIsF+<&b6ox#{R0HWC?*L7_*}WC@>N>yGL9D~h0c zJHB*h*@gl~H{%bR9dJ^=@6ma#a%4tzoEmXbK#Hs>9Ak`;P*&*o{1z`_j*EQMcDaPZ zE_C6Q0(68p_>ORP4j@qGF7x9f@8GvL=g?t^?eOC9Ex5C2KitkpN>xa)!TD@X{r!ql zAZvGM%$fNGEvw$Rsq`6u*0O^0|EU>W>#Knr?o*lzVT`O@WYPO9-bj1ael*a6 zpUClP=H2>?1Mvr*KIOGzK=fjxCqB<^BRsozU+w5xge-RXO!Ck|pp;whvP)X z+netjdGR1LsI`Srmlr|w-MjA3uSUSZmuJlh+vR&+#sg*(e155K zasKbQJHO=f&vJTqUUvTJ1^*fO{3rRxGnh)};oZ30#A$%~OdwoyOB-nZOuR+IM~E|-W$|_?L>ymh(EP%%GAh!`6t{ci zq2hLC_q?6+KxeIEeqkK|x`a{L=5}wwS5~^UaQ$J>DOb+QDBr;;e`$90cflxV_7vIq zDHSC_$Crxt^r`3QP6}rdfb!bMQ;xd_! zPjwh{ff4a#@ZLqTcy&+E+?Yiu?Ow$Eo;cT6UPzl;zkA$#WYjID<<@(1wbq z^y@S^!q-3iT5HiBBCbE{)N_O3yQs8zEa9ZK36*sRl=@1S6TW`E?Rh#;r3~7&` z%B)McULh7$k$xVIdLL1BW^d$!B}O>?Y%*7N?lhE=w7nIm4k%BQ13A$SH1~L)oAozP z@n|$OmWavGC$2w?X|P6RkMH>d#r{Oj&9zE7b9q!VTqziRz6{kio5MDJvP5;WM#`1_ zk*Hza8{O(&i5jJm#0BS*QR7y4^4f!VBLALtJbT|m)U>*EtBwe{c| zVqZQJ^*A~qZcXIu92-24^YR_)-*#0xe>$~p%w#S#X;H%I7WB>o( z*5^OC;0aq&^+T9UPnDpoDL2u@0kFP$uFmkoFzgo3?098#7xtZpXP3;`566Z2 zfew4!;CQ62>(C@S96xJ4c1k6~Nh|m1-5Yc`B|PAYjC%~Hi`I2FoQc@hhpZbk;ycO6 z%Q~V1#v?(NitnY68i{@X1hKokQ8@J0sOY>;229^EzKA_<56e5v121-Kz~+H;b&oO? z_9793^N6*A!^kq5Ib0+-76k5l<(CU5F}aSrk1FAGT&QYgTmLGSNFLS6w}>jY@cv|l5Dws-<4UQH0aJRST_@t`%U>ddL^JtzNaY0 zB*LU|vRnP(CRm}!eOjzeS|?foTNm)cvsy6nP1@E0f!`zpUHd5 zx^4!=Kl!l<5uc}wt{^>HMeI-LWg2|R4<~%~f#HX0#G330<4Fg_J891D!S+4*dsl@9UW7S<}gA(9FUR6u1 zandfvnH0>0o2qW=VQMbi^IW6!u5`hp-i$@TP!paVraKb=@*F7pB-gtm>(yiBbtjCF7J1)#=^RBQ zJ}|x^wQ&|=OByZnE;!(DWBmIt(J+K4t<{?7evg1|tx#pVV)#nUzR{*q4UfSTcdpJp zxSD7W^@pA&=4#YtyVuj<#OZt^ime^?VjRq_Ec?-sOny*CT%;gIvAw zAWj5(PS%fYLwdlBcs}o^NGf62#I`pMabY*AQe@l^@lvtVHcuX*%50KdIdupuZ(TXM zTNr*#+a~$NZ^KJo(RbCS&2T&7$lS5@A)Mm})e;0qAkVvLfBpF+9G-S(l?WQ3sd0AI z@e740KRq+0J)0G$xyL&@I{A^UrruH4Px$;-HZ`bIeUN-noac;*0pi_-s>!di5EXf4 z@4SaA5mtVAps7NG$S)Lb@Jl-m{|~eUqwK^wm%qYFw1fl?6{AoN1EL?6tUKMdaylqB z-B)AnsBqdia^P_LS~Tm-d^p>PIR6-=xHDqQ0u=b^S=Akk#7P_Bc=sE7kV$o5ze}w_ zisgES8N|_qg#Me{O~b1ZGqKTkmeX4tzGf-)VD1(9f4czw3nIH5 z>wAbj*S?c;+z8!sqJFtmsRNgYs$I+6M?qQoQp0qY5L(vo-TR;-MVxnZ)4KVhkT^$q zOXbVpOXNr&n}3C^0$JAe0W|*eNatc1UBLDk$#OR*Qx5>~X?%Q@{I!UVW#3#ss~Lw^ zbqTA6t0N>~QsJoOGXz$Q8A>#I!C&v-Jg1sWc-I^DxK>EP!;W>DN0laA)3Tn=*l`!F zVw>)=x@-qMA;3{>5j#o>*k7oZ=p*m#=sDjyWn_12cwOgbz%d)coR#M;B5ldVZK}F~ z#MvTIo9I4c#NWQTg4cW_VhWr^D>Dock+i>O0Wof2GIvi-SCm1p;}OrHfpP>c>ls|b za}NG11eSS;=EA#MO6S#e=U?9Yzxe!{{$8B_-#xeIqGMqNmcRL<%ADkR<^3+>ZCWQtRqrhUKXIS-;=*^=OAcz;%-S= z8>7Olk4Ja#Gb-LX^c(1Efxau2YXS^Gg+tQb) zk`1WJF7O{KCF1$KPQDq$d4%dj z_nyVs_fh?9*~Mkc8c`#WT+Q9|6g7_N@2+HxpyouLzR^}9?%)2#G%Jp{zZ{7bR?bDK zpS3SXEI+6vZ97gq%;}dX&+!&L*xQ=@F5qPp^4me;6&tJ!Ia~tQaj+ft~sUiAs=&g`3`L&WjzXXM;+dfE?rtxeI* zz6)RY(XJ@Sa0*i?C zqtj>D!pf(m^?2D*SbvgV)1-a`w%ohjUY0w;j`>aXtnIz9Ykc?m)>;xFXWi-93Qu5v z*6!fOw|;O~Q@3BT$_);VwTtymNWq~j?S+iwDL4$Vz7u)q3&%MN8kfm%*?DN^M+tU!%x91Oe3mC%IoWu13aaPwZm85*n`Uvd94K)tU z%Z7vE4VM>%4RE;YAUUqVf#X3-yZy1V;TYEXIwl#!T175$IJ**#7nJ5m z?d68!y@xlwtIor)_`xwX#&MWFzc<-ymk&#wc=5q`2C$y&ZCSNp2JGey54PM3gZ-I3 zIX0(<;P5i2bU=$ZC+Om_z(B(ry5Ql6<&4i*#`3B&;1qei$NA)(BMtD1Jkms#@06CyE)#dCQlFA0b7efvGLKP zaNzCFzLcm9$J3S4mDB3s6uf1h4!sCu;RI2UP9kPm-JRaOu>%xd8FQaeIbuJAYqiIg zC!k!az1*`i@Bd@(O~9#K+xBs#kWwO~QY1-bsHBi}7Lm%BO6GZ<=XstvW&@bzj$c!Ja1i zrI2Jg>_z>)yz!rdy}|8lPnvPqhbkXnE4l&uyo;g!dpclV!ZXbLPKmf4=8^Kkcf^{l zQ!Yw56?Qo^Jo4^^u{d?z)f-^`znZ_k`@j5O(n%xz1A_8$k8H42Vm52&|E8j)rBA>06 zuW{k&L(tU#Rt?Gm)4%u}|APFWwpOY=yO80qylvmJ7$kQ(``wz_ zjPu^ho_VBIAo??(yuP#(A_O)#il~<&bU8z*l!XlfY3Sv@a?ZfFzutWLcs9I`3rcmY zI0kpE75p|XE8#q5$G6OjSm%E)FpZh$huzr+QECq;(ZV^awd^H5%5MoB9Ek2E>NsWH z3<7eHb);T7Qs4tpU4*x34E7`OAb;E)oe9M0mN!YK2_ULg!(dff4#Mw+FWGff6CsBE zEY7Fr5HQ5OTghoRe810meOogE@3c29?}V7)p~N}W>z52yO4_^MZH~fej~6pzg$Y{u zK4tswdIoZ$@5^LRqo^*r#wvlE@N$ddkMB}L`io1OqjiOGDY1nrhua(p(r47Dn(rb` z#Azi1OBdVd@5EDEiUQ;#@5K?yY#+t<4(DAh>1vn5&vWm1fx9JN(LngzS&UN@p9Wc$gGqsP2xLdG=dU^=LB0@m zd#PR)$Q5oob6(zPT>wzy>I(2O6P<8 zy)rddG>@QIj%}npG!doOimlmS68M%b%6vCV)VORGny98A&RcZWw>M54RZPz_*7MDw zs)=%O=ShB4OBsf93S2{V$!p)HFIQ1R^D)rmL@R1eUcFjV!-JYg=11DXXHe6uyFaUi zh^LP~9(b-^huW2_yL~siqc}Q$^Z1f+lwFOgxo)Ecvh-n@@gx&e9rSUgjkQ2^!%gXZ z)5EBFer!d1rW0y!w%D1S5Jp{qr-2Kx?@-TT-MyKCsL>5~YC1r8t<=A%+%ou>h~pn} zz17n&hX%hpHZG1g&`=vA&@Lv9hVk24k%8OM$hh_EteY4rL#`ga>f(;}++R@W@j-$!+*wA2J6`HB-V8p42W`Eh+Z@oLw{KDy44Y4L@5zbV8svMm>e%qZWalK zNx=bWvfl@o%*d`=xswZ~BG-0SDS5-xT3(5gQwOHW+pA@SsA1Z=#>4qTC6v`kWM9c~ zXkHp6k6-D8?hesALhj!YMn8?j)>)=|n|0&(d8m6WpQ}+oK3GbJ6pSFTcR-qC&^Y zFDWo<-613#VFMNO+DaPJzOXu0t26q2b`Wig^`%(=HkfbFplp1 zydlgMCKt^Uy+%neHQSg(my)ol|=(A?=nqM5jC_{YgV3~O+E>$W3O`+jD}(5lA4z$RR*0GDwi*b zr@iVbgZo z;nYfD*o@p`&XUuDarcp@1$;up_2gPH*RFt7(2kYM+(ckQLG>OS6(HI4)j`wuD`zhCa(5BuWhzH)se*w1($&h)B;!~QKxwsIVY zgSpZi=a)D*T==9J$wTaOG_uP#)n>xs(fZLw>aKa*|3mZtPX2#+?l+E|?|t*`8R46g zwuAM65#d!GSz+8qX#VMm&DVIckdU?V@~U^bh?!etxwrfdBF3*322uJ(`^gmq z1gf-*EjNMh8j&sif?wcSZ_+H}3AkFlq+d*UWjVh7FndhZ9QMm8>EvI$gH5*n2G_C0 zusV8#&idjqLh~2gu4C{;DP4#{f}R9&h35QSQ=^d<>6Tbd=0svrR`IA{K4QCWf2?1| zj>w#ReZE>@gb7e7UBE#EhHrm#_<}urr%O&8B)m4gx0%LF$_c}*cl>yaPz#*5J9>yb zFowg{d*+wri1$#IWE_(Mu}2x|t+JB37EMh7X5&0=D7|9lZkqfFdHk|#26EewuF~oj z7JUa7Unh2N36DbD%hLzH2sb0@qN`Kbjf*&YuWr}F$sGv#uIR8R%pU%tnXO}*`{8pq zZK6AQ3?AJ##&%WY!R7VW?(%7BBLA;W_QleTu&4iUY&VxLZ0;UnRaDd`H2)J#C6`!~ z1(#-AyI73;vs0CM4_c7n##OZ9*-Iqf-?sYunQ)vxH%|7x`4KTx-*dVm#u1??;!w&k ziBMjZ7j2D19sdrJ{L>`DUtu;WIOgnCcv~fBUe#2Gd+tTQ0EUNf5jcDE5$kOtKXB7+ zg-!+7-S{rZlb*Q!g*xLkA17SosLxBgIUveS&^ue z=`f@hh&ZPsyI5Ib2#>Tof|VS^e#4>%*#gSq2#FQe&ZQDTAg#t@-Qs2N<9nd^#CR>d zZy%iQc_0msQnTyzd(z;lTU#U9O4JU;7_NAf{}HYG;~7fB13^ylHtDmWK~cv>lc=uU z$YrzZe8%hwpE5azWAP*5LhmV>o z4IoUi_`p3SWdyyai`hqU0Rh|}>-aBm!MD55`uXyD;(YA3JbLkz@TZ90-NIEpkNfj9 z|1N>c2lp9;z-752gh%&o_hl(RXZ+v3SpVHUx-(o2$t*2p$h)RJ+^bQH!gHIw zwm=dkEJ;hnROV1>WgDs&G=MVOgiC9m$D(}yVvEr(!k?#S#Ri=n=TISKx2VaT5*3*= zZ?|pqMaA3qRH;>*APcm0b;@l4SvU85u>qlx!`{jqdP->jLW4EwwM1^r4Rbe2pEP9N z>3?v!r5~4fIN#dExd}zzwO%Qlvq4G!v9dQ$ZlFwPsyCh2%0vtICvP%j<_KnZm?vM*|k7mWwl|;_S8lMeJibO4~bg)5xV*?RS&W-D0 zID*Rab%nj|45%dYo-Da_6WPNjjvIB?^rfC}CW=i@B){r60AE5~mVTu69EI zfFi;2C_P9$niM%v%2N9dqZOK$H*Qvp=A(^`mH`J#%s;uY7o7F20c z@8=u}L{;ppHapiOs#@}m)(I)0>cxzP`%^-zFVk5}wc`Quu09okTGD9MlxKc5kD>#lDRXd4L!p9y|^?l09oO407#=Xqpms+Uq zY}{?`r;8enwF3>M<*2dX4Q{h(V*4e_gVMHkzCl zZ@DKsOL&_Vc-jQ(pgH=jyZar&d-JpTuDJJ=XptV8T`VVsmar7#XofXtX}M{$gX1Dv zK71MB;-o+;>#Nni)&6J|-OlaHl!jJiXUnp)MQF8p_#(ntYaaK1*ZjW`|9^<}3IB@o z7ysS&_>}Y#+}}(ufl6~WMgI^VH0xB}X{a+mN9wZN?e=5Pt)&b3{Jaf%_bshWNM+D3 zy`*mWH3VPG0)}XZHr;VHshM)BLgGHKz9)- z1{gIc7f&c}fYEgAyLjTgWxRuvCYqDjw>WuGo$stIjGf3rBc|0jZSu^sykjTS`!~02 zcxMIenKh604^u&RYD4s$SK`oj%i$l_H~@p(_*nXBei%mOW+eHR!$>;gvEj%X81;{T z{WM3cePp+`awaFjIN$!_%2GnhezN1>P;rLIUQ0&u$3d8wOa+~@SOJsxZGu_-N-(L- z$$qBy04k2>3Zly$p!G_N^1==>bk8mM?kU?sT<_43*9T4*@)R6%B|Gpr<#=LHr)#bC^vowTO%$crF>}JqgGQz`{Pz!@RI*AEF4;VF1F7~uo z3ln1@1Aby1Zd(3!@qOoZnAIL@-_jBY^Rq$+B#+9$LhzXF_Eny+sNY~BzG4oRyj(Ui zcW%Qnr0I=TNHZ+&%l4?mJcrfVqM6kzi9R9<5@zJpv#|2&m)U)e9|prg9Vf2}Y~9{573;;rwv@l7@Qe#=A8n+O8<&I~wSn=nt^0|6iLWKQT$ErZ zwU~EjobNpD|DpMRBmaN&<@qnYIA#B3xZn7kw(nKU9+X5|=&#&uiyYUh16G0PW1^M5FdVkV(< zrFBJ1>q+DaTk-F#dxEqW$J8TNq>-56%1pkz0kOTxeHZiyU*Mv;7`CH}5O#>FOtC^8 zftT*naBJO#-||tm$@DGo7NwCKX4nU}nOpRhYuezfFtE4A>?s^%<9Dy@P=#&Ramh=C zxv(y&P&weqMQHxv(cON$C@plS`u6fY@^-VXu55XObPaatH+$=F@#8^#-o>7XdtdeS zZv70RGVKgidY9wui^PcV%rOKrj8`R=?1R7j&Bi-LN$^o26=fD=!Q*rN7HW+)xUx8W z+G{Wg$I)bQ|M!EiKfv}XLR1|#-%_a_xMraFlvTqas!u2jUFNXWydC+G>VDA~QONM( z@i-WH7Rirx#Y->Uit`uRM88{&B4)Mc?CG>L`3i)11+|6u{^`;RISC|<36RpvPS z)?BDQ)58Yu;6>spO9SCP@Yz^R^d?+%)jPxIM&Rf!@%Yf^d$3S*K*(tJEWr8Z4%FH&OzL+EER2&0UD||6*nBQjDk(_N`?l zgE+Uznto4v5kg91Jk5qaAdpAt(`z0J_{qs)Y83~(->-JBax{U*hXgk*%LKS)$Yrj0 z_ykV9Vk&G|d}tN^pnBJXi2bJDy0t6qIErp{eA(2QiQEl~O}}iWM*8vN3|eWWWvhJQ680_*qE`M4s??&HgeWvK|>^s&=M2#|5Kk{Lhk>_=l}6B z@#{G8y7|Qf+NeIBqL7e4OarB(tgVyx$d+=5D*Rrr!r;g;vxF zM4eZ;!z8tlajM6-J#8T8FBfn`UC`=}|Re-Euv=8r5{gaykQHs1|e! z(BVu&^{L}uq}iR3pQO9{p~OKHhreJLlb=M{>UCA_enkDi;_K1*<1-*Trx~ib5_$ab zoilla-%yp^z|sd1fv9G$Q@-$38P$1;)pfUoQNtY3-^s*}8q3d5)y$5gruN0VgCgHi zLu5GnHKY+eP>wKdd~*Y}Cml3KYM4;#EaBTbNof9_Yi|bn2=7yRVY3^IV<0CPWo$51 zM^zG??URK2s9|4Q`QC7tsNKD`N$qYQ;nVY(M~ZhP>fVP~t`QGMeL&;>WyE}6KWE2t zY;7DGw3Jc>&s;)7xgve&J6|+>E_*hkz=%eHGu!1S@&~OZ_ddS$ z6s>2^k0}#t$=0l6doQ&uoj33Qq4|Fje;&8z)$%OMA|9tN`jyZBcjvxTx&7h8HxePo z{q1}I>uM;@1%xOzV^igJOXBDHRcryITZmlvD?G5%M+kBCN}$^XBO(_AH~;h z*h;J&t|`e2uYkrb1LvzTeb8|2$r1T-7#dYn=VO9+q4COZV<)c$G+DNL`caxf_7HvOW1)BVZa@EzCg-NhQ!;-2HN{C=c2j zKg5!aYN0Lkj76C89ZtA%XVaeAh0}*loT+n7A+9sy7H5qX)ULn#dQ(xAIB(9jbRsW7 zOZ3)DR~8j$@6)Q%Xc&fe$*Fr$M9q~B!+v4OSF50-Ghh+2nHf46a<$Vuta=Ck$Bob?jk&j4 zH$%tI=zW*$Qs@RRSp zbEe&@qaKl~p7@-UG(xCgucC3{Fwu*R&X(fE2l&cFrfkckgqOf&9;@VAa2=J%%cU@Z zlit|U6JBRv@3*Jeb$K3aHw8`k^7O)bqsQ`{Wm#yFFO_Nh(t%R?O7UFd8RWu;b4aQX zX^C;XJtszxSk8Rc=Cdba$EaDmiFqrsCByWIV+z8|Sh*QOBoWxLCSK|}H~fTD#5&HK z!Q0SIde>`1xGRY$(FGiWbH00@g<>@vas@tZPi%r6;XLJQ$qgI2tUNI>Wi&Ouxzq@)dyqoUJ&`=k^ zLown4WtSFQ`dYIjR0uD$_vuwxPY=W1>#JmZ0TCnPe#IfII!5>(Qi#!2#iD>{i*#A= zC9-rLwFYNyM`}E`%F;b6k?4IYnu0bDaan6#SJt;9nnFqL>(d~d)92($BkBo5W~|rU z%Jm_9eKqalOh3TyeC!6pqYUs7RVY>LOM_={rm~(g;dv$!LzAYj0B3Ut(f1OY&?>fJ z-!02KM1Ml}IMwbP6g>`+sn#MqQ~7&+H#%%Y29tGP$1!tUnh}wA335O}K`2MxHBH2& zJ2mwAb|Tu%y7NGkAmP{RJEu59iLj9ttJ5FBo^w1B8r2)MenczH=L{4Dg=H%fHE z$ChQ&6Z2tsdAZ57E`B?Y`|~yb&qloL#2o*_m*>Ch!y73#Li4xw?a8DaYBz3ujQoIy zPpWS@p~&j>$3fj3qJ}n7t-{0%rBh>P-X$DEIpwCM(n%yDzAx^v)qRMFdynvh<L{q=^5jsq(ZppGI|iB@JIset70prm^>HX`sot)@M|gtXo4lu- z@saQY+P!M=1mTf+)WU11Z5^TYuM|%D7^8AW$6Ir2BCp<^RYt3M0+lUCH%3fOqw)(k zw=UQQ=sxLcmd3ofk z?|s0&S}|g4nfsm0A*QH7OKN( zUw2EyqGm038qWqon+K)JSzZ)D&EvYTW4a!w72q7O79o0ES*Qs#+vTA))p@`BETQ>t z1l$^;A@cGk)+Ad`5ZBARc)ORD0m}7CtZJymL7t(~%$6ejg=VOi&el1jCRE@1*j0bj zHZ14kiN1@vD|9+pBD;wE{kE%5&b~pz;?fFoY!MpVOCG+7x{QWLa@l>4HP9$DD3`pO z3XN`&-|RPSMPr4<(sw6Y(Ku#M(@4~5HqmTcvzYu9RirbHQMUI`>+Si8=QYuzbBkY> znX($1SbPe2KUSh?%#k{B>L{9nO{jy_R-=VhkdBj?@Y}bE4EwTA1}#^GT)ZgUh#a0* zSzkvVqgCSG(ax&dXmv0Od%d$At;rGgw{&9B+E`Mw&&v_5!wi!*BDSIRlcWPn+_HJx z|Bre9C-Y_he|vEM?YZCh%9g>}gAXMa`o8-1Z9q;KRqA$+^+=;t=J>v05D8ap2fnKB zL@ZZmqCv6%A~%Qc92@LFsQPWMm{P)ze(bybOr$$}9d}pm*s>O0e(J+(pNYV2pL50x z#Vt6MSzi(Pz83a9qHfH}#QwUuXE$SsKdj9Z*-qyBqe)Tt^YOLvC|#4y-^%d~xkpHI z@yT>ZOY?#1=v^c>=AKB9Xh7^|L$gQA37_>o>o1D--w_sL)cxZ86auG2v~r~4;HTT2 zLPzA7ct>ZRu!$;x`(7*DAiENtl|{G9{m;U+KV~R&xByN$Vc!=? zzk&U?n3M9iJ7C+av)P&0k7z!_b@!@=Ey|*HzrUBi3i)Xs?Edc+ka70>dP(&$Tw3xl zU-bqN>udW^{AznIVh$Z%oR~<=`!`4JKg&fU^vWCW+7^2R7Tnd+OE86>x0;J&yb)1P zcy2a7G8`V&z9%o36MjA7FLS&FiTrmB4}O-Y<*tng{4;^HFfjHz#AKpm^fHExL*u$ExMV7)6WpS$@Cn@rU{(O$SzNh z--%F>xXxXnL?41On*fz@SNJ_xXR+&4AbdR8X{wW5;6=BtXgKja+!{6X_nZxc^LxYc z%!l2?{{9t_kDCoZE*TBCeAkbn4{8xc6qLx_C)T49up1d%aiUkvXpq7tx_*rVD-!Na zU9>%$jksG>9AP&@>myQCfYQAFMW$C^vx_K82ZuOKg@q9vjA$Gra|&Nttu_s4O*pTU0FT@(fs zvL_D9{5(#tsq&xu@?5G?d=;><3h9>T!{tXrkwYtHopGNQg})xJ{Plb5o}V8eFIgL7 zUuTM|zrOeQF%ZvGK;N(52Zj=yNTnDtcYGky&EsG^9fnA@Ueivzbyjuxc^(M?+5W0xc_ha zeptBhe;)+qasQX*|3UtRdH>(%9k6hv|E>tks!$L^UzuNaRGsUZUp9W|M&go!+ zaV}{7ztgQ>IM;u31m=1zpmk3;Qqh)yJ_L_|4sAB2>e43n8*F!HUCfI|Iqvk>-~SoEm#<2 z0fD~+fqC5jL-YS6{{r{_lKZhR=mG-&C|2QS{iE*8!Z-^E{J99s z->iGWZyR$I<0s?;?0`s^(PxJ3z z$nE*_AYXXp1qA*n5SYjP-!=bF;{P|fJ^L5d`~MWTZQ&#q5cq#aU>^7X(ELBi|Noua zv%vlTXT%p?U;%-D4g}_Lf1c(SUdZkF=eRu!XR(05pN7CZ?*Fd&e-eKox93lTeBp%` z5csD+U>^7X(ELBizmVJWPjP=1PGSLpKMR3*+@GiUcM1Fu{p`e`|D}%aM?0=RdU7rJ z_WW6QW#M%f5cu;En8*F!HUDqKU)bOO^TA&jVF7`^5P^Bz|3mZtM*fA|p1<(6EDXJX zz@Lu5Jnqle{JVZ={)IZeKOO#s0TvMW%Mh5y{r{Nve=>i8`+wO@Sr~W$fqwu3^SJ*X z^ZxFikS^H*s_q9z(WC zZ!vXuFmi7RuDQqBgS^PZ$8R}Bk$2r|&$oUm1(ePwr&o<C4O7vAo3s9=sQkn zB7cI5kvB;h`L7SHm*pu({upmrMSKKt{G)brB|q}-ulSn3^DFXi=4duNO+)_Gr9z#1 z@{nIIqrz=yi~RC%|J&CKkbimF|HyZLq<87;%%zS*?qFsLci#Xm%THxx*}J2FWxXiH z$vY@e;y5REhz2_^3C@`+1x*~OkIDXcMC6NmSDn&~s zpD>_6Q8n##%|jGOH<#_Ci$}ph$}1%~JSfB5S?!`P&S8aamj~ zwT7huR~o%6M!vj6VJOF@m9g(pcuiTAUhyId@6APu9rZ`y*yOlvUor}x9*8xKl0@OK zqFR5`SrqmOr1)oWq41_T`=04jD7?x=8e3g~!uptVPNFwZSpIP1xAk-=EFgutZC!`L zjFwF%Gw+bs+P$+jB^$*QMahPDx=|wG;O7094JG-<+C>|zQPOFbcd$7JCAXJl^=7K0 zWWZ3bJ2C_%_Y;@Y-%mhEZ{fs4iWcJdj`OL!_9*F=@1||%BAzGM>6Sr8N!!fU_iqPK z(o`U=bdLDDI*pr>ya6bw+MKhBRTm|(UwXr}vr%5jwJ(qI94cn`W0}QwgREnDRLhM8 zWbcOT4I(!|4%Tl!S#liY$fnf+2^k=to4f1lH3;(AwT-n(HN^3>CQf5Yko|A@6f&J9 zp4YO}QGy+0_jAV%B}IVjd|WnegbQT*6=@GCm_WAb6L~yvaUS=7#rl2`{|A{PJeU25 z^Uv$a^`i1(1c^RemFUtRv9-cNs z&EAW&ys;FhIW#;!=sqj`#2v#OM= zwsO>JCXp$g8lzTT(D|(M3e<{qxSqP`fZFY0i{0}@QOi^%q(97qn(xuhipnog^Wx~k zA*z?CFrdh}AAABeX=2*ag&L^y$cr0XeE{|AyoTKc=}>z6-vy;%Y zT?eJS>1YzLRhBuXizfcs=If9w{L9NtA^G+QV%q&&!UyTIAUA!6|`<|nN4$C zi`FgT(@F(-Xx)&j?oquAt!tRm!(Tr_D{YQS!Z!xAQn)=|e)k$$rV4pD;#$!%uI$mo zd=u^bFZ`MmCvdG-TFp*<2Rg2fCo)@Dpp*GPEc#BTXJ$!nmZs=UU*T^Y_9i0qf*X!bg&`D!{+3R{oghJALRd+=Y9#J8BLKHGh&Q~!u^{V;k@HTf#Z&G zXgVccvVM605{H+}_|^wwo60t=d}d#)Y!l|>Uq?fl)H2l_O>H9eYnLza4ecj2d^o&r zqWmB!vwq)%D)R^_ieKdMfz?{1fV(MI*tZsv{6`W>b?v-JK?$s@CxiJ(VV3n9`MG6L zBIu%MLwO!CF+0zTG<<=xuARbO-doUYKM^n%*bfN{ZbsSD)7Tb18TWlc538ufm%USb zNSX}U{M2o)B&k1cr^O+y1XAPP)5=PsZKTYX>U>FcDWs^Q72b!$-;o0PRQ-zj%9_{VP(KZ1)E4c*=6tY# zM3!id={O~}_1-A7I`bW?v?JvyJZMRiO$?07dDuz)57@3=#TcnEv~0D`Gf`3&8?EaJ zIxkWbmXv?r7eor&aGY^~hPeJu3C2(L`bj~_B0;4*!=x|=E`33!HkA099(u8SCt|+j zO(uC{!1;xl(WpQ>v`osf-|X*z#PGSL@7|EXv;Kjq&gfmNs-jL`KmLF;`Pt{QVUHGR zfZd_9prM1*cqgFvRS7>SOM)tA*6Afg{I1 znRjTDLKBib-4zM^bGYKh&h#R7JJ*Y*-8OLH**G|K=@GOV`}`?iKEdIYO0%C-gTSNA znBv@W2=vq%R8dqWq>sGMhtnfVNdtO}wyQ6ClA6|K*d+BICS`f#Q628RK#DTCuKKpj zkrd#cETwXJh~)RoOaG}&Jt@$I_mcg&7E&mW!YNTQ}Tn;%= zk5o%Q>!DpB75#f0-a5C4n)N<-Y+3AT&n&~LPb>=8-iVVvuDln0+98uPz{T<5mF#X( zV}I@^`CbW9mZaxc4*f7G%1SB2dX}CPXe!pg7tulTZ+bdv-X}^5GI@2v*eih)rtB5W z;M6*g`|~va&rZA)3Mn^ef6V*Vm0h&PtUa{Gygjr|#C-qzhxM=H#P5Gk&7VFe??+0^ zLViM5u)gqV6ou_Jddu_?B?<|fuF3JC^myTttn;HNeIvZhu00xM>M6|`UHeg%VRzZ~ zd^yVQh_OpcilXepKJlr-cPOXcc4hlRSClgeMSgLoL;1$&>pLtGQNE>FCv|u&%D1%z z=RaXV`Hmw?A~h-eRer0%T}_RQt3ds!i6%cTY@NWza9`Rt&Ix$cS7{xQ>cbSN?M1}sqW$!D) zsBn{Q+hP%bicr(k;75dpjrF&pHDW-;#eKm{hp(X`C83A^ToEeLHMhLbOG1tmpWzgp zJc@+9`F8m?qI7?ZhtJv=ls&pLMeR0*^7n-fp0jIEkwN?RM8+nN*{GLL-n|8~S*L1m z{3Vc62jAIPJOa7(>!{v7dyof9wVU)0gZwH-cDkM!z2%5HAn4g z`V$Ug?@@PHrO#lL&{y;&>;0Eop+5Og$j(g~sGlwt;1(G{!@l%2(Pw?opp)!=?A%*4 zc=GZnFC`w23Qq_N5Y-q?aJ+bmcEE=xt*B0A;9Sy}_SL;8wn#cX$HUDqK zCkQR@JNLr~?z0ix=lPBM^iqRA=|6GlxBm@)TkiY3QMo?yhzlV;zR4H9mEm0a7}JKP zTZsH#aJeTm5Ya5xEMvzXBKl^=R2tU<#2i6;%SBDZM31tYeq4c=8%t`&*|#I+)&98| zH6_GS?-*}Os735LGY_-m_lV{0k<>3af!JMa`j+Rt5W6obY2e!&Vh`;0I?($WzKL7S zTpJq@D*5R^3ynJ>-0$p+WGh3IgRG($={cff*GH^h#Dp06x?7x;LBx1X-YcB;NHmYWDkB}>3vwUY+n~x&4Ov>r4=Mu!$-LGJ6d4$+j ztGs*fOAy<^7k2uVDf}{)Z1%fjk1(U#>Sy(*5vh40pG}7W(Huf;Ja?8MM*4}a6DKcX zX=k!YvWF2H7B92M1c)7)xSUU;hB*3K&Ik$;;ts5(7tnD)oXW$l)^J_KS?V00jG#fB zd)B$C6SRm6jIgH4PeWX|rUdsHeZ)nJ-+QKZ5&>GC!yT?05H5ZCSZmlzMCo)D2<_W~ zn7y-kLp&3RHC5KzN3jNRd)qF_+jAkVj^nw4*jB_dO7JAUk3#&h%)O)y)QEQ$cG>%+ z9`Wac)+XF5Mts3sli^(@#MjQg`anK}_^XEX(p_|j?=EA`GSET%oq-|tD?sqm*h!71 zLx}WYSveu~&)!5>~jX_1mD~E95O}1j?fqO_;@;1Na<|ZUCEuT^uUy20w(<^zp9gwhX zt4a`M8WMP~ER$Q@ib(3IrMK2yL#*)5o}(-VI4}B)E!^Q55{}0e)D&GrV!@ZFOUv_c z@d6F)fbD%GiN05e_+*Ww^ucYxtn^5F@2eZ_JBnoP@J5>lrAU^k-okd18OervyLVVd_xhXC|ayX|*@YeT8j^T;5?WLH<{XaDS@8tiN=YAD`frrWZ_sH3@ zwIo?h4vCkX(#EcIAyVfEMUDCZ{3q_mejOQxo9&~!;;N#sRd~AlnrJ4BI~KVLYs^5q zZ->e~W(p|pk7M1p#TW8@28+}x-$3%w*6GuCc7U|5J^Q4S)lv3>B^OR=@~h9>L+GB$ocGyqfU3Cqj?H|TOID-EvC#F-n{DqhR!BisgUqZUXI^nYXq)y@##(>y1+!ln6lDMxO|4}K`5dZ0QCYnm6hhuM zuCQsH7f2T73Z6W!j%c=?50l$2B1op<9i=NLJPW2YwoS>w{%nDUh`{Pmh>xfM>Elkqx|S4N_B0BH_o=wbja8r}Gwcz5l^dtL zHL`6KOduO?>;+LR9M(3Z-?q#i2i9yk&vVlVdsL`ClbaN=JzwqNi!Dm?xIa(x|Lny3 zN1WeI$3TD2Zc7WTUDm=DS`rpI&U_|6;{3&$DnDa^f7^LKlhgb?pXnhKtjKtu!2K1) zacwo9wQ^Cq$3n=spc7>Wout$azoYD<)#lzlLQ^{w94h)ygYxcU>y3|OP9|LL&5h%osigEl(6Iv?G#yt(mKno(;ppCc8kq5ic$gPK?Y1$A9A2#c_aH) zN(UlF_vCJ*xB)71DAsD~rlF$m@x~cuULsDM_|!sz7G$Ov>2kwdkhildty!}cWZ_35 zQl0T2OL2$^XB`7s-Y=|}sRP+cal*M9M^R|ZNB1FRJxcn<>SrTkQ5LW=Cx6L3l&A1! zt2`pEM?+3QUVIfQMyK?f<0nCuh<)*4=X;QY4BXfRQ$eoQE_=f(5AwrCUi$12kiRZo zZXryAO8Rp)*#cgu`b>w)H*cvt9A;6) zxjM~0oHbLGAZ#!8^V++6=Yc?6XrZ%nq)F{8>lM`_VhCR9t(Q|2LE!p{6=$}gVQ^8dR`3xtp4{m|ZT1DCahGZ-qX3##k1xATwFpgI zcAxAt<kre_QU`TVLj7dcG9y!vWDAi#^~Yb(B(Wp91{2_c%!x zt%ASN_Lq!>GYB|z#Lj@27Xtd_$CgmHAW-7T%{MO%5ExBtQauVp;Ema2$FTJX{Ol%Z zd*=p%*2b#bAnPD#*GJOE&5j6?EU+C)*?=IWE{Ss0W&~;P_Z2*A35T8E=*S_p@F-pt z5>TKEpBfp}B3UQ+WxB<2KW2shtFJ+`)QJeV@$}A-Mc)u;WI4&EvJZiMUg53>h7rVl zvRv@dNd)N~`dGJ!c-_b|oBK!;2r4bw^<0*Wpl<5IPJLknJ@(CaqT@r*gm9PM5pD#{ zakz;Zw8BwVtS@+PBs@oJlY9MR;j8b~rg@MF{%WiVX2n4WD9HOJHx-J&*kFyJ_x1=9 zIx3RnRE?l~E*$~UG6a1q)ExS(k6%KlCgR{d! zzIF85;nU$_?D}m50_As4yj*3DkT}!b_l<5LY@}}cl2fMELwQd{{ zw$%Fi=P40MH9F)PMXXH^S}3yKmPDjki_wN67et0HT5)8836a^}nY9v*h^*fC={2$D zi0oXRuig3(k+*LJrI#*23WFu@k@HIO2|37T$Oi|Qa1hPn$&ktZi}vrj!6mtyVACy719Sh_`KJvu`O%X5y> z(P4#O^XV6cCmrBH!C;-qn+%8IE$Qi6l(2RZ%6xP{7AD6_PTqN%3cZVCOD+o?g65_7 zW=0hDI74?#!_H$3PJC&xr5U&nxz&@2DudZLGO}^AOIH#*+u{G2WF#Ic3eVw)sZ~5QaN69qg5HJ}HW%vEzm;5v z8H4F2_v_p+SnTJmJ17fnv&e@h3C*l>>(v=fF9n>`f5_0o#Sew8&zeduE8u8z5@o;PTrlyJp4-qzSMmO@^OA{1dZgzlXGlnEd1>oOK*Q%QLdCZc>8J3eWT# zmq>8Q)4J8V>l5r^{f&&btcK;DJz{|qiZF6tp>}R<8gzA~w|}K+ zi4Y1UArd={iXsV_=Xp5hd7jIZD49cr%+opMsWcHOjml6dg(i}cp6k9p-~03QtY3^N!~@zO8lhjlAqXYX1++|My9}bXsh7e`9*a5ho_b9w#P>fIZXy zgXjOB>;Kw)zp%QSUybt>O7EC)R6J`#75yRUj>C)u1NOI=Nxel)^DgmMv8Dv;>!i-w zI-vH)M~d*?PSojM7|8oxiMor4zq3rJsJojed}G)Sb)Qq$FI~F_^{g|yMikRgzv*j= zu;ee)D~Ov+k?+3#VC@O}%Y;YPc6b=B8HdYhX}dH<_Mxo(-1Z9feW;3R?u>qN5j8su zeo<08QLEu2(o^#rbxiXs?^&{leh%=Xej?e8*O(9Wvt6r-auac7^2~sp={i(M z(XO|soI~{?p=-Cl_4lLV^>_G! z${Q?XY3c*2rtd+Cgkn&AE6kR9+on)6E(i^ffdv+1F-%lKzWvk_{-ST97MCi8*=+f*Fcq)<-@?m3}o2I}ryjp(q1|m?AqqeEcOqm;rw;z0hW`VMx$v*s~)J$#&b5^ zCqVn29lN5p4-F62^>W*2pwV5GU0_ok8ecJ(#@*6Ell(}sr1oQ?xA3lPR_a63)j(E3 zt4K8U_9vdI`i`c_O^0*ECeh4bwQE)mXy*CY*-yU|&0@W#b{br$vE0SZFt%RZ{7 zZAnApxfA>&zwe+qB2s=EYdu;XPlQL(mZ7!#vHlPC2(wB-RWI7&p}VLNBFb;nA?f=G+$P}$CuDv@Wo%`G(FlYsw`_}QqbOT z@KUK*`X9Cb59a^7@c+v05F|zY*NpG~tKXOYx7WT?$0x?^O#9$@;2EraE#a%wCcir< z0RB&(EkB}Aj=*$h{`~jB2)c7DDgG|Jll(10elu7W z48BCD;5rlE81h>&3`XBr@2z7{%4rydWs24MXmaa0K?{;4s zA-sa;!uhp4U;n$sA@uc=G0>kO=^X*!VVEw8ojYc3O zJ5R&E{VYQF%!_9I7)I#1v-asN(+KTv^xMz&389N0wK}7F5GLfWL!_A~v_1w?XM(VgvTL*$mF4+GwlOqCYI(%DukF&^9P{?Nr*{{5B>G}1Y)kTZ%AAE=f3}6%>Q@s|DRC%v;+r(T_GkYI$V_T z_6irW{g`f~XjkD}DC_zW?aw%KcnQY~;gyJZ9+;OG)rX+L#cWe~b9hfpNpaU4hTF`M z@TI16a60{7ThQ(@Y~~bwk1s8TMe!30_W1^wq{S94XIh6-yC$Lyyd|JB?ey|hvnr0e z2WUMHl^}CsgWc0-Dp54(Z*jBq9CCIYS6k&&f>c(KqZG; zem<0~ct;vOt=$6}PJ?jIsua*!sRrk)*#@i5B-lQl?8uhthNa~}>F({BFukpuzAE(! z43w-IH~6vP{r=a^oNXapd4Z`fFRu{Bcf&sOj9 zxrYSxW30cOWM-412!kgYI`xQ!WN^oDeT#yFDI*Mo*QHk(<6K$(?Qq zpuRz#)%IY4`52_lUf`L?szwsauYIo`os~Cr1kZ)l znmg&VKxv&i^i)|Mj!cXT63;%vW<~C$Oag?B;!$-tCTydQL$ ze>`0ybsJ69?)tMbizq#&dnA*m0GBRbzi((+gbQ!01>;*2ah6qXWOIQZ;uKVd@W(SvzwP6xZwx)m!FS+rm4Xt!BNqbixqY=+M}}H3t<&|DlYC- z1*L8 zhacy{vkU#xS0h1DXyG}BA)>u|x0v&YBP>lahi-fv0pIVniL8!*ceLBs52+!zYx68T z`SA@d%-891*!ID$x2L45w+>bp4qSN=W(9M}U^lxp1u*Jly|1-(^&k8GADI8|lX(As z_x;La!;F97f`2XMzr3tDrz)ZYWxM4PotF{4_I?2t+dNiOf6{xPs`QI!byD7Sz2ru1 zS5Py%y*=vGcW{X5s-dnZQ$Wo;lJL;nm#;bpqF&JBRDruT>JPP4DY(d?-bRbdX4MMR z2YXDLXK)ZK-tn0+DFpSGj6V)NphJB*-`AGq-*9>I>56sb?I@S6-h4%3IjU~ej*WA< zq9%~lH|u#AYA>?)K1+Otx>FXnMRU7Q_u4eyHoFJ)`-2>=rxITM41apTrM;+cm*&=; zHADTo=N@ivMnPpv*c>ZFCH#8UBF!TORJrm~?K>`mdSHt2RX`%BCu9N^J4JEzkV$*d z)-hCE7Rd5Gdji#GZuGGl>_)9x;KqRK1baI(4V*a9jrtvy3g7IuqrUT<)Q_Tlpo-qu z{MovYXkW~lH!jr$_39J)=j*qFI^dz^cYPxurP%(rhp4&JJEv{bhps4x5F+Wz(Q0 z`!1c>JqDU6jpePq9B9d#8Cr-|iuMw(<@J}Nfmioik-i2R4vruCa)5pD-ZLyf-T`IjG7qkcQr`X2<# z(^z`)cM^=;aBSH<3$JW6N}ShuT6z_Yy<2~+`h62kd)5k^B>Y2D+S_pDABkvstaa%7 z>wYw^Tw^22aT(1r9JMPGm!nymk>SC4DKtAi%l^{ugyzs`^JMhYVx1P zNOT+rbw1$cmN|Dcj$erk*inn-A@Ox_PnV!o)>!3!U_9DHFQ|wT%~jje*9+ob?x0=j z?di7&QnmLJ(K1J|b?mkjKzsg;vsaZ{(B5eKd!aZU?Y(X)Kh^f4edsgO+d*Hn zPn7lZ@~fkLM(HHo2IoI&{~yf%pTZ{v&93>M+NK;DVWgOjFxpLyG5V4B|M@5VKiA3Y zf2Xd`M(*t|o=^60)zXPw(R9>9=@D>V@oPx;p;imE=~n8dvcr${gADX0gHh zB^-9EQko7c!R_whL<6fqdU7}BwJ@P`px_R3nAQuN^QGEHHI^E`ZH?sz?H{s=$+GmKA+H4v~$ zRU}Sv0)gU@mB(Fs5%`r;ecSL61jTOun$W?Ipzn@fHhFU)_*iny^=$lCuUC9-A6>ak&%5$p)x>~!I0^G~7|_;oTq z#O06L|1akMr}+QZ*FNn$^ZtAD1oN9$`qO)hAe(yPo6t*6oV)p9r?jLO&b&_1;fg$i z$e@%Mj_K715$N`NzOxR#J8NHEUN;JlUF+O!z6HRQ@z$hMp$Y6Q>DMkjI0S3DQ^)0K z7hxV({8I7vAs9!?S&G{{z^P@cu7=<8#mN(!eZJbQL<6%@a8KBK6ivVSXwBt@oItjA z1rc?mo+=o~_pwBL*WO*P6`ToU=Tw2W~}BLd*HYB_QpCXRd{>}V-lL+0fm2M znad__I9Sl>aZH?r^`n^;cGJ&c0q?_MTZuoRY3`Bf4mTLED!m9>zZbeYH%(`2+(g5b z6|xB)iYP9Rn?)lXa${#aIqdHuP3Xnsz<2=?en^E%9=nHV!8Zbx9A6MRY-%`RU*?|jnCNl?CZM%5CXqvO4R+D)?0V7qePW3H0du-q?mo8N{LX1i_Ocy2Ai z@J!&T>!;?S7qVNmTCN(6!KMwrj($K%>-W|RQooURCSv01hhdyQUKOcR9)_g82jSjx z8i+aX@#u6w9l}{wr08*qBXIvW_K@P`@J^aa(JyL-`{AGWlX$}6!YD7gxLg)?AEvG; zNPUFWi@S!L*P~&c5h(ur#RC|>PSo2*MvVXT-`n1M>IZ8e6a6~4&!==H{ zJ##0!kba_9XMjBlXT!I~YC6o~w0O3Z8cRJQMUCes=d=*gxFEUW2m|~mlWUDmF~GCt z&et2i>*2-{XEukUa56VA+P9$}w)edXauye1C8Wo%^sXD`0u#nLnLIF73;)5Z{1z>) zbWInhr%^r^-#d~$fr5dvSM+vHAu}$}dM~pBQa4;bxo5l(iGEvux^#ylrjeobrPCO~ zpRqf43hqTPWv(T3#2miUeK*6FeS_zfo6S2;OTukG%gaxxq!;AnzAJls7Ix)#&uy>$ z0c%!AKl;LYSgg7p_ApcVkJ|qO^Z$Jk?|(hIsyyEUd6$H!D4SWYaQo7&!e027kMIBX z_y246{maU^x!TKVD9VxS0P_O@f$yCNk;+-6PF3)@n^|^~b4(mswo>oHr#x9Qf z9zW(z;^(R#N>W(Zn?U&X&doXwk+>onS#eUk4dr2x`|llJiK^M6yyqQZs41g+9-AkQ z+Mb+Y_X1ngCElwqijqYAI?ex~@K1GWAY>wJlqrS8(`hjW7j3p)svIUHpsnw?elHqXmIHCxSbp1u#%l5@6N zp9KkT-~Ux}nu%b1!E2rI!k|er@+~jYBwCxFo^cH4Kr^d|9t)KR&E43=vEV(?+`MZ( zx&I*XI_rSD?;5HkqWRex=uz`sBP5ySJL+zH_$^f)jQa1pPlvOf2lcjUb^YKo(9D;v z$lj;|+TDz$CUKc);JYjsTIYub-Q-ob7~;_oIl8IXgkbyPy(uy+al|*d|IF{>{%Ckn zRr%`aDdMXf_!@JVk1TT+FD@_rigJ^_T^z4PiI%Bst^EM;#9C#4c`M+g0NZ^cV4=wSO2md59X4!-H6 z=jPLY)c!x1|L?;8i|7Bx$7f;fBlHiyGh(yd|QA-U*b^g8{efmcZ@14p*(kakx{@?_D920uSZ&7dM+bz#}Vut%z6# zJYK!X%hVw2KJSx8ty(g7-*?(ARo)t&mOrGA)`-J1SaPeVm@PcdSyt)Wbi%Xn=1~{Z zF0xN-i*3n?fJOI(l;Uy|IP98vsI(&wuKVV**PJeen?{bD_5LEbJ1}zIKTh`dt*W;~ z*D=6@N}XKeLGI6|aCfYR<*(;ZRD}oOnfbPnlcye@H@7S%$2G$9-SEPm;#7DsY$(0D z#Tj0Fvd?wgyNQ-a+oN=Tmh=>Q5<_>N!m>kJDdreE91k^b>?ZnritMsiu`lG{_HIO< zq0s^!tevjP4=3R9v{$_I@d7;Us_ef%wt;7#cbAxnFTB?0s|NNMz)MeKL}9-Xydv$k z8_*BKtLVr}hLAXT-C)#LzNincA)~q#Qdi*hF|y+DAv1XWc2Ef{_Jg%0PwTcGc{tN; zOSuR?xNS(SnKx>I``9|^kGI|7$)$8bbVdfA@7316<}`#?s2qFX^6&7Pw%sj#HyPf0 z+Vbs$G~gYQ!Lap>7`!Xj`8pF_g!iM~4!X3R@Sc5HoH{c{<_JQ!=OP{8BRo~38qx+I zxgBi-MV7EDlI8r?b{Ukd-(}oP&%ph4AGSE&~YSuIZR2<`|JTPT9}vELPX;$+@)$a_h0 zD;NB(?eG*;eGC8MB8JQ+Mg&+&FH!Y%LBO=V<+i9{1fFV}dF4pvGNp%R^El`cIF)iF z+bbVI8|RjPs)<67Mv}Pa;SmJ6UJ$x7?Sr8Bih%ykl?b}bxl_u({g2xJFXsQd`2SC+ zecHtgt^|=!C~{5}zxX=?*^eANB%+ORZgTbZ76om@@9@`gJ3WWU{s6PeLQ#a=Ia1)u zK!NY;;}S-C-S8NH$9SSh6_jlYNqu{d!J)3$lCEV1Y&5=HXL-FJ7WZ!UidQ~^>2J^J zzQ=4ZbZp#cd}R!JSD1M<=VZ~qw%UL6XaYx!GQ1U%xpO~ z8Y2vwgCCHLk$d(9KYj@yFITopLq!bdgMa^A_H-J_ykc7q1nUtU;zK2>MF+xtR6B15 zTtd*gk&|zEl;LAbFZE>u&IK)N7M|X_D#%=0^ak(=rh2QR6 zy<`c@To-?%|22$kTh_npB>4y3n|aeJa!?xc<+ggP9WG5dExr&CL;7i}&Io%qoNYPy zi>FEnr;9XJe@-7m)`d3BtZQV}%dNQ?ULPM0t5i02XiFm2bBUfSIA(B~2q5TKrZ$9j_}y#hQub)MaBR z_^?KBzix%>5myE{1oV88eV1u(Iufk33>6%d;w?6c7<5lw*+l1R>peUslwq z!++00vXlioyuP+!r9u+iPecD*%_g{}`M130bB81Ep?k$~DzLSVKCnUhKCCoNo6cVB z`=j>%!2Exo#QXox^Dk!n?eYEhVt#A41&8t^l(nu)%gbj#RnkMYM9v1(?42C@`hj?D zO{!F0MH8&g-{`r?x|-pR0` zsK3oCvX_>G`my!f1qGCdmvlQHonR%X%i~ze%wB@Z@q>FPeF#@Hy;xJbd01Qh12sxfS9fUbiNCz4dsCHsSI|&j7AHOlP?)}gQ}69`=RA7sLs3Py&MmK8Y3&A$Uyk_EY62L_mhZ*gy;2R-%p@6@lNiM z?Z(yeT^W1mdQi!;Bv_)o9o5gd_Rj@05HIjRxN`vcyf=S4=$sp+ouT^|XFh29X1{&!N8aLDq2oa4|Z) z=0g`Xn(cR?IiU6O9)}}nE}T~so8ExtZVvIh65`nzS;)AOMCJf<{66Oy*P?|fV0WmK zK5C{QK(R0a?F5$|Q=1%`j`-bsd_Mv$2j5(%Bs!1Qg71T>Jr~e+vFE{vx*FQWejJuv z=t8>#*RR&nyJ*icq8m+VLVK6sWm!7H^N(KUpu0$P`#+e<1}u|lWcuUdW3Y6U;TE0oU zKuNeVzAY;Ql-pJ8z5VM6*OeJCWzqyU-VT{iBU!k~+4e2VD1h6M;b)oW$ZMlVNrC)! zaC5w{bfYF8+LgLj~Y0E5Q6SE*Y*|5=VMQ z=?Sj*@FHN83Q9rKftTE0K$-Iow_k`S&pA8tUF#&=toUR0vwFiV_B?l9mImA|YfYcN zX#}^X;-JX4b8x$7ygog@7H%(^u08vh0=M^}_rAxzgjt1+d`V3zY#Fsb1)Gb((V*RC z@NFJklr;QYXeU77I=s1J>?SDfr+hNQx57=K!G5#+TDT>17;d+2g7O!D?ESNk9`~74lhB|_YQ@s|DRC%w9GTxVwq-9p(+E`Eemx+)o=7q<{^*3J}hzZg+;r@DBE zUpkb#__DSK;n@#wIe2)sAD$ZLUqx5)gOb)U{cS`Bj-j$^+t+`BEthx3my{HOxtn8; z&9K3&GsJh!fne^5FZFy{3OKc1BI1nkA{tgp=^AlFpqOFV)te;qA*aGRz2iO6)2E%R z|3O)TgagIAE9rO;Rk!C%9CIH+i-K#q+#bMx=j@2Uc4m03Y3Dh?x)W}@*9Ujx3&Sbn zxZ=8Bq>o*GUC4#)1+1)U7lXpLz})-e)Fs($n25Ylja+sL2GU+1EY8!Rq4e?#UfUp& zTlebZ9Gw+%+pXN=f{EYWVj`5uRUU~^Zx6+}(<8c;E{^*A7s9+haCO`x9+)#0;?;dQ z@RqV_V%ri1_bsd|msKr?%hr2bYrjpy-rQ|~J%T?WZgfXHKFtW$@#M!xFgklcz<2a|6sxKD<12^ zJ@@RN~8&SG^AoPd!85Hw43Wt^2DxL&dP7w<%x6nF({Z(C!VtWzZ7bX8m)} z0~JD1`bFk8C|sUAqeS_L%tf;f_Jr3+%`y!O9MC~hNYK*u^TaFFJ=U5-{1OopPY@v<`S8-J@p@?}&pGGxWI?Vs%`IQKiSYZq zBHeZyTR?q&?3fSRC0xCCq_;n39F>y(JI4xTQ9Z+*u(-4ywT=9YZ;z8azt_E1+zXM! zAK*_DI`SISz5HD(_H6>S$YOhRjw8|Ve4x+$xSQnKEV9?H7X?lK7v-KG!R7&Bhqyny z0`0_ysh-&%H75WHVZpbq6$CD4}J& zcmgHt4O+G~mTNl^zXrpA^QDKUL33^H_Ws;JyuGQ%ifv=jVsG$vZ_h=v-dgQLN!x|C zwl(!FlFQMqw9?6N#u@EFK^-@#`Dm|Xeps1ag!aeGmr6cPd0+F5_p{y&)iKZQ^5e*gc}_FtIabe}1? zPDkkfA7FR*Pi_zUzrFSig13LYG~@w`tL{-BYlL8PK%Vv67NW_#`n+dcegO_DKGEr3 z@8EcnBd5%J2u?f+T<1D?;8gIW@h5v1oSCmIyU%tX&IZaK<4a`We12Z3H0ds!ySqZ> zUbVq_a{ha3g;WQemNalIIoJuO+Y?8ncZHMZl~+blhsbkQ9I&j~0v8_7 z&H0zR;iBue`WP3PSHx}E)^q9Me2HR={rIv6J-FGi5G<)!#m;fdp1NimIX$m zhwxyEaDvmEMrxw1uq|nK(DWj62Qe>^>T`i`d=USl98<4r z$ZtIdmqY7c?_}-;g?&n_!i4m8G~ODnJ!{}5omN~Vc8l~@*XQ{&iisvk>0Q+NCvaOd zs`yQrf%~RMi(2c{;C|q`{3Ej`a5qg}!^=bF2cD(dyuH`KJu0|rvpUfLr;7ekxhM&n zj>I4hrO$Bk*qowbcpH?1$-hzB2)8<)MYWubaHsL=37c+&huccCnt~7Tn2WFx9UFnC z5pg(I%EGhc>Fj$yf$Js*_v>8YmF3o&F{SiJ?f)0^|5N<`>uaBuRrWgm!ZsB7YQ-46C7DKFK71OHc}qO3 zp@t_rYY`trH?lZxj;IX=ECwYm2$g#fzk$IKez8+m5`T2SGeN>lvHdA1k1EyHZE}Yb z{n}WiCC_1d{>jw-G96fPiEQ+-JPLEw{C+y8VHm&R?g^OQ1p|)ii(AjPpka-ZS!@0$ z6f>SuP93qN>=Ao21|xqT5g5Ixvu zoxW*3!ZH~2v}8jO@L*f&`&LPKd-JwGs!)f!hlE^5tpr>;Rwo?U#0C4WLfz`SHDDu{ zd$CaG8!T5!{?=(^hgrmWx>E07FnVO9Sgc@+#+bqGn~zvfG8FUCW6l+MRVH%@%~m*H zOd6+W>_|Se^VCK!S;U&k)JZ)hUgjZ&6IDmAAZWsAv;7A$QQYrY-ZwjlrH0CQ#sWAV^dEmDGcbK~FT$kUq4^2Jm27Iqm zPoUa= zJixwA>MsEB-=2WH_3za5Ud}iro<4pD<;%^jm|6u<)hL!d-$HVF+?1-#`5vM+Df`I8 zCO_0^xzPu65HIWVlCOF@h?YmGZSBxg!smzUT3=Bnuchy(7HxK?KMqE)|lF~#jheXgmy4qk#9po%fk-$(ke7e@!ij=N+utj*f6Oo1x;dX@t{T2exIcsXW@F1z zU*Z!ebYar#{D_80Q(A{0@$U8s<;6AjqRC54>xte4G=12^_TV(Z`umE6{h}Jt9JBA1 zdbcQ=o4zCpsavA?P5I2NM_k!KE3Uc{pH^|@8bDw+8L; zz58_Ph<2wfWv~6tA7~$MT`e2)6CKO4UyetsqGQ9cuP;1G3D!SZRU^fMj=kN-I~kbJ zq2(YG6S@)|hR-!8UT^th-~R{m|EKUtK_~xDCfEN<+p}GYT&1Ja`nP9x=^0%8uH{9A zncH@Cmq0mK=G|dlZ6E;a#&Q#psd(55_MScaJO_5%l9rR3&%v&P!Wd6wfc@?TkEZB! z*r(fwGB$sK{fn$;R=M49;8>TJBs~BJWjQXj>jH2v8CB78ya@+?nH#Qi*Wr*{=&E6D z3x@*rO=@#{p)VU3&D*&FX0JU$Lh9sUB^aZBBh4E&`*&AtI=%w7)jqP72VICJQ*wpJ zI~LfRcn2tWe4Kg5#alxAuE2iK&uZKH{csr1xm{_|1ji!2;3Ay8*L_!{ z#>3^v0Tag(C%C*xI276*3s-{+eU+v3aIMW(U9e|_>rZKQbFv0fcq>f~Z6rO2w3S3~ zo;E0Ze=O~NXbOt2dvnf&d!9-!G2AEsC?fNIF*%AZw92pbw^#+ z``#o_f+9smNk)pBpyuPD13hrNV900wb3fcZxk}MlleveS#Mz#DGEZ?}@m;lR6PZf{ ze4~8wNaPKc%UBdMU?r)E5mVWva?mr~g2usYsgDGS%fot-Q+W#-+|EKu> z*VjHR+oWLRJ<09ypIV?3*B0i~U+|is7Q8LHXLgfhNKT@!P zF7+_{YA$~mXH|zMEmig5YALwU2hPYl=)=ii`AHe+Mc7VviZydsJX{91a*MhxwG=pqs7!HOAz1f= z$T`OWvW6XMeS2W@5m@MR3%}Yq3DYqrJ3sn+Fnnj3>YBHnVE!-0S#pgizFRtRT+a-- z52w~8Gshy$|JO3A?;sKzKX=)e-$nGKu0_K@I>M^_bA##<5V-WD{B@~y@V?6Ms3cez z?uF4L)`w_GL_}ZLkM_brSLS!k)C1T==r&B=B7B0ArtskG@4wc#8>HM}e1U(8en1F~ zvF`;nqK~6wWR+57_A2Dn&G?HdKf?K%fp30{`ba)O*XHw;0kOV&#HO;x5&n&P>dkJF zqp;zfJF9>ke4XBlNM((|Q`B*T$F2oXUhK~3aT9~nxg(8!P9w1Meqqxr{07!S3CafK z?`&baC3a$J7-mA}+TZV#Cm9>5PhJwAe`yXwwegBUp>D7EpvmNvO`wTLxr*&tb6gv_pl=LyH`_QWWx` z#3qu4tSwVh=X94MwI}1_VAFjhyJaq3Wu2MpA|-#VQU#Vbf#GM zkJ|qO^Z(~0-hVv0MFT^OMbF63*bw6dvfs0BTJygI^N;oGO?aF~x&4l$(GLXk&+dvS zCf`|2HS4m6+t^Y2&~U52X8`IhBp*nt+(7vK(H`?VMW~Nf8;~X#t-klgfo1RRgSwPD zI;&Dousvt_iJCD`kGiZ6BrPk|Rw)0d{Yp>+o`z&+x)c7r?M-Q{52zWH-3-;axYDx??|TRm)7xmo}o#e&mB^3i0S}zqZBq5y{`_v`YPWgJ5>i zEY7SOwO`9KaI%! zSC{kb;8Z4FUA~e~g9vh8xe%L50nm&Dn-UgUK|6o&>Gan)g2^|U1k`K+ZCrotMEWl@ z&^tv6xD(G3ufR?9d#}+TQ6p^rj^yVkvD=?mtAdi~18#}8)lgO37HTpdjM~$0PUdu1 zquzShRlsu!)Jr0d<_k%0;cGnTHC=!P&d}T(dZObGx2*l4y^8Sg^Yv^?`p~%g!*2hD zON7TCZLGZZi0JRH3Co`RiN>hcWi_1xXw0$h%QhhA5>d-_Op@Gr1^&<}t|!0rMBp!jm)dg zZ}6oi_`OAYfVXA(x7!5kvu(4yaFFQrjVH5-h_8cBsdxK&qS;Z5?FwXAiVp1yd1`)h z=&+e)w7R$x9XFSF)9 znE&7I-&fa@ZCQ3Z94B_0R_R6Ugzj9Te>2gNo^s3+UUR7$hOEom{SUjtNMhg5BQ@a9epD#vUeE(?00f( z1twn)idY?3gel939=&>Fmn zCtD4nZ%w;(WW5LsW}jB9igJQcxqPwdQ7Vjwz6l-6JOq=fObh>=)g%)-X(Fh6BTU;m zSc-I>!;HDeGwlf3Po=U^bT$xXYPOdIONe&WcvN@l#!sT9)n#LO^bux3HKFq1;V_H4 z`jWof5L$wt%;nX?aPsl3UZ#{WoVxL~dHq#B7&#>61{LjriToZ0Uc+FRdcN!z%i)08 zmQB=$v(7LJwE3KwX9Kf_Cr1+vXJGcOH78q}4(2TQ+BPx-^DQE(%2(GAj@6jo>e4jK zb<|S7*nWe#<=D+Pqq8ulyskcX^gXmwA6^_jB?x^JPygqtbTD$tZJLjig{jOw^P7?~ zFpHl(m3Dv$<~v=(-Gx5GyoR%S$A}IrSfaNG`E7*7@oTEppTEN*nypQ*ARHDIe321f zO<~b@OUmrwa#*~VjN!3A1&iM|Jhz_M2Fuk~Vz=|P!cs6Ze~P^VdOrT_lwIvGK2Fa{ z$Fcyku6>W{1Rld;H@mZe^fBUtluig9%YxOC*9z$;gkcq*uy^saEUaesh0_%Gz7_pWc@7=qd*cnS4eSnlM04q8zhhX>I{?n&Kc{!^X@Xtr z>7#sQ<*?p;@0|qSE?C%IRD5JN2eY*Yj(8m31|!4k(buAvkU6zl+u}NZ6t5m#_c)7! zoQEQM$r4+T+CEoXKE53Zh2Jje^4KAoUy-{-M-E|YR-GI3|A+wgG&x(c26#H+IDsP0P%;nL$!xF|BSL_*? z=Fw1d&vU%XmYe~)Shn}IGjiXbIA5Ur5@~0^hnOL+y+!l0m<`VNP=CrTZ9=k( z(Ka6jNyJt=^yxk(XNkzvEr@Md5ClocfU71nKXLzSo@%M~d)6#t)n9a3)ORtAuSoqN3MYT^N6kuzi(fy2(rk+~>}F zOHUL&F`DOJhDDMbfOV(4gS9~Usc5Lo9V*(}lnU%OIW6*3d`{G~|oWKn^;;=O8~qZ*(jhl}m1~P7^(f>Ia)g`5fj^ zo1fVnq2h+R{;~bP*2$ng>*E$n$t$2rTi=rIHU{y@86Y>eEJBxEyczp%kss6K1qTpGrsn`U?K09EtO3^>I_=bXU&J4J5f|Pbf;Cr z4wY?O?>tS|QIosYh*R8#oG*|(^04|7$robmpg&7Iw4n~%GxbE9v$oXKh-jZ^5x7@+ zhG=f?4{t6b`%MFj9$Vl|O@if>>5Sfup}|x`a})n*Gz4-m2T(fEaL({3z4S|x7vQ{# zMS^&0>vsxIZh4QA#UYisXI7~Cxh2S$j?5P(PQ_jD8AE;FDv>CuLD1M^2K%>~fi}r9 z-v9eI8qSn2&<9h{u&{Ze%+~~snhAGL&Ca1QalB`D4i6gJej4|Gj3oItrA6Ndw9&Ne z^32{=Q8aD1d8gud4Vrd1?mO_^8BP1+Z4x@-QPD9LWOgnSwGMgDA8bkn)t*99`h?M7 zDYo&6268nG?`SJkhPfE3aCxuS2VR?&j>3WR6sPfQeJC z8x6a%eKMEdCHD_>xh&a@Rx_y6l8{qiZ=Z9X`;>QL5J-Z;p!5C?PE7QbZCl0$EBOaxg(!g+xY=3l^_~+QkCx0` z;O#`m=-mBlUGM%c^4`Or>i>Tq7m7rrp-oXrgO(PqG9o3kl`VT6d&}N??-9x#6$uf? z-m4)aBO)n@w)`HiUcLIfKcCz0A9%gL=XR?zp3if-9l4(Ob=|M)Kd}ElasL+>2^s~@-^FygVZ#C3h%$L|oXF+92G3R08{q*oYx)a^dA{c*h4KzA>ucHD8i;<)Q!(MfhRd+BZ(8zD zLk?#4i$3cg`Ur|1WtU`F5DXYh+YarGg3iI+Pg4iCKy%}wRYJ86P!BPByXj>$RIQX^ z)D(mWX5U}4O?r*Y58*o`=xUJExOy30XdvR1uS}4_djxs2rNzDuf!E|fFa7bmaMjb- zpE~>zHyQ1fSE+EpMxj=esUQ{>tEIdZR}qd)%PuOrmmG}D#%SN!Z-d?yo=2N9_Cb45 zwzIU`HQW%lUF(1M4b?vRx0pV}tqq7%c1kr%*0JNpNU6p>GUI&|xV5%JtpRzm%KmRo z#~}5{?BwBxwurN|ESsI*j&QCN<(-tz2$Jqi9eUvj@3C9iOi^iYXIm1|D^>+(i{jYN z&$-~BoBK(Al{nFK;Xe_!pKy1wADgZr+M%WsOESg{ML|g&$O|bCf}zJ?`3HzVPi5ze zTgPtwg?*WQgthl4>YpQ{`)mKJmnXAt@2_)Nn2HoH>(Of(}xjy&ZF$Pqwq7``Z{x~Xc&vbAdibK`%*F*j+NvKkw zH4c2(fvP~O?H})cL{(8x*MZS0RCOOKS=KdAV$8ZOq0xh=`r@V+a+(iRx(_qUWR`=< zY&54$c9*E^<#z_TNnWrbEw%d%KOR}>G3CBu!efuZ*d6ZNsC*RJ)Fr@%s?c%a1@gN@ z~qVS!=uEayQTGG6iIWiqPQw&+fU8KPQL^<^7F6RK4z9&s_{quS!elYS0e zRJ&`l9eyQ(>flpPI#rlZ9kYI0emXfXDdUKx`ggL|W>@1BNcPP3L``;{eV%3rYB#V<9?2<1t=^548()5+HtkCCVyn%lZQE;f zGE5D%Q`2TELj6%kf1BUXg50mR+V=h?x!>~9V{Tv(>UIgO+1Va~y8YWe8Zmf-s=5B* zNbEP%a_o9@eeYV-y-`!1D4amU?&u}u&nM8x%57OyZjZ)1HIX!~2sCkPDS9~Bp~=$u z*-V8Sn(nRRubi?%(@URf@rCbbrjd)ZAJRqh+MvgezT}{pFQ7Wwat6(Zr=(6lT#V+E zLuc|{yQBHE^t8~HGPGQpUY>LG3ECHD8h)OW_b?=Rs0zk_`@=}%mb_uq$a zdPRL^$r}WQId=vS z`S4QLw4ey0R&1jB{HQ~eXx{`?DicwbK7MhrOo)nS&i|xSjHrh#*57Xt@5VOia2vB~ zM7_Lm-aF$H+($PV7O*kG|7@l3?zut)r#mlD1ey@~PCMW^(SZ+--l}59a~ct?43;8_ z)`-kzbqmQjjVPI;ubBe3BC6!R3IELs;`zAG@zF{#M4!(3mNU+aXveaPOT*0&efz@P zZ1omIQ+tC=H9jD^=N&DBHhKQW%7iJI?1Rf@g$Gq;B9Jh_B#aAbGRaUjdRS!==+E+^g64I577d1EZa*95n~gQq@|Kf-tSSqG5iPOR(U)3^BqK- zd-oT|gXDfnd^bhx9O93y*RGVAN4%K?_cqdhkB@)1u5|Dc;vY3cYPwV-{z<|?b>f>7 z|Jqn#>T3{*>nzWuGRe<(&D&>v_i4z_&*H+m{!@sh@|1e8Uq`&pU=^COkua${;Ij50 z68m=Uyq{`>B)Kvs-}|OWihlNkFF*uI?Odna=E9Nm#i@~<)ZNKzLYEr-JcQ&u^m|`? zjzh9=8?Er>A|y*+U((r9iR25FZp>RQBF0`fNxR4r2_|h}ext9DG;*%%gZqBm+IO*B zvr7yqdq&xfW)zU}E^_W=+*YJo@A0{}^c+$LL`6GBa*)Qi!Bg(XIMUQ_I&-$4L7JcA z7BRvBPRpv7W64TJ8kJj1U1>Gay2F-_*Y_c9DDAZ&p9Rt;wGwp4_5NW0cgFu0`2U}Y z`?Z?Yt)3l(ujj%&vYyF=?6ZCKU6!*PNeznv1b-?b;-&L&My57`=#@jvlfTu&_o0@-GySGo5z!7wss9D0@+Ki`K5IfB`_J1RlfaGr zO#7B>*$QR4>=*W27Px#><#5VwL&&A8vWq|qQoZF_<^kJL``UM=jm-0lg!=?mrC1=d zzwOZUM_D8%er?+@?SiOnoa@C)+!6F`E6aQR7Iv+gkU0){S^87SB{4YN{fYIZ z!(!Bl7bu*N+ClUVsO;7D;>fz^^tHs_54S|eo=te^8|$F zK-;tP$l3F1q{h0in)U5{Tw7~*^11*YE?DI~)p_^;GHgBGX|wI9msd6Op;V$+dqz&&(J%!mnXVU+POivRB%1Vmaw+we4#vfnw@<8k@*vAL z^1SfTgFo2+lk=BQnmj7N&S; z%Eb7{zYvesGWP$P?^5wxuetoD!;EdOr<}C zs-w9FHSdtzSa0i!=E!7J1<^YPRqsMo?h*&yCt|3oWjn>-OF>nSOXk{>;UpJVwM}1i z8C9S3?yNTcio*U5>d%)BDAh4Hx_#vvD%j-1877Iga3qCXRf%kn$8&LPAuT zsy6buT3>s>O#Iy1EHaj(A8k)P}u zUqPL)xMJb81Jtkc1EO0sQOyt){9;icil3a63+GWnxxyWWR>wkAE^3P#*yD<-6Qk%> z?*oONS8>h`0D&5Ev}hW^^;u!qM{v*-H0 z9g&l$IY+b6=IsX5=!k_!6CdCjhp5lnwRlkz$l6@){1G+r=I=^+H{wyv<+XH|NsW=@ zv!eOtWl$|2ZB}(Shw6vV{Vvi~k@MQuD2+;^Ry4!mUPBRT%ZkjUOp;KyHl%5z_)FBO z=rl|>UPoO-8pqVP8wAVeavnuoL*3{RN(;RN>KEw`J}uWq{aP&=*D-$7?-C1(u<}6t zv8N#uL`$98eys1yqeZCoW=Jk{@c>TFRvA&!$2xAqAMF30?*9$^zxDWn zeq&!nQttN!LFRuRl54;}*9P+N?>_%O_4~i2-Z%R+E_80)0_J=v@a&kn%zVC&&Jviso~Wl%7& zGZ>y@O;%1~(eT^@u0lovf(yG3tmnakNe7w!7I5WEH9QDUXM=DT-19*dWA7Z zQ!lQBy|dfCH}>D)yiUPBa83fQC$ed5caeX;cP*dt!5nxn1sXpiJVB4Cf^F-Atl$}Q z&}E~DCcL;VyYJ@Uf|qCIO@8-orEvu_yE%6%OwufBpxyIlf zf9f@*n-|_COWa;9Splp2b5~g=-Qiftb>D)v5iZ!A$uRmAZpIn@k@{cZ!LHZk`_cfO zXWab{^k>8CNYoA1!O!q|tNGpJ=Sg^5_xs;TBHovyDb|PD(&2NwZ?^8<3-~xq&wDSA zf=}@~h%Rq{&zQ{>s{l{m)+k{`Rxz*-nOeYd$tdL8`$=| zWUhms)t5P%NHzFXm8&ly8X|rRCP~`%Z{fdhqkL~1p9Q{nQFvkMlh46QhX4~O^&23fafpi3DZ|aCjlSZK6 z)z)dEI~dqo>TI>s6hV6(dRv7Y5Tvd*dq5!=L4L8(1(zz@~!a*9`0l@4Bvr} zgzZ#*yhW(MvXWI{ClPup;L59K&ItV(l|JX0fH3LA;BDKFBFu%(Q!69`VfU@Cf(=1<2SOEyX)!W<)o~A@3nIG8HZ=&i z9ewJ9-7Gx6u_m9&b%pDSJu0>&$8;=w6Ss1Q2hl^xxjjC759aQ>cIlia`&^&)zCwCla--5lL}!56 zA%n@$0@=?**)Gb3BBfg_wVP;R#Z})*&xw~j#?!$BM z>@(t3x$Q|vY2{)VP)o%(L=p|}qx@A;DxXk)_91J!8X*4Pb6K-ou zm^-|vMUr2O>5xDzqQxend&Za$T4i!dGI$pPjckevYPZ2#p3`^bva4{r<=gnfjp!+U z7B_L)N#^bAX~mge$iYe{P*zfb=>Ej4KOMv?35w196F;gN^q-t&yPcDWh69h+uO5y@ zi7rpL$caW2ob;dH6G=h(tqS^0XQpw>dSbuVyL!ZVm!z(u%SVJyl=;=KB?wvj#D_mN z9R9jx0gjDSc&*P6>26Jc>+Dj_V!~5(ioK9H^EMrJ4LACV=gz|FYQ6OFlCLmp$l9aU z!cY7d2FFJE{-@smkDUJ>A6~hIzxDVitZ__~kFiYCnTbp{202{%vvp{yvk> zs(ysy@^sn9IKOZ_@{O3MeRK_v>A&X{Eq+Dx&x+>4=}l4TsK#mkGY6H^Ms}HEW~h=d zd!enq7**~Q56|ScqpEn7sb9fiRK1KexFG3jJ3nWMf4)ZbUWf>d4#+ z&rrQH$6#+N9jbA2ltYsf)$-xrc#lh?TD6e+{34k#?rFNi-y%x99=Cp>*Ly(b#Ud}I z{g}zWrzFz0CIVEgHLG~*m!g`nckoNZb5uL^iItoref$@5Ki)k{Kn-Wla&aFI)La@E zv)5mZ8mIfKYfE;cCWTwMIbuC(D)@vF7Dc1x>9IvO+tW}pp+0$L2RCZInndy$rJAcXJ`{8*^cs(-J{#z+Rlia@Hb=AcX)C(8!DqqV){q-^K1G*PbZ~kHDoH8`R^mQzxgVGa3SA=aIQZ%|v`w%c81G3sv@|(ULs*P22SuS{?@Umu&cimKL9l17&Z~LVo*1?*^h>LE-F}A<%K!c;~+A zUUYm6li%2P7M;fInn9&%=xpYHRU@$jT`Qe>FHifUOZwC3&z+^{vV14C4dd;FxlZM>e`ze_lSImxr$5dcUUP2k82!6|OVkjqXKOm-p|fK{t!Zy^}+Jf8zc> zIsY%EC*M|H0`cug~J2dY_9t`&VOMub_Hy?W$`qUBa*}Vr>%9lr~;JP3eJk z#D+lkgb3JXt!C{B;3NJnM}^WO$KY^v!0X|+J8(RFrh}jQ8ji2huEiaEh?{!e(?QNv zxY^yQG^eWxr`0^M8zSxCbokBcMxoQBmT=}ja<3Uq1|t2Ti>|@RY4bwYvEyWKD{+)v zYYJv`-9!AF8ev)Q(Ko*(A2!Kvo~l;q!>+tYorPvG9OOrd7)BEuZ|1E{aygBgnh+Wp zTLmX(wd>oeir^&wrD~*?cz${-FSm;$-jzj57Z3Az!s&&@!6}6dvU_4}7GmcK=M@y5 zZBNM_{&tlQU&+3qaq2y(zc@_h4r(`bc9GuY>EfL$?h>!>y~>;%mc?+mV*iHWfH55B z++TEXzJ(LV8(FqHp>PUxU<}wf0jDXe3o-?!a2CwYNE)$+vkCux&ao0WCwY$GgASbQ zL=$>neuwjG@f(_7n&7f%b~`IwCtTL5`&iJ86J5Ws>~xFmuzYWOGI{F~*j5+LZ*jg4 zhlhJQYP5)-%}1qtPaXp}b#UGn3}`3w>^*E;L$~1k>2R4n;m)`yZ0vKCSqYarNn4p2 zP2n>3cp#0lAFk`yPVQo>gR8iVo;O*Na@Cg4l{h>BSGVL7{yP-l8m|C_ts1c6sn}d1 z{|$Cc&q5s!a^q(1tmu1xT{tU-?XLJt^a4EU?0t9rgsZ;V_7SfJxNV~28IC;wx7%gy z{HOQ9edV)DCyDQ!yFoo)?k=LId@r)xyv7smQ+Y%3StEpx+5BXEtS~%IEqXm^_XQr> zz0c}UJb5?ha6@1xP3o7{kOz)d6L?| zSwZnUWle@J&7(NOQb6S`1!KeIusQAuB@Lfp}dYJ77-zyaz_Z#To z8x$t1K>8@YkB8OkE-=A&;(hbmbOHD=*RRRhedQ1K|K$AtM19iB`)|j7ZMy2)BgZ+2 zH+DT;*GM+9iULe!MKi=UdQM<49&-sUi!7 zFY*vH!q2jh&;p+iN~M&S! zL47Q_hg>^o1f(C+O%g9N8~?A-$uP^`|9)l3VNkxd-+H0`1BON%2lootqOR~})=ieH zcre#Jz3o0Ta-D1jv<1zPc3rD;``#5ujPj9^ywU)|5-Jz4xs1?F0(reOM-fo@Wxay+ zYIyIg_(4mwvfTR3*9 zRrSV+SDH+ln&Rzy#Gl}6r{GbW!# z;H7tzhdY;O%1`LfqLqFc)@qlFIT)iFVn3Dsu9l(N^c0L4$BI+ zzLQ6h(oCABAn|cw(pa^#HyRamr*VJ+EJE_rmGd0$aZcas*P0- z9RD$lYUi0(+nOnaYvXfFk@AypZzVS+FS11iJzbbk6X77KmuBkoNTDiohwBN|WuOL% z*(_?if$9UTg4UEiROjqvtv@S@8b+n=*bO?QpT9GwV>2ge+&*pcC;Z(4b~|`)l>hd8lQeD!w|OM7TL->(foRQM;QieaXF2lq=gVo83#aCcb~`PgR@( zwVx~AlbO^6JC@NOcTPs_iov?dbGfK3l@4j#@dZ2~|fXw@{Dbx*L5V?HI z0`(hB6=&ACpk8`Nk;^p)^%Q%am-lQ?A1D_g>Dq?+^Z_AW!uzW)KiO_GxCHg>@_fBS zGoyNEz~(_#TGYOq>-BHqBCi+wekyZ8BiHSg%+!7~tvG+vi1!Sds%!%_u6UvO)Th{< zdpFUXqjlf9;|!YT9mcM`3POu8Pxy-14``v}taV;#kCvFoq}G=`XnAx@UBsUiEiZUH zH{TIP%Z!uPy_X(nW!M+Bu~!qVT!E*%tR~TJ{3dxDyCXW(TtoxIz0k?rvd|kxxIEtb zk6Gz|MCWVfeJ1p+=;EhccJ=TfbZIl^4ArrrD>N{Ekn9n3J+PQn|CB=d_iZiScggEh z{F(IA^CZW&>1&+Zf^PPx>%B{v(Y<9SA3vG#cJE2cJ^Sg`{{F9C-ak42FO2v8;`Oop z#x_N>ok{0VI}^pXnMsELolUCmfARkQwb;M0I%E;g_z3jZw+;m=hMa+swHhC+U%YWJzWWk3+Rt7cWBLf2C#8%683wT3Q>>e~S`W4stcvC~g0RgC z<+ZhlgYDp|q=ljx*fC}fJ@t-;9p6-OMvoQrt>h;6iD|*)sJUwWrwN#^T{5ytcm*tF z9M@a4u)*q!+I=fQJJ=kHlyY88g{{Dn?5XcOuzjx-uJ4oyJ9itdqQalB`+mk{x+)g- z*AC|EW?h2)oiP6=-2AYAtr&4ng49eK&1WiCguvnSiZ^fW7sA1)m!*5pRkHijefWsS zahUf|3)emqfmNly48`aqY?t)PanP=UUG=F$2M(mdVco+wPqUB0k^7K=^qE6&{2)Nt zdzQr0u&~*=pG3oJ+04LE>uflQo>^m{twC~m4k0_aZEy;)6;J%I7EbvKfl3v!aB6IA z@kzc9r@^+x?28t|I__=&NZw?>DqG@;^=8~;xGrnLtWM5473eIt5XO#MB;BtZ5 zfAR4LxV$p(ykpA@*DE~y7T*ovdN0jG;`)BLE^KBPvy_0Fu<8c6v8Qk|?TMjK-@q+F zD5X^*8g5iZnP%_ha2vK7vZ2huZQeO4!BPKD-2apF{~h)Jn&1D|W4|_go9?oxQWTwM z5816+jI6G2cKvnINZD~l>RewrVrLvplBVhqe#GByu$2};(U&)vRyl zpjHeLc;XmWBq||tjYw7CBT^Q50nQJji@vWo|GrJre!F`J^8 zLFdZ}d2Qro(|nZmt3z6VO!=K3u}Gq;*tU4D6ru{>d=VF-AT;WW*UC?y5LoNn%z4TN zKD)IP_U3bu+UMu2Z~`q{TxDa0t<1>Jfy#a=Tp4x}0vjbg!(f#&QIV$~0rP^kZa2P6 zmy55%kw?~j1n_22i=|HSq*rEK! z6Np%W740)QOadNHyEsHsj*uRugKU3)AJou;y z3CR?x5`D$=h#en=;W`zTzsH00VQxl_-)We%FxopY47X`+=7xCz`eWE9s zmp(pmXY?kWCMvhMAD$mjMP(&-zsf0~>X32Hc!E2s`~+KWIHjVh^>nY|i*8UC=?@Ii z0o0xEHbiBLfGVxtp7Tuu)EnjUQmL(=+Uf)_H7tPYr@PxPuN2f+x05gHj8J4d9vvk> zk23y89|UTRQSr%laOwOqqOW$9?&y{pRBgMJr;%oes(K!djwP{VPB6h*{DssEk^M27 zRa8Ljz3pB&v;);_DZ9+J9zyl8P`>C(Qm9rGV;I;+a$2k0GFdwbR0oK^lUBcv>SXq` zJ8b=^&L7OReBgkGj`dX%?E6u%D=@IA@FFU0?mZn5oIn*-hf8330MyK#zE3x_qFQ!R zDgS0HsvD0m6x)oUX8We=U$tXUV_^4jE7?7+NvYVdT814pZRs8XAA?ZyarrQ7$#K-O zeik0h%||Wo^Y9T3UDO`0vyJckg4(ko&D8}Il)ErUv!?~2YDMU~-40}KG52)sw;j(> zBl^`+gJ%Y{dnw^j7Yk9_!{+e3hZ%KOo{RC4_+R%R@$sf$Y1GqR-E&9cD(Zzko;8bx9k)6rf>lR$Us?q$Ph8rj9d{7wSRIw7vbtVw8YD?jx( ze>YmVD0jX-WJil?tnG^PF=z>knUtrWLQDDEuy1!Zqh)A{(kADEmW92&Y|M&i<>(h= zys->5KN8B6B}$q|sr@F#fjP9-W(ycC7#4j?P$_LDK*ibbigx zyeYdGU7~BGZ}n!N%hn;lcx)D3cjQ`_8}6a2$?>Pex8vxVSg+Z@l}>W~hm&yvJJ7vG zxP5tLEV_9^D=ykCLAT&FP2RjVbc-#cEFC@j2mAlz{J((zw;rFsZ){VvuPv4Oq_k9S zyYesWvvuzNh5u=#zpoAdTI{QC$Putla))N=gnV-<(d0f}snYA8&vu9K)fLNuU<(sRW~J#QwX(zQJB z1I&`Xv#KRK5^vC~Xzjk2#2Ylsr|T*4=9I`Cw>0X2xgKBpPgUY+>6La?MOF>wx2|fB ztXmI_mHyXdh<<=hZWo)+WmXspFFoaIw-gj&-eGZCj`-o6UzA|d2h(_t?d7wl$@dce z{&Kh;X5*GVuV%^KyaK<%wG-hap02O4+MogR&mODajcCF`;7-q)kH=u45-8G}c?A|8 zHZ(Thh(FDp6?V65zQUp^;i-IRKD6k@4J8EkLO-UC<<2hB3tYF%P>PMz0R7_h|v=L7MWI21mpf#VNNMRJ{IQ%iQhMxxnR z&qNY7xecRTl*O=JT;F_Xe?4r^Ovv9NyGynnN7s3{u7d4@gReNPWJ&Fl&v1TZ40iPE z3VajCVOB67Lebg+ODopaS*#}hIrd_M_cp?|U_LR4?Bdw-MZDjBhSVtM5|0!$#K7^8 zeMyQuHyq#Qp2I16+;p<_e4&zun_n3u`#(>@X|JpaYotA#6m}hrYbAT9c5|!4K3Kvj z+Ua!87Bc_2+h`o_QUj;@NX<)j7yn@YPtN~O)F-{X|90%x=8R{bmeE7e70#Hq6_Usr zsw?mqTY{7$54gIy`Vhx)<7fL-MTDz5JETuOKoHff!9}|lK9e4tQ3ved!MLUFysa)= z&Od%FA?**x!MKXECSPGIx9M=n`3_j7hAKyh3=`~|HK#h=fXSX855rA%!bs>;Q-9Dg z)PA@a^>T&|MHQED##sT`zFz)gBA1X-yOoW9(h2d50!<(60};V@wL)8NErKb#7|$~Y z!EaUZz&dsgcrH6*eKqqOTo0Vk5Sw8l8seNqvc8T)M~-#py1F@7JsZ+d%@Bk6gP~8Y zy>T$zD$Sf0vJb{HPU`&&EU1$l%aSR*f(O#e-h5phjvNzh38&ZGNcHUg&Xq=o1o>_6 zO*Sbb^3+KO<4RS8sP#sKY~Kd|2U_;M2G@y>-V!hI&GV$bbhUhOfYd(cSUx$nkUo3t ztI6osv#<$1G8*&13YI4$ls{HRz|3W!$GxusCW726zpN`mUHQCMvye2=Gia7dS6YtT z$L1loYz2{ao1yx;h%AyeFDiI)a~e_aBt7ezH4)m)zbevo6N0#WoaVy3;geDSB~YvY z9%`b`BsRIhl`f~yL(>~h=O6O!qH%%!siu&N0}8Otjg}PK?gESa0XLWAa+vMeZfllG z=KhvPKU^*(+G1xq0t=GUkZ)4+na{-xcU+`zDOXt_x!jv(72*BG)^v$$KXgFE6~>0! z^yUb;n4a5XdItgF>rc%bm?3kYou*%0h_2z<0=0MYRd8LEcEg~7%vR&qU5zmqfCKl( zt&ejxVDq45%bYm5W_7-?lC$hWgX1@^f@iuY-JpN%iJ>;`G7DX93EzUuYhSGuvW1Z< zy|2{9ViZZdLl=$1bP=QYJfq@c5yId3PEaz75#mmjf7a@Y!0gLfu08MJd;PwS(wixG zwtYXm^eW&s_KiKJZ7K0Ey|VFxm=ht~V%t&D#Vbu9D%=n+&9?I|I|Jt~J-^Wr@ykG$(((&9+T|-d5>e=E_wV%Y_ z{JUGuiDFb9U{-gpBw7evK8tR%)uKwuPC;?%2C5RvSd@zCQ8g^ko!m$I`>WFh& zIk_G!(;Cv(S6O*nZDRtcHnFGejuYN}puwub6L&#P4oJ*qdkboQJ!|e|;w_R9+DN%yDHAstyp)BygwcFPmbuOjwp|LbVg+-E2_9U7L`4UAbSS?Dnxd&&AbD-HN_T+7%@!dQw zyXFv?m$w`>juR7XLyOJanHeD`wB(9?sV2L!Ezh3~)`zsC5KLGaP7@TPgK=`6$|bBpc&$BGBRR?a~LrSLoz>Y0$0JjLvj>8HLQB z=wguCb)hK@U2=;G$Jd`hmshsxnWh!!DlA?q-@<^d7i%_-P|l<4b8q(96|LxI^Ek47 zauK?TPr-|O^(5DS^zM+zdvr@A&zEm!LHBv1??zuU{>1%%a{gbyU-7GtFYp`N6t)pY zisT?8g>mE;_SqCx{GIdvU%kG68?W!$n2?b|_RqS{a&STcZ@S$Ds3&_jqvT zG3d3t=6@~G4}(h+*YZ`)!_e-mDD~h^FPgm@#0mCd$M=J*!N5wR5V~b_oI@w zgY>et(_D{#T?WeOjR*U3yFk(6_?$zunkbGE`b13|l#rzMTfy(3IGn~Gw$T6@TY35Q z#Au;4zIh3sTsw3t?J88K=Ar+xbIaZ!9T=M08b!O)!YHwOlld^wgm&fbaaJw_WrNCk z6Y;a4s4ZV$ix>ta>P}CUA<>MgiS{AN@u0j>Ep*msf(c`*Pul7Mm~0h#q@JP-lf(IP zlZyMG($gs1+CGCDF%jF-9?e5X`<$7iI@t$2BXTriM>!15loxmzdSJ|EIZgoP}_UI^tvVWc`>R@!mF> z3*0Q^mJU-kieS8h5lne0b}Z>zSPzv=S~Oud~PvU!LWmq9^ugWe^WZ&H=fp882N!p!d2(!0T8rQnS5 z#vJ0YnJH`Ht3r4LduakxZ^2?Jp;%C<6qcJ$NDF@;HHqZf3Epf&Sn3wuSj=%BmYz~d zM#qnWVi5b`KY;GSTY`@rw%r3lxop4@@!j?hU*-T#u-$)^O zpdfpEn*i*dP$~x7iI>OMKJFcvU4O9uC+Gh=>i^Z}|F6e>ZSG5_O>f?z=o;<#;N?(c zO1$mY>U^jj(C$glV$mQ1?OX!vu^gz4$p;2MCeAY@i7=P_w?x1 zSfF-BzxnYY;saMD-0Jg^_`rwO2>IpBA*F3hhdI#{@te15xuhf^LUg@N*vf1Kdy6{L zc(%h&YF6dKGAcYzGOS@)!9(f z{x+HunmGlUDsA_orlkRuU%uR zZ170GVAX8j0@pL?JGQBG5k9*#yNKQ#?4RcpD~~6@=0yHck&Pv=6m8k}!0H{$$`ky~ zZ&gLTb@7b#H#$5#FPy?2x(xX?HWP2QYvE34SFPQ0W+Zo1dKwX({@8beCsyygj0l(2 zGYjm~2yx!O<@ona2$)t)Sg9XIc7N!8Mr~XP56iH3e3IR8H4{9aNcuBQKj<8{ZH(~{4}frM>5Wc52C>};vHlC0hDgH>C*js0%-`^c#rD^eIb0`EITq^ zcLQFU$Iq>eAU;BtSfqKI53WlbZ3|Cx20XAOH8}{878cUY`Al5=E+f%{7vP@@d)I5N)f+ zN=&yq*a@cxKOdKFU?lox++XzN3D5m}o^W_Bi?MGZ{rvb9mM)?Yca5)>6`^ z7n~GMnCeHZ?CRwyaztlG<8&1hnOD@B%S`Zoe~R+#aea-?&r!8&Qs?#?MpUn2=u4U| zN6n2zdYY+^-FKWo#o*)Eji`Z^~Mun<1o#?P@_%%lcVIR057 z_z>#0x0Y{}B((tRvQ9>!hiKI3_U6BpiKeTY-7<^Tp_!R3f&Y0WnnM!R?%Q8M^S9D9 z%E$T9a&~Vz%O?x8_zmAr-;;ga0i_prNzFbt%4Xt}l8b`Y1p!F0O zetNs3^}6SkyQ89LReo(d+j$@DA)y8{#51kKV?N5|`3`g*q@4Yra0i`tZQ{mE=h3wy z>cWJ_2XtKv_08%h`a7YfZqKbH(DgWd=5Yk60Y>>=7k}bKH{J5lBL}?Dy`gJ_nbM7J zf!vo<+JWd6J*q(W`~bS;1%4`k=HOVJ#h2M_ba&C6q_mDXa!Y$W6>KMbfJ;C)mLkS7PK}D7x}1= zoODw0?uL!Z&^<+?p*KbRccgTOpJlCv{<8XL?IWhpPpjK(-%$#KO`=cKl~%yOK6pW= z>=yCtjPEw{Z-*h{orRp3t1uL5SIv!LhM|sDZ@%aq82T3YZ<&|D^~+C>fvoqD+df+_q0L?ayR(=Iz z-tAm*{bNw^nK{?|y&oDie7+@1G@#8=wqMTQ9l8-R%?;Jxq3?KtJt%rB4Bn}(w_jRJ zK0j-^d&>-rh9pG}B?!RSuR&@ZGpVhX-euh!LcBgj7R)&=RS|Da=_`vb_YiN+C$q)k z%%J4T){6UI2BmIp;ks!G@$e`s5-a6`#+2geALnPFJbQY8OyGhYQy-1$|n6CVMPP*(BOqHkKk2b$2^N=;~ zCLf)HY1@7xrnCvBUtaBy%{xFiyw&U4YFS`*)H0UCo6Hl=ceA|UJ_Un4mzd;V$`jAe z;rLBt-@&B3Ey9}g9L-k8eHP%NhxyjS7VF-s!hFUy_N;(6EF#%Fvji@{a$R1nzXREW zaAj|jyKxqlJ>0twxU|BGODH#$F9%lFL&CmQ^OO97KVs0A2UZV_v)r`(VAY@G=C!IB zRzC*o98OFB!Tz6|{};%Ah4z1Rc>nJX@86e!{{rmS=2>vcZq7iFBHeBdjaFn$O+1}Z znLvuz(<-M8xNO|(4E1vw{$M3RDSmYpt2)Q8X*@I#TjtV)OwLcAh zIz8O?4U6EZHhMYNsspaRDQ8Bt2wyCqwslbh;kT)>TYX!+0@nW1>O8iFurM+enZ1z@ z)8`Xgg|kkBk{9;crQ`(3`M)N;-A6n`WZ$*A^+_YgNy(=;K?14KM%xW_tC3*suzTl~ zn?ysameLUxgOGq~RsX&~1gz@pIprJ&ujjl6Wd?KL)*ThttFx2%_IRk!nxBN@jl!$4 zgzI8^eebQ|eS2ZWyf))iC>_jYEVCW_X<CjO*9yb<5bBP;P5-rRy#{MCe>P7@zk+hpQatyxfMIHrl5)JdN z=~L&|D8be0qv&Ko44GlE47K+ihr|AIw(||wVH3;KFz&|<%Xmt~UOzc90~O!@_4_&0 z+X_);uju08iKR}3KEk^5)W>|@+XuqwaA6oKS^3QK)1E#esZveu5gL`2->#O-r7 z2#M2GO%(fqz#S4#K8Zhvk11Ww@*)9vHqrpStfU@pxlTn}=C;UVOT%KHb1+Q`r zr%{hNxVL#a4u_1xRdvyQdh3^PmfI_>$v`+@>%IH4`W*gX|37m6Up~Bl@%jQCe{p;M zfA;u(T^sU$@Av;}$;xL$20#J8eiYESm8*tJUS+9rZ-j5RC)20#k+ho z{Wzy_U8x1ld>pss^eND+Dy$Unh-iEqIJ(tOi4nHt6s4z?KGDcbDeTs%By)5A$`|^C zec2OI*Sh~I%5qEm+q_ape!H+}xC+TrXO(}ok--yef)C%|`w86(ThS#%R2Gn>wa~c$D8j z?Bn-B!u=VXH+oMzyw3TTcYDO4Whs!Cbu4+JSpI9QA zgkmIBek7oUW%7q_ay?p2o;=rF0kmoCeUm6giFWE2g-dZC&>kTA(cDCncy>>&j`~4* z`NK;-CrgQU*Fqh_n@^)7ZB%4wQH#v?FKcfcv_!}B7m6>g@6fsOu)wmXI6AiqJC^G$ zqLceE`{$DxXwm7_YYbCBJKu}jYa9yDx!ve+-`;!ZGUM=SNxqNnD;$0DrlsiF7P{(- zmJWJSqgl`8d!m<`+KriJ3cZ)>igH48(VJK+{QAaM^!8iKWLGYr_xt6>Q7uXIu|BO_ zp?RBVc*1`!&C#GwIfvVPhzot!S5ri97D3-Nqn#Z@%hMlEHEhIs6Awbx95gyphyjr~ zHiNQV7^ph?DZwosgWC$4rO)?cQ1?lW>!1e)6JK4Y)r`Slr`U6qy%&jo|M67aTL}!U z9|_7bBl`V4MUVZ?O<_p*Cg%?+HVnxeS(G;1gQ3e}r~NfWFm!dFf3v##@7n*T=l?5! z`%fmix;r-PC{e^e?^f|am#)kZP_9`P>T^+K1rvWFf7L{F1CmIjK zBi_@xW+W>#mE|J^;kz3y1cbzQj>G8iQmHZHTNs(_U41Q;0!E2FoNIDkkp01jpIi$(ms83q; z5<8jS|Kv#*m=7SCpv&ak*TwZS?jk7#novvICGOPC1RaI-6?-M531`qa$i(LY3=C7N zwUgRm$k2I%xnLMZ7paa4*O5Dx?D>Yz)AJ-_v_{5i`%hd?eVl&Ea6gQ<+_llXR0Lz| z++Q`Z@`TaBNh|8cj2o-Aa#ZA0;KtD_x?)=waN~-kpZtAt_X$)fX;CkSj^%8!?B27` zpQrHKJ)D87Bg^_j3e>o^W9iV>Mr+i;M1p zOzYMX4VfG3v?S4VsWizmLssK-Gw+<{qnqLX4Uk&_J8;Me-{7$AGP0hXZZH#!F5G#0@P)0feO z-D1t8=l7J{FGI37TFtqav_(*mL~W*~PkR2*(tw94{74o$`11qz3dCGqb)dRizP4tP)6_QbOe>5&xHboFS^Vp_jx53{*!up`(a*4}u9nuZy#?ze zuZ65tBrB4NtILi#mfUw|El;q2Li<@Ko~3v3C|A(QXEAO;p%=}`l+T^WOm3akNTkM1 zkHfArUOy4*c(#a#{thDIUlra;=tBq(mDeNUGxwWkc$_&s2(P!BZrmZ-8}}|FK?k@~LosAU4e^e2j1$|MkYSgM;x z@;Q9wW0Zp?WZ@Z2b5vMuH{4!%@me)^63@=TrW6k!*bgt5(RmP$(5S-#brL_UluhW| z-jTh(qwvZ=-C0zsWN%hGRf!^Z{(kMvcF4)Pk(ozthO}2(%%{KaMAFuyLVZDzh$#%H zjC=k95x1q6UVXZV&~vNcp2C5ESl@BY`BeA}TRA6g`V7w@X3Yj^1-NS@_YJvP!6ln| zs)%zv9FNgx^it8oPAO*hmT4Vy`W;#ITIV>b`9sc}*1Cyejyt_G*VK>~sU?v%_yUV)I#!9Lo!zL?^R3uE;aK4M8L5v+Y&)Pu3bEenP$$BSA{y&zq4!c}Ikki$4-IopU z`}#7`Wn!GL-pbWlhu^?6(e64o*8{SL>1XW!)DPG2xA(;?3V+xBfAswS$Kz4vObR3i|V6 zr1w5E!5+berVR=FeI>SpXOroWT)Z7kPaJ5ZN=wkp#%XwoiFkIELY`j{6F{@e_T%4j zj-fe4$G6%ui_GJ%PtO|NCrrp&S&4z3XrA2Xy|wWc@!Z~(PTBShW!-jSTi6eyCa(2v z26ZYLgq0be22v32zwxKb>z|>?^y#&DU()Mu*{WiuD4~^M9E(Z1VU_d;q>Dii8!> z%6c`fy3!S`PDPwNl3&r<(!v)1bq89PHB%007@&>&id={1Jlf6|F;Bf#M4R!Y=%4F( z(B}16f5(|hw8dY%VoG>7ZFx!j6`${*Zc5wFyU&K)ZJf^1?tMYL$MowHK8KV2fgq(? z#m*^)qE9Z3dcAKd`flVf+MFWueczQ_yaMv* zOGd*#BjYC@$BliPIq{c`95!rL5w|y)^RzO#-|v%SX|+B zrVT@ej(1O~lDmNIt(dUga~Sff$n@R*<#+A>)ARpX`2X9ZYpHWZUr@m0h8Dk>@C_}= z8#;EpMt^2={O#}m*Y^CUnCm{po}z`^etyraFeO~*8xU#qh=H>3WYgD@UR+wGz;R3F zB~+BQ^tWpsgsQy!_)*~;T&9hajJWHE%NgoPpE#1BwzYH50fR`WnOnMcC0&DB_53N_ zUA$2HI>9Au-bb=L72j0fErYtUk5KliSg6}`uD#^qhtv1JR}9cSg1pIq$_%$M6!RB^ z=l6faMOI1^VUkyK>1}7B;<{|8p6VCjR~W|Svya#%mF%EKw{z!tKP#x++Qt*4bsy@x zS6kAX(bP`e1lx*@vB_NE1}pv>+)dQ1DEJ(8|2h_p&FZ8xX{N6HP^Ry zU)cviectVQ$H#VPQfqI>2+@M(#I1LihqRy-)_-M=K^I?D*U{YqY=x&F}oX7XP1?Rx03yx`||=!c%j@>$L9v(Qs+-)BJPx8)rcOz0xK_Svp*aVyL>9 zqyvL3bJBe&H(}rwJ5g+>3xnaGg2^8@;wr0EUOUl#U%kxhWTi~@H6a(S($Vb3)r#ro zGNy-c^=WwSmK(yjx_s){{N}3Pwg0>4|LfHML)*hfiuw;t?|*IWw-wzsG7*VF8HwQy z4WmW=7ylp4d+s9RLWyV(nfE3sd<=Ro{0h;jTSKBGq7Y8`R-8_H0)ckxyVh4P!YA%W zjfILVVMUGW{xCTOH_HAMSBD1R=oYFsC`K5GBl;1WPo9U>ScjDQCE^{`W80afQU)_c zHb9~H-E1S5v81zlA+%aqE%HzD^3_v9QLn5pE<*OciTZfFMW8d+#Rz# ztU)rfICD#1Z6y32c_V4H7}yrOJQMtp1FOYGt<+o3VUa5}b<@ zNM@6_$7_A)=H`*r9p*kxS5Gu8Mg z(CZh#moGJiq8WYy?{!2`fwOTd;aM z*i#iv=KRiv`$m(BP^o$P!Q)Xe6#4O~WN)NF&b=2?GW`Zf`^OL%+iqc?A+IVSCt+ znKr(7UT*-YUXeSV`Pd+lb(Om9yClSv*60d87)GQ*#K0FRBZOtyT;{tfLAb;gy2-RJ z;O`u1&PMblK4BSh`FqRB3;dP!>-=iq!QeFPdiEq?yzzUoJ5v3w{r~9s|HpwEN=>GK{-uF#fyldo8^LyOf+bY&X4fR zpz*FvozWpqG$o59%rOw2&B+C7uXyrx8&!^J68(G&%b>*AGcwQD590nv*Nm1#FV97g zIet6e#@4SyyEAuIa#TPUt&|gq84cG+uWlWY z|D@|j>-W@kYO{T4JG9HxvUUz_x?>T~zI&j}xAyb}DOHl0HQ^Q=O7x3$_kH@-O`~lv zL$_W|3T>|r9nh(AKs|d^ZqI`*G+Fb070D$%xM3BS#=~K>Hu_E1i4qM^X}CdUaueDO zvZ^U_i0*z);Z=EYFFMZ67)sL+k8WbR14Sy)_B=`yn4U^SC$+Ym?|TDu^1YLk5pzeU z!Zr4XXNac9Sikn@e)4%wDjJ@XQ4~XW*Pg7gVRQ6&d{awYe;2(QoyBF?($Q;o^YLNFF7)=;4K)(&Q6H_-(ycn8 z1$J_LJ6C?6}$X4&BJ&p_& zb@sF7r;((Y$8ueb7SV;zwRf8OB7C!_NQdnr0%LBN%?$p8PsQot^}+T;GxGA_%L!@mz?{RWdZyv zP}UW2x%`t3$%3}Ix7GDBGG@%aaU9-=WaR@K{4(be<2-IN>&}Akj%c9+Y=k@Cv)pVs zaUH(xo{CrRtcT|(gP^#zM))1!pzl)q+0?Uou40Dcht*Lkfh&*=-CylY+q1ApcHUTKH-xT1#JG zgV(AuGoI0ExGU$|luHqvfqefI#i%nJsx@-%WY)sAUVL2L(ht_6e8D~v+~{x#YFSV` zib|cAC!dG5qA2JoRR{4x=QJqPuw+CdeTB${~BHnBgx0JL<1eTb7+t8w(J8;k<__og@4QvQ`Y6d&1|< zm_r6%HN0HKm_tVj;bAs-e)Mh>+-}c2>uIt1UHkuY?hE`K!~37LFLg#*nwMm8%2NEt zxj+AJ-|y#sX5Qgw{1Zi~t6$N4Z%0YtaE9ISUnre64gR@r2gT5~DjZa>z$lH&7vy*&0p&-bAA$W6<>@UOT%_WoC1R2E9& zWzY2%d7|u2cIlB5MW|R@ueVZo1*(!)_J0rPC3&@<&rW&lK}}V})iujfARYPWZN=JwF9upoQ2kpVmXQaBwuznimu;wfdtI5m zkjx}U&ABTSzN0~^(t$mU2@T#~veq4DL_>M$?jwEV@yTXSx?qx3{l%o}@vBKRZdiLr zbMP%1nIjoJH_xGQue|Q2gVSi_%~OlikR(_n{YT@S}+9~aP^ZJBV1lL5`6M%PAlUK5RV zl}eRp7~v+yrbws}{eXbxBWnIwl2!VE@|CME@mWgT6S%n#Eh@Keoo*y77{#p_oysKd z$nenijx)1lW~#-y_8uMD4;0;=_+f*NO^W(u_eF?CIlvLIrO07rwfq#CP{oD8ZUg0ZmO`LQ@ z;4j`2tE)F4e0L{D)YJmWJ=rPM{F)NcA&Q^%H*+I)h4G3{c0!2TYD{f^HwAGoZ7Y8uLi~8G@7W=JB-rhmUc7uA35%P>$KQTO;&H#2+2T`Pjj__(%%NIw0ktX6OW$yVJ?-km*2j#*3lI>8&scVr|hck?1B_;}}H z@f$=iZridZc{QR{>^I9t$szW8YPK|&D-x(zGM@;gL1NrM_e#@qNNO+av)@hmdZSbM8@$I1+qbzOCWBj+@?JPwf5q1ZgX3uhgjt zBHg4TVxx2^GQy|~sVkC^X(r1j)p-C}tTX#6RsyH1EM{X(Jf<1>v?-pFWLecrzJ3lbB2YVQ+XYh+{i zE*iII2&$T&9S`A!Hzcb!f`J8=ZJogp1RU4rbb0LqRE-YEbhI+9z9D5Y$Wa(Y=U>;nx^rXAh@w*KIZ;@6?XJmY};uq zVZrq%`4Z(ct}C1wiW9yM{n!J`f}A&?wUyp=?($Jwav$h=dbJ-4ouP+ozOtZ$|6PD% z$1o~v+IaiFm*aNm3N=114`kldn`C0DK@u4_&Q+Tss@X{Ptdtf)&g3!g*Qz60X3FQc zYmdS$y+izTC@<_rS)SPZpDRf%HO7hf7PxY5E(O4V z*YIlm%g4}e9N1$c!VguIcS<%p2B3H_jfd5u8lCi957!>DLS^Hy>G^a&!suS^pj{`0 z?EUK9UwFxDhm!G)X%2J5`#H1iBreJN=yFrqzra0-e`UN~xICgzW%z>jVoA=O~ z6v9K|ERsL;1E!m86}FV-;HtILdgisqp;J}*K>F}ATpsj3k>9%#N^-DVrdU8{?DdP6 z9$26%M(<9UKoW}PZuxW_C`1ldq^b~|F;Y#YFOIJ4MeNY}>BPF72)EN^`xc}I|7pE1 zGfY$PRLs^WuyBIY*YvB2D)O-I-1w^KNjmWcuX_>KL&)Ym^E@epE3DgaYQU3z66!i! zYk$->LfLuOc$A*z@7n*5p8uaLPQJf$dFIGeTXw17uGzF@bJ8U4MW;3I*eHmy@uQz=9V<~0;^?xb$^(^shg^kx-BDH7^;{_P zDynS~?33!XQL}pf)%&!2sIjs&99#DmHNEWAxl-1sWfVKDmF0}ubKN7X7o1S*)HZUA zniaJfSNpFH=ApJ(v+mID7bqxYGir>9L~+JI)C+Zely+4!eHbL{%5BFp4^n+YWe>CB zr-%)xrt`MuH9n7;H7+s{2SQQP$iH@jNC9du{5oj5E}3LndT(019*R1)Rs=kZLfy5k zCrZB&9$r?k8jIU$)D7=tB^OH6{oEgW?xQH`cU~}~oF{C`y_GafE$4C1>;QGWKhgNa zeGp;$Xo$);yt*5UMNpmjUSfq8J8IrDKDt#*i`v;`4yvcyQJ43jHAguW^~beS-u?WA z`tlD`!u-u30n~EmwqZ2}Fa-C-qOw_Gk!G<1#Fe zM+w*0gyn6XC^t^9WiKFIkmn!mv9ul#9k&H zqDI4vY5%1oK4?7r<jaq^`tncc?NrN1MyTU9aLz$1hKk|bwIKUI&GfvAv)JXy5- zOzG`^o`_cVy08z&HP9*%s^_gsSmvsVN#?zyXua`$&pDc8!d@?G?z=~3sm)&gD(d-Y zyWD%Nmo5qI;YaCSH>jb*m8HY!`#y9YIWzEcZWlV+8E$>p8ip=mbJh~pKy*d53O1a- zgRW;DajT_A(Y-y0{iUlZx@ErQZOZ)y z1m%9${y#ncpN0Q-F3<8`nOr)$rZ!jPjde_}Q2d$6^-p?-{J*{St(;hQC%rid$Mp5o zO=7NayPq3q)M5!wz86(LysO|%{VKJ)m}GC--p@WaJPO|k+HtEpKj3#mJ^M@h0{pk# zllJ=T0RIeqfdf6e5I}c>pMg;T0fr{7FF%JOpono!&Tkq4bDe8zeS3)SUubkA(Srv{ z>ahgxS`F(jk$0u-=i$sUAyD(62ksHux)%sX)pKdSng6&CyvI)0?L3?hU%6sWKVG8O zGP9o$RVso11*+MBr`-rxWzu##!VUr9X&UJqge$Nzfa`={I07}E3N#+iLtw7L_OhB5 z1io@QZZznEAf~VNr>BAubo@1cs9PcI_+H}U`*bp!Q*2ZF@dh3xUmx5fdt>iC9#dBu zis4Hmo7f^K3ctXyfz**+_?OFyWiV|)K&n%B`II06Rax0adC0Eqt?fcvmOO&=^7dUb zB#+JhBF6&AU1rnN5f|-AGK13OVQN)Duy0j@+7WRC-`g8g;xZ4%$$bOM2T2Z&XAy_^ zHU)S^CT`tGyg0tJ&3l9;4#S_y`$c$S5CS4jGGFm$KwwAVjAbS_f@&jo^KaHdu&18L zf&-a7?o{6sc90e!$tRx_?TSa}ss~$@lx-1u={?7_mwX6KRzI@$dI~~^m-;V03Pac` zeZi{icO*aPWt+M&H@pQaOD47W;U~Aw^Wl$=2za`pKx2J=FM z4tV0$oCv~<8>1Z{jj$1l)#vKS?w3bYOy~6s!Y!iQD!(2=c)r5jc=uBXAKSUpN>UmT z6oV~3ZHb83CGd_@OaT$1>omp{$hZ9F~W}}ozSjY zgNXCu@=}c}5xM`dN>%VNL_X(UG*>J`lqpA$)s=gQn*6S8Y^jfEN##ulFGqCv4n0n? zON}19In*VkkC-(nYjZ_Owu-<^#5(Oh#HhTL`J5_1bb*U^?6=SSuKnLV|39Zb$>#WP zuYKYJPZpddJ+ICki8ar!(qVEes%KMQRX*WZ}9impxwfs(432dTT zK_t($yvRPTbPs%onLFBpc?d6EfR&=5gJ^mmC$T3Ge*FHT;rMUYVcqD|;aM>Vi-V6H z95VD^60~r5{wzI=ns?5hwrWBL=X2>O&LvcI>Pb!CR>Ym2sNg?%Z`r4JT+oOKK&pY9 zrHiou;@&uE_E!5LQa^M~Y;Fl5l{QX0_Yy|-or`7tH$TFQruuarGcVjMXEWt0Uc*ta z!m>kH4L0cSRi@X+wXy8ZB~xB>xaB`Do2^ErT+M1<>n;>s za7Z{(RD$epajx7#@ksqn(Urw5f%qA{msw}!5Or9Z<|pN4gl)WMyC9tl|FJaBq$l0* z-e9S5QnLW=GFK@F^5fx@Zuv`l+Lz?N)Qy!CQo-`uQPYuU7BK5$-Qc#J9>zLibOoC? zqvNx=zF{~8s@8hE+<9#eie7Uw*|C)(=i8Cgs?D5)o$)qT`AHv{FWg@Ut}8&aaqP4~!BOz*p=1|K?ub)$yyie>Dp&FXO+2c1o!l8p_BMAP%`RQJriEJ}L;Ej}5r)hD zJW+M+El5t^r1@fN0b=z&+*n>4jfm!Tv5%g}BgEm@9&v^{@cWfLlE+7KgtH6ot|_2^ zJD+LXjSw0*wb5+*{A~y91Ym!UQ3h7V9Vu3c=U{%WCxKC)5?xM1Jv1RKs7bx?!eqNJ zN_JH*Rb{~ta7Kj%6dY3Bc5+Mc4@^?&;O{=Gf_-0R#;9G`G^&^RbXW(P{C+V)4Y&!Ai* zezW~`KU6Yu(H=Egi>k|7TTGg&P%VG5vszabH5>AO>5}_@O^T3vmTov|>ANOI>t3SP zlqs1vG7z7AUYYrVu?c!@B#>Fu6|swSgep}={UL?`OK7k&zDnnV5F7#j7R z&?QuhmJRyS1y*Pn`%>k6A_xudPA^#Y`k}->YvtLVYbYNd54Cx}gzBx^Ro|2BtXhZ4 z*4-DUQGX&yRnysx^y0n}Y{KMD@xW=QZSD{nk21809{YqwzlATSGM}KaGpd(~>oOXb z+h#YprJ!lwwR5M8i56QXPEPk)51I@o*mepMwz|9R#$O5h(G-KW2!2OYCI^kWTyaJ1 z8xQTY%4=u{=Lra!v_fNROF~EfI>KoAa^Yv0A7Q45sU7eo?3CBedKVq0$eeydcJD+g zT5e0o8A;oqWnrp*a|0(@d2-s;*^pje&9}6v@iAIG6ux-e@kVQUe;7*w9a`(erW2K# ziSIF}e_Y}an%-`Y-f ziF8>XYn&#W3s(f^GCVd&QfR(92amHIVhX-t@Nkj%z>(_+k6YVWDCal9qvx}m-=SuBygsVaTDTTw zq7?6_EMsA{e93Q|Xjtr5r)@vRl>sM-D`HO9pTeb6YKgZ}6K*=@Id3UMh+b~rm88*l zxHkt4M5-~tL;UNj?-@$)NdE{5XCHVhaGm7&)&WnU{?o;j*(Aeq^G$U-!W4^>qqQ1f zglFC9^fhgSr~AxYLXzmzEDC!&j%}8K?REOo8)a@0z8vp5TX9jiH0i&dIYMTcx0!4Y zYTST(3I9bF!mjdg`_bb%o(9j|3#at`%HWxO#v*%&mOHpDBBYX8%Y_yYA@G6wz zt&YD1uj#xL&(=zKZ}rsiHSvJ=@xozt-br}t$B$nmnWeVA3PH;4HgJN&Cx#j}xb0u8 z|5!ox@?#+-F%PW>|5#e)1^Xs=oea8uZPp6jY%GblE6d>B_l5uDq%C|jgmMfO_2ARb zKRkDQHOVKe+2?*%7QQaZnqJ!;!MDCZn&xf{d_Na$Ppt}tAIJG`CUqn??;L}U1<@9{ zCD0x0CVT~t#dC*@N#?sZRXtBG&n$eJHG8!qyWz*fU*~+P3jT6mZVG&Qg#a-+v0Jjt z2>6kn^Zn5<0uwf!DPKxK5OV7!moTcewJ&YJ|>~EuLd0`KL4~=Cn>{;2ru-n7Kue zWFN*UiFJ3u#W7Q|QTGGvKWh#TtGOOkF?&R-*ogQEcTIXqIKiVUPrv-F4P3jXqBk`@gd_8pMR~PA*h=ed2}#g^)pj0V ziD;7jW!dyh(Q6(ZVXNdV!t_z;(92-gzl~^Ge=Q4~X-1AinR$}W1k(KbV$DXi$xf0! zPKQ|r(e^U3^fC$vzqsnBUWg-t%+*5;?i_<}nz>Wu#6fsI^1MyAay`k-P2(vOVun*y z>Y26YL||8P{rP44L$Fp?K_(6$sr^puj8%w0Q_y*l;>1p z;BDFEc0!^O9>;!JiG3e|>k-LBdF!`uconG5e(FA9snkh+Pzr(7nGT_ql>5TfMqQ0hueP0>&>6Aw}Q1x}Eq3;)TYmo(L2oD&dFZ zn)``F>$RMk*h~0{x0JrH9PNVNNh7xl29@wCA;M(h!Ex{YRG2G!fZRds`hw$a;n48V z_nWpI;p${0%A6uR;37(;&@x5TZrwY;wA%(Hx8h?1CrwfCo?j`N=q9t}d8aK~pOGEn zQNBkcZz1WS0##PQA;dnIPt$sCfylQ*_A^w(U;0>rKjgj;nX|YbDPSIgUxj^)U))M~ zYv-=!Gdcl}uu$K|8FjcFPERtObcU13g2zMI|KaxhN6-IfDi;ahVIv3nA3Z>$rvV`a+-khSf=yCnF;zgCFX)9bHquH3oH; zk|UCe+fWyHs$SwC4bcqSh?FyWqi*aedkOJ!7M7eai8f$DiR4^I((pEvd8U3=B-+u6 zmoh(B4T_>FGfQ*K!35O{5eU}pM9l|EuKSg*QCspZ?!Bxh>SS3*RBb#^*OGbEqRbfe z9A_pv?<%9-@=3bB&Mws7(^K?P@*LOc%E=sF)APvMe8LDhdukiM1d56{Ke)`^ zLFv|YVY9Jvs8I2CuzCLwRkiZnV5<8=IKNU?K{F=315~{?=>J=>O$Z75COCVM%)oSyc;bOQ+^@8e9+1jF4lIU z0IhmblV57a&>Arz)}1Ye)~d?zuN(nreL`p2AiN8$Ulr1=Uc_fR3MYBN^mw(OE6ReN=8Yy5tyccG;_=tJUP? zuM!D#a~xGy5c!F2OKk@wVM=tDeBb`+!Dn>O&TL%17>6Dv#g&vcd(k5{xo-cvo9NM6 z$G*qG7(I?Aa&|ofzt8=Ddj3BP|1Vx&|KAMm{|mS056SOuem{Bl-`Vr)cYjd`&@aXH zZz{1?DtR#b;k2ED?mR5jizCadRbef(Gz_HstP!-Iu&@>Q%|7bFyn0!CYdOiE+4VZlrD8p-e|?$>_+&-$WXjp~ zl>ocQQ&X+kFCOGVsv$)dz2o7c2Z#0QLB^j3k9pVyPaP;S_ez=pJHV#sblBo}O%2I3fh&efQ5s+UtWmYAac~TF@-rzOggxr;@F1l|#S)xGxrap5Qs81~rfYUh z1+G;Te4e*n!cCiNW8m^GlC62)fX(xMSrJO{3{>WljVcRIJO zwSwQ^!IB^L?HDIKzGxY~`Va-rTno_h5iatP{9~Rb=HzY@wev_F*~`$>tLa=)g}2tj z$gd9^;GMbV-7*c}{j~qb`V?{}q2;)e@96=bV;u}F#+mTZI=<#R_5bAY{qFhy%HsT^ zw*TvEzx|!K>CnkVRD}6u$MoO9os6B^213)3t@QFhXC2|iB~5>>f69;e1plAwW?myo zayENgu@J(vm0sViq9Fb)m$2$UEBKgM#@Gp&!{g1&-1^I>iRQ*!le+Q&94mW6AHN)g z-D*}rO>-7lec|mQ!}rqmH@{G{FK+N^TN|=pl|8_rVHLPJCJZ)i+^VKH$;6gXbt9gim>m6+##CE2wdvjpL2OXeAU~pXN{bMXN{$B zJTEix^SKHaIuycb`R4)J;YYCFBWfzmydBmb=}zY!s)wbN7`M$&pd&hPm*7U?V+-&+ zl%y_*qU0TAZ>y+~b1Qwrr-rvAmnL!k8r@qY2Dezxm+&L{W5=N_?tTa#x?twFdkccC zyibexzJXtE)uOGHF96>S)rH|2$wF0#k86lIMg|vk(?!ZdhNTMlSY)V z3L3cQ=tYlCy2)bi?I%zr_gFrA2)HZhA!GQ03c2ly!c5l(kzTqZB+Snk$;VXtz7?q= z)^LuMvM&>ntTJgTyR#8m(b2caT8)6rMGKcIHuz+8KPbp&Cd~5J{>?>K;MO^%W}QY} zYeac(O>ptTKIu}*LLkZR3W=oF+C4z_+E2z1VQY{PKE4 zQ+TDMHh3ZYy|L$$a%P0QmKlG4aSsBX+&`(rlL}u~_EN!-mGCN1zwn4Ujm((>A6KVu zgqyI5{BDthzt8>u==uLlL-AJzH$Bn(Qcx8A{XBX1-+Aum+c|ff zjJrhcc_ALBce9|>$}IF=+8D}*v*tcE6TMf=b(-V13Q;wgAinhc1FD~mzQ12ie0M2M zvHq6^QG3W%N_(dTYV$vc9aCA2x^?t_Z&s{pS}*r2uCR^uz`PpbI1blgPA%gf&^ zpMOQg`CHHTc>X|jG^6012MMTKCA=~(a|-o?FGMSY644Ovw&pg;ziVV;?%?d@AoKlE zOXXNTG(PrCDcL=OCYHu(#>!7bAFm{-Gp<8HbeCzw8?IOuH z3cT?B7$2HGTrhsjwh2|#o}3S^le>&jq~n-~0UCyb)^>25KogyAL}Z^7nwGOVn*+X~ zxr8Z1(K+tdR-#LE_}1#0qCHb&zV9D*uKxhKWycj07G%(!II>}{ zf-kzCQvNzIb{RbkuKPVw%h7ZE#;3{kVkAS-?jfw z&;MuP|5e-ntMdKSU|x7mm8-_GaPF5l9u$R$zG zx)mSK`!&N_B3O2< z@ZVCMNpk&6r0#CZfVHIz#psbQu%Y~#D;V4k8`ta62{*66W?blaOM4h>59UT0?AL;= zxo6-eCSlm-Ca~}{kQvtqU4q4gH*9}~Q%6a zlE>H9%G-F!-N!mZa~si3I8KLeecqo>asU;R9uhvFQ><9wyffLak0}NZ(Tu~H!R^HD zaSu34g+~o_y@uuY`R`R%a$(c0niERc+V&^qGmLHh$lXxotf?dMW3E=FU#FT27wxrP z!oiksb$Cz7QKk&HgOkcJRTAzyYN=Q}SHoRVTYBeZ2DrP| zJU;SyGub<-&tKdjM`n+9EETfBaG!mDmo;Z;It6ZFk7~Kw?BJA`BF_G92zFDn3JT0&upY4E>Rm8} zrMPEJgVu3$9DB^H;gw1B>}Odv)su6WZ~hvG;0UspiVtU2Y(pCFPEJwwlSoMM+|qG= z1kpUIo2C6*5iZDfJ9U2`f{cwMb+0hMcVN3Z?aM9j5)3o8Uj7Mpxl+eNOeS!CZDWm`a5LT8 zB=H&{bkciL!hXSj*8kBRDna;gm^)k?Ga_eaQUdfDB>Pdd>CmQuqqseIJNRfrH|*Pk z^Gk$SVWaz9ar2lxEJMR%E$+9Xlm5tA%Nt)%rTCh+RV)XEk~vv5Dcr~yzkN1M$`eTg z21W`V*AQ#FOhse)26uDJ^*PQk5RFrpO4R0G2x6x{v^#kdVW#T@^If!qm!QH{WrJS0 zuPhgJG7N)@rtmh8$^bYS3|%ujkOI5zuVZ@GbYWd1FZhkI9GyK!SiED1Mmw0Z+mNu* zid18twr<>jth&}itgt|ewXBS8q7M>yH)MaIokh-6#({PF$pdhSsnxaE8$r%$X<4Q;`Cwo0fpg4< z0$tsK+JOTXh+dwWSmH z9?u}^=Bu`QM{S7Df$!II7dwR59ZXu}x`Ln;;cO@NMd8lZnmf;9SAfj=Bipx8!J|c} z<^l16x~Z!21oL>pMdJPOhbK?}uKoY&`Txn{{D;$P;&z+nLWu{Bu!YB;8QdB+6o0Zj zrT*<6-t4&I*}YvGP;?>RXi2IAr6Dq(na59{eDUzh<=5{~SylY`;&q}qq50-|GTI3> zRK2%%#j>NOBbSN!LmO(<5<>)!`=EB@^~OV{nW#Ial76m`^vG_b&gUk%QP*tIEpA8V z{flhORAm2H&vt6o;*=`t#ckd#{>(-mg9fFS$6XY=HAl))=%TE5K)0ZK5|sjUPppy} zQ1x>v#2{Awh3SQ6nv@S)V^m@ay zDN1Toq^nJ5uh&I2eX4Dgoi}P;d>YYpCZ1eDA*#ZnZld4cD;VCf3a%=b+=E8?@ORulNyaBHUxAEf17V(v`1UcZL8D5l zrk86QihrE_&_-ra6^8sPFL%8}b;a&vrJaX~7V3TqGhu(#|JW49@Zvoh@((G0k9<$` z`%&z&>SPWd|9$8Aht6pH!dc20`yEaELms-y)o8lac&u>72u*iqgq0L*(NyD;&wo6h z^!jXEqMd{hGB@ujbYuz5Yd=UupM8m{{Y5{;^O{iSH`iv`Nwh~(>LPmE&Y_9@wVX>Z z$y1`Y(h`z=jOMQCQ*y#FMAKs}QXCOW7%9*8=l*(z*4<`@lQan%MVF)fQTim&@U-pM zXyZrg;1Nd`t3kpx>F_>7Lxncx4yEC3c4!k!3lq8Mg*F+bQNKBPG>b-`R^yjNtIh|) z=zmUFMGHE;*6}I_$pB;KKr8lweJdU2W zgwj=WKY!Q$KRy3Hg-_P~pucPTk1(Dif8KuiKVOpj|G75&Yxn!t=d^B&Y7(DQca2!r zr8eB`zq9f<4F~ioc)vK=H^ac1(`w_wR`H*!mc-17#sFhv>nkmEV2+NU`>nvd=$A7qtFg47~ zKA!l-a1AP-UNTWJ+2KaJ&9z=zTIf7*>-^|nk6UZZ*W9LPgrV0?udA^GFt$>$yE5AW z692rXMMEyc31;7QL**k#HYMZjxFh2%P&W_RSSRZUZ6R}g>Yi-qU6M9Vv0i|IKc~1n zbsCJfuj}Q>afOMGwpd(>Axy8h-0q;Cfa!Z7HUWQ93ll zWkA%vYpgubX$)kw7I{nZj3Sb2-{!;Q5`Ba;3qMT1aX*^iB^jL3#tv8C*u&z+W)5~6 zJ;MALur|*!f#r0Y?BxnCSlQ9J1Sz|~>VtUvo%NoumJm0TQ>1})^k-L@GEG=Nvs=kZ zJij&!)+6n5WG`~=cvdR8HrN;o^hIl_l8lt{;04A&!cX?>o6{hB#BEwzlR9N+j_m-Q=b6{}=;H6>vusy6UbM+x?;R!`PMY=?ckS1?C9#_R5bqm6<{fUq?j3$M7&l53>ntMd`DQs%#F|98*-pW^?g z_WwsN&tI2;{|&X@J|oHb@JbWW&}tq}A)bi*syPoz({ZGkCPW@3I<$n^Ow+Cv#HT-@ zXCHL@2Eu}B)XUD&BdFlRW%}>zxI=>2O+v-t#iZ+9CGG^b==wcgrVruVcTjPL@dg~3 z46wYm*fU_KwNCmAF;uBkmy#@E6w3B*?7TRRj89XW7s51=^pb9UgU(jO#vZ>{ zS#C)*EuUzUsAb)5Uut6Cm$9f@JdqG=N-K8 zoe|q7EMft#n>+g^QXj+Jy1(IGx;tEH-K3RRN8!9;dNNkT6b=Dq6%sp~(bZ?({j~NM zYPI#)%?p=NvhwyTt7Qe`meAgPqIM4HYz23M2-hhoc0{V#EeUZM1tCpiIfyDcJTYp& z3gM%+(xMGONXMJ!I|u_dNLup{%YnV{i)bkiApL~TiI=(pgs0?r)3*5`>k7D2cxh!% zJHX{*@VTxq%xMbUNcCu7wQP?}*L z$mGUCbnP@E!aY@}98Ny5gC>vUq&m7g8W4Run^#-qq3@`9BhSdAd=#~}*T|_#5na~& z1kHha6R4AaXm{j6F6vS$4xLamMBS?xMVCBJ)HB9;T)dx&da?PYnn)>oRV!Wjj<#S9^-zv_|!gtdAaPF{m+aojIgM&VtM$Oj(|i z^MVt)L47}uqHd_Hxu%o~^;cSFs(kBFUlg$R+XbRgSz*!q_B9_G_PCx=xx5Vxr@x$G zIk^!Hvh(dvY}C=9YgEXfvW$XxUM*oB3zV9uO{X!*qN3i4?c4#vkUH$0;ndcEnjg;_ zvuM;%r`S3>EYya2^~ojL>S;7E)G^2olKKA4LHZ{KvuH?j;5uS<3k{DRUZCN=gT_^L z?Mt0bXxw)px;XGQ8qco(q}QpB#_K{{PB%&KZrl*S(c+7ejp@HCB)m}J))wRLLWk;s zy*g4+Pf>TyP0f||EgIH;*k_t2i-vl&8Rst~C+WQYt`{X63D59?P0s$kXj=WuYnxm# zn$ADbcuMx=O-{6SRt?c;%Cb1lljDb`2d8N-G~Phd#}#Iulo-*xX3f2^#2Ha=p&oNb+U2GwF}iN8{GD_pvV)zra92Ix%IID9PSjlYOH_ejAyGU+H?+9t=ZyKKp2y zEil?M*ypCo2&1+n5_ru3V~OPR)A6@pT=9AP_ac(-LLDi$PTc|~+%x(5PhP|1vIeWM z(LtE#u9x_gW(H-k{sT8uhoLDf$K7Se1nn9@kBD7%(Dl@$kJvs1{W7a|ZlXys&@=m5 z)ZPQbTD#;*G7B~8aw|^?uz_*hf={G)3QX8bSf6C=fr>J3rt@gcY4xRlJ0hqdS)9-8YgxRUt>W<1^FdJNv$x|*4 z^Gj1S=AS)ao>zO6x(|Qk;{;z}qlbm)M(I5z#;|Z&`L@}-mS~pfio9Ib!{XuknSdYx zSbP_0G|%4!gLLB^xX{8WMeWQxQKXW6b?8 zY~;L#N$P}FJFE|RyIs_%gmp@bL8rYt~VxxBx z9W^)U`TIwYmsp{a`iJdqA6XO#$cxQCK7({x6N@dCV@N!6IaGpn5z*b9dC#}>A^dvL z#KhB51Z#~yV&72&zrlp@oUZTiKDBkIDTgp3<1DNJ$Nor=>I#Ss zIIqo~(SQhwb&f`>_aeldet#r6V+;(IT_LDJ_z6b^mYvG2;FUSUKE>$=_nIrb;Rz#f zm9n4n`0xbI=XtGY?cczW@xrg+EDdxG8r)ia#}2gyKHBujJ}6mRnQ-@PA9CCD&Cjo+ zMY=$iL(oZABo(t#oHp2pxZ#`4AZL_OFTxK~_FE!?`lw#JBFW_ZmSxy`uN*KQi4CPUM>drAnV+qup^cuK&Ap?}TW|&GnQ0$7Pi`ij+Kg|W*?|oMK#av8yi^rP~&NDO5;9@THiAd7kJO3PI~C3W>-C7 zSXPQY54eSTJrP=SK^D~a7#Mg5d_=niS@y*P z4VE7k2HueU^~$ud&UxZpc0QQoAkl$}0cx9j*0HD-6@I>XRDke@&T}>2By)EY6J^sg z2T^b9t23 zZUT)`uj9q~rAW@v;j*oSh0^HQ5q~S_5lWalgic;1{Dkns2lEy`p?dP{+R~GoQKwu> zKXgNHSBi>W-akBl$+P$8KH!fo87Hyf>q76Fs4B$&>7> zsH?79FUre?#?^(p&iy3+|BThcWt}R&i zEIV4y(r1V5y@u91H>M7=>Y}yHshnv8>ERb-2V4U#qiwf%e-tmtDLNB1WjiB>HnqCC zRrVyK)TU7FT6O}ORX^ud$=pP%hyIvV=rgo)e0ID+va>q$pIQW7@HYbVq)4)+IiIp2y*P=lJBIzlnd~Qym-hU2++3(=tPUj9J|42_0@7 za66bfYmHkv7pZv6H{(_e)wQsYLEP#nk{lKbgnYm;N(tUUsPyg)rKDAb#^qH!nh-bj41!{(%b?+- zYfxWtqElIg6*o2ZQhPLy{z((gi(9yd?5h(H)x|8>6DYf*->$F$4EOCYY@&OmG zlP__rOjJ#DD|x)j@(;#?Env`A5_ws}42B04qTdFuhM~jEdvi%w7&dL-i62?D|wW=JXf(c6%?S#BFOiqXgmJc3>#>D%}Jzsu8+qAkO-ic(WdaqtX6wJ7_ zAz^B9mOKwV?aAySZ^HUG!DC`gvNGqdyFd6YL(X|Z6rQimg~>aMo9^V=Ws0STPh!a4 zz)Oa@Rn!KiO$B~yIoe_R)u3O4Ws9!&p~p0PbAnv} z28kTnp3MO;uHGN|OpXDj2com9Pm|1aexG@V`13H|@MZS?0?FR2zi)ag$OsmakHX#^ zTS+oD#aYiD%!eiW%Qv1)-(YFIE#t5+Gc2ob7lvyr!}4oDpHl=itoEN8?=vO(4(X1w zY~q}-vU>5s=40OP+W+12|5MpS&;NJr|Ia!9Ki~0BU+}N3{q|YyZ)&5hsEA48%^!G% z{AP##^jra?*?%zJp=yGJPQ&YI;x7=jEKzano&>^j9K|B}jv=U*-T106ne#u`sM3^7 z4KJmsl&TNLaC;ojnY@#DIq2vef^2i)7}v~cQZ)|Ssc-R2e9N#J)!&nDP4sx8W3r~k zH_&lfa%T=(1S;1utaklwi2^}R8rDipq;DL#Og}<+u;;JnU0Uu!^hoFQ<8zt_*Rl6n zw(~`>sdyM;FAe-Y==Xp0nt-=_O4oa7XSi1lthQf6bX}v1*Upvb;P&d#ldE3x!k(L9 z{$)%Zte52U!i}|HDRGz6|N0Wiwphc~B_D;#s3C*q3mwGQH{6kYof_$*&TqDAr4a6H z3_EACB4SpAO$aZO9@FU3R7MUTLgea2lWxo-z*+CYtH4S4RP!g8hEh0KQe(jD5O}?QVRUBl8r%zt;&<@Lz?E+C)+P=!-0lqyGqE0o!{Jps0@#UuZr3=o z(GGIX&>vGi$((@dc&c7r{vH$=%Z0ZHlq2gkpB1;v49Ug$Bw4uNiUhTdyZwAg7G`4Y zK>BVEM9}LDY<_T!WRvc_??YXTz?uk})rF0?qpl$>z z<1;z`g4$%$OKRQ432GBk=$o$4QT%si*T1&*bGBTVN_#ekqVVZG334td{j}nR#ubtk zq25qc|E3UCT$0l2`pT$wwV=wM*o+!?Z~avp3ET0aZ3-jj8q~gu53}MY9C}rwMQ^Q# zsB54*ZB?9#`i;lh^$4G(UW$|Bz}H=<_gwSKu#=ML>{)NH@oXTRc|p6kreBa3lEC8| zZ-?Tc-0Q7%Z%}@iIzZyc5Gqpy(-zO{MYY8N-L?J-gts5LFj^am+F_3+(ORNEkN2M8 z9wA(t(~7Te_IsngN;h8qsT~?Nn(>%AxuZcq{KnJdZ)i|@W>Ku-O?v!R*FL5IH28Bf zp9&!J^Mvo8wq5v+LXPVBumFA44w#_YbHkf^6xpb~ZdIA^?KbI& z8+CJZT2bGzrT6C37P5zEZg;*!&Jki|`W>T5Z~km!{6iK^G;S7t9lojxji&}V)JG1X zQSFlr8Px1!a_Ohk zMqP6FX){~0m%uU`?;<%znB({oDo^$hPBP2eRFcv7Zmesh;1rsKI!?Tx9!HaFp7pUy z)M%=lZ<`!+N7I|_hFQC$Nv5a+)l7~AnmL6aVnvgFga6p)Ff^+?Oyhp?7%kg|za7dyj+Tsrm!`e7(8@Sy z;-UWltrka>oT&GrwO0L?Z9mB>{dR=cvs@Z&2NT$?k>6vR%!E=}@DSRphUrRfJE1L1 zVfRzN^JvSBPOA9v3C(W5<{UN|pf!Ih>pO$bXjdrpHl_bTvPDOZuH!JB6U!u z5IybdPV5@ZN6#mLh?0yQxKCGLbhWA;_Yd1%XRToUUHkv^{QrsLqmcT$_Wz63`Dg9_ zQTKm*Z2J3t^5ws?=fAM)BK;>TZ%7?`#PRCo8_47e+S#<6hx{#mdoe{#DEfZt7xzUdn-08gTv-E^6JN8_SL}t#qZguSSu9Xh5I*HPb_l9Xygx6n zGC_?|c}~MV0cx5IkoX}6wRq_#55A{EZSc}4PtRqD54qRqv|Wbu#)+7rCuxxNkv45l z+X;osenXlwm!V{lvupocFs|=%OrUFd3}u56{?&Pxpkm7Gx_M*^RI%yY2GL5WzGAul zqN)RGI&y|eG%8RVdSIbzbsFm1Dtq(|tf787rXl0aGSpQ<*gAOAp>9)mPvK=8Bs5*z zwAY=$)vHa~s_TD2u5X2TgvAvo#`u4}Vmpp&_s=*6DLO;h<#To98j`vB@IY+->rV1~ z*La05kSxsVrrmSIH?Ph&xh0+QGI?B|4@|o+K)r|}E1hI?tB+ScAofyd&{SJ~Otpaq zXOQV!MJ+TgXy+X4eF7=T_1T(-ts$%D*7k+p3kuU_^eQQ8xYih_SQnfR9j(Ok80skruU%JWK_iG`W@e==G%sbri|5^3PtnYt&?YDoq{{E8FK2*flsf>L*g#2!bA1be8 zkmj*cd)t&55(fL$mhNdn^p>+T_YFJ|)=E2+4#LfOOIy#n;W7MH{~U`-sDf9p|ETN4 zd$`k|JG5K7hA=DlU%m5#15Wgb>w7s^VW)X?gW+vjSWA^LYSw-u+M4C`JCn}n5YjZq z7m|g&Uho6&t!F3@Lhh?=El6ifR%m42io~mSV^;dl5dBGWdWoY9;VymAbr1a!?7z0H zq(mM5`;R!5WVyjRxa zmb7j^&wz+K=1+TtHzLH!m16AbF9bAD?x9>A1D_wJMmq!o;CYHiIAVDMZh~8BX5BU6 z+@36TYoZ2@UX;nv(QjdQ@O5agB=Pphe<^XzJb_L|izo8uLs6xp)E8&+4u$Hr$+YEX zkx6@boW(dC$t%X?b_{7E_Jv-JT&o`<853C5MNJU;@aj1+A6Eq38=7z9T@HMXO#1>4+4k%OHE)vD${8a5W)+;D zZEZ>UNe8D3FDZ31zM|_<$^8OJUDVnS>AS5LM9I!w0lo5t#NR1rKX>dZ(r;{f^@hp= zNiW9fxxMHSf3#9Tv}Os>XPbAVc@y5Qk(blP$@>V^^n6erEP$X7W99}dj_@Bky+gh& z559JfnFUDprdJj{SM&Y>c$`}&#Llq=ZYLTmM-TibgZsaF{{KrB=O5gj|09dD@8@3( z?|<#OpJTmpL~r|H6b&x!Y&)w|+ z*{RxZPN*%l9cmFFdityOX}`7{KwWPz+qz?&sOMceboXEZ>Rpo$HK&iFzGdu6+}kav zUup}Ft2u@S`thu<4(HHt;EIH_2ru$}t>-nUe}a;&y{wHgk|=lFB6sWd22?H^XHG<> zku0ne7PfDCQG2xV+`vdN>Vzo-F6@m){f6~F?HGTdKCj#8^dtiswr&5QF3pODi=zBn zzWbB;`^!X=$X+x=u;kKYN}!=A_)v}y@!WP9Gbjv_p8Z+UZl?>vq}H~#S=tt%bYVy< z;o5pss)ZeS-!G2piJ1#8Uc5zZgQSd`=TX$}YvpM7BFs&uFIUo(h#$ZsL^SA1AsR-l z-#o{W*OWjrQ#4uiubGW89~^xyJoytZ8c8qicf3;nB2nY-VVj z-hE=U$Oa|eIx$sImdyvWon2A? zE&MFnEH%5<-Vs7uyqR_d$>3;f-hQ#F${KCsJO&p8&CvG!>-1Can`o|dS{wG*46V~{ zAI{`vp*{MFfHd`Xbi6Fz#T`bpJ>zWEy?I{fO6{xG8Ma5ah}BcB+-J=gDH+Ma{flviPZ_`AzR{X;!@HYt z-|xoP@VvGE=eqxY&EovuS^MoXvJC?_eNd4&Smv_b1NnXCE7b&?k#;9wXy)i@Bs>uv zJ-9?>ZR{mwc_RJ@8wnJWoTEk1_YCDn9}Nj|NASkQL(K51cy(?}jj*y$*}2ShJ%Ecv z=I-;-E8(PL8Q73F2fNazi=Cf~VV%0lEXAq1{BOr+a z5kBqr64prHUF7kM>^TyzIcBUm6^DBipKUnk^${Ks>#ie8*8POfo}q**=P$+dOE9N(@~ldA9>0_wqsv z9kBurM&7(YWddbHXgyi?D-2x)hx?H}E&MCNjc7@!hv$^K^by0e&c9@uWI!e`CJ8XV zA-uf9T2dD?5%4*?>frso@Z~IgsN@<>xPe=)yiXJ&?2P@aNA*?Uyr>Xo!9#TA?+iox z{Muj_uys#lj4|OK)xMi8`HIdR338V|nxjhp_&b@__bAlT5s5KQLMFZQw0$ZwlGpJv z^Rgr%c79aDqbwVdylR{=;ZX?vtfZpl)_|bWwkY}M*WjlkZ7h>{8s0{f)z&%%@HoDo z>ajpDT%)Daiy|`MY|2KZdw&>?VoaA$$gPCk@&0>v8py11_$qH=RVAu3xwP85bWmhJ znBn-S6xl184pbP$Bc(~2v#j(d5?nXgYk59I^z^D>>3vLy(A>2^Jt>TkcbT+TCN3a| zchgrDmqqx|1RqKlq=C2Vhp!t#U&ABDcDwO64!9i(a!?i9L|CVEf)RHa;Pj+@sIpiL zT~E>y{Y6Q3i|bTvac~_<*tBLZHH;(om+>_Jrrk)lS~@N7<&C8I53&WmBwI|gZ{&Q= z6GWSy^QSnm3K6kyUrooeA~c+4H`CM$1hcBFkrA9kz^PA9&zhWs@2ftpIKxBm-b!~Y zsH_(rIpx$g&rRT#m?rJ$xBR>I|FiD%{gcJn_qUhlzxMmluX8hmHYHyBW4liw^>6p_ zg+%wSStVG5$egWT*y&0Ux4u8^*&-7XH9fDgl5^i=;|?_njeg|$e_=UIDS z$r0(F1*e$q<{@M0?K{iwxyXDZk(zkvwfHC z{mvld=q-HXJEDx79Bl*VV8Z?!YEWe)p4X_EHR3hP$I0Ke@I+ZwFOs&4B{T?;_a1lZ zJ6bv*b)oah_mozoJLJCqtXPhW<_K2qyw}Ja+G51Vs(`H0fmI`qG?8su!#u3;1v!j# za^nYSkrO%kMqbSjIlq+SxQh9Zdv$no|J+yN5e>agV<3$1;@5W^Yxr<)e8zV?>?;yV zm*cO`Z$t6{+SPSQ#YnyAE1SR(fpivsdS8!vWaJ2Eyxx5WncrQHhlLg)n~pnc3+*dp zFWy-CK7Ii?6+u?RR4vH8(M{cYN)5S_R*tbz>BzehNI*pQkeAB1dvniTg2EfkHBH1bU06@0 ziB-HPTD|qyPh&?Eoia6`*g%gW{i}Oaw_Hb2ptrXM@jaw1s(g2`=SJ2QY56M}73A;p zTIf(Fb3kM0Le$uN8VI z8B?AAPWG&&=Un=$aO=`zfwFxMqTlG#qfA~)I&&Xk!8^QnVthF9 zyY~N{_5D@&*Z!R27yMn@f1dG?`~P8gY%;k-4N92a#ZdtMQ%riGceVj|PSe(bb;as+Gs}{tXt)*cUy@R-}Ve>A+ z!;61gwEq3xI3(y8$aQrPzHTQ!Ge3h85;uRpeeRVI5*0X{zqqeLVnEmKgHCIZSi?}- zA2ki{_0LZvOXVX_V`1YAPdq{?Ov6r_lkA0gJ1*OfLPQ2%+s?h6WO9iM@$$Y2KIyL^^KzP(0*^$JC~yq8FL-#gGhVvIzo+j5Ei^9n^BXJoO%TdDQYXP9`Jj z@%5(Rr?rUT6z`_KRE;%fTi*-3GvC|NBh zM9z?mjjfB;)VmOo#6fecvJcTmkH@;&dLs7L%AQZDnMl|Zs=Q2Lg+x_l^S3V_kZaRB z9phW%aj$CBvOYeFlx?MN%RY%9rMTnr$1PWodg>!zg?AxRbC~7436m|2IwMUnotiM< z%*sC;oq&uey~7($Y(nPQ)F!uZHe~j-_#dkN zfvmF*u15P3k(D$}H#5qGtQorcs7;y3=6M_OZHp|jwK_g0cv1bX{eNbCe-;1#8@1p0 zASpxpRUOH^s4jcHO&rDX_VIql_8^z~rA!@j3erxCU8}JowR3&>E-qW_K|MGq} z!kY`%wXL2;u&P<=gTX}H84zvh&?nhlikZsGB>Ta2rE%w~zOLrsFlpjnR19xi$}J1$R}~ z_Ypr`DUVQJ_)pxME%U!}i3JfymD+nN0})&?7~FVbC&|L%Qt!c|>i zVdx+q9IIW{)#P7=^~bCF>x$07T+C@EzVDQ5tHf?q;dE(B3jx{#3w}|nbX5}lN zqg#sdr@+RIs7tNcs-|FrQkGRLxknx&|I$%^&6`a~|5147OW-3URWbOzIW~saP+Drw zW|B?m;$1EyB!-ZtAMZbBm>_^5R8HgYFua-5AFbN=3~pWtZZ|JzsP;ZCVIHikBaJ&(PZW-syt|>aSRz> zo%23x{6MlxU64cpx#o6m`glh~7?IA^ioQ}LE6eYCofV58xptR*@lwADAI|joS281T zm#EzP`8dhNk$qMm!@K~yOR0xmOnrytp>>}aRf(TrQ`zEy2M^KnNJVz(2+?8c_?$15 zH9=XG!k%>o0eea2m-0KM2t1+amJAZL!7ZcK6ju!n~SAq>zL%H$rt z71`@lH=aG^g`Caet#v0zkNstsMgOiSa+}Y`cy6>po`Jyn7t~G2`>C8?EK!Pl2f4_O zt@_A+C-LCf#kTzhfO)^NNuB)0@?Scr(TQu z@wEY&ViW_znGDFvp{{)0M1kz_zK$WoH^}*L(8m4J7UT~4Un##*iM(`==+1Mh$d@gZ z<0=d!&$pODAtpk4UpJS&@5C!?sHEtrxJc&a{l}$f*bo<*@pSh%>ABf0IaHEPBPHYH zXRf)6NPALDd$Pq587=oUwkcdemZ6Bb%Z6RZe%dkr>gFfp?#TPu5!Hx1?ky6p&Wa+R zaWJJyOb7X2s?PVPWT8N2EuKDMMnU{Ht22?xD3}P;Rxq4I;m*^S9a&XzPi3jDlPVVp zpT5SK4vHiBA)WIoMtY>(oaUJ`6huav};gefvmz<5o$f%gOo!sJBOowY+DrN*)J&`T)Pozz3drXvUTwa+8_=3(iJ?;asfFJSwC%}W#n z(!F!G+l0c#aot=Cps24PU!5>eiW`fZzZbniNwDtJCGN+h$A32~;$4E$*N5~QPwYaO zvG_h0E<==kG#j^FA&zo|&I781-&bB7=CL@&ii%a9zA8!wP$6#qNJAj;_qzX2&;MtQ z)BVxj|K{_XYiMin9WgRg<25;9sCL#+!{(5l1u^vT{M&hb*8Tf;d;2@X>BsBYsAC)8 zn&Eo)Q*DDntEioBan4Q@?8%z zf{swz{%|5Jui8l4#K*Y^K3X|jzWfovu_qoW->*UN;ze=#byf&D*JHi-k_sWdQ^rrf zNWpfG)7Rpmt#D>veqN!;2sgP~aY8H(@Z_X9P4}E1-no4S3p({=X5*LOeb5_z4-Yv7 z?H5IWkJ4*ZDHg(LddF~-+7v-)mL{h?N)XI{W<%jJD}wWtv{yc7LI~5^CmfF-BgA_8 z^ZB$nawg>`#Paw$LN_=$t}4@pJuCawH4P-+XRpPMDHad7U(1f4ys;KuC(rM>%@YEj zu6-#9!hY~uPpK|g_5lGj4NHg5RUxpQV{~*zfjsX>izLHY1WRqVeo(O+!7q6&4=-^e zL_<)5*S8%ZeOCJ%io_Ah<0B_)DuqzDr49|<3551IeW9?ogp;GmwElr4xb_X4q@9z6 z=jJnpVJ4U1vm);s1@Xh(Nu-GVaqt`b=W^Y5rjyr8(QIUP=01Yn@ReshZ%1%J-vLJt z8-%Dg%7wlqdc*I}oivZhBGk#YBZ{&Np|e%t{d0E_rf?~AW0Ek!^7;kR4)-AJ`?sC1 zHr|D6c3J;}1V?xZm&H314USLn)LI#B!bOOPF>$d~MBwGvC8~=N2zpwazU!C-;o-7z zshLe8OVse1wP{1F?^v4_qhtU= zl^rtmEc}RvEW^N2K(3w%SZjwqI$H;)oM zM^u>|uf}RalHVs~?P%SG=)xA-jMD2Gm zb>$VG;zq4eli-M@2THOtC&fJ0BHt;DA$g#K%<}l2Fs|5y6bUb9$DUWg@lKrANWoiQ92=1DkGh#rnfnmV0qKELGP!8g{ z@&P_+O%iK%y@2QTlq1vIp2BTwfPv%-D>!?ctd{2-K=cTz zT&qNA5VF?wUA8zE0_KxA3q`NN_ip#_1XVRWr!QVm?dgTv{nwMG`eddmPi^5YHH7#bI$Tpi^xt6CDX)0%!bIng6$xTI6K`#w) zOGBn%vy6z|d+;nzo5g!3s(AlyO_LrRt#}DZw(XCLHB?zpjODk0G-)&8yaAhMK#X*HU3& z0_n|EPlma2kX&L->mrzi1kaZddHUyY?=!u#(*9W7ZCYO5W5|av$@1B>wn_xsM9(u3 zA85cO;#ac-kq=w`M(tn=?zp9=cDs-~S?K-C`XYU2FWtEJ^k`bM75? zYM@v*ipJ`=0P^?Oe6_f3g3OT3Q5DguNEIEeyr@uz#L2abC`?7%HP&4P914hf$xddy zF@(-E8ILaMmHmUl5PV_90xLe%=Ax17b9zKPn%%lL*#HLL^gHYL+)vcBS%)uB5(h+ za=S++@+oeX4G(b;_UE(9o;hhG=boqa*u+*8+&bs4M9)N+n8n?vx036&wv^{Be{RI> z7|?pEejAD3WH!F(NkfXK>$8G`w~$tQNb0Pw*ee{(@3CIw@gWPN77H*GYYcgJr-)kl1aR&>BAO}o_-ZaW>e3CjjPwYDGwRvfcGBx-R-K6WgTp`FAP~N=h{XGy5h@p% zkjmBd>T%XjWE@qifA1@ftcfqNWt+*|2Y2prgkM9xbRN?J?OhavYz}E+zkxz!vCJdy zn^ClG*hx|J1B%jDcWOPBMKO0tsJ`J4inB!ae=ysLlFep$34-LaVKdJLp;naC?Kr0* znTt|N&eZ~v&yewEPw2cl*;`zEyk5JD%sG@s^&fvvK;c?T_qU7rC=#yw)VSIV#aHKU zXz#y(`5@P4y9M7GU4Fs`^Q z^l^aRXo|U3Xj9H#_w)SC_8{N-@6_~~Sl@iK(XR=XN@6{^J-Wnel1zJXLPAaBpwTimARFT+AzIluRmpY8CC*( zhR?IdVCSJD#q(1MPIA5n^HbU3{Fw6Ck>v%r%0=uS8T|sc^gLgs>>pS8{@Yw^F;ExaC6BaG*)@uQu zMSJ6HX?K_vs<$vQ6V|nN`4jqlR@gt=e&Vo0DaoH{?eAjeBfa(%wn^}GIn z_vhz!E4*IS>sFl4=lM9#NnQ ze}U)bFMF$Ijp1qW^}tx|Q}nDG654~u)7l^`eqw79PSP_rCfLgC)SrQ zZjUy@xpu6h>Omn~IZWiH$eF@TFZOD1>fN^8yOw;w!%|cb$0B^Nq8N| zntF1k0$%M}GmjtZz*`NL&&x<=_qe@{+Z_-1D7C4t_OOASQpJI1vYc?JcPwDkE{5|; z$%OKJMYvLLSFUXvf!mmgk0!kmJVF~bvnZ6qi=M)1*dh|%n)6Coygu-W=oUTbMmTwC+I z_J8;M|B=P{cWwXI)_&vBB*U#cdr%Rze5SQ>H3~;o#?SFcB6nTe6+VtKq{Z{J9V?tc z(poWKSYY%roxV9(e>ka$`4jVSs;&rS?nrCip5}AF->= zBs@K(6*pSu;C9S@@QJ$voZ0zz_r}`7A&NC<=GsX#M#M>xNEX82>bMd``0+*ZQF&5~ zQOH%R9=R?;W?UDxJk<8NjU?aKn{&7~B7Vh3idLRih}OIOXlwN(@fRiw)3B%_`0jn9 zX}7HixXUAaYlR4W2io^jBoNK7=j9z%rxoG$y(0K=k}#b66C1C8=!c^a|7eglH=0;0 z4$fa7dc;-j=?P?ZS+o>8QChSgxg$@LpKYK+2IDuaOf7RHiw2EeK0u53ST&o_BnHH| z9@0Nr!iMnats?W9?-9b46DRc3AAt?Tk`Y-;#``59h{O#)w{U!|8i=Z&*?M+9y%ThYER-Yt61QcO&x>{n?6h zN@NCi*hO1!7ZU8Mp>1O` z+zwwPEV5=lhG(c}r46u*R0y3KXX zJB}hhU4Og$GBvW97!wB+t|4_O$#tQA5{aLUyXl6=+3We9KsBvkM7w2cwC5QjT&?F= zY#j}P7uWCYYl}qSfl#}(UXk$2Z@cCk{Rlp%T9%g%IKU&J^yGx51zep5W$(wHL@QO; z7Jgd7G^^uY2>8x|vXaQJ=j#&4W>*cOwz58AJ|IzdRlgdT?H=E->v^{$Ys%wR)KOFtDFOdA(Y>nY>q+*eC+BLn*0>`h zHDgY|t^}E%FV^!DUR~DDpT6n7H;_F}QNTxMgq-IC5{ni}$Q72fl~LS-T;HAPJBzrG z`}&ysCrf|iZL{~0ew>U@=KWtKS%8=o)vedf_afdZc)ytROC-^Ev0Nzrh?ILYUJ1|D zktP`-A0ENT(kg>KcakP zUK>{CA)Zfkma9dCoM$teK4`8%$~3o7a`0oMU(BI9X8jPEx0o$MQ^k+9|xhpZ}) zyPEaMK1&tkP7dFu+DooYm50pMuQ5Wto@F(^el7|&ANi6vtVy_w@&if^lqlSB++fQw z!X~kG*s#L-F$zCOoiB27LlNivvG}eb6qz&LG_aFFn(4Qi+~wQI9HABCz2S&lieULw zzdDFU$X)WQ0MPd*^$6G~O|Z^tB`GI@p$!sbmNv>Z9R`mL0IK;ik`fUPSWhpZBV7 z+6@Pb{rgHLyy5UHa_n_nJTz1F)0vbsp}(r>!1|*dFuFoHI@1~oQ-N&{%YQzDdFNaH zI}L8IVxo24#-9zFJ&Z-)2qVN+w|{pC(c{~lq+fI49^nEHK4zz#vg4@`>UZ)eR50=C06l`LP5y#prKkRg`dF zDP>Z&b`RWry4ksJR+Fsu;r-l1C*Wb7u_|AVa0UjM|KdcAY4SR)}^vi25LR|6p?C=XFRMie1+0Jb|REK8Mo9rV-ae(H1NJ z648>3o8`a#LfBF{r-i;Dg3ZmI^6lD#0Ds$y^#zLX1*NMB1G|4 z`2k$o_Bjmh{{$yo+Y{$BfX4V*(TQ*FsJyIQ>VLF=+z*WBg>Dh;sk49hLdRL8yYMtMVhN7}=7)v-6^u zk-LCN(3{Mf&YtPY)S^3T6wgu$B|fJmdu`gnkb^bIjIlZR=+<|nq_!|UIClXFpGD7H zqcBG7TmiYvkzSXV=|Q_W(YoB*-{fVKj^INc`m9gf5#Zz}lJvM4enO+`J_f&lcXWr9 zp;-Yu!~_klyy%9jYIlVF=S^rHzNR&8m4fPCuiU5ZY$zp@apqt)Xf}y-(>Jk>c4kCPwm`63@xhF}B`ETv)HNviS(2 zUo=omxYZ$IzkG$bkQG9=*}KMCokft=mf+bpL_2yXsG7*MIc<>-47Ug{X7({@L?0llOlxyvc9>&RJi`nK!B{@IMKYicSbY4T+eSPbl3C{TcaXAnIop^n0ja;%@hSZvbN1{CkJ7FA$UKmFgPCys zveX+YY>U1l+g`aSuW}waMjvJ$=W`(U@K>fSw@D4VWCn8FHbHJzNf(!_H1hUTM0AZz zB2V`IHo6gYghqxYAH8jlnDC4{(h1KI|Ar$)-0={SEd8bXHByoCOkQf8Ru9tZ_G?Mh z-a&?CzO>`KAu@j$^Is)1we0f-XE>_dkfUJsf@z#+TaFo4QfCViElt~i&ZA+XGfz&r zxb`UWu0@o4?qoq;cvzv<)ho#Bj)?yDff3=U({uMIw-K-M4R1fWaU=|$70%=&dPd(h zKEl7ckovJvxL&3T>7!l?ZN~n@hY;_ZTB?j}?lmL?R2?}EaeI%fVnFWAywVB!lgNF^ zZsHhAUhks+#&|Phy|E;eL+&PWM;;9U8LSmcAy&OL3)MKmSaxU$ZUI2Uq?;!^ih|jYbwYdVMi680qb?- z))gH{@*>wNnFFmu8*-7?NB5yL!3+6!+O;R1wjsYt>ExM0Q54X3RL73NkM$S>=XUmjvZ!EL3ttE9(JFeo0Otg(nf#gL1v8`hxkh4i(S zX)>2*w<}5Cn}H&GwX+Xf2p_SzMrD!Y5v28gS`*_{fULWsN?^g-p|Cw#z7-NnLMP^o)G|iHGfyQz&+?veIGSff8=Jy_pYIqNLt1 zqcm#^O0T{$<6F!|X&pn{j_#)@J0cXG|5OTP&a?h>8!S-P)%7zor1N*}|I_pTS@`?@ ztZm7^YhOWGQ^!P7Q(jy7kB7;QkLj=HsdN6iHvDUAU&%ux>KU^f9{Rdb&gITQ=T&E9 zuy-`{1^puo_};=$thv!fh8o7(_EhM(-h@efYxght|)J^joNplkA&Lvif_^k0rgL|4}f+Qc&TmA9~tnZ0aOn<)*>mSM-52yNJ z<6<8=KNm$ZB774x(_Nt|;>%JhTnjCs9*RJ|ap;N3&sBCyz#zqI#iLJ+Fsiy(%FbH^ zlMvwx*XsAe?1b;e{7&+H$HtcMozfzEf$MAZ_P&7SETss&-5FSY-b#18FBjJ31$>nZ z&tYQ}!%Zbg{Cu0J4zN@IfbFdv;@__nz&7~k!b{?nez^AG<~LOa(3zgxm&kD+`pM}O zOTqInqVm=@V6rAGYLhDzI@btmTH0m90}7Zw+Lz7wc{eP%cJ1= z=2VGCn!^{^iv2ntc2EhneI+N8BWGc!()lqUgAaB+jk`{t$tRiDm4R`kZ($#soGhp_ z4EyOA`3*rU;lS_dq-JFcBV{#zGvd226+6=q%Nq~##d|!$OB#gf6<{g2LjpDhVtV(8 z?$fsC{F;~S`LL_WXfLYCg1vV~mp{cWI9%XbD48pU!|<5{Pj?HFERcuQ;c7*2oc(&4 zBRvjIYQ?HPoRM&9W$joH`U>YmPv=5%s)^2EpW%s5t-ovkchCRNU7z^${@ZK6@uY0& ziIF5!lzsl_Hk5{`xN3;TCRj1xj?xf(7(2(`9w$9_qDRAViH}65 zw`SX!{cdq-Qkp-9Dy? z$VsP{woBcfmP-?5TaXbJxN&tB*Q z(cMu^nbOda%uY}CWV;HJeV$Tor(OT)6Gp#Vq}+9F}iRr zBh7cd?dq*;B*n9zdiVGj=~IG=JR^t(oR)j9f88V^>21{y@eq%3@#w-a#WVzs8i)DX zO(DQednQmLAAZ`dHzhsW+ zv@gQhk%@>`l36Y1OmzH|tN9x}+>vtgqELN(2hy$%wsM}hfsD0R6$B<4kZE_W_>-WzAaQZx?XhY0>Cl8xW4Xd_~sX2*NOVWMl_FjDT`g~WqgLiXJxhqya? zqs<^Q(oRmBDb3zO#@W;5^7O}u$B7~`wWI~ve(I{l(s@KfWWg)qp+U|z@pbO%%w(T% zxBBfgJ@TyI*Vf1q4NSkixAiiayYo)}Sn69qz9ZL8_0N3Bf3|z%ZS+M%yYdGn-OEIL zvl7QRN_VRr7qSlbLUqvq*^A5L(iiQKD@Lbie(@7> z=bpNXm1`o;w199R?r>gPW5R&KsEBH{t7Lw(LH1{_ z8u8RVwA#JxG4U!@on_YBu?fW+EWP8_UqJei@lWf1DIhC*JnYbym&nz;d96sG5qYoJ zWd&x-P_TW?0;4TG3OO{{oKH}o=!oi^q^1vw*W_~eAGb#F(<a9zvnx~gXz`cMt;MOFdZB(JinS~NR)qBTHU39S=YnokJxx&E+{=28Q7jKh5k&s0pp#46O1|5YMP&r2NmraVaU=RH-a69^yJMdrDk@f6IdD$<=fbYX7K z>qhe$Fdv!VJ-b*6#YoF_^c3Y#i`yXn>*gkC?W{ZXV`>7r9=>1fj_rf~WeN3X+wZ{O zt>i?EkPeJ^UY(p>EQGPL$gcZ5J}}V2fADn_d(W0% zWR5Ky{hV(E=D+AW6ex#bVO*>6f^hQ(+M4wfyeJP zpFpp;bM*X9DHzzbWL_HH4Wk_|ejO#AU}K9m7wtBZOH*`4>V|D9OdGBq*Lp{C{>pkY zm?U{%?$vWRQceRF=a*Kfoyma3=TyHf9#3Iue(VC19u46Ir>7L}dk!m&0*%sk7d-S6 z%{;D13mq$qB@L=H=u^mQQdH~0aPuV&iYNIn8Wax?Bs)VBN81g?T+uL{y;<1()fDCe zZQKPLC17!%Qj>C?3zqjb3-%9-z=}Wc&N`_Fuv%7G%W#OC@v=8N-y8Y>n~NF4KEIS; z)A37Xi&zcuZ_ID@;9rE^Pnl2pP2XUs8aM$ZKNzRyp4=3Z0@I3o$tP?XFpqj+<0*Lp zmJ)BD`zSfUs`Z3_Eg#wQ91t~VAEkork)0{!tyW||5W?Oz$P2q8Oce%krLYUC`cc0} z713HzWlwvn@hqx?2}TQqk3uKnLV|NqY7{I9S5Mn3Kno+9^9 zQMpVl;G%${t-MAQk`#oM{ZM7iR1wm5^a?C%&?3oopDW8f8N@T3f0`a2i|E(sC2?Kv zNlwf9BoS93gwR}!(A`*pK#o*tBmI-`Yi~_Ww`zn>5X16rM;UnTO=efUC=IuxwxW+0 z7U3M(61DxveKe+?x1Y1`AXyY1;@5UxMUhto-O(zd0Va#@h4@^gS8ZY2ua=2qhHP!m zvt@`6rP-m-ri_?EzJu>ZPb1<6b45h;dW6*EQ)M1VMPRE|`)$7-;-x85T9<{O zRMX6-57-gFy1ephZzlXGN;+fos^EQxY$w{|;la6lRDz-huBJ-{J+Y%`nuzK-OjnC) z=1*)-GF?%!S;#zaj!#mAS1bl`Z+Y_a&JX{yHvMYr%@_H!L;kF|Ux8r|Bh{ zM|%FJ3adiT%^&Yo=%5l_Z>m)zgd&&MNSn+23;8G8C;m5x1MTqe+(d`K!0}f1-T96V-gP5emI- z>ki43Bex^(U9Jr+G6jtGu3s;J)NPLz&pmjK#P+F}ZL{5o=h!u#d+s=5S2mAdSE5Ix zLZ<0DwFQLT4XD0LBah(ni>;sN@(^gk5b&736#llhM|D{a!1sAz)-&+@uKoY$`Tt7g z`rm90u~;WcVNddA>O^V&&rSc2`~JV3-4XIS zbPt&3A;o)kmUref(!3|Adfz%Bo)YoMe0H2;`@9XZX)I-5?g>K^x9(z8bGM36hg^=9}AIX|E5WYRliggl!I`@b!HL0%R2gUinD2;L1mSAZ9@0m(q`<#YY?7S3g4Pb?!UI$8(T=yC=?lgbkStJz63pTQV!UpV>^< z8rc)nuX{tYkxO}MJUD=o^xh4(w_meC?$1pZ4dctm{5yBW7xu5n%R_s-P!94Ijazn9 zdm>+O)#Z#`X^6O@`!e+<(Y5o9HUH>$LgMWXt$U+^NZF=P*!uDZ(hO^N);AE3siSgN z(*z~5E)PcuSmhzR+s>ImrHpuI**?FsyGF9SYTxc1DIt1#M=G-eg~&_!T>j;5KJqu- zVY(gHfP4jO=6*Ngam~4&vhLw1@~1nv9$g_mhS&E)--*VP-C^TwV=xnv_kCU!KDCnQ z+~XKZ92Ssqz4_phiUqQC7LQc!U`EdQ&W-#EG|1Ja&%UtoBiWlAT#_-mgS;}a$u5^O zAgN*d+plXQ|Itm?oG>yU-&e`0<4%tPtL`-6z7r^D-H|q{_?qbEDq^+>N+MxR^^YeH zI*}YuX`5&wg0x!ilKz5RWcs^=MjX0>oQ;YqvBhN0AO5)0`rI7RL|yk@w{8;o@`ej< zS;~o4Xe9LT&Q&OQ#CUL|hiH1lZgIr=g`==BsWXu3I*R!CrYjEEqbO_}mibl^K7if2 z7G`G@pFaD|ThAHkDkr-_6WozC(ZI~>@tSyd6ZziD5YO=<<@VN8qCpZ|ajoVnKML<$ zNw|Kd2SvBO<yz#tDE_vhzx2itltiC$;z&7xQXYk{u|5itsah=9xIY4A zC!Qxf<|p(0=&%&l>+vXC>`hJFIZyJ4j$=lby8{p172E@O$o$*Z ziq^qX8@f~*xSw<|K=0VbwW9h(&}Tbi-KG2%25Z(+wXX|dhTUP2n?qmM(ny;4kM?jZr!L#7_To6cs`Otdgb^Yejy_m_jM;{>czw4^puHZ z_Io^-IYQr85(edqog)dIQ&2znaZ5Alp)~{BvfsT`f=;B8y6Yqd^!5iCYClwh{?#oT z_ZO10kCY-$@iZL_PrtHLm3RiD)h{oZZXJSAq5o~?4R>HH5gI3cOB}|X4sssNQ7{n~ z-n=_!9wr5~g@tcE!IWC&Nd*UCWlxS6HyA5IRfyx6#Vjo}n6nqsuYQGgSj^(HQXlBP zDfqd3I28Ik6<2jBcfdgHGM(d?C=87+M~JNRf{`NkgKIROV0@f^6DK(*8;{gQJCT{J ziR%Rx$J0Aux}Cl3^s+fj{jJL4sP+-9)_xw5>Oq((n19=SM;0p6FRCxBY=QtESFtS1m5u`@5gk5( ziY+iTHoSU0ToY!zSy$?RPQt8@YmHkD6U>cmjy5xw!2GAyFI|OjSm;L;S)LGwMNh|x z?y6(Z**IcDRq6}92=PG&lN&JbdS1mxl?9_)Ls2ccn_>LjcIk-tN|>rWxY==a8D<4t zlb@fEGe`GOXzklPSoAYqNMgPO%f@YGZqd}R3cLQqP5S|?C9`+%bi9W3x9jiTHg=Lc zLW%SfE=#aot?z^{qldL0PAM%LwG`6onw=efQ2kO0E76I5>f zAljPah5OQf2qN%C(xqd^S>QLvnpaqT20jD31nvrcgQsp}AN9g_GG}L?VLed@=dZ=9 z=jqp@G0pz^Me0FRK6sSseu{W_{lPXrh;sy^zexnJs3=gZShL zxvkf25%W?ZdiTqNh%nOkD-5ed$j4!;uu|er|0WtveOU(nuFIaB4+u-#DCf3Uj3B%e z($)2vwBUX>{zeQf(Up}gMY^+ILldus;_00>sA6ZutGHVzK0cN!M@{ahho*+R;>mqC z^O!}pRX>tPzJJJ`b0MrM$_GJ`K8ST#vqfx129eHBcvt$@AoQ&fhd2i-g4(yw8p@g= z;5w7ZG-oaRj_vNsqGN-1{;ic#OIGmksQJ$J<_lb335(_&T!p5otHpbDOGs9Ds-zA_ z7)rL~w|Vb>jl7|)c}|C?kU62tzt=DnsT4iyJ`(yMV5Mf0~^J)32SpJ2lOj*I4Jlq#3%IVyfY!5Yb)BNxX31Mv z2f4jnQM-%mka=ed-{3dGGT~M$pp6(IJVR?n+jkR)zjpKB>!TisJ!s+8$s~u!%#gPo zYCQ-`E-IZ5ltIY)D;z$Q69}v?w66D6g@3!|Cwccl`0WgD`4pz{yY~O1=l>^ji~4T{ z_n#i#KOg*?+cWj$&(2?RIef#vTH6!4e$z;%713v%GV+;o{f79`PnxEUtVmKbYdXG- zurVJmr`Wk4LRz(j+bW&o#KRiVt2Z-^%%#iK?*)mzT)gq!xMUD=9wvs##S)J!_X%oU z33=ouHkk-LvP9mhX_eZS_mC&etjeA*g}m6!5ud)&5Dkjv9x(}4glT+GyTW=Hu~)f_ zPPY0XK|7B5&4e?O4;CF4V|GWXok+wE-xj2M=;yumAoJ&|hOA;6OOW+utLb`IO60Ja zul{nAoC{eMM}nRb4{h?ZfQq-S$lF|qky^S(;m@DZheTVC!`X$-NWoxB7hy}KCEX8aES5$_sZ*bv?M-Ak zQSFoT-h&)kp`=SsXpzf%P?IOr0=X?^d@Xi_iz9jM=9hghk=LMgYJKH3er;1Hn#-F1%LF}fN z^kk3mo__mvQ7sf)ICPfg?koyoy9HdA3FC4qysx)9359$mCTDtck-%2WR^3Z{8x@C* zr}lFrZSqy_n@?8AtUr5TZ<_*g&TX&X-Ihf7#h16%{Y*h#mfXg-Ir_-AYh>d3MKnYF z_dZnKBCqq_v2jxa2MRU9Uj-VULgCjWDdC0tD3a;>=DB(=iYl+ylnNY0F|FvT53hHj z_<{X7O0Ks^54=>;@!>PF_wTb?$>M_CjQB4{0$w1WVb9n*k)tS(S?+3*r6N1OcW2l* zE~3cnlg(#c9>Uyw{jg@K8zl_9L(xj-P*NxB7Tdan(tCGZf7Xy}(_s}Q;xj{;EVG6S zwI#})ITy;FB|F3ea)&~tNd}r3EyqcT$=|jAPtX5njeq$cdj0G^f-o1jS?Uc2(gpv~XAbYAi+bmm07 zB`!-s_i zK6w2Z48|YlthbuSy(fFGt~1yT`SM7KR~(a2-f1V5;mHoQ9i#KEKfdB&$uV_R6lq4%b%#O#a^^tDApzB8_e{=}E(bl0a~ zpwOi%8c6ctpS8cxx_$qNs2GN*{*N zl1Oi`VLps+vtX5-rMv+B=|0MnFXCV@QoBx{p9zLf(j?`nZotSPon0%4AI8V-6zPNp!MNt8+RcN+ zmvQ0R5{C!LWGSD@I;$`M(|r|Ou}w8FwPBHb;6yT6CFgFp?&E^?4~tt?&E)&z;S#$x zz7hIQtF|AdZiXReL~kc$%Jex9Jor>clNa6L+GBetvtrU=?!D`UVB;rb9YgLqXQhDI`T1 z?meJz0r8x5(wCVt5JTxZt@z_U!t0c7JMEW3hTQ`%~B*C?g8Ho zZv_tqoq}glU{%Gct#B(44EN)8hs%MNIvYNHMq|1(r_rZ9s8kRL(%V#uqQKS&5B5Lq z-zRTA(WgRsCrx(PYZ@eTa#p=RP58RGZw3++tPnHuvfF^$4H2HVIX4hpc*qj%=lDpX zBi|G-`EUm>{1ZgZa5}fc*SDoUkV1ZYc4oGy2SpZM`e72mz^{z@5e(lY=a4YkH+ zKSaQ7n~czvqd(C!t#7%W%n7PlLL9rd+oFW-W+Q_W@g07?7hiMG7?}$KiO#3wf_uHC%{87RP$<$u7FE>BgFwEooX z1}lHWt8q8mrINFU(EW!i82k|VVSk1SB_qP#w#VBCup&gD&e}EkBm#d*9hu-wK*0LV z8+|V}!0!eXt^fVh-?jfAJ^x>kd_>#xw}&@%`W9vC5AwEBn$nSU`_##Qd3Y!9|CP~A z9{P7`dqR_c*11xPB9>y>TDl?-2^E}Lv3?ZcyvUu_Ty{eKHY@d}^J6D}S&PeX&p{Q@jb#G))h#4XpBF4ho zq-V`@bXdbfczb!nlb)UfYRDIwITt3@g?x`26lU43$Zz$_pWLmANZ!)w<2?Grk8a6& zEv6Ys6fPTjcb!2>TQj$$d>Ycvi!kkpeT+;+`MaWGy~sX&e)^!eC2~4$o@c6jM&{qN z8y74biH0avxh$NpE6V~8+T@K87AD&{`vYH)AFf+JR?CF^Z?tXu(y35zwofLZ`UeVJ zLvnh^wJ)aYNc_qD`s-i?n<} z?r!EUTbu47ccOr$rsgEURyC~P$=rne^P&YVR;$SOWuSggxEBSN^$))4BOINQ+LUKM zbV%+ItLIR_X%woKKBTZ^L1AvvZH4R0gozp#9KDTXp@=A+OlR~bXDiPqD_h?nOE-PC z&aeYHi(Iae_gIL|QF?<|GdVNS1{B&|%|`xs42?V!(eYp!BivuFli%P&Vz~)EP_-<;4?}Q3vy(q80vSa-}6yI>altkt{FOBdkxH zLbgHjSWHA}e?D%;w~u{3whp&_Yl>Q<_CRL+{kv&;oRFjIDlKs;!Gi&Zv4VY7P}qFa zDIGVVNPAfAYsYaYE|l?a8vvP<&p*GBorWN(BuMM`t%c+1ADG$^tJ`xCeX{H@|~Q z%SW2*vH++`Thd2|`arcUT&TXS6Keccswreoq88p7Na-Mm8`?M68}Dy|bSD>=9!m%A zH6C+R`SApDx3DLiuukNK*xUIuI-w9RxY>cJ2Z}u@9XCrJLuulWj9l(%rMkzidmf-^YBp1sejTi78+|Ey)!&`q2c{; z&yDWg&|G(3Why2Vn)>=l7oUHD+*9^TZ%xA>znpu@SHByIOJP?|Qe{K=#cZDLzG|rI zZ)4<18iv{^EuF)12_Ev7Ra&??K||GP{$-#AH1!6VY`#B&maKv2i#saN<}BCWRWuFl zQ3jo}w5`zbyTq{R>Kf>7eg0ahSPQyRwB`0%-O%;5cxv+F_3zsM-Shu-*Z==T?Kkqb z?pu!igo@{J%yQcgp@>5uQXok57rxtF~U*$^1tktTD#68;zM2Rzah;45)pyrKmCyd|HeR7 z1j*;4KL|@*LHskhXLf|+AG_Hog{ejh5!FHNr?UnSdO~`AOn3x>MD46XQ~BWE>vMiX zXefMN92{mCDTFs;%EojdL3r#~x-<1I0Jc4h-{(CB1LGZTKAK~u&hF0O(ot2&rs9oKolhG@Qa*GQ>-Alwr7H*HI*@{>r ziSJ$)zamPqQh1H%34~w$l(w!SjbzK5*ORo}hal4~nS>z$1i0|XUK$`g<(KxF+Kd06 zdj9`2i}U|=-uI1e`ghOy!+dxb!sn?J&(_dhOL#R$Bv+3`lKJe{C>lzlpXaRb^OO%E9!!mi zxSzGir@R|TwP!EUf?Q|#c=7}CvyC(xPJBfEjF|d}lLI2wJ}6*nw{ct)gl))Yo~c<33_!k1>#kp;vBV47-r)F+aO1hw*NJ^Og#wcVqhTLm zL?HdpVlV1O+7T?}&LddQLBpdNdm zja;+Jsn2u5$m2RY^Mkg5_yhu~!e-iPrzcQa_iSj0i#iFv0W{IXIa%B<6 z_46ng54_JmK83=QW*#Ry2=CVF>f}bZDkO@`U$-vMLrVP4f}5P1kRC7|R@e9%SqDwj zd35`bqeQuICY|I3W{bSpJa>-x6AXpAFLRMzU-E{C$r0p#E-BiOunPsIn*^rw`B5=AtuRP9`7{2$w+smv zSg^&6~cOC6Uo{8K3ghpriEl_!J^LNj}iZ&`$#2Whs3g6 zD|;wTW_^>RYBIH%2-TyYXLqhdkfXZT>(iGJux)saPZ2BpR*z{q@!x^BYw8h!BszFF zozIe`)q(4H(?upxlIO}Fm*}|F8&&)sJ12h}L-Bb*Ic{Mw!pP1yYdlYB>Dn~Y$Ms9F9i(+C*8aZ7O~ z7k>3$t_97I9E0(J>58Xu@N5gD`|5rj?t6J+FRXcqrrF~em+wfS`f%~+tu`K%9Q66M z(`Y^Neki%`>r6q`zTm1~JrYP2wB5MFnp_(_x1KN-6-8X?Cb52~AUdl+$G)No;UCxb z-q6}fSlLCCm6<0HwEt@xVGe{yze!X|?7CwY;+Hl%Iy$>P6Z>xeo%p!20Cc})c0se0@@16B3 zf*;+&<@c*!kz9vLC4no(XuZ&Ix-Pv7wHta)V}to|bIN!X8eYgyiN z?8M{0AAkDy-`hu>c9&ioBP@u&KK}oFzWMLR|M|FAe}CTF9WF;!Qi;gXR&OHc!9(+ z1LiNOpW(Uf)vpzCE8xHV#$7KD(wpTjsx6$}gwSzCIXW>tM0A>Od|zXPXoUpbh}Sm| zE15sMJ?Ik2ZHwpSAli`ly)ETSsz(vuy=`rHF9Q-x_fO1vN+9890GG>vJrcFmUU70B zL1L3l$oQ22Br)(Dd~jA9Nq0AhaL6aYTX}Tgy$dA*&L=Ns+`ot5sW+Wgcj^#+<`s8Q z^h-qXEv9`-xrCT^>jvMCdLVB5(R517gCuY7jbC~!@!w6?C!P1ZjfC9NL~hYSBwqI) zG(1iGfZZt@*e*;G-qB^ri`#b~DSNU$Od=miORwAOdt{J&#HC;G+?|nndrfiYEa@Xg_c}cMU*w(lThIOb z|7octiDafyB%_R?jC({Bi3SN3?Y;Njd+)t_wRh4klBNcchOCUV6p51WGRp|9`0Vy(-VT(T*VG{0=LHSd!N= zaG37^%^ac`gJcw(MG*V)z(a4EMkL(cOHaqFilm58eMKP=B-ba+94MnfN=SA)n?V>- zPpixbKDvU`=k;fgQoSX+u*XeFo)ZD;Wn||Qkry?uInRjbvEBB=>s}zPJBl^OZwJYuEN?n(-c$o1;M5+zOErmmZ|w{B%C?0}Ik?=lEX{ zj&BC@?l&G{#4CH5X>u&`5CU(E^Qj$HM3~s(R$;<(iJauw`}k2fVozvoiMx3Y3BnAW z%YLXK>3N=X(*ttPUU&R?(rz83u3ap&pJhSnRA_}_!DXZsF!TL%_a;5Gu%pp<4bq>) zJ#fwqL5A41CR0;2;(M=nwn@nW8DDyJf{aKOrN~)|0=E@mNW~`<$CEr8DQYhzny-ji zJ!Tm*Cy98w_?53$Ya=OOraCmY2r1iLp9#GoS(^%WFD86P$FF>*6saI5b#vly63|cjM=??S$jRZTDr%uR_xAM77NCeuwl&1=T0k z=ON>D`ITohTafvm1!=0|tO8O~$T<1_hruraWWKLo zebbTn8+7)B9jb6ecGbm2w>Q(s8R1Fy(VRx^i0Ax@YIWq@@#a<}+Npd4yQ!NFH7KBG zTD_lWtqOei_*^}{6$Rfe+WeeSK%uN{=c&hJKb1(-%~aOEYyYoV-yg;Qf9Bk8IU4YC z>*!Zhd$(;Eu!=(IwN^4b_j?^Htb?^+ zZ8|;6FPOceT#9ejLrb<5pEMgUYB=f7Xf$XnCr7hQ!4679)pFS++!E^7~W@VEi zxL&N_b=gw`$920-2G?4`y26ez{>)XFUy|$+UMxlHfr*2c2xq?LWutcSunf7sp1Rs@ zn1O;PTdJmA6p*!NW?U<-6loU@$?g7f4oQ5&GW^H)B1Z0)sxp%a!VASmzc3>aXKMSr-W>u&7*8FM;$W z@lpw#)rim+kFT!VhY&x-=i9y=g@2=K&K5nQpC}YvDY9n}Zl>}gL00*2ni(_>C~JnT znEir4RR}CXCp%|sywKM6bZW(zIO=z|zEmfB@jI(6(my&367E9jftMc3kaOy~w{_ny zq<=5(;5~U1$rJff@>ytNdOhI+oy?{X1(d)CT2+#G@2N6jKH>cRVN{B}!| zZ*V_fH&%4y1)Ov1RknKWft`j|O!R>ruyh;vu)$Xa?Il`Y4Tpr#aN^6lt?Y`ZSXHf- zv5^bK&Q7!2#*2|_HkxO70~OWJ*lJL5>cY-BOosk*N|ziq1vRsSuR%NAE{3 z${<*jj{mB$KEmB^^?UYpAX@B{fA=M0#Dyks+&HX{#Nep0C`B?)P7Zt$%D)UL&o=fs zy(b!<$(^syh9#}YpeG`7xvSOm$@ymzDv-xkiulKacc<0}A?cML zQ#{{8axTqkH;>;!YDl4xs@W#em5 z>|69nCRtX2uG~R~kR{(Lo6AbFO#9+~eLrv#*=HLCH<+zKm~z{mRlY=nlH$_Tc>Dci``KOybDu}XG8Fw!SuE=?IkA>)VR*)1!% zkvZmSZeQLO(^0_ z`><1zXrEn@9+wafaM81ct99ap9mDgs(&t|_+|s%>f;=Z5ady%4kQ}{Xt%od3yWp2# z*f)4z0Dz&A&&5&Vuv!4BOjp}^&q-X4-tK()DIaK5P95fY}eu}9QUuimpV$A&#Ut4{9i}H z)7A32{L9DiiSh2ccwL5MYk!H`^7sP+$Et>s$*djSA@_IX3Yde z7KCY5m!!%P@5l}Y%bVkD2=8vp3SYJd5jw8V)u^NpF zXKH{qLS-goOT>&3cIL^XLtG8QxtSTW2+KPBy+qK{UCfAxGJSZ6wE~esyNhOTP!QQL z&BTA90a3@dhaMLt&)8grkhx$cxV-<#mg-v$k1qF+Gv^<`N3q{)=l2Qthdg?ENyGp_ zu9o+ozEwcT1{amjQ(X)qB6y}c#%~}p?e^&C zvu}ustagkG79=xQLD!QrTM={UwGrEFHe%lDXvuQRAl4=Rg$TnK;+RgC-_qMfxV|FG z3YMuLfMsm{;_m$jcDGd(6kdl=4Z&Ss6voKsxGHGH;yXk%Glai7{}xfF-L5$2r64-B zdhbIef5f~}IXZ8gh&U?wlPUc{h+lDZPV+=G;%7ddY2>9t!h`66)s=B1qEUC~a2vN9?2|wsuS- zN;r9}!tf!&*+y^3Ug#oxwoRI2Ts{cA_>7xs{wsWS60M#+CYf2QhSJuMJX-f1C+2i? zS<%Wme?Yn1AGJsI*tfe%p?rH%fXjQL>Giu=$mbT0obl5~ncgi!rgq}dH+|Mf{ivu> zg*z@b*h)YPjMiaE(J|R z#0=x^zcm8<*Wzj%HB z#_846)SSaD>pxrmWtaYw+4WEB`Gd1QGo;*OLxf;QqXA(d#jHNKn)^K?;w>N98yTk) zCZ@vBWp*|ON_CP@ZXiX;0-$vMmOM4w)& zbV0$p1EKl*uc+?fLe!2y|AYY}#Iah3U;9Xy8o>iQ3MW=0dEok~r0s%amic)&{rxnV zc`2zb%&;Q8ET?NrVkgl9&?*Y8K8DOfx9~Ar15mu&L%AN2-d?h&=O!KL_2)fYTRVv# zFe0Gn!t*NRZ0Ab8-0p{*l<97l*Uu1MJ{){3pC2(hgqA*dK1aehmm~s~sie{}T-sU4cM5%#-eE*`xt`pL%vN|8|(eJXa}E>PGtSoso(zw+8%zK+eCk!^ox zj&8yfIi57U;hm({H{T?1J?sR@)_fm1H9d%YrrcM9L%qnaeqQqRnm7us$#KV%+^2%~ zQfI{0S0TAsRwr3Jn`EGNtU7H&eveq_hWA>&LFT+_U)DGsvhLO%iY9u{961GL!#ZW; zwhc#yJ-m;6&g0HJwuUIUlJc?kd;dv+2ok_$2mJ@4VRLnoS-iR9Av z9NfJ=j%QhmAQ#mrj_5cDa&ZR7^Cw{i$H_Y1v%wd~rkUXL<1}EhO-I%F6 zaA{^ab%yw{-7@>%=(Uo}&1;e(KW?kS^DVtFPop)-ylkcvZQlkTAB#Ij)~$hWo{)C} z;pX_2ToHY%9R&YGd%hrw0s_o-B~`Q$UEhHi-NIed2pp-jHr>1xLAJ4;GbB^WGBaWI zy~MS!r+Kn!`Kb{&t7OEyIDZnZj#5Tj&#}N=TR#2E7m{DclfP2_6Umji%eqW=X*+y) zO}0NwB^eXOXS8MZ50SeOTRxxAHu&eR*>#CLYXTC}pN0zWMxd?mo^_>?2ohu5ux)4q zf@arIE>*@NShA{C*Z&J)X_Qf4z1ImxnGLT$Ig{C_Pv5E9=v26sypuXxnFf#SNowXm zad??c(`732!-s8SO2^Jw_y$v#cXQLi@4csGpHu__IG*VoUL=_lN0bD9S@R=EIA-M- ziw1(38wDK21jsD3)a>gWO9Ve&5SBF7KnNfEn%%qxaJc_nTe4D~FuOC)nr5iMZM@EC zvr8sCdAkhua7VyfaD&C8$YA&~$9$qXy%m1<7uObFDnfv4k(3Xo2m)(MpB9Rf{6*?k z?Ay|S;20AR9#=DjaCYu+EjovgxNB>-H4~iyqqEO_esX6q^gGGNDu>XPiKsYABitT6 zWGqvXho}Ca!sWVe@E)aQbs4@xK(6Fw2xoLZlw_`o@E|v#o7y~xpy&4&JWDvr z7G3==Et`K2V340N!@5ySj+9 z(HvDBl0#U3+W0G;+av?gmbt(t89|V`eqi|v`1R86@qM+Cuq!Nm_cIQmbrbGlOOIZ!Aba%h{W|BW$(|jKJhyU| zA(7Uu^ZU+&h&@-Y6Ed+8QJfr~r?~DS+(2$;e>LINZE|8QU$z#(>*uwERx80jOE?Uic@uWi_qG9?_4ZJ9(C$e5A)o`ac57_g{3z)QS5e_Bi3RTd2Q)(E`2P>Ix41d zomCp?rK3VktE7?g`pR2@9Y2x8lVE92Uyrym@NK)6h3IAT23LsBFGBeE>O<#OBlM9| z_WqwC2)-L@8b`4}K>Pk5(O+xe8?R$s5pe@;;Zt)*CBLCQLCaHmVht*Gn$Xq-zd?y? z{gdN_tx>?gW0aIXvUP?^v`3#Jqb6o_eJ;@zwEWE9b(pZil`k-hoAw~Sgnf!WtO79- z89!|_B@ub;-A*p`D+uegV%gd76d}pQCf5pXBFMN$qUpza_-~~4oVC7+_R4n_@*{iD zQ1(jOcEAL86WdfT9PvkK;Mz5!dr8mDJ?d_+ybrm@9ZP1350G+|@vEtgBhrQ9+xH52 zfW(^lr;%r3;`B&~*p)8CNlML@koiM2UqI5?&xB=S!9T`W^ATa2>ejbDQ$h$cPqW~6 zEd;vxEi&~eqH|M6@6e7ZG(MKpEIh7)Do3@Pn2bXx=i?GD98DqmMHTwfH08)IUhySV z#~sx%HH~=IKhrZr-tRVMqfBah26{w+E1XBcib3)qk zhxQQH!zsvH=-IN-LS)bR#oqmp<$I98fHo##8Aw zBI~e=vI#A@Cy!R%zTZMaerG5wHP3b;hb4@u=t2&1f?3kE$$TNqvC=p2!A3-LXnM|F zzl->tVe8}FJdjjdVyM1h2U2WZ`UNUT7TCmDkMOSwNI%wGu6u{{+`3o8?(*zHrtR%k z{zx*y;o5fRA{}ycX_MBPY9nVcfZ}Yr6}iqA z!&dm_AR@fDhJWoCVt0P~;!B@{MA4CuXYWUl{JFbpsF}?7qnXd-cb6cYt%lF);~r!f zt+C%*bQ+miI)X1ZuLI?t==O*kE0EPNkn8e`Ff}uSzljk4WRAthFFQ^*A$R|pi-9XD zkUL-@xDeusJTpJufVYp4_ft)3S?zkn^fVgfeab`P^~EKv;|Ityl_gtF^Z{XsuofO- zB>RLuU9L->@yJvYTDpJxGbkM^lAp=AA$zS@posAoG7lQRALz6-szTvm#wuaLDK2dDU8Quy_I*!0fV2o( z0ZE!=$SAzD*fvD;2#Lk&tb57Nqdv;^iwoq=a?7w^eh2cL@A>R;r$YXK|F`EAu_$Cy zw4a_J8K@h3$H#9!Ls8r`-y`RJBch0h63iA0e+ z_QNwSrTC)$R(S1`N^H*Sg;%NZ(0s&pc<*MOSUAcBlhAN2heOw4QGCE^;TAt^E~e{> z2F$|Vr#o4)_9vXocqYvjpTUK3);(0X7_P@Yd^_~zDaoQ7hw>GExL;_FAB`%8$Np7y zk_=4nSUR&%E<&=#D=JluNEWr1iacv$s1v;A`swbBF~Qr}giEsRJiHf=Jleu{0%kX_ z6h_Oxg_Zv0jDR-+uv>k))nBI`j*6zEizFk>Is2Q%x_dNmrCrhZawEBei49467p;N2 zO8SNM^T*+#R${r5>@_@P5@L4qo*-O=!|b;TFOrPPu#-IovG9(F-F{3)8$LoCEK9d? z!so%Z;nhU{?kh2yk$yB8mh&5UZg%s)_S*%eZ3={`op$q1Bs1AREW4u?U%Cpee*Ok- z#hi51mY7#zdu0HMQXsUgn z@LE2~O0q)QU_j=9QnKe4ee}wNuwo`?PUPf$CbC+1O7@|D)zB?Y!jPMEj*@K09 z5n5z6JT#*T6F?(SADv( zY7`MIa?@kC2ibWA4rjEHy+hck%cvu|t`PA9d>g;!B8ZMfT1l=CesfYYOKS$v+PhDm zeYY~|Tvwk6zjdhL^4b+ zX3NV{BY6v}-Gm6q=h2uH5_a2;m_0VTLkoQosVnoIm9-q<47Ak~R;1Vc7TqxVLK49{ z1@+*4b_=Hzj{iuJ2f|$5;pJls| zJ*v>F&NPP1Q?D6l_9i1u@!{91?Gs3zlPP0x%SFP}H~T`v6XbJvvC}PoJ)-hxIA-{t zBVz9+yT!41g#OC8;C+$oC^s+&#EbGE@cE-({uc|;-f@U}o&8!gwBK^R>gj;Hk7p!h z)rjY*?fZl4Q{5=iTF$E7MKZa2hdeuy$n180*Y*yg;YxqCq9Zu-8d9&=<@!Irg=Aqt zsnwW34lMfyjC7kfJeJ|+8)%!OSwr*9&7pv2CMZwoq) zFi2j!6pO~M`m*t{M9<$rC1=&7f^tWn#dt2lKpP#fKS|~u1@`T(;Te00eju%uR)=Ji z(>vPlit!;jO3TthrL9O=8cAB~NBo@(AH{bE94Fj9KZ+m~(MUJmf1;X9c922`Qi6UR zBU!1D_aZ~+5xUs@vj4Tr@7n*jp8xMuuHe7?`Twr%(fZ?nuh>hzlU$y^*dFAc|IBkg zWQOXayLm4n8x{6fi=IO4)6)GZ>^w-+Wvbx_VnlNL{aoo6#4CANoAZ)Z2htp}h10vj zke+rxu%+({(eOC@JjO>nwlPYL3o;aT$Xn| zHH#cNP9|O^4&-=LB|66MLugymYZW&qLLUKr zo|Q6;97vX&n4GUWjFbsAs)_8CNYm`~Pdv6B={4+mpEAi_VX}<3^KlO-)JL3m5N#4= zv3QxyHp15#{xJNWNe0J0R9s@s3Tl6q2|Gmd;q~ASJhcd)X}|q=hdu@`}lj{mrRW z&YzAVbCmhn_GWuz$*p(%wzMAEiF5j_hiM5*wJK{aB^S9vbS%Hr`H=U7uV=@LX5^PD z9=HBVm>dpr8}(_gpzu&{e9*CvB)2Kk{v1y^ijMdT$vgO$h)s8^}FqKbTG%Qc_Qgrh*8jo0>bHwtr1WF9s= zLXqZ*tmUR8SK?!}fW;DFsi;Zaj29ss!)~^&(*~L-IY?*P&~*eQ0qhDN9uUU(2zTn8 zLDS#0|98*-XW{?v+BUwf#Bk|=GQ*{P$_#(h{@;I$|LL`_^J45*ho=~fmDvOPr#T2$ z5ATgCZo^_IM)T)qqQT{}J9gk*Cv1;A(=a=K4fbmblN~ae;Lua`-f!h(9qcsk# z&||!znWPj7<1xeN+Te{a>vtHwNEZZ46VH`52Pa_tU~L&wy8vv5E>#Y^pM!m!IKQg; z5ja}#-JlGL!g<+xiChOClFL%LYDaNBT;!%`B7@W5GU`LsmDvMVomHErvQ6MR@@CC> zEpE6;ee~Ita1m~KxntQv#n5k!^5YmF4DJRqv(q6rVP5oFiH7Vbtu9G_OIUpsHhK07 z+6rQ@d-UW*L1+#fYCqbS)fvLc;RV%ZPH#B-l%_d2kZjA7GQtU6>~Q(OdQ6~FhU^I# zzP>-Sob<31&5J)Tz|C1sq`xE-ZjT$ zMN!vWJ__rm5c(4vJ7Kr){zaNsTyRk3D@*6}B72am^h;N3NS5c^3`=P%T(oEB=g)b; zb*E7;V|_DRACF3vopXhoF~_cplwr92-0vuVxe@M;m$;0si^6@Dt<{bC5Ikv*M!@8-HuF}zrS$1!%^gZlaK=&h}p+vy3<8wV43 z_CA4Ue_&}`a232H(=W4*7{a>B?2?)h73@CsQtT&v;5bev=-f{-L?;c4rjR1CiLM0h2F1ojJXY{9WVmmkTn|sh>Q26aJa`G5=nRhcCoHx-T^>8y;hp)` zLbWCsK2k@Qzsl}|&&b}C$5|rq)!OkUif|l!-^dvma3fnoa_I!wcpaH zdSYncBWk{VRX#%PLD(H94Qgr$8~JV;ld2WTrHMA&d-cc@GT*)Ip5(!dbXL>SKlm*v zmQqLA>Q^E$v!SDzt`Tu;AEhn`5S>43z^$G&DTr`7AXYMY6=4!7M^xyqAw;qBWvXld zf@IxiT8gaTe@*?(*zg=$kA_q{Aq?-@?C{RiqZ?43w@Zd=y)TM)KWf}?;TrOkij%CH z2vh3UIP)$kU8H9;F|Su6Gq`V>a*gYzkmO$!pK*~9ac`NENAqJ5-Jx`$dawbJJ2hR4 z2KFFq#KLX3Zxuq`Zhd&NPa45|ne<~`(+D_~F>}0S8Csvs3GAoeh`JDw(FU_mxTCJv z{?U`nmz!R!uuiT-zN%B9yaGF!Rmz{Oksy9N-S8>9@!NzIJ}=NbK#OFxotAgGm=XVT zN$%429f)ZaOyMH__$a1s`63sxzc0E`xym&Jp{Z|j=~A*0{OmH{x6i#~&dqn+Q>&9O z%2glkYg0yj&-8qDFJW|R4jL#6R-+{TzIgCSD-`suR?yl{yv#hCADEE6eWsT+XWA4$ z(o$1y7(Yuv%IR0s?1Pt)7@-%?Gf%X+$9(4RYeykk`Dy!3>pO@TWkOhWS3>!9 zIfOjZ+7QJ>^qKpLi!7Gp(cY)KP#kv@4NvdH*gx>c-N~o!C$5o9kB`mnVIdsP8KYH#seWdDIqi3crD9B6TmE^Yd1R5Ge& z&nwLh%%D8S>cqrr!Y5e2S@M_EClrjDxNJ*#ftf11Q-{40)eIwy&dtp0xgG5$|R_diYB6DqgB;;Rrx7>`Bb%O}bZ zr`p3vsR}|8^|eor2QMIHYxX6>HN>A6)OA_m#&e_%RGU`hkoi81)~0$zCS zTeN(F4F7{dDxTrUOpy5ytS1UenBF&~ts=-W9<|ddCimkbZq}<=>XAKumf`BtBghF< zCw`vw$mJ~4I&Djs+ZpOx=h!jO6d~#dpxoR&tBU83^#Jx5e6y4YRlE@t)ODpoxOd%bz&kyTX91udz z#;qzd4kwY*durmr4i)6usQ%2{^$mF}ilqrt#B&;RGgTzGk1#DiEK6NDg*f%#<*Ho+ zNJ?-^5#6OlJS&Ive~!i?O>2u^mdOL8Py37XY<+-C`7IZIKGXvx(u}OF$sFRY2qig) z>=o*$W<3hWk&|=ml91YY8jv=T*eqw?MCR^6YhN5z1LfT9i`IRl=dbE! z$^Az1kr|y9ixjAlD_S0Q*e4fx7ujBgFL4qN7(;J4k0#ko&RLp_>;B=Nd-bG<7KOzO zH@Jw0x@eEhmjhlgD6%f0=6LZLsVjz4@36i{`t@3l(bd_=bZ0j6+O!N=PR|Ti_N5}{ zQbiBd49SD~ZfT-QGQ{&8=_)=1y+*;vO4b`gA5p|2l3jh4qxBXR5i&fIxFt={C|9WfA{=<7XDxS{lED9 z|36(HvHShj{*Z^kKl8q?F;m6W#Y*^R_X5}tDRIJBNp>MXg5L#3Ouyg|RI)LX zUSzz?TgzrxPjw7_XVRb!MAPkKnhd75Ppp*dx$_laat=@(3`aeH(Q#y#{_ z>f>bZKrQ$=#*6~hqW+tQ5^s9|&8+or8fg3QGSXMXJ^S(C@E zd&e+z!{NMRIsY|wI6~28>#{01Z3*KtHnM}$TaT@kk=NmTA!l)`w+);Ve|)i0SPpG% zWB=!EkuYRe@G+E8f{EvBvE$HPnAM&(@KKP0MYRQ=i`G3@d4;JDR{}PCl13NLJc4b? zc8Qf1k6|~ryNmXhARPGLS8=SXh2yCcjE5(>;B?|vvGS2JIIj_UoGU#HXKUp^qh%N1 z+;?x^b=_NV;U5yXes39c2Y1N_HOj#FaNK^KEs-$2^E7>C#ZH)i&20I6GYpnXr}_m? zn!);M+50994%oW0d#^vo1$%}-jmI1&aL{%3Hqh^ZV@`}Q_X%@2b*MhfqO*syj!^;Y zX$v^Nyn2U~==fYNY})=ofekK&ERW>M-QY?$$F`<#9!55O?_N&Ib0$1=zKd| zne9{B2Exc4XFZ37VlUi0?;Q+l*$Q|5S5NP|%9A~Tt;y}<+3=9s$a9)_$~_(~YqH(U z15c3+pZ8iP!!zY};K9eLzt8>OJ^$aQKI!@Y+iSn2iSw!avIW%qGLftxJGk;)2{~u3 zmZIo>`_ClFdgPWC1*savfMR~w?dzitNI!6DUdweqQlg(547AV_O~-x9Y4)w;+`8IR z=$ndY^-okiuOx_PhgO{4`xnBJta>A^S|g!x}J{)1Qu-*%K7R~zKH!vfMLpnqtAdB>P``c*yE+KVu z+U@a>NhA$Oclp%oa%2}h~Z8&zW4DPB3;fTUKU-C@MGquc?)t7D&G2-G4vCH zeO=qTc~ua|xH=`NT@kH=(#}S#3#g0vncdJtdVV9WqAn@oA?=CE9Zl9pzC(&znK03_ zQ;q8iYZ5lxC5T&2=Mkbr| zx@#@$S1o)cZ0L@!zWG52fw!q;>~aKMwW4qdB@=E@M1sunPpBVaqWKajh6*#zmgMWd zP?EdZhtYHf1v4d~PNo6KIcIUf=XpBO4xgdD&=-%i&S()gng*npR!*kNoafNgi72_&^SDfPMMQJ`fQ(Yk0mIi=c_KN8RjOAj+dbP{?p;pMAKVxfOYvM5yA`R zJ~DFtXftwGj+|Uvbq|y#FUeVkM??cWsc_ofi)5JJUB31m;r6}wb)aRX8WPobmsp=L zAkJ0PD7xVzqJKUuzHyN78JfLJ9o$=p*Xzo3>6rfS+W)tn|IhtBIoJQ@@%{hKee&`@ z|J)Bvq|nz|7$Pd-J-tN;@y4{@+LK^GL6S`^XDK7`kluXv?l56vr#`W$Ud>N9INSH1 zZd4dS#wFo><&uOEp**H7RI~_+Y`S>!pf$4gKJ+omF-F!bo$#wp(tD?8A1_#|NLbhP zL$(@;$m!eZ`OK&cxr%FdR!S1RmjfkNCPNd^I*D#d3?$b!?aV;xL@AQ@ejncRA`vN1 z=^9@*5gq+yj^u_^2XfyZT_c;4f{f=JNpC`le_-O^&b;J!P)27KV%Tz!^`QCly;WpB z9Hc@CVNFBMnbrEmBFmBU;loc>c6a33cX|4m=OK^kd(&*)D8kz(1`@=w5R)&joFHI> zgmEh8ueLz)GyDBT{Rv3*6j-t~_=0qX>%&5~2!lh5=h_x0O=PAVc9L2BisTk4*q&cZ zL{@c?Fg4>xWT%Q~cWmB{9Fv$(y?x1qL7giZ*-u40K|a=!$9E#naC5KTHE!h1C%NCP zIgZF58?ZS8R51}XEUTH-LUNq zia}OwI9MylRPF_0pE}0S#VTp%QijY)kUt+ z=$B>`J!TqP(MEdxYnvi8ZjjyJleg5yF_|b4Z}-bMu!xeZtjOcf)lo_l^dNqca4Hm4 z-gj-F{ayQi_xyhr{@|Z?dcmZBu{e#RIvBP0cQYEBbTev`S6JkS{(ODbDM76)+QPDzDDdgn4WPTUWt% zSoBsD^|>3va{Q8WnY9Y6#&S)5^pniTu1S^cb6T)T?2MGVLs${lyxm?uqk|n4ekwK6jwLbG?n^!>c^lPO?ZWy23^Gv%P~Ao9Iv8jlr-!IuzADJOUdD zU)B|W_!5p(ZO>mK8Ii1(#!S&4VfXA7voE(T(F*yOtjqEtcY~q&W1huiU+_MHpUgM716mduyMG)(Msb0Y(wtKQa-+eo!^Vhv#USD-q395x`!Sdj^6!hwTc%GU+-Ud z#~uU67N8~Ofl4<|`tuXXLSgA*oN?^RhU(5SwJ4|KmXWsVBz+8G(@5jI?SaQhN zeA`Dp-v?O}S2ToRbGA-t)6#d?Qcm`sIyDTt_h)Q&ZDNE2KC=pArmO}S9Dr5R4t z8&{e=S%lN@vHAJbNjP8b3H-czCt-NZC@l+@hx5b*~TFbBu zmT_z+h1*`hI@wFKt#ux@j#0_g+m^xp@X!%j#&vM$aPAs(y-w~_xwfUk*>FC0XSa!L zGMwMo?H`sUcPZoNvJ zNrvCG|GVe^D~pp<_kVruw>%h;5V@*|TAJOgtlEAk7w~#wYN3Xrwtgz9GnUA`Kbg$M z?g5IY9nDUk$Ak~3!xs5wBT@=#gk6pgA!*y)!^dNuATCmHr$a&wqGN9E+Ve^XktsOc?PX z^_|puqcYO-XTHZ*Nuq%ca40+8RDoEP9_g50wTQ}opz33`oiMS6EL5G&BTQ@-q;I-UJ`Rs|_*TJ<%WC6L%5HhYAZ3vrYBMH^4?B1XTJuV$Mb zq7L^n_7R_Ggn0IvMKzJ%wf}ED|G!eXNYBr#&G3hh@89+OhJT#wXX!X`Bz*&4z6t)U{@s{m;{AjP zxy7fs;~nARq|ADM8Y27_zln^oenerG+ENetsl7 zBC3$gySLXyKi`O4&0EpTpEr>CI@fyko*&4|RyzDT^8xY?_HgPG?MpBu6N;@fXY3CgjOmwMg*uA)op({h-Spyevfn$hIzvo0<|D0&JUb$8^Od*YN%V0lWJTh2g z97;Gd2oFdia6lxSc&|6Fw%XrB_7_QI!o-xSI0EdL&FXGuJ|eHZrMUbPYh zgVgoOb(|<{K9zjwDl3Yl8~m+B$vnP5Mpc4V8^v^cp45t`p;)2Js#VOD>~HRm(@1Yc zhF$3jsS;~YYER|H%n^@uSDi4O&M}gSmd!l#f^hhZM7N01cc75EMv_lC2t^`RZ0E`Q z7rk^E88|(RVr!k?oFC#Sq4MhV)#4>tp?7`1c#t{&m)+;ZNRChG4bFmzpCqTKsyp-* z$6=JM5fnVZv+{TC|K0QdS@?f(c>k*H|J3vU%gZCZtoyHL!#}q66=YSGxP)DyG`UGM z;qi5-6kmOtt3QBSlcE)Gat%rTjQyd_Zxo41Nc_V*3f|71HSJI z=SJ0GxH(`k(rpP?m0y|B&=fv0ax&&2w0`vXGfe0~C*qW=^C1K1F?^tVQT+`1 ziaha_p}jEhc^~@4(h!D;8P6=_B4HGx_;vC?0*p_Hosa*Z1LF+g3@%i|gev9m3c?mM zQKVdbPj;fp^&kDxnhJ1xId??qo(hu1S|G`>mI|7&vp4$tH$XdHN#aXU9(0W}(i+bV zL4U*My*Jk-!XUn2#*}6a43`8{3~RYzye;3qVWI)X#rJ!tc6-1?`1-@>&?J}?GS!~! z%7f{a$cg(D2bemSh51#HJ<_1zgVFg2sPnNOz5dz~nw6n*4}xT%!!@`2%ads6DXF~~ zdY%sho!JcEK{oRH!af}p)COZuUmKy}t1yw(X3t=Yhbhy`;2z6*m{!P|zB|zaGuc^7 z%Fji@gA_l~b3hm7Y5_@dY~nB<5X_a^HwOy|cjZ?K+OWt@4*$4x^LOq4?)m?9>i;pz zWBG5d{g&2{lfz=#sAU#7+3R{6<;R$^FO)H&XrQ7ybYv2_?=HvdJgWtz@Imr(E}|_p z;b&y>c#4!^u^w69HYB-aD^k`7B7Qloz>D|Fh+$A_Zyg9Fb9TDRCU+7LE{pI+x@m+O zFg9gake>Si4GSyLngk{{ZIDpBh1SzXY4+jwQQMfKyh+*?<*f-jBpJy0AQSesEAcGy z3ShSAzC`$XhaG%lNYBl1#4y#1BXh%->8x%%kDDi0tJ= zzpZhxa$P#nZ|AW%bF4!ct&H(0HztH!PB38Tjz&<%4$HOFqG)~fVlMScDC%=w}S;o~;T&9b>(T;mX*BoP#`%?+^vOro`+{fK6IXs*^rI5r2~%{+~fM|i~5 zyIZXHqHE%K=M!2h+?k?HT<%K7&CPu+IuXUF;MC#c;VrTs4(+0>T|%bDb&EFh2BI;#7qq=-2&t5uPd^^{h{WY?<44vDh}Zv>#x1!> zyw!Ty%#@jk=63#a;rl8?UQ#JpDe?>57aubpsA)zE&jMGu<`&#KaOvbz)@f9Kskt@6 z`WzKTLP6nz`Y25}A#-*E3(@xO;~npnN1lSidO8q4WyV||UXCL3%Gb5(MeC97@^*vj z(UV9mr<2mG*F(~i<-*brRYn>L4JSIMJwrzC}^SK`s%p}g$a5l z(G(=Z@`AASoB4LaZ@JrUcZnLsh5O4MJKrRkmWbh3K7#0*embw}Opv&Ty-%xp1gV4C zfu_Sm)ALoHSM`fEGTYZ&c`8mgtww?pT(g&vGg@^cdW9?U1YJ~i(>WslqNnffPZlUJ zA6oHip7g#3N7-}fMp1N38l7qhC|-5p=V~$+E^b&l_~jZKN-nXnU0XqV)43E*gAN14 z^1igvIG+eEhV?2N34zI40cCFM9i@_*QP#U^&`tCj$vyC=8gu`O^q!X-r6u)*)05X~ zJV>%oRMjl151v7uto1s!?E)yEdvZxHD+Gn<4QCG?!@vYuC)f~y?K+{UOq@+b|-3^lhp^YAt4$%DQy%k-c^Jw$5wdOEWB zSVDyQ$miXvv9?s#M*e2&Mb4~WD3m{a?*#EJ7RyE=(3)a@kyNiThuf{``K zcW}Hoz(I@hLC!{BmjP5*?@fE-Jb_A@8hU{nNvI6us%&QJL={zJ-N^w~R9QavyeU|S zs>elo`#OqIz5jNHNVm-I+W&p-|5^Ee9Q(g_c>lGv-`=HiO^N0p8rHWvHL}y9TIMiq zxz|~gy}tfNor~zvesRcoZk(Whxv(1Y6kPz`KZ5*sX*w4S*IZ0Q^7huixwHi&Y~i)OCVijy32Q0WG(JPLe3Q`ujUoPgK6I*d1Ok93$8r%0O{0uHaZm@NKunG5qDP`i9)ANMuQb`o`)VW zogx}i;j`y-2rDv5i2dZ({@aMKkebUOcb%{|*RMsi2cWYqqETY~B$^hy;_safMs4)> z@ZOM(sCdL2Xs5q~QpMzrn>LN2p!EE`-Fn2&$YoDC8byz+Q?b5vg0|!tIbk-S7=<)i zw&x?`5lCtanV!E*valD;ZoGa+@{&RwMnoI0Bibr}$5XWgkxAA;u50-b{!?^h4fRQM zy*m{m$7YB-A9cI!hl6pGuYu>p<;SSv&tm>5(uuOc7qS`b?@`o#NbP_ydB#(IZCDT{ z9Ohr+Z=9}JBGbp`XX2{^MB{yZMxdW~g02az&hltR(sdI%27M01KWTomHp>~Yu}7sf zj{HJ&f^q+CCG!8{`RX_$Q66*~+&$7FvjuK& zq_W<2Bnrt^KQv7PuOi`#d^GB=f2SXzY;pi{^IqS zZyKjFeEo{f{MZ_f`s)aY5JYdAo2Q}kQ=*bk(4%eqe5jA z$%XxKP9uy+iRheantF>=?av4A*bGr2WS=%f9m?jY~DH~Ja;LU zJ^uzthZ%}%S1usgc9DIO_`p;AcivIr=0mFW`@%a{w2;O*9p@xJ!#7rX6u% zCtdHiw;(}Zb|yoi97&X~9WK|pBiX!va_ZqZq*PGnP*!IlwM(h?J2oTj66@6#N)x1Y z=nuxdyNmQ4f;S6!Z|Hn@P)4hz8{{Su_L+4nnL z6hL}dkX^9(6f%yAZcU^hjFV@r42i}hV?+1pwynB6uh+ZLU9o=sKaqy>wP26HC1HUa<|)`rPy94kmi73sGAt zcPt`Jjq}p4kP^b_-%w9QHAl1{tZRHa_>f8cpy}c81Trfep1eLvIO}q_)n_b>tj>+Q zVm$N=J-WpgwbYG~(~Q z(~%{-y`-vV4E$gEk*d~h8SPCxBagRqt(sy%`shoak5y90=%jMXzD|1Nm;+aYkM|(! z#GLM#O999lx8cuKCi%ezUgDn@ULt$AUvla!$&(ZFz7TkV%R-?)L3YDJYx`sja!w8<>M$Nc&ds&E4!C_oE;l{X ze6|vD9oSR3$X+9SV%&rEY!zbYmg5C1#mKyZt>gBu9wZ+ed-2Y~0cm@>TE)gTk^Ccz zUd+M=9x*Vc8x)Z&(StK1fPRU;^L<#qXM~2?pemin2 zbtfIW8Ii}QuJBf57qi5fTg3C;%v9U8GPg(5LqJskp&wfr6&sv4Tn&_Z!kIy3|@8;>V zG2=)NPX5y1K=vxp2io2)6TgPNf?`b)VUX^BWUj|mgS@6j%^|)=DA<LZ-eW@+m9l1;irvTWGdPH_2q53GJr%)p6&oAvPXZk+r0DX8borP_uQT+ zhcL#f*K{o>5V+#`;wYK_`?R%3v8*zN=YENzY=scG9gn|0k^3HwR(-7N3b@fe^2~mQbv^(O`ED;07@NVZ=b(S^m$vWrxi=dIbm&SH7clsv=O<}B4chyqB@?1 z+wzFLOcPM@VGNNm6HD*U(j&}rSszCO5SXvy{XK(lbJUK~7l%y1GdJa`j6d-aAX zEmwY4y9ovJb;?gO*jChu_Quce|5KU#Qw%gAP z;khq2SbJPYaM_aXyKp7={fgzKKJX0Q+oM9wYKZ6e;ZQeo#|yYHm2H`|Zb#Q{tz>f@ z9o(T&dTq8V5Vflgx>j>)p}a#zsoS3cMP?csYg5yayUXT9^l_3sw$V0f;XM~pZ(4E4 zjZY)Nsn$(;Pb*?}sqVR(;D(6!^0_#^RD@n|7D`QcLYBbwl`4FnN#Bt%YNktv#IG)0bGe*|H94$tF}{v4xt~$`hdUz7ldqa{S{#Ah ziM~E0gvHnFc=du>Iy~=O`qs38c(3ymdd`Nq{I31~==uNV@%5E7{kh-&U(S7U)KgH* z{`LAl_T2a9*QY*zM+%{BF`4abONb~RGP=l0?qz!~O*c|VA=a=-oA+`s;?3_@+$oJg z;u#Ibr*kBCmGZ-D=YcCoi83ru-AwM?L}q%A_yE%i)EQoiYLi~=Hf!G|(tGw^3}E_2 z^zRC}t~(R0$lf}|f%1kuGWklb+HHG`07Z#?@kDnWcFn@8;tR>TfaIkY-gSs>%Kq?U z2kCjKLY8QZ!;rAi@>bF*^33^ltGaL%VT3lW@OSyJ7pdo3*1|;{X@;%Ymn;lPUf#q+ zyxumXSI~%Cq`X4L5suwVtR={34O*7%BC|^gqu2)`#2=T%$-rMsgCL3}y?ATFtvz%~ zVUn&HQOqglFJ@68CfQMzcKsaUX0+Al!ZMJ!_M(3fbusz5=hU>6P9deA@?BF2CDNo+ z4%2U5fwW&YZds4UA-!z%=javW^Hlgpi(cd*V`8Lb@HFQ%9-+v$bE)IVys!6kp_Y&65&Xv| zsy34x!Wjd_?IeG2B9S{KFBv(GLhcgH^vI>W-zrU8NwU_m)=YX+A?_^u+v~4aATeOU zcXGQhQX(bPhXl4Dt$MjHu1*6Pt8Ub`rjz`o6OE_$zZfEUj*d!PJ4TT0s!+d`X@R`2 zS(MKC{m8vMs?+<{8F^y-%+Eh@BJcZTq+;J%?7CLq!s`7>oGuaPETRl9tVrUvMJlu-v-I|DL6$+9zsza=5pQstV zHDVvPhZ|3D5#~qG?HT!3@Y1$9z|mz3-^V69j+lN#z{hMm8Fdc?H;I~EBzpHSK3BQ= zud)c&d?(gDl7a~HiTQRZT|`>A?z^jg0Z|u@>ae^BLbT|H$<0Jl9KFb+U@PEICnz8d+QqoCrVIU3lBdX#_4& zeI9-I9U;RqzIluB2y5EqXm*qx;a`^?m-kd6lGR4}E|(hdfq!{?dxZk=?C``aH?^t)#C~0ozLUufakZ~ra(wAQywU2jr{5D!5521C zH*OasBt)L=HOPjKpZls+xQ_tSjyZQnSA?w8c#qW-2rKq5-Cxs&2ubC*Pse2tS$LGm zg>wwiE1D0v9=eMd>BlKIJE#z=SNp}G+@9p|#GV<@v>~6v9J#m69|`hHd0VJ2A(6wi z^;8`T5}#^kuKenaBrmJ!&ZQqn-daW-6Bvu&9;b<$!Bhwvu{yPq#}X0s*K(Pfa}edk zJX_yIJV4w_RTHssgh3Whv1E1(@tf&we}%k2f(5Vi(ZrQVEUMDm;3~>^VfoM`@o6L|8dW-yOpzJyGvQ6%zia<@&;PGe z|Nn{FZy$(hS-rsn4Li>n?amNGwdx~UZFysqeemMhB+!7uucGosC&>LV$C@H!jXL3@ z6;W*2)Q$A$ydbTmyCnaeGs;zu#4k=Mt%@7m`aXKmIV=gLHo4sYw|ac!oP30v<7i<%KW8- zhaYVcw=r_(93rOkuO6QjAiThj;;~J|2riM`C)v9f0cr{N!{V*cF+KBeNcx3PWu&H8y`YnWOWT8$bhVbkq7Jc%MBT)88 z2)kM^I$LhK-(60{ot38V$AqgH&ohfUoUd9 zHdB3k`3jk-E63m11rff&eG^kTLnQyAzN5f?k!Xt72k#r_L+p{Ccg>Bv5f$9o%inPq z5&P0~$8~}b#;G9LS676f_&3F})@7FhSPM5yFx(Th&OE2=2L;MvLSf6z5U}Rv=}v zZS{aS>9u8)w0gc0z8uwbvgfnCNU!3H*e@AH7>+V;0uPdm#rMZFcxXuPd1I4@N;lD9 ze9CK3mL$ySD~E+7Cg~AW&l-_(`aZ%RZBwZF9*3y5$G>hd5XPgP_w>tVlIK?6Fr^zS zkHi;6I(PfY9^sMM3zZAxd7fTYF8(DNY1zu5;yc@sZghBz)1e<3TOuO}l%4eWK2P-7 z_>p;@t=Bk?ut4WN0#BQq72D-WR1E<=oXWlKc^^(C8GI=$hT^_^s5okDH9Jr zsS%{`kxk=n^GZm_qUv-lBV3*V79Jy|CrBC84D5}VK-xK*zK#Jha}=hIKQKc$^*>pv zrG-x-qvpkC(}Bli&i#%5gc`rT|NqlZfK=IwcuU^5HkRmt-B2mV5QofYfU6I;SP5*U`}dO~)Ux7=SD zG$Y-%{t#LxIfp}wEIzp|9~d=ByQs%Dt0s_sVKvCgKM zGI`{4zxZ|Lwg~b+>Uqo=5k6ya?N!?BpC~*(k)s(v*sl}@8)M8rq9~fN<+a-7-?jgD z&;M66#~;H!E>hJ0qvsd>pFRKI{QiGz?c1ndV}Dhm0mtQEEgiRx!A)1=%g@!p@UUI+ z?vd*_yfiL+drtiJJ`CM~TXP2Bn^ZF(zc2;=HS7tawQ&fzbhq)V*DwMTt~FZ`u7A)i znO~YpObEWGX|{X41w!hNZc`VoR(7f50 zV8K5w_Ej~4B#s9PakwD((%_khZKVjYIA&SJ!G};&=2K^r){;AeIeRJ3VT46`zqfsA zfv_*v#ygV<6TE=+N6+2^aM_hRKNU#wX>5iILnH#>8GGv1%Hmh>_AX`GsA~sb`Q4{K zX*t4gT5f9pFkw(%f23=;-5!CDUh2p>nj@I&w&!DsM1&~IZM?B$fl%YaKjOtcBkVxL zpw@n2(nH@FJCRHD1soG)9~a*s+%5hvKf^Y{#-?fOdO~=Idn9YLRUF_E(*4A3>3}4E%gKja7cBAV7S-%2HS}0^2{_6iqpeV5#-?-);2}5~bo>6IX}O z{t4R4gn=FA82@%km;&Kc<;PU@JILpqdf#aL3E}guUgT6n#QE<{wv0A#4bikS_Ie5r zgSu$vJA`%KlYeInD`2#giPM&sPg5gAlMBa!~A!K7#Hqr&73|LddntYvhDS z5c;i&v8G=iVHJnp((fmFL2Zj?zVnI*|2B}djpzy^{49dZpV1?7O;yIe3yX;KTkKga zB#y{M`am82Wx^3G{5(3T0$)~Y*~cT=BxlL}z^JeT0*4mdQfuWALdhKVxM~Dp)H%-s zi|--qTY!AtIvs=$-*)pmOY$8`_P+ADLEg{uN5n2)Z$$Ck+9bD~Fjxmv&z~`~L$rC* zw(wCN#H@JYWTeQC7(WjFqa=Samg-BH*|XN)wg0>4|Eu`_U#R`|nN_cj9j`!x+0{sj zb6Zedcvpa`T@dAJ8xqypHBdC}vV4M_XekPBx!7`Jag^OK_ZG=GqIe-hUg-D$tO6LC_$vbR%72Gc#|GRfP@ zr1xIGBZJK!;ic4R+T``nxl3Dx3MkOIrbpLYWdTi_tSKH)mZO$J`Xh(FFek~bGdc^_l4v!y5wH(>3KgvHDlGJJDD=cF71?}}O65qbNq zJ9H9t%o6g06dzEj_Ugl%N*$E(Zb-~c%SK_wULi-SXp+14Tzsu@0kYTXtrcOFL`L%S zx1VCnkrp^xcWyYH_z5Rx>bot;{9S&0g)#?XyHw-zNVrAxwXYF7x!Mt_uG&BsNR4pE zvyKa6Rd}#NWpsuv49)xFHRlv5aI?}=cJ}OcRMpTp&=e@4TqAYRoHiB3)mcI8n~8sa zz&iXP$?eT4USh8=CUbYDcAu}ABrkFJQpek^DP$(-Sm;^qj-m<=Q-#3`~U{B^D$8FxWWg_Zh4C7t8so%B#A3guS2>nFE z^B0HrKYe^AX&{@?&Tt9E&FzJ5^tlB$P4xg#LkF3R}oS} zg5vQdwp?K(+12=O3BHaL^QoIJ(=rgdVIQMKT5KrxK>UoHq{}kteRiYhZ@=KGDBqadh|;$36aU7OizM3$ZXJ3=fzzEqC?MI_&|1)iBH_#(TDpWWkZ{YOj#+? znE5Fb;>kW?rOmFbx!*|-Oqa~Yl8%hZiLTW_HORD-{x(c_by?g4bCgl!ZqeFk|0IrN zS!&W8-(Ns>b+2OhPD*+rM|V)m&8`mNVfqh(pR*u()e8M5JgSJ}Fx|GUnlL6uZb~G) zqeil>V=euTGh}8ptog8+7ip7ho3%{pkv@KkAojY5Mn}(2B#4f%JHLM0^iT>}MpPQx zzKbAx>m;||1va7yzGMA9ld!M#zpjbl?nln&JY~+E#>llV46NQ>fT-}B$64LMgDOmcMMXl*YVsUa&^ z_$lpxE3(an+6wIANPd7uR7}fJq`Fr<*;xNf& z^1XI^mh&wVniUUkQa3=#Ci7>X#Euh9b<>_-J!_G{+%k~6;x#g_s|oy|xQ48%3kxPU zXplWlyEL>cj+`G;U7Ak4U%tsC1qP&So`nV|GVe^v+(!+soN|2tG54ObN|8U{)5;1 zC!gP+|Gz`mXW`5DMDf!&tfvkbuMLoeeN4JzyBjT>Iv!V1+%1P|-V#Nw5n&>1v;H`~ zeib}aMLFHcz1h=bgNTG^9$_Y@=X0yn5$3aWZpa%|_=xA;l6bHlzElG`8q_23ZIT*F z%$b9qDKjS{T|WF*Ymc|w9)*9($FzFt8dyp{*dcjn7B*q0_>Y`B2M4LO(}s~EaJE*z z^IA+1Zu{s(S6Y!gAa|=z4J;xc58%M!>!w zq30_&5HQO+eVySR0@D=Irm{2LD+>%!m^cBW8l=PtNyDacb=JqGt~ zn>Pgt4)8o*D{km<4PLz*ErQ%63ze`ilb_PV_v*e|98D|X7v^#`bzL_6vus|7zT1O< ztk6f5ndEaKjBk{@Swzsa`u6wh*byvgHFJ6B27i9+LmOD(lZvb_hIa^VwE{ z2SL6J%;mb|u2$nF3#k6*a9BcIH=w)(ytGf+oL(&d)0Z-;%s+4;Jl1@u&vJz%gy!RnVw#Idc-6%jE_~RI&=6+l;NogYYP63_3&S8XW zwNG|6ZA2%>8p<5$ZD=}p$c91h32Ju>Z@MQkfr>OP>s${>k}1(MteryG8SR6VK^KRR ztMyWGqKz9_(Sy`Ce2A|=KGA--qY!CY4~=WnE0L@(>2r>^2nn99y$`OvM(nTFF+=Al zMBk74`D0iOkv(txY_8WKg27scWyTzx3yek@{++mU(y8V>>n7A4*)zJv`aAKWNPFJ> z8Gtfzk8 z%+nu`_$_R}FDMG}D%v?Vft-k0I-A*vhlrY@q{`LtMI@7ZO?wju9!NAIG1(u@at^ry z3+r%mIxEiS;we-u9Fr07yoB;}7h!oi!VT02qBBt=JO=kW>lRWUAlJ}wV>quX$?J<# z6*x=!o;)7Odr`_n!))Rd7-@_Ym9C@%(}dk_%R4yYNca$+A3Q&0O|;>4uP*e&bRg<> zVDz192hrU-eBH)J3N7)Yy$M@Z;5M(&-79TwsF_I0(8=&ar7ADSVGm7`seN$7!PWxB zqTkw7+TF?de(d?$VkYD=baFN8u18i;o$YBoqFK(65>c5aI?QKi^B``j4Lf&z}B&yYGwrU$ee{I#_=4x0C zvU@pr5{urFnb_R{;n3}fG&{I%WqKrH!sC9evy35sv)mqnkyST4|(DjzAUxaAIu zwjgb_^j0HZ!WQ`vdvDw%rH)X%PVAzBokqci^RNzYo5oliJ|5&Rb=)GgeJ zmPvzIT7Uv^uN6ewh8`fXM)UJz%LSyIC|k)=N%WLT&o%Bzry<>7o4^Mz1rTs}>=gG} zWU2@p2oTC3{yS&63-h|j`uc5-@+JBGma~PsWxFEh0(H*vRbS*Rbua}LA46`)z!@*A zqsU{eRgd5`MYQy&xe@;z#MNoJXK!Ib;^?T$YnMW#w8US2<*^QFRo|N9jVO>llU&=h z>l-rHZ53bNNb-rcbp6`6OowdNdq!W^6HW2Yqve|O8<6v`@cCvZvJ;L|H8O}JT3jWa z?=AG?e*T>_A`0h`AG2?PPIn6mcGe8&=WRuz-^Ru&{zRmFEOg>LL4|ZiwW-R5tH=nH zz3ZnYfXok5zY4f@$Q|+g1xD#5q9vwX>(ooMK|XRB!IW0W3%%#L6iT>CK6jf}iXKFP z$=xUgvQI5Md?jCYN(MzMyAIY}t3*-5#Yb9}gxPX+k8VX3@j22IDrLN3{Qva)e-{3~ zczk_-)%O3*?$EU(H+=FnoBS`HANls5x#KgP)$dXyj6+N2sH&>$Dp)hW{W#3W4%<>) zDO%#)aiHcslj)X4_N@2hSgp9my9RNoHnwQ*5RQyuNI|Hl=P&7#Dk9-ma&H zW$bf~SJfr3j!R5calZ&ViPYvU?hH7@&PYxnQ?KOr!UOO!;&SXh8ws!JMxVZ= zcz7G`K6i7<6W52>K9!m7gVmLtG-0RTz((J)ZttZW*sosNo^^Q}94$)CKAxL}bB4#< z{Mn~)%{gACnrjKS=Ivv;m)5{NX~?R`h~)klZcu_IS5RI=n18 zw04a#!h7XR`dYVnc!zXOJQGQT&l(A-L1g0IE}-$=Bs=n$%}I^ zJeI!8AO%j(E=tVJkvtxbFzeIC+;EHiwJMj)4&8%4O7UJ!B6&y6z2E#v{?D-=JFl{e z!HahHAyM5Y@VYhdVm??O-j|o>**;If`)5`Kr_TlW1Q+ZPkYa={bEpUZeiz)>TNL9h zF$P<-LuW1@7n}v@lv(tbD_HQZ#)oyUWd40cLgx6}g(I*T?NN*+Fy<28hgXH&mr~f_UiCyqq z;ux1r34=E`ZPs4S2>1x=|GXVd-dDJhTFLYc{IU`PZaMFX2eaw z78Ytwl$!PKr{BfR^lK11XvQkYLcF|f8>hLW zi2vbJ^39#`mUti|wI^A(2hAGdA8JOQ`ZyGcM8=`hh9SW7+s;f#Z|NvWimPKU5VSuZ6@_1&r!R9 zk@XxK11f!`zOLW@5M>iZ&zas1qqrnxg}BB;6lhk-p5r8*sK}-IhxRW>PS9fS;3-OE zioDqT@Tnv2fPwC9{2I=ayJf-`C)BE?A(m$Qu5%TR0ed1n8L~4W=_`4}0CRio%Q&a-t zH6l`k&YL2!f7b2t&cef)QB|3{j-CGr1xDmJ30Ku7wOLr%bkc}C%(3@2P&om zq~~67R>mV5S&X3?2O^r0RT5d#c_^Crzqp2^eyk*OXHjWoTcY`pxoz3%-H))X$E-4Z zvJv@#F{II(>;}2TlV*lU&;QZvGo?i}l5R+xy=TdUlm-@aQQkzP8L{y_*)oE39UY!a z$={HH+%@l;iGPq$a%J*!No4laGW)4(Aj_3q^2J56ljN>$S+lPJ*|h}+Xg~5H=g9mg z`Vng6G^b>%_-7(~-LDLLtCNW8VZA@Ko3JG*7ez;zP9WjQwI$c1u1LPp>F>evjCfaQ zwu-qNLfZ5dTmS4|NPj05wPBYvGWtxO9+4uPyX=8ecZ1rIWkMwIGxgw@@?7HY1tiV#S@=f`5lqoWPVTi`6ts*R)+4h%X3X8h2wCwYucnsl zFRq-+6!}4moNb$+XhWD7eUWjVw4=ziNL7k5euX@m;mex$$y_|Fzl`dbFQS_Rd-_FK z5PzCc*zz7PlH6lso@J^c)jT4Ut(ELC)*X{L?w5@W#o(DT`!&e4+4Cu9Mg&u6bh!i@ zuBT!QomH@XKToxd<|gbzC%AuFynrK<0Jnj_GdNjN=GCPCf^(fJ`{g&GaG59-xKeHj z*CmG=Psq99=KP-Kha}MqeHKx3ZYMr6-3w29yCvX$pR>52Y!nD)p?_ zXINf#T+Cx8c|b`=9VPeiz$Td@>g$aQurq7xDF5&d4jWarMm9ZyW145A@*Orf)9atN z-_l9!JWf`lUU6^@P`_1lGXZX@ym>)PZ{YS=;v9PcBit2Fy!A-0fqN5E4bQrdB;&Dd zP=lWzCfvN&25dIN+)Ly82+`J9t>tp}_#Ou9Gh0mtyrf~PI>`7u&jj}ThRq5JgiSq` zbj$Y>J^8t5`B+aphx6-8^`q9-aFv|kd(C_SZc^8rRt%dJE5YIYkk*47+{bNiTkz?my>J*n%PyqDa8)TxHrvb*87D8F-h z@Cn=_)c1TW5Qhh+z0SI+MtG#)}#9%Bq!o6>dT@LQ;i!aQm^7 zQ*YNUxYypeOf|M29#>?wTiA=>Nz-(2wR|f)liWA>tSc(@8AWcEC$tuD4&vfxK_?N0%pe;Qio&+ZUp{@G+Yb6KvlK zU+QPevZc*r9}>f}ifEGkn7f6ltgL?5{_mdu-^Kr5U;7=DQsvdBGSQHEjA`Wn(NWJF ztZBPLvM4hwBCoEQM{yjRb9|W;@~st1PJ20#{q2&0A@4h6mj4(CWMM^m#4}49{)b2n z-*SDfX$O*A4MRBuNzeaynSy0=Fk(HQc&+MTMYOHn(#n02h|IanB-W>e2-;5xvm5o% z;hyt@GQA6p7tKvpv*Z(AUg3t%L_c4_b=M@VnF}S?qW8Bh5gzTn!Iv5`WKTW$kvCzR z4YFir40xAMA%k`9a`s2!%{aV{hasEf6fbhskqf%fLQ8XZBlfDh+dx-ayM}cBDFf2X7sqwMcopU=^=(Y=j_Z~!i7+G z)vnq9b2=((Q-^<4=AbMlabA%y!iwUh%(DGlNH)fS@sq8uks~Phjdtw^WIo&{F7sjx z>3yw&(ykpyV^3*C}e0z01i!n2ZxhUU#HIf6}@9YOcnb^=$8>@9&^f_)DTT{Lw+TL2h?$TZ}lAD*^ zvboXZG2#0TX6ervq4>+xnRkrZD9FzB?2IS<)9Zs@yIaYuF=U_clR}Lf$ zGTI*-B`J_5`~7C^zBD91lJW4_a~+8$@~)RZ9YDOaK2<)|!QZw2A3guyxjce@`S;DY zkJFhS9HTS8I7VmuM?WCP{Kv6RG5b$vcZ5biRzEb#ipV-?|Fs=MWET{&Z>-Z0@qJ&c z7s%W;N$tVQ{7S;2O#Ik4JNTXG*p1E}k4;6o{FvjpsSsrBi8pkLZbim--}jk$V#uu3 z?Dd{>LY4sw?T=t-WYey%k}V>)=tNuJV@{-Yx5K)7l_hdA&exx`Alw-90UcuwMnoz2 zT5w9NL~Quc%Eqv*NH7lgdhPBlBrQBi;X0*-)Khaum2Y1|TIHUZUAmu;URVW}_6edj znW&2-`EQw*+19P9pC!3Liz~*j*&*w3KyRPVS!|(9dSLE%`^`@tM&|eVo2s zs=gaJO{@l{3>%TFc-8h!b~th$CC?h1A)2ONqGMJmgb!3f7jW~*bHvZ{o)mhz1xX`X z7wSJ-6R(mm9oMM^qj#`^m&D!*nTlf#!Qtz80HYgaNgES*5s$4$v^hc^*s zNViM39_fvpZg2S&P4w>ko6h;hF(bE+;*RBUN8}l}?Vk{iL*5*%?>^FF$MD|lO(Ptn z_@*q%3O~XMU$HU$s44?e7mvJWr6;^0t+0Xg?{s9Y(akz3Z$-SiGa29I$h>9v>Ydsg zHIk3yUY3&}iky2vZ8}A6WFA8Qsbi%e@{IL`{7w-5!p=eM2M-yE9&ns1_WW}c+@M{o zd-R&jPuhRV2^k_OVRZbRJYj{bSu)(xO6CP+b!?|odXRZQ%%iXv$V#&~Z+7$|vOn3! z_Xd-@%kIgI4(1ODt5Y&yW~K}I7wFwzIIko3e<_zXmD?yhI$U~KSR6$ho$I~5Bv3T5 z@0e!TPZX!s)!t}MM~Q-jNfF-yNvi(a|ID8Micj6%neVwUekwS~Z=8YavO~3{DI3HNar1pF#8lD>u zA3PXLD1?plz~03pZm~NMjvaFS#0GADI)4SSS;4&nWZkXc_SO0JRSLeNPHCI0N zXCe!&afS4T3|gXC)KiZ6t=o59+HjXu)F=q z#ZYf0?0*Jp4OjHSamSBCLVUO2B*9Y|Y5xSyqO*^Vjr@em*2qmPx>Rua=Fq!%hvd{W ztuX&W<`-@UYv`6FYGBm(wR`%+7Qzs}-g@smVOob>+`1+z6;^(AhVPgOOIo!zEy!y# zY`(8pf9XR4?3}AQ6wK?QQ~;6SpuB4+ihO8?JJz!T@HD@%OKgD zqMzrQw!-yOsJ_kEPq=xV*hHfw2=|p)+o&48!QJ^nzcyilyMNH)k=D*78nN0nXReUH zFOCszSa}L++PISWZ$=zpoM*YjA^^j6*xvPE!-36gtOL(V@`*I;7V;OL@mBd z@_6P;9yQ4;! zoef)G+P;Y+tc|Mk!BWQ&+jioogc#XhH^?6k5imgHj9WIPhAbjf=#`GsO`*ec@ga+^ zBpR;-%pCUFkD4H!6QMj?P{HTFQhJCy5Io{GT%RSmddG#o(UHuj+!gias@hwo3;sWQnAa$Uf(VO#Mj1fE7W^ ze?P+bzE}dYHfZ&%I8fK`DO4?PGl{t{wOSm2J%1>P&}^+KM1NWk3_!2a6JAey(H=@@ z)tk1wapE=9Xc-cG*>5p`e(9|+O%EHl*7D^gOa=Q`T-|mn60K;#@Fg=Jfl6_XI zWD7CH@#Vt@)Zi)k1EVsA?a-!s`r3-+I@H^ExzKQWLsdJo;#9&X%$9a|B6Z*-6jw#8 zv9|a?0UzZV{pshBt8(3Ab|11bf5fpBY+3+|7r~yc^UeS(bhnX zW?OQ1;9;lU_B-q(4ZRLofpKn`I*-8JX{WP1>-bhofz zKgQBO`x$#}22r(1XAMZx?a#{@!}Ep-VlOmLk)3FS z_Lfk!jH9=p$wjGzb%_${WNf!68P-EpgH+zxo1{>|y8YI^>0Bt~3N;F7_khAa?dLBx zaQ0x{O+vSE0&*Uji4aAQW%fwV{yC`{WbOD_wNc;=nck~bd`sUU{nC%s;M@^N`KoYh zOc;5D?WfZ9Pl)`k{eSfQe-rx4|1Y^bc6gKj&-bzS|F>szL_QEHags2C*qP{kBE7dD zQUA13e+Oo4X_ms3GiT8kPIHR6!U!^j{f5^=8-O5hu3dAi9;>DS3}5OaOs9nkra z^B$rci@1;Ml!Lh7N&0VhpFrYIuk)AVkdw0-J2vgu3F*11Oo58X_6!P`KTEv@2)S-m zO|uKgr{N(h7Gr_zB-PhlnctD=C7w-riU2tfX8L8(4sw2SUuu(RgWR0C)|DMjxPQLH zxhM5FY<16Eb}Gf^S2g|%`jofuWboLo(#nm(h11n-ej`d zdJjD-?jkj<9jKL6%&ZJfgp6wsz`AD8Qef@0O;%Dn)Er}jL5 zo|q2__9V{K-fEB{N$1NfxF6Dqgic2>%tHO}uI#BF@&T3osm@B`OqIb|kaJ!PXDLC~ z)qt;%v)IX3u!`p;n`T;Hajwo=JU6}mJP-2UCW+)}?1h5Dk3J2%)S%GPg!A!-_fW*y z(G#{_3q@T*)sJ}fq1doc`q6vi$LoxNW%?8@mKs;Z^olW zNwUUhEAokHS5!G)yn_78W%`$Gwm^Ze$+lsZWGGCtJnzc!6N<8_9+IizUL;P`FhTDo zlsK-e-~V+MO3y`01us2-vK`G@8Ch&l)^vxEk*o;iN3%cdS(^D>`+xWRe;4*YbbbFX zMz`?4J(EjIqwF%>$O`Cd@pD@vSN2B!Xa+2pY6tB<<1t z@uw;nAHB>nl6?nmEoh$LBEAOG=(Qe+OP*j(BWIReYXKIPY{p7@pTV*yyKu+jHL!X; z7NmrGWb5(R*!C7Pu(5OeROcuIHshAFVG<|6R^9c$gy=W0t-Dw-I(QSbTMeDf4eH=J zJieIqiw6wqrw!PV|6_z%HlcR!!FbgBmEV`cU{dn_VRXMDn5ipOt>$b8^M0FH(JjJY zDS7HnuW=(-`934H(vAb`T1U%=YrbG(%Cg%HeU3KMW}(|Jp8{LMf!k{`zF_-GFfW%= z2J9sF*$c^!gWjGyB^NwSzzr=0qk>)!Fzhvx;NC6@##<9FXzY6dCfh`s4)5^*(;@Dm zpmQx?9`-=XRB;I`*^6gBv7$CAj3X|eQVXm+kBBhv%iiFf5+xnV>!xlWcK1el!?N zP8}d|lkEeui%&R#iU1a(=R|K%>VxHiX!@-|5wK3!(KM=H1U6ymn`5!Z!S>9PWTr+s zu$_2naU${>*!dLLe_1;R_Vm)@RCl?-KCz$VPK*dRFaWch!w5J8NMFum$b_4o^D|T* zFM$cmQNH5`NWd)6!en>29aua)Iocac1y)lb3t_tW`?ITmAX8P>n1qfJ3hEC-~c#XP|YOVQU<5z zGhUYDd*HSb&x}?B)9>2<-Shud{Qnzjzl-AKIJfXNXs)?iw?Kn?cCx$^1m2TS(cE1M zVt1kBwezQtgR4-`C@c~}Ism!hJxO1-8Uk@Et&m3lGd$=1NPAC=9x@M}%?L{$fV8s< zhX~!N$h>HdVCFjtiP{-?t}h25{)(Mxux=;z-0Np`tQaAB(O}@+oz%ZA!idH2>Mj8G|chi+T=43w5>$Ygs7GOS!P-Oql+Pi>f%EN`j5bJO`J~df?;<3SJ1}eWVK(Mbg_$G| zdWZ>E@}#2jgeQXZ4%(fcpw&{ejp-5z)EU3z45TuH$}gc!RQAY)fBEu6t&u9`&;81J zqHGEItQXV^IHVxQB!uC}Ga<-6@|{|s5xIHib$$d^=^&GQRCUb?HBOO2ITp`%LNbSZ zGP^3`M1JPaUzaq8czVN@=;v7wdxPf3Or#Y&rGfE|WF=@b@?fM|YlM2={WA_EZ=vdK zi5x3cD3muTJR}pZf#R>%zg~Gn1qHVre2v6x&fMsiEOm0Y+dMh_JXA*nvSfy|L^u5) zGje(#wfQKdi+)jds`vsaIbVzQJ3pW=v4;E$&t*t3YLlukKt5lpsFU{m5OiM|>Rc?z zgZq|5*6Q2F&?wEV^P=eh)Lh9hpmdRgO3F`R`2$o?24BIIsvU|v=x*ueGD5yHhcS~; zIpi!u-F1KTYYxo&-6%vZBc+V?W7QLo=`4J_pEU;3g?;uGvr9lq8+G^XJ;)(Wk|CuJ zcn1kZ?_BtYZb8rbjiX0Vf6?9(a3dvZ6`E2*7T$!bL7hoVr9VX{RDBYsdi=T)DirT; z+nG2ErT+f!n(=*91aF!yoOuiRfgH5kn6E;vq}|zbi+KKXX5YMZBx<~asl~bZu}|Ew zu}4h^S?0HlmA6V;KpI8gWUxdb`a{jy*_f%^E%#JoI{p!!~I&dz%n4#sDD2%Fbz4WMVv#Ta*%6B<)@$U z6>D@`d-SQ(kT(@*Yo8{BT9SA3^9owXzT(_FaIYB(>g?-(P!2)#M9PR|r8^|3T(8k% zeF7<4`gvv6Q6DGezB*v)i2C`Q2GC4L4G}xrGa=NE?KCIEdpO`e{|^7>)2J2V8cL8q zV+^^pz4UR@=tEz&5u!+_Q<+cX9o}%N3)HBUZQS)`eJP;8)Rn= zvgKi(95m$RvF%$Mv=tv#ZvDS&GX5(h6VCnb)8f#l?+(I*tPf z%VTFg`a2_gGx+{N_j^E$@vrw|`T{wPY!0Wq-a>BI2h~TYqsx1^C{G&v3-XH@g@BGk|*7T!u9iK&n4f2BBFe|TrUxd!TqPnad}A67~}92)6p^2$(_RkWSS<^+^W*QP0}kV1Jr z{jDp%+@WH}&Unl3ZcuUKfJDlb|9W_T-}nEU%kw|J_PeM`&R(7R0nIH;#;ro%p_Z1< zB=CGBR6Jo(jky#IC3A~W3~pDTU@*mZ$4%tLRdIea%)mUjBVwv87ew*Q$@S*s{szcY z+x@i!SzT$F{xxG#<&d&%qMECF88xkbpOe!LKzvKb8pm)u#I6L`A8WFJ7{kw1yzD*D z6_ULvee5{2xb|CGDQ-e-UWn2AkW8pl^b0skuMVZ%eV3Jpj!>9d z*&vR<%b6J10<6BV|_vGl5pS8qSXm#4VC*dIG;n@{wr*)-cerrH)c+^=a`?2vs zhV(fUrR{SGq(r{`S)b8;#YZ3~`dRAHIMnlLA0``iaKa3;UCPotc;9cgF>QLM45{n0 zG9f?NAUSejiF=3(60M%7GV^IdeDDvsUq&7fI~dl=_z2+XZpYWQS|!kC`XiqBGZ*Tk zu4c11mO<6T7Bv^rXejUT|31IJ3`*#yrnby}go5Y{R?BHq)Fq0~fH4ISExyga3?hLn zCsGqD;{eEfe1Z0(oDXvEjnMOt+FrItYg@RH0rsK6!Nfos62@}L41Xazb$^wx-|9Ma z>u&2TjKE!=gIm()>$T9RDwA-HG8k%1eq5_ri-5}gfv!T`B2Z?rx|h-_1d7Uc`B8sf zf&7vi3U6g{AXn%)(3;Hyk@1IqMn(x_Da`SO&&fe%L*sk4;Wv<8|E(c`cLGvXCqIpa zP(ZSvLRP|seUNxA&0m)+5qimN=N$1`*xrAI`Oup$&{X+;QuWaq)OiJ4Q!&1Rs*T6m z^9^ZGajR<8d3A4Z&<5lNtdfX%!~!u|=rdPF4P?Fl zww3Z`91yP4EAN=cYbi}@|Kny-NPFZJS(wcZDJ9IMN9C0NFZX?sKXZBhxWE6!tjWNTSTSHIYaT$=WQf)xop&-e`UvoVR_cXMi}FMAn6WGd!9yhgtNiG-)r zM9lCC|9mRn=MBUb`cLu-q8B)-@Z7eEdypvmI_J_?e@J>Xh6Ug zhpY6{%@8{L$;?|`9W}lw+&V>h5Mw`7kuUH7d*k*>IOvVfd$_(^Wfvq}+Sl-FA_Do52I z^GPh9N^%VlcFyk)kp>8AcHB2!a2g^qt!aejUPH8Ji4(cx5X3Gz+>>GAfrO(^!WHh~ z(h{VGNZ6xH5@YQh?<&f zH-W%Kz0q-CKM*{_gZ;JXPy_Vb^NKHWml!)Y(mKZ=!Z@TXZRZ(evnTd9M=?O0ON-NW znsbo2^N__F3_$Wt#sc9ViID2&>mmNeQrR$|=$%kx6_q5Mei;h4>%QKRNbyoChA9A(IKZd(NSs%fd4Uipl z{Ef>{1Q4$bt@0Ql(}T*{MXhNMdYgIoC-EXfL`>wPcS$4UmYz~0f5r=WOsD35{L+Oy z8(Q{wdLzhd=4W3rS@>Q1f9?8y7ykdh_VN292=VKeQN+&TDCMtSVJJ2D}?qio_%G%e%h>6z#?KfWehYHRe2(nAgYOBW^EuX^bs)ESgFb&9*;%_oxVJrufY_s4q2jaX>mFrZ z?_G+36fxQ*Jl2DB@yZKw>9dgOw6^0U;Uy4?;}>Wgx*@A|m}E`A9&?CR%O_IOffz8Z z*k!qfzJ=)z=I)Om=cD0OaR*t*wf0^mdl`f|_C{*nCJm6M%qV^I#2Dli%G10oH%EP= z`uOxUBE<9aswn2~g`~NDaRY-%NV}fOgZZYAdD=WG=Y=>Ba%gug>tjyNxaG+fM-pU) zU7))GypTikVnFybo^QNA^P={Z8)j0;MLiHg4beGoYYNQP${S>m3ZqhieD$0DpOBNB z-)=N667PbuNJqa9brHnxc``iZ^%IiSRE60u;kEkY9r~Gd{5?-pjecuj#JO4P&`%BQ zv$hgX_Pj;Sl7>&M9#Eg zyTxJ1@tvTxT(pL~)8JHUaUb$~YJ;n+7NPLiU~Q%J3KW?=UFJnDKyi?U8pDzylz6sE z*^n}HXUHS~#)N%=uJSI4KATwf@^KHWOms}1FGm#W=mCw|xd z-#!07ivRz(@BdG%{l~VoX09ZO(8O9fv#}RBZ8ZMV8&{a2bn1tD)}eIBzn4@0QIu0iQY5`c}_L)SDY0- z3<{vE^ol~lWl1Q|$~UcPCiDx5D7AYnu@i8zT_-*lX3 zCG~tDa^imdy*XM44eA}G6kvitUI`<~Z34&_>ZrT)!3n$!xH)a|{lLxoQEBUJGjutL zj2diFf#!&)*Bbj@K@G12gGwkLlxvjDE}}Q4ko%o@b0zxWwX!eraV7(SC?l?8jeU*L zV3$F8CM2c>*wCG4h1d%6zT53X5M_OeOMU?vns=_K^4(sBz;XF|B@P|nM;qm~%$5mW zliEp4`O)C6G2NJ|@DZMfD#ac2t%R0b`yhhMWvJO$Jf1u&3FR9OBh0cmH;cWrioMr` zyR6|_=lcnerTAESW~vmB0im+cTBEJ?jcX9+%;H=|Wc6u>h*TQk}CFzO5R z14u{Bp>6V&amM8asCV|sGb3g|<*GZo(_ze@L#+>g(*D>h3)zj3herSGP-xI^W&`^HNARQ z|K0cfK)unIt@L&fUe$f?(gnn`zUKK zB(QFtmvlhZ;@+K&?egy-dFJ{an&ExOg1mn8pdKTn^V~9cx+o19G3BHEZTyed%m$hZBGb<(6nsydFDeTfl5m=74GLv=8y8?oVw-LtK-~Ukn)KPHf9_lEknt0@zzDi5bsts ziqL>e%`ys~ii+&S8G&(t8vl9nl*#S0l1=L(*$#6g54(vWKg*)Ka-+Eb) z*h&%Ct}kI95~FEwGYb1F|8)Pv zQ>u`A%i;6&&^gFEz8o4=Ym7cWlI8W=ZIDk;U*0>?0R?9|$qbxKk^l5)W$V{rDAbrB zPTu`*HpkyR|6hfVE&V?k-Li`3&i#?gbCE|abT{HOm;O|M76w``FK zZSeUXSL$587Xr+50u5G>1$`GTa#vr4Fu8i>A-Z6Q=tnb&=9nO~oT7Q|2QrzQj|Lqa}bty*jd60cm8xm30n5`XkrtL=V=JII}Vq-v(%Be(U~ zvw;N&IR2p{M~D%En}BX=0tG&hNNv}y?bs8eSPxP~(pCr2b2wVNp z0L&C|ykRzOccP5PZr6Ei9n|8ST zW-g@tnzrW-!>qWK{HZIl8;~*d<WFID_x6eQ2B^dhUTh z3u7cic7G^7r^SQwJulxO`)~*j&gzpI>VPiIo8vUsq@np+R;EsSHPrm%QaN-Idrr41 zo|w<^P`u_D9O8t0*C^hXR*xdl7hh-og(4BMu0HeGj_m17Dq_{8uw+O*N`JdS#0?VP z?b6k|g^Y@o#e2_96ClQ^;HlY_V2F}=CRItx3gLwh=n6m(b8*R&&xo7rIGJPdvCX3-`AL5H-{%q2Yqb#9hV* zP<^^%PsQeID1Y(J?WoT@6i2jI6(2T){CKICmpu9)N7wGsDMdBNDizYYlV^!s1!AYG z8SXyk3f}b^--4tVm(jh>8+dkXopzY931XR?Xis#vK-Amm!14Mfh-eu*_T`5O^xRhL zkywZ+_Jqp-yr1weQ)!yUjT3mI1F+Qu`;0(-ninc(yt64M#R{Vwl@xL1|D7t3!# zjPaS!GmmW{>P+C-ZZ6W_wf~Qv|L=H?U4LzRx^@_l>*6ti>&m?mQVGn6V!+Db71{dr?DX$3x?3r7j>iR*#(#A;sB3%1{0h zW=0jC7Sv=efb8RG93eCt__$mYmorcs#7BP9jASQ-`M2zPFn9=}DKn`}H^i`S5$s6~ zbH!XBTfeSOKS(ON-nq+r9#Yy(gKb4oCtns7HuWu1JWd^K0ANRe{-~@A&H9J%f~}YYwgV@ywKDGiuKR zWM>~3bgJX}3IxH2AI-=ZAd7dA^~=7y$nQIA$B-6=xkoQ&Y?oJ&h2EQxsX7KZrZdl8 zut`HMlU{|$vk1to_OCvqXMj7%b#LETImqitIQzQ)3nZ3A3{p67;C}zxp~YDmNU!a0 zV3N;{JK2Udf67?iEWX_ZHSuBT44ERterEJv={YvcWfe znI|Z&68z=t3qn2OF)Q=KOzbop1Sxu6B5Xc`;7lgUuCOf-@}B;YzknWuZ85dXo)Cs` zx|8-2&julaN?Ch-r4J(JHs>0AoFURs&GE%c2ka>u)=lSL!tJc{xsAxSc9Rw&rH^+6 zudNTe~Ir??;Z$x8&qd>SP4SJA|&bB z1|igCT_U+i8p3i1YlBLiA-w6pgPJ!15YZ&jndzv%J`hwddZqA%R5aJQ4p0c1iv-P_bIkbwNTEhaKuauDEYKqP;C27wuHw*bjev-wPWZJKmOS3S;s8IRNE)-?Z%mf zclnv4d;<6qtQ(J9;<1{oxpjMt3}=f85nVmI&z)FYRtu9Do;LT@DfYh2<>JBK2UvrD+aouZDM!FQ=k^Ov&y_{T3)$I@`^JzO#Z{>q&{!%aE&N z)y|s~hr2S6PyeI?1YMv0(A$_9^7X?Z?>_X;6`C-vOHf1Nn`TA@%mIwo+197CUj|~2 zctw$`=tK0$xczVyFy?HG|*^cMRPSJ|in1i=El&Ua)?%n9)1N01p86B)G0JeH?A zm;?3494@{bxeZm$o%8p@DpU<#&zLZW82u}ggda=EH}c zq9(YCtKB0`kO1Yk#g%!?F;B1Q_yb8#%=S>b^@y|=Su!q{isl88Sw<_e{k@|N5Pp^h z(uwIq<`J^vG5PNx?U2)Je(7dN);ie#!Q2@V2IZ`^Vlb;Um0IMD-l^ZU|Bs&k?_8eW zyuO*YI}$(R$H%ujOtIHTZOcK+YSLDX*<6OVS zf_vsCT{ASu{LHc>(G6l*#<_Srw|E8_)?r5M6t#HnPkpgoFdxtCd`!G{v$8^%Ezkl)j2~UqxT*o zX9a3Y8svFgMv$59dU0`>ZV9pk$7=1k-$2&uzHy5k*lSw>MQ9`DyR1_m+@anGL=VQ* zz>PW}t{iY{W$uRv-oZA#tBw%;tNn@FsvyLDCB*-_Hx7w@@-2^tiXi!YGh-gN8KiAV zyV2dA1nFzz{Ho_ZLguaMQ)!!GKmea$qSrylB2T9v+&=+XZR!CT7LOs@{9Iq6))pXA zSZT7_C;~C1cAu;z>H#?yeBRwAft<|SV&_TPAZm+xMUJL1#9qny`KmY&669j^JTZqZ zX~HPXz#Q{^RUSUxm%tC{zMnNSE}*7HK0q^qiyR0im>WB8{J<>EA3B!Cdgvt%oE4B9 zhU|j2T?JLYfT;84=e&s^p8t22Urfxv{NRsUnp-hTi-&JFf`1^lP)9|{FcD(jwLIT1 zy$cdpcBRra)GSAai2pkzkCY4un*H%f8ACCLSM+R)XX$wy-|Fs zUSf+{oeu%yFDj`M!$A)PFpVUumETTcr$#IN?!r=e?`-{hX`4I3RNP+7YK7N4lvEI=G5F~ZKZ>#=A2paP}?fdi*1bY^LwnB zEcm=9#o6Tgz^~T(q@0ot_}5g$s#48CK;{UWO!p+7ClAk&g;zn4>?G$b3o`_hkeXiT z6NlguiMBm&q##6Db10-(7#y#~N|6*K!kqwfI2ry4+>S+^lk>yZU0OlTZ0$67wciVZ zpYOrvP=k8IMP~4|NqEnrhPgFaD%VBdB9E>`>|^qo2ngt~l=EL`fxvt6hGe55n0u5k z8Zi+M!L}CXqQ%#7HWFq2L~<2Ere)QFPsBrL%u7Gpn~%Wx?JX(IdF zv+77_I(RK8sV;4u03V+>j8nIe{k^SnlAXcJ$jg^i1|+Y5AqPGdLS@Yt3Px5G6XXomN4w{ zfDnt3JAKiM5L#Mybm)mLguPR!J?hB_;XnGkh-bb-#A?K$#&@d_>C~4}Y(I>;k6x!! z?`9xM%}wmi-YSTyZjSI^B>!FezkB}w7XSbF+V3K@aLF@Rf#%GS!`g8Vpl0fx&8?xc zP?2^kLGQT?lmsSda}&m(;Q9vru!<4n9!_g(m)C*pnCOSx_w9gSMVHiXeE>2fr3XI> zi9zZ#qC1)I%3TMwo@0&|!_ddKPl^5-&lV`Xrm=lk><$#}GgILaWrW<`EY6lkmw?E9 ze7%+X0ua8t7bjihgiN|Dw%nBmkUBG*Y+xaSZ0e4;!!4M%S``=TlRFG?A*r)QrezRA z&|mQ^$4t)Yw+wdj7vTx_FGbS)1!&c9_Mh=U9l3m2#fMXVP2KQ;tbi{+Guh=7`se(Q}t)F~7Nh(mcm$XEnu?nh!4$Ur{ z7l!h&yQNW^vrv+=?Zk6a2`E%J7n5d>EEkt=Aq7Y8LJo@x`Hv3N9__4a@$VxA!r5d! zuVoX+FcjZ;5GAxscOf4>;f7B@{&s$?{zlfr_Uw z&-Cn}1cx|C*T{acwRHpeYL&}eRh^JIqSKmm<_>CEw*Pc@LynFHe}HOhE@Z6|1wIJW zKz4LSDcPnr5IIgHuRKTsV%Bm(ygf1}_PyC%K{*BCA=OL5c03S0VDNah3wJZ`C1gLQ z@<5`;2;bJ&2}mB^^XW+pKcta;ZK-prgY@-d%p}BqJXcU0yTNe^v#3t{E&7w=K6nRX z#1HI68}=4?Kg1cs;9_=5lL+SIEV8RB;j9r+{i!MF4CFAzlU-_aft)B4KGSS_h~yph z*;+A&933^en<>ciQ?wLrD_(Ixu7T zPLG@M0O3LMgAdQ}8W2G>@B01%WM3dsUQ^hL{JxFlS|(rY^(Fk1U!fLO*!CoyxDNX7 zLRdbthoeqU>tZPnW>sgnrEzTEhxrAcIRoo(zfVKAZ-*4-0<~RcI6L(P(vFke$ls5( zax1Tj7ufc0_pmBNchAPg%Df7(t(0up4K*;3d z0&17`&`{T+FM#26oY$dmK#)!mXURg1l;&ao!ME4Z!$eLbF>D6nd9Au&t!(5NdGe@P z`a#ah!<8m+8pv(X$~A}=f;{uJez(sTvDg2)GVG>=KEuLVVkOS&C-47i;jDq=I|+K- z!xWHKzhloXKg|BT?#l60_6*LB-wwD4@<2A5k}qwh9}u;Mita8nLXJlTi))bsdYD6q zL{l2bi(!&mS+|CKZ`aMMH%~yp4c49Y^RiGVY?m*!`!E#F`Lu+}K8B*4)KKOlc2In= zfQd~t1BxdoW%s;X`(68g_x%5f=1}@$qW{~g^UoaKKj!_vy*~eXJ-?;fu8U$f#=-7} zYuJpQAvhZIvK9&M0OxJwJsYQ{z(v1#Xxe2C?z**n3wPcI?lwo_PBd@D%tpogU!I-^ z&)J1{8ut%_S9M2=TD%WJp%zE!OVe^%~3*JwAlpBbY;B#A_V~uVL_%hll zv-!1xZ+`B)afc@O39qIT9lwEJnW!eK~;8a~Y_JU*{?&ysy zGm`~?>%&j(QY_8jwyep@=G*}uzf3eWROZ3++r`vZy%ylrz4aUYBTev5s+;Aim0Ju~ueSh*P0^DrPBqszpz+;s@NoODoym)^q z%5S~|Z)vB54%JxjkxlImu5kxnu@$4cGWdEj`%m6nI0AlC?$6cFOM-tX*M!pC2m~0u z6hD4n6#}WZ37K^&LSR9>?3Z2RID_rXQVTBw7moQ1&DYn#O}VPWDwY{M4=HHstruhN zkYf6p`+o3#|HVW^@*ViHaNamRJ`aA<8A`GqOW=Q*m9B{AHa-uI;9f-s2s}@z{VZw@ zf{x6vH6U9hXyX~9cSZ~ZKd9Iu8H(o=uDw(G1(*$bsDo%Uvj(9ZjO6Ds<$l-x@1Fm! z8~p!<+V3L2GS`Kgj^^@YYGtg!nhmChE3Yh|qFS*0n~D>ZG^INw>@&yRNt^@A=2OTu za~AnUgT3b4rk7a{ZUCX4UI|FAK}P@4BI`sVq_(jsdIVEJGF@DX`Y077)ODTPeyRuJ zh&ML6cP3*lj(BZj5$3NSiXAiey#ZYTJU&BN6VPHA^>o`o%w3OD>|D)>g-Q|e>gqi# zP#X7Uq8fExg<2ACR&OF3itg$w3CsW`T9~V)-qD9FsoG=4FV-Q`@V32E{}bf(4M`u- zzKXgvp`xGtYmjIe+PvV5e7FmeC7YN0A@*jca@24>L|2~}ys;_+PxvW%wvWAlR^#|z zuU`2=o!+wKcku$Ke5d1a74zWA>Xpu~2DL$vrr+~)<`v3d|Afy~HfnM4;@$Yr#*mt1j zNMV@eu|GVe8n$mGJqT?#XrGadsYAWXr1SU|aj5E!dF?ZC6UvLPA5XH|0mYA4a|Qd& zpg>$XWw#{m(5{9z{V?dm{(|OYZSWT$EQInev||>gWCZ(8t%s22FwOk&vm+$G;(b=d zq=J3a^TV0i7a-oEw3hc^3TAJw%thYpfNpiYA3w4?;l9c2WYLrhG>V*CdWQGM8o3z# z%17c*v3R@eHA5GaQGTeC+^&Gk3~{{*4jsrBP<)DJJ*Xt=Qv+63(n z5A0($^noT{?u%qW+)#I(UMe%y5UQHT#-c3ap@NN|{Zsxnls-Jx_$@IRVfiT_j6`~2U|=nm&C>)OSIS)AQE zZKkgqAns#1yXz!5B!)YhkIFbh@;Bw?jLTY(#uyZ~1Fzp1G*_hxYONvD%5dJ#c^&5} zx2c1ToXAEzSEQYTnvWJa4n__N$Trqi5qN$Fh@|a;j^A2<7-iomk&R3SCJnM_6V&HL ziL*Gf*+9hg=ZsusED&>bALD_vS%|khy>q722a?!~a^r(eLP`L`-Mb7SkXF}0mUT@F zGU`e!HP1eW%+Ald2>5jZ;e1=!rw+(6JKF0-i&>c5Z1Z#hn9uWUl0SeGXAG;pwmUW0 z>yr-6&rRW67&JQh!ULJz$I6*FPDf9UIN02ApVhJwDEo@cQ zfAweuXN`+Gf<;&HED$c3lB2KPgE5u?U*GhLQDO~KztA5c(jvl#dw0SC>uSnq$hvp$ z+wSN=$Zi*Hd4h~BVoisuc7!D4B#er0(i0%p^u3G7nY)n3-$$9Zum*V_m(KIZGeLd` zZ)MyNa)%CWFwmT4gM#`)hFo(ckh0rITF;mn()AGIQ$G}$>zk&O?1kb3*;+f38k_-Sht^n&ZziyvV<5 z`yW03pN#H5{JVer`J3a5pZ+_~_)PiAo|?V-0M^>1GcUNcz)q@mSJU1xaBw_cq`epi zPS&mbdi#gKSt=u=?!+6oGuk;|S{DeeH(ycSmB!rmr@L+H$k zvw`Q)lP^tT1i`af{Q2-S_QnD(Ld6n;;FZ>NfzB)lytfrT@0AJ$GoBYB9O~0x!zL%q zeIfzuid9HTgXO_tf=@G!e;AymeEZd|dLR>fQ|}t;16)jszpS&1g6l%@tw`k>aJ#W} zY)*Ry+$&GdCbt|0kEwV1M~2G5)1S<3W1%0ssMchU3)F#EAYE`)*DLT^+j12~FJOL* zOL(xX7?@`awmB}-fK6A{t5c`r!TyT#x803W;Fxff3E$FiJ41FZS^%>-U91C-r+kGXPzOBIc62%<-$a{nQ??{OEfxQefLa*2xhd(1@jGW40Z--f-psL(Af zoCzN68j#Av93Zu4hf=sk!MneJbq@8HJ{ni~RV!G)r&GX0QhE|>Z(3H)c9mczCxhC# zNP1+TXSj{8C&8Vqm3iL!$H4Uvg?pLCCb)6fh?~7XiL7yvvX#%$m;)59vxO!Kyg04K z{HT+_>jQgDy(R~EN9Hf@)xZo?(Mc{LMSQ%TshE*>$i&u_sL%TF6MR3&9=-eK5cugt z1eoBti<6M&YCw`2I1j68cWE62SHpXKtamZ*sO^Z=yMS%rv2=ruAskuXWb6^g{b;~z z{cJ}=TW|7cJK`>OiGb`1%BuFcosV+z;Br;X@cny_@^GN^?%R} z0b*n7E?zEp9^&>%M9CZiFB;9Ca8v(X`@ehsKa2l=N9}h}_A6(Fe}?9UQ63#KbEu_o z*9p&7fQtKxm%PKyK*>;DJGlbpskIC+m_%%Y+{gnpvX|ZQexCep@7N{~KFu7TVL?vI z-s2ge{sNH3X%aH*fPOz`caf`RMd&FRG9cMFiJZEFb3KeM{~vkp{f~v;|NR?DDwP(> zR%R#(m3owjG&D#egfb#z@4ffl9QNL`P$J35sDzSGl9H^3QogVAeZJpa=XKq_*B@|x zF1O^??fBv7I2_N{>p33J`vXyj6-uqGOc9ajb2enK7i|F_I3DYM!d+W~M>KxSs7^bz zB5ma_lpj60O0Zax^p`*0+PF-Z%uWms4kheFj&%76$GK``#%{W+cWX7d@2wMlT~-Dq zYSVf<$G_~h$s?Xyv3a(Z zn(zD(T~+jritZL7zX=zrJ=lnj4Q1ZnW;4)ez$NmS@Na7U4T{fx^FhTc4cp5mtth>B z@xqMNP85FLlV_?miM(qndIPL`kR5n}F>D_%GDQ!wggz$wk-OgC4M-*oEbF1#Jx}S7 zJVRJxM~SEQg>Mp+L0!bJ_#&=XS%;V}sdZ=0#3P!EE!KP61Ul8Tc2rwz#C`M7U(9R5 za7TVyjITSx#O@7q-YX7(HdYg%w$^_l897 zctU&uPcYL8-9Xw{_|sKM^`I!4iLCMFMslOoj&E_lk$B`|L*;vE!h9J|b@U+ng6n$L z#!E5b@iO(3v&Rb1)P2+^$o?kkldoA%@2w=<#KSiRi#DKQXlU_%{0)?WsXt%A4aElT zeZ_=lP{3>`V1jnS?M;lxUM4RD;zxLh_c_foTJ1&Vxk-EnYcb*~Utk3e+WE#Y;Qafs@NA%@&nc`+99%DdfkapvUm6D8CUak0pjC6 z7o6>|MH0ip_vpv^XLla5o6^C&r*S7zB{U4@hND5rXnwn5UoO(()jBVk zzeT#E8n?n?5;9JCnh*M3AiTM&QVG4%#Gglfk4X+mA*IYfK zTJEWeG)q34!N9XfH{YgG;zvH~m-oJ5^BhN}#I7x-D$U4TS`fKZo=Ln%sas-I2s=d2 zsNSN92RXDNVrxr@1}64kmL3UIv_DH??KbX z^+w&U&hv`=l8z zPB~ZN?=rykSgvtZ#38sYt#E(KP7TBDlIaSebn@Y(yV^bTNDc+q}*dL#e~CUq#hESlbVWlWixx$UdQ??Qe-b$iperj@?(2 z2hQP)Tk2krTEz94tFT=%T(5}8_?3FXZHuVor}v3)vpUHv_Q(##dpy+4jS65Uea>kM zXFM#rzdcgRn}=mvawhkUNmxgZd@7mgfUS&w=6TQEuxp0MZj}vi*p)Vli*_G5 zqVX0tKtyGLq{h$+^4-*sU5K>U|Y)hk%H_|2{VRR!CW*ZXm-81|}~Wgl$C;4oD) zA93apoD4jAPhDsuo}kPtz8dX<>xLEbQtO-H#ufSbQS(E%6}zv=_KldIrf zlz&0<{4IEJHY?qjD~CtG8BeaA{IEQIbHy>~JXllGerpi_9ouem=Y6E6v42^$y@%*C z99vEvty*^n&Y^qSW_bbEgMDVtYRR1C`su)jqz~fGT_(KHJPh|OL8o!vp z0#8Ox&fhN=;F%aOlfftmFK(*G?>02UE9qTs>y;9CZ?M{MPrc_)?ElI6|9$KKE9w6q zANy^LTSiN+C!^tBpS`FLVRdeJyw19&m9RR+Zh1Z*K+(*_WMLO_UO#JZpMcDAv*05pkCE=%XLm1E=f*7{bdxCBuSE@vOK5Y%M-1zOc9oUbIqsbvM z3}Hyq80D_3d5l!6mfz0bO_7xL^r-EqD-r|_8qX~1AohDjj4(N)V(6_nn+LTJbyDKv zD>o~2Y-*Pj6K+N04eB%xK|Rz)6bmLl_av;&t*1h^hoiK^X5h!t2#Qv7?tXKT%v(Gj z^Yo@rkX;~je3g(4@u=2qY1yKR^mBrHI@f;zC27;o`QNihIWn_--NQH}=3LxZA@~~c z8ma;>SlJMJHoB4X*c752)3^F{wxRP%{%PeGLAY;ix+-y}5@B^Z&m3GbM%DHAs{23a zp?q7jb)0?@O0KM(R_Q;2g1DIM9}nV?8+^-*dTbTxnJTSsJ^2e6Z|~GO9yUe#`n}hj znA1VA-P};w!HyJe^QU}5dkHK2ka`C*VUgTAESvK!1+jKR*#m1G(M88Ar0%&IP0uws zM2|J1zR1UK2m49X*op0b)K!3rnY>0m;`>~tlHm1ur7D^GjA(4PN=1R<_=}9UCy*OJ zZ+mg}0c`3)6n(|Jq;% zQP<$06(W$#{?t)Da~Dz#-!?JOx`NX9jESS3%(SYry$@aW zB}~T8!s&a+%+GlGK}jwT;rHg;%020e%vW!AT0s`6s2DdLnaCVv!`M4~X=#5B48lj{@Z)x#%2S#^d% zE|@SOw|T$HCv)KR&2PB61RasSw9U6slf+=}+3%Xh7m*pmT;wapj;vF>mp`QSAZy{I zOItuHvO~LK8f=KBg)?s-MTcO&SXnzDK;k&((4-{E@U_ zp9Q`CL8KJT23)$B0E$mu=)eWiXHRC?{m6O;(vuy;&t18Ij6kjrCaJ_D>{{)KN#enk zwVP(Ei{@cueRThIxA`lw;~X<8=PF2_B2=6wkM!9)?+C2_=}zj@_jd(5`4AcJUT?ca z39*;`nuO01eocllTTqlbk|Xp2dHxkc?36_1U1U!})%b1l?w=$V=Gc=nrj87!MTM!j zt;n>P7Kk4zCLBG(+d&e<>y&@rty-Z1!YWs3SR$TbImx_ABUI^xFI=_m#NNHg9bQe( z9ifFh(+xI??4pRdr)luZfz05v0$)1N+(L53+|PdFd@>sgd)wlohP0yDbq7rf$t;s@ zfX5&SnQR9fpMTIq)}BbpBt7BwiQCAjamW&H)9Evt_YgMw@2!ttKY5GXj?+~$gq4}+ zf2YCisU-3bLaY-9;4I~X4+GIg z*@UcCmEMia5p!*5-ADGGjr7$9!^kmiOGr`6LvG08@ddN3WJh<=QDeO}@{^QKWj{Y-{?irZo7`yQxhoqyxa4l;uwl4(!Vc`oI;7*V!DHl(4W}Fm8{fg83B+Wm@ws%%3tDsS&NL1)dq&f3+um^C2(gbeW(& zs`qv2?KHIeJYqMudqVfd3U0rMLFmWp2khuQh3i3`EzyN?Fg&mBwY8fW=pbe0Z6C$vsRkoei@F`g+2lw`m)RL!TY41s~nkxUia zAsDUR@WfbJAI9Zcs_wcvFxfw=aH;SOOeqfz?0LEgH)p8!7`w;8e3RLC?Ub`H&lLVv z*Vsdz*Jn}dMm8+6cA7suu@SeJTlxaZSKyY%dR6BaXK*EN)vk*9DqPK3_wwGy?a=3{ z{izVckL#C|y?kTEVW_!w>T1qz81K7M_Uj=7ZcM&monOni@&KJfc~ z(t_En^B38FX`>pp^jUHc{~ya|&w9z3eT%*F;chY)x>Z+hq_ED4JWp~C!6LY6^tMI**)JwULTY8B6D;h%*4w$ zCUt{}N9wu{^l>q;@Y!46O8A4f^hbWEJeGu|M6aUh6Y_e#ZF%fxMQjjC`ok9wsmoEk?X9~D+cxCW6>VF%Ogu1#nG)&)G{_F?PAiq} z7^EM%;$D+R3yQs4e7SBZ@vJr#7S|*F}^?#En*Q2gCfqEGlR@>`SF zi-#*A$1lyk;GqOEr@x$0sA45dkct&kS{=lrTL1iz{2`<~c|D+TsTfI|OIr8G>Ji^; z>-LoN-eQY>YphIDM)b4Y5?}oF5GBI?Iw1Hl+NIO<-L_xEJ>Rj|sd-M+_{ipS+vTEy zVXjrWCJUwOmy)j34x*rg@u>TUR^(dDWc^xVLRMqE?`cnZWO!>^h}ycS~hYGGS+BzZL2YpF&LCL;0D0BSh2j`&2YtM#naHp^A}V zG+KJk()}n#ZT6e<(>VuGv8=qjURxZcV>SGjPrSU%v zRNjLaMOtr{mJ)Pc_lW+vhZ^@?=Z{@}-H1CzyFZ;}eT6Dd!Hl_<-6%gGrTHy>ig;ZF zF?kw`qu{NE2EQ)p^A9fwUHDCO3R?M7ypl1*4@YoVCt->7AXA4Ucai@3t6i_|6*M5_ z>zlyeZ}kb|TYhiiOM4_-jPTSA%17L_W?wC~x9Hla)*t`nCz{5KPEF7IqQ2+s<^_Lg z)TFYE>uB(za=RS{NKdfL^G1$-8-jvL&%X0xiq1T>&$=@?-|a|9;b>A7pp5{yF217Q)7Ux^3>!om)r^ z)3u@gNOp8rJX5&Z){XR?l-qQlCy*f;ZGNYK@OycjmA}&bKo+gZ!4T8c$a=bVhaVs5 zFGn6f>2`)_JmhX==KE_C=GT5dlc{{x$S)1$DD-tVI#x;1TqvH3@YQSH^bT7!wq)*_PPk~#^WJy7_( z^!6vnG7r;_vav+AoTa>fmOXM-$uk;Fu_CA8tisweMEh|~Z2G9+HRMrIyYt8QAum*R z-w_+K{1?jL7o|tMsv4;8tmnFo#1k)S-bq*?<%Sn)Uepy(k_-AyxNkw)xbUx)c~=Ri zhC3s)iR=LH6wcHQ$s@i%uS9MAHWObULC5$>vSahU?0l|5H}M5}m*CIIja-veJ=X@K zk;kG*y>kWe;H+uwSG`1X)RV^B9alU-w7l0DNBLyLUzi+Ik*Yw_YkBd=VN&C)@YUUM ziSTGGRaWl&Mz}{!)JGoclljTltUNk>qNm$rvuP?Jp43v+j_wgv$XRE#?#lQ}A}yT)%4JJTf?{Oj-G9k?85X9&kvsw?T&ru#IKqt6eRszY2w|1!a`5ZkAAc$%3D!1M|Pu&qgJahDSt$>ih3MhSca$`qz?Vs5H)9d?RzC8cqW4~>4wTQ+BD>U>q)h+C( zMzwHiV*FKZlzoWmc;AtZ;{8rnZr5@lpZ}!cyAk4%L|c}9=jdT%x;W|S%Qq0ODw~h= z>Lf;U-u+5cA4AINjI%#k1(8_#+$=e74Dp7(Otpf9<$Z0-r9FB~h)(pAHsUTp6fMu= z^1?2(MPIgAO+2RVM!o4#bXh`mi-D%;`@JZ?VR(Ky>=H_t`Loh3 zu}r8*2U)C_WmG5Fkp87S_=^DH*Yh`PwLG^&sx*(;KE4Db1<;u5zdnwHJ=c?;?YM$C z4$u2bp7G?qJ63q=U=5-&oi(R&zMx$u+nUdef_vc-ziGbbqb4G@GxF9jDp+isOF}lH zlw0r$?<^Y%X3Tm-mgJC|;N9}ML;_h;=NEL(5ZzgoFqSn=(lrbotx zLqG$aH^xo(CbZzbFXK~Iv4gl{Km7jZja{gU5me)ry@PUP@3r5P_oJkjmfI|SKMJ=F zpJAsmL*ABWGM|W_Vs_k@_UZFvhU-fG<0dWf<|vEm3;mIdG_FVMM^~vJ)w7@@=bAW@ znIEc&*_a|>#$Ms3(<{W&PTF>;>_peL64i~KW@!4{78D@6AN3O_5_WHrKuv9$g1xFc zDy7=b-zOaZvUK6u|FOKKWX0=$BQ9PfqA)@gZw{!v8G2`wjzt}*`dso zdSslH+WbL?^eeg};uJ==gW`DqsY38Oq$CKj4W`W_$%#u#{9*B**#BSmzQn(Gbxu?L zv!|yR=FOm(bmH6FnG>EIbd-!Yg6~v!BA{E=EgZS>xKk~T@2rsr)P-MOi?j>(N#q`C) z$6#jbM7IwDf|KZWJ+eWF{7_6i{ceQydiHzJJ0RlHEr< zq9!^3?>=Kykx)c;aPK@NXpflbJdvyN&1CO!kbzqHEaF~-hWAXjk)73DPP6w^iNE0s z5Bg~*B>eQB{dsmD(I}h8u}6C$iF){*3{M2SnO{_i?|KCPM-M2nES?Bqv^1$;@*-t1dyLuxBrfer83=cyjI=BqBA2F>6AA8WfhT!rC5! zplB*xIethF;YPdfI2zwTC?Y=z0l}~9z5}OgZ!I?*k^sZv6I2}$qx*>kP>dBUQ!aja^^vnxm zHl*4nyx-&6NBmmWC54#2M%tH+UqorijBk9c&8>`nqU$h{wq-`(Ui_nAR{M{GK5!qAu_#IOMVqNxc zuJqMF!XqKa7Yy-8zGrwehi4oViBAr(uF*&{$lX14BNXWl1tSB|;>a*t>=WT)CA*}& zH@;?75UmN{0i{nzkX5bkC3uGH9-Yh+6-+06;+|DHS`B3H{OBCrR{Imk$rVskoYWxP z!1E32qCJTEYG5GFT7$S!)rRlK*CT1oP4&d@xfDcmmS zUm{m{-f>R37`f3qK6_H)5K*`Gz}iH5#C$qw!pI?mgxfis_45u$*)_s--}?y3r-a13 zdOskY>80-Qc?!|;?C%o0AxZAp&UZ_BgqQ21`=sgp8)Ta;PF$3&Lk>9o&R#!^oG(fq zgLwkTjpa&Hd{BTqUU^QfR%PT-bl&@3=RiKgeoJ<`-H3TOBQ__^j6{0Q8PBu@q|CWq zFZo2cw{pv37BM17e?QjnC|n(x*HscmyxEX-w{}@m*_7~tRT*pWcGIb zUIY79ZBkS52V@MUBIEPCgt=EQsU6K9$te{fC+H*hN&OJwBP6&a|B2+EA%{ZMi&moG z*@wLZF*I_~c*(LwPP)xDqM&rwn*#$=<_k5cKcA&W=&Q0i}%FfEjd z((cc$yC;}Y#`vvz??IzKvHwrb|M#u`uSWj=_}G6Gv@68c;4JE|Ns6bmtwW{ZZPtnV z*HO~Y%B``Pu#a+N7U^L{W;#3*yY)%$q@h-GnVWFXzUKF4?jpW;)*N(qCkqi>YxhCu z2l3I17A%?50Yb;OJ{azLh`^g4S3NMbf^SlWlg`0BcwM#dT{-y>?vX7_k>(v}qprCa z-})O3YxCG|yl+J1q?$I(S`L&RcTJ-*BDwzAGc8@`Ni5eZs*Qh2Azl|bnMKWykW&Ag zC-TNuB(V1>iJTHAx%^YzQ8Q^oG6{A62t9v z7L<9-b<>X>K%r*Q^@pkB$hqZRv?q!%Q_{Mck{^?qSoCYE1(s1HiC$93`DKYX#;n{k znU08x_0}I9H$r%ED8o)eWrP@bKRBGhhk$*OZil{@!zbn)+W^ZKct%hwJ&L16$Bn5e z=NFb}R6S~Fb)611cZbB6E;$ljVQ%(GlR*?STRolY*o54-@!$6{5Dvo2uL~}AWS>7x zVtZ8;ANd^oGPAQ~MSS#;w=D6y5&cdkm^V5B5j_R}5`VZjMky!qr(gK~SMR{t^yKrAF>%(z-KHNS$YqNoxc#yZ($JRP~pp+>kELP2d zoF&I!pT60T>~p(ieU(U!Gx|g|{W=4g{oa|fROBYRPaGSIjfi&I ztVa0dpA0Uxf(V{ykz9GW9RBTR_y6uwf{*N+Z+?J9ky69D_Zedw zQf<~7)XtrQPb0^nhVb7AY*KZ|Sa%6wtAD=>Dx5_4qFPeAz7`_u$}{a>lfJx$u-*AB zBSdq&Qb*h;6mjzPr<0ns$!C5;Qk_>O5*{Bn6db&P#LqUKho(Z1^h^0kclt7tza@$D zvU?+CWY1}y>IS4%i$-(p76awbUBfKvH2C#v+#?EuZA)#0Bq$U_T>v)A_z{*^roy z^k{R&tDeOBV_=exVVvxb9%QNGEmT9SGf%IjEqQ&Zw2rM~zDP_z=@#ythh)F&J`X+H zk$Uc3fUhqLC~hk<3_cMy=L!4W9zK#tr+d+G)2JEgRkQltIaiRO?i##Z_8>B5r`NGW zXOaF?Wf3p^DB%}Qw1-!vAqcM5LS z{^*E^@pX@#f029kgXOGXD)FNm-a7k%)bG)aw@m92?-Ra$q;Cz$U1L>moYk5RB=x_; zX+`T~l2b2#R$k48cqQK&%|XHe%uA9paioFwi*Wi~LniRo7nZK9ZA6gYiC?tN^a#C@ zNL3wHhOj05X?Ge@|BCA>8!fpb@|;1sR_qf*X?C7pFgHN-MRDn+y03^i^h=EAygg#K zoHFE~{z5z|XjqyaiXtwCqHL{0h4`b_(wggu-f#E~S9hQmJj$2K_p}* z%dC5H9En$C-@1iRA&I_9sc}>WzC8x5anC{!Sm(XVs{IT?FNA0*i%TQ?LPL@5Eqz3c zd_Gs-AcZKE?whAtbP!!;aB>&XD#d&k=$9)UK%9{FFpU}Mt0=ZOndc24;X?c8YeD8@ z#w&dBO)Fu3iqHAm4SYcI8t*!-WELd%?sR_t@ibC=L*n!vJwV7SfvYJ##t6Sk8z<5F z0g(sxf4v>Ggs8>9$njh9h@l)=|COE%abib;bzV-AK8oX~Ra*}ukwNI0N_RJsRK7k_ zh@F)3HV8$dE?n_6=|y*U?&MKgi?o9}&&Gtl5nta3 z=kFhx|HS^Eod2I&pXmDj@#FiS8vBoamLGhjIE;qyO~G5p%&e+!Sn*_K5z5?i3N2-> zqUe}g>G*;=-Eva^%($y0uq++R8+ z{5;0Rv)!Zlh z@7?;e^3lk=y1V{-GpUDZdG=W}9RP*OT0F;c8<{U~21)C6Al~bhsKf^Wk|$J{huqzS zC{NqnY!^5YVYYVWqu6?cMLpZIb%zt$e_ow>Ez*a^lh4<#z9x)Xc|+dCTnSVJd=C|y zu|VmS2U^w>N+?__eL3KeHga{xDqhtQMwZd(E0@a%E9_I|z%AKMPQ8$gxw9bJU)Qkivo#_wi_Pe*C5&*x9k2T=M$makzb3=96!%Y6 zDb~7G;`S%r!m`vfRL-PW&uCmjS(x{t>d;#hb6v99IR6~^659`T-2ROmnsklU7&7m< zI6c!>Ksd!r(yIm}0zldR-9oa90ZEUa#tIFHBECx?cyGHkIh)iIGWK>Ls@2nvZZ91o zN?p6o2Jqo=*Qd>0#Y1R{3ancZ@&WZsx(NlXn^E1oD(oKNHdgQ`aIKkBMXBkj{q3%r zD2&}(bl;Hp2(#^cnKwnWyy9=Y3mZ2fqxPuu?`6VOh*Enf!J&^-({H(H@zqG$(O|=s zsYA|umfCd_Nr)*8*_x_%8d3TtWd^**{>1)&X&e^zGtfk`VSwsEo(o9P z_iD}CE`iju{9kTQM1rza_);VBU!yqR+&bb*c)q>k>xYXA5G==;RiRTu>g2^E{Z_P%zq^_E`Ux$MpGidQpzhjz$*tsfxyEmA(LE%D)07N}5a0F=z4jn| zau3Wl@n36mqwKVyYvag zgIBMyacU(!R738QFP)`@U?er$)THXGok*EIutoB49^v^>NAoce&+Y>` zUH2IYH;I9lZiZ7E=^a_gUwQ_S;W2I>N4p=HVl{zH`-uLex65Vg)Eu&mFLZOa5>3|k zZwb5y4b&{#`Z)9wz0UC41}ocn;V zCxc7$VZ@i_M7IVnl?}pgyCjvTl)yeFwr6?!O1Rz#(&IV44IcdRu2Le5@H!#6$eNS~ zpMB{@>32im`}O%_`VW!tkFDb^(*^>!i9DKn(~KZbyZy^KI}qIWaq^C}4njAXC^4_y zi7*c3p44KpBb?_WEMF6kaN)r&r(@#?&uXL4+Y!GQ7NsQLSA}p`67w3;U4q*ssoC?n zrtoOh6Zs%-1FugdC&Z|K!{_z8FCn4Igfll=;3Ug|fD4E87mRZeI1!N zPihfT-comBjVED4=W8@D5&D0wfIu-Z~&os@2*k5yos=Mrl^8*atPNuF(R-?@)}n2CX+8y z#4qQnOPts+BK7CP-_MY}g`dS2+BHe6`#=0O8u1R%>(=%ff0lu_*`=82c1ifr^U%D#7gOGQ0g%6`e5N6NY-cmvM&K4|ex@BI7&={pFrX%dL{U6Sn zh3rJs8lwueUFQ+i%WCvKjxc8f!;^2n*@Bn@{Vx|b5HHvfn&d0u*AeTGOr=d2pK)u3 z;|_IB|GD@7j-bf=gMI^?BLM$FEAJ*^Y?}&)z<4se>AuEx1KPm zJ=(M-?TMy0l+*EOnk5peAHOnqw-@nyZ+4ciC7w)Qm*|+}#>p(NoabHJ03rqC^cd-; z(0;V*B;7hL+)FAsn*EvyHOXxGuXF@Z!F@?=J|qRDe44b^WG0EWhyC~1m?>dTRR{i> zCbPWu-^X>yoH@fSO_XsPVU-6CGzszbBegba#WQv_Bt5vN9Qo)z5(HO9OgJAVzBJSQ zYD>R~x3_ZW=F~1kzH!L<{o@llcJhwJGZA)&Yqbu`yAjkjh6Q^WokJxHC-+T?7D|8W z%q!l#gQ9C2-}mn$y2QTe<;AtPkv%t_#;Ra~%z&M)iaKRTcQQ3TElYNAD%%DE#nupw z)sNN8Em24eWxgQE5Q+Hhg+?1q7!WhH<7dnpWulLexWpfsgicG{DYKC<+z-x|{PylV z?s#S~HW_N6Dq$BRlb0yUPhL}eT5XJys*TYbcW0vD&23frYv+;MCM7H4p-C8I)hZ%! zmV}wHV=Cb`C(_rqKRqd+z6Mf+e2a-Eq4g2=u@_Ih#8!vdnnSnzvzI+1v^Y`1$ zbR+FsUcwMDW1H`bd5(By^*ucM_5Z~Ff8_js5&HjkUf+Mr_a*<#_y6Jd|JUC8ezuY( z@yjd-IXO2R_s9|9exrg3qz;RW{j4FWcLdQl!j%Qo<`KJTKxUFKnBtO*zc&^#B4MTL zEO$pa5-)8||DMN(q{#Z4EQ>)%u2V1L=_9?I9^J=&@28RajJ@o|C?8>I4m5yGS9wv*5gjm&6;?FIIEiS>hKo%1-O;Kv>qiJ_WHNFOhPt*4ibGhSYmof_(Gc zKso5FAtf3y<;_r3S6x~YdS;c<`SW_*b7Pd>Kw z!Zt)D7u{67S%ny9v1QBSWS$_QUB$VPXlU9OWZ7RWAW>oct2J-dAu0D0Kc@}xEBfNG zs-}rBOLv{20u5+_%dJy!> zdc`4`C4}#B%b?7WzC_^q0KO-LU!Q5N%6`|1c$V`#No8a~{J9{i+ewd+@MW*w5W@tL zoaNcx`0q<-;++$QUpb=O=LA6;rG018Rj&!MVd+V`Q!0bNSpf+FFn0T zeC!Pbf67`SeWGe(4Fl0`mCA919V2}J`ENQ&1^h&JDJXtazL#j6&-<=0NhLMLIl9Br z!AJ~BdS6i?gk-tNb2aZ*A!Y2q^0#>MzV2kbuncj#KvD5=yWYd{O5D> zYyo5knsX_Ag9-6L64O}eevIrXY&g33^LHjFKS~!k_hcY#;QY9ciUrZ^IB=gm+(!JD zz6%{@CVK^f-pmYaMDI9gEuUjkMVQ{@ukK`#J`tO~HIoQ8vP*)ucIQ|k=fL_k@qL+p zV*j6<|F6LRRYT(W&wT&?s^|aPx97h$_ALXh=Tr_*!%mECV4SrKj=$w=C>LnpDo5?J z`y=7oFL-g?ui*1cS!Pn|D}0B4%t?}+BESBL^9{?N;D4XbfwuE50+OCx%oOuS z;0@mT77I@V?HUnLtsFuk!Y=++({++4BGsA!U11*S1ahi!BcY0<&-yYiQ8RN zE8zI}5C-=(nd}gsDl&(8kkF_u2H*YbET7pJ!p}n?Q)|6F{A(%C zc5W0#z|go<&1yLW&bZE$d&&{d&X9ek^wbERcrF{jWejIaZ@ zPfgqyhFjr+zL~!yJkkd4pPcuEm)#@B-JM6_v)A?q?UFfspY;zPY`O)1ZR#DtWCk4Y zG|MNxh!H^&9)#6Ryu>|D?dw!)MaW(16HiNSA@uzR&8U zxYv|GCE4)}|9S0Yl8?oQU7h@SOr;NKa*RomZwxC+) zW|7#j5|r<7{?1N#H^miSSz_&vB0sBlH^=L{$Z<<+)yn;Z%x@jL&wa5%`pa3~Io-`j z+t3>$PubLB6fin%0DQ-cERVZYO`za$Y9y!$MG5Njj1bI}Pm@{f2~o=oykzoARkKHBbJB$`>?Uf|xe5)JzcOEz&@pmvo-lH#BtDm^yW zd#SXc>}{v)cTQH6l-%dA-QqCqUk&sitfo#A2#QuNe{QHO-C>8lr`ZuT7 zaB6Io`N5G@hD&3s?8zm+p_1ue5C6#v{%h}j|L`B&D<;zsn!J)z-<}l_^4-d8zXHh& zq*}o4x&>n7&mUj5+d{ZA4pK+e$-bHY^25rp{YY5yj1UOTN0Msj9jf1>NRC^xgH9qF zDGzC{w$k+?byRUKh;Y*>)|YN)7?Rw3w(r~E4ABK%Pkn!5J?R5K6YGt*_#6S=&$fL! zk&ZCwkc8@Mqz6~HP@rtF0#Th`%uMctBc}ZLwVlmDh%;mRxVGpasWlv`)LLVZ=-T)o zP+=pIUYFc{>u!P+ff1+Gv;j!HQfQWWT@w^IOs6_WfzrD7cT8zM(vC;pycMZ~w7a}- z$0})&E~>WQY~L3Ieo{ZOgMsMh2bU5!Q??*d>5-|x<9zLk^MzwQl5>YU?Vk{a_s?4g2CfsmI$KKs$+StXpA*J^o6M09 z+4OhPkv+u5W^w;}ge5MszBGCT`B_SJ_0(-~gh*!(^K8pP__!0tl=~}0o$ZNTG9_AD zyU36weP+VWJ`(dr=LHfDRz~s0Wg+ps>BhiBGEcC(@<8zZ08*w$1Vx2}K;b`FrChKG zN+(a~Gu;ZL8Ln*3k0eg^MUz&UlFds%{z0k z4^b-)>t7`EniwPY-hi8Ao*vuxE~lO_JreKa`7e?8*-^h?kNqf84i3-eeyT_6i0yQ< z0;%PyC6m>Ty+@k4<{>HmZAj--U!kIHj`Rnz>vs>4K7h8FDdU(i(b%{R_}=O#`+y4v zgBzET`Ku{q%J@E_Uwqjq(9eN513ihF38H^!^E24xRU;ns*g*~zv z*T~1uYZK1V7?o{c7;$sO)MNYSr|JCQ?e`5ciod2)D-}qM_KkV<=|3AGrH+*6u z;ZElN|LuH!^P}5rIr4YHYHR59>p0>`DfQ^cWPA_o->E;gS{nkV6D!a6&Uz9*p64;s zr*q&Yb!4n0>@i_8+xD-xd>rn-3iX-WOX1O8sea(oD|iO-M%@W6fY;&X^*;Se@S2$Q zf2@5L-aaj^OHSY5v;8d%qKPj}&S;+1vwoNlrhmcP!-k%dC&fVMwA3wRb`FcL^WzOEYGV3BN?rVXjZ{{*Nfp2mLu?fy>;~Z(`@+oq$qzpSOni4n-4H% zNx-*8NzO9k8!Y*wFTM`=37ewT0=xy{u)h=fLwIu*oGdkDHvBGu%cre8wbTr7OR$tK zx|TcT=i62@V-(mcs3>KuUWSv>cI()WC2(EK z9&KAI3Ac`O{wW!(aQ`8LNm6roZu$IBGyE&OxK4llL^w^}+mBRG`3b^jWvtX5-DUWU z>r|%eZ6U9ZvhjvG8~mID8mU|I;4i(-ou#b`{u2+DL5o=K7sl99>?sfbddl;7>OdcVKt z{QiO0`|~=d+Ny{Kbpmu%{K+$f1p{W!;upLn7#{S?z#?vJFWbz zha4er@j?F&{ZR;d*ju_gAqIlO*7mt<+<_2f?M(WjObFd}sYNE%=XdP?&iVfg{{I`X z-^J%jYd2sB%?utqWwJ_8ZEH_ZE)j-u2|;!J;z}s~D1Y*U*8#|%E57bGw+nJQJ9oc- zge)xS@K-jqs4wT=|K#DmG2F3PD>--Q9;CI1jyyHhhZMTm8#@eVAhBGD5KoO-o0!F` zi#sGBwoK=v)-xT5-iW@-twal5rOd1Gd-|YxM8t0X@f)b2k9XqT$p_`_(!O_e%c11? z&HguY5l~R-63toz z)XY3ocwKTADy$WLIRA=-Qm-Ee5_w0Uu*G8U)A@tQx*9lXEH4Dv%`P&#AQUpa8I9d< z#6w1!)K{gnWk~;_yifKqYKED**6R-5$?e&i^NL1na7_~18;uYFmpFKuzP6y4~ z%HMxM_c^f_t=bXLN-z{rBMLwro&5vrkP@i0ns1_)wt_NUBbyStPAI9>sI|$pBTe7KjJ-Vh3m2VdpeI(8uDLM@+G;#$M%gN%e&!j8?(Pt%ra0x5FmVjZ zJIZLRo~S~p_N|KWyDy+<>)RbMhutCXm;B-tWAwwbtG=bjfV*2NFZK2{M<8p<%i-R> z2S9k9$E=-)d7s{8jcf0eAXQ{hq<#zLEem~fm9LPgGW7i7_bZXmcU7aoR52Uc`E1M$ zd@n$gA$4qj!3@-jjrx?mB7w^K>on7>>I3Ffi&z7_e^u8{$p{%&x=GyS({LASc4v0s1f)q{5$$R=gXA@V zh%$KpJNEx8=l{!|gM=iU<}YT~f6ezt7Op*&po_qJA9McSJimYId_PdX`>5^lSqQ(Z z`L1gGDnt&Gl~;7Vg&5Mt_8$RTaKDUPXRqWoh|iNA)-4=_M5)Ew0o*i@)OgE^{o^}G zInX9%v#SU-JX>N6QD2r8r|6Nrd>*xwNwMY}SZ7VARMb#b0zpS+s`J)$?9Z~T?2{>i z4B5OaLG+Ic(pJ&nKI#nN^%iE*38>YTc+RN8n1=HO`-RCPV-R=q+P+yO)Mc?NrI1x| zqDN)+BYRGJNIv(KRlfy!f;oaM>g#F9hvC1WW`LTZeWZ%o^!T;lptoceK7&GsLJH-S0vRP7gE8CmfXF$cGgA5z!gxJxLrtqu?U&s1NPxJq zPi@6G1tMPCS)TTBhUnNi#nX;R{G}M&OY>p~5~Rx5AAbpgB;`Fk8w3KRoY|pkHp306 zo8v!RxaT4LnkS*)5G#I8yFk?jNg#|L^6+HC&ljBJE=Yl~ck=Pru`|fx7`VhVE$i`I=IbJKMf3q2bUUI%RrXdi@(wvWFWoqK>7Z^_c1FA15ti~JXSI$rO zH;ePnT^@Y>kAVNfhnLQ3H)i~bB>jJ%4fvOTr?%(*8zcSD+M>;yxS+emg4Qbf;hfjJlN$!^=1ZJp1FPGdp&scGnpz5u7KxtiKZt#xIZ@}Y)~3O4qoz}Y*#oY!FVnr zx~z8tEV9p5ly^>owLnU%rjqYD#7##rn)LTz4;Oxv1lW^e=P2eohB)fCo9b6t& zpFC9m65P%?P!?=O=C#W9gJIY+bN{y8YJm0~)nS$960W6g~=?AYI0qdp49S&DWda6L<;C^)=OvPc4F#{!5unw|cPowJgD9 z>;d+d$*!EOLoZbQeu2efHQ;=;p;!SmPp+&VTpDgDgImx3D@>a*$ihx*s~0*69`|=) z6O0!;4|39w&voHk?V^m3Z^u7*i zmJ0kDFMkH#Knnwk*H^&KNapK#BQkJ2aD1frh735TtF4i=uz~AFdtdDJr{JEXo+@gF zHCE0pIyQwh@FWht4KsGZJ;a39Yb4oN>lo{NGsy!VxrN)4PGR6nKPc5GHw3h8O{1toh-?9HY=l|>0$7`MBe?IoR1hSrg zb-&ejH{^U~a+=tQ=eA{t zy2Y1#?B(cRP0PV~d9r5IB&!2zcISC{JJHL-doXOQgaUcHM~7WZ?ID3)f#1+C3*rQa zmbe1DAjYGtFZ$F?=&B5Lh)TN)&C^BG6Y;Z9!*1epdfQVde|B~Kd#@sttk+JartF1+ z;h9jifhEYzO5vC5uZC;}ayvEZ``AOu>J|EeIX8PzvXKVv`A2MDdbSrmE%aOzuU}J! zr0?OLt@jKd;hB=?;EE{3%~H{c)gY&U$4=CfHU*w&9_XdX*#a%Iq6wx}FQ8^6Lc2(h z5-NNQ$!oadpfpaNw;hf^;rnw=zjhJPlQeapGaI?TpLV~F!yV?#dWo*HW|WXIYQIap z>=xd;pLQh@vG$1{W8!Sb`-?HadN)1#z`QW&GQTAU@nd$9HF6KmPscdJ34|*HG2`AfkWTwaAxRc{iKD}N)VkS!$Nqoi z{Qvm!(EZKj`R^Ux=j{U$bk4~3_~UH&x3fEfsC$_BJ6RySg0k$W$XAGxt$KIBMiydR zKDzh+T!c7F+AziK)Q})F%b7;hg2drVUQ?aa*x#=rdW@fhlzKN-x#(t`?d89fc@PQd ztW6II(gWD%?tC!(I2d);bqo}`$lPc+;qUN%0y0iEKIL{tws+&xz@o3FMt^*&4Y8rOKG0wfD?Y^N%edqUBx;o? z^9mY4GWABR=7=)-(@US0#rr9Zf!Bd*89gh{R9RPhiy%KH`m(bh=EAmHxi65XKnD4) zzVcZ)$OyT4EI0*spr}mm4K6SO(X00ojoKavn`sP{zIGTQU#fdF6v#u2&KGk#y{izH z7WSiP`)k}=dy^8W(F;jF;Y~T4tB`Uoceq&-Ypho$TWI+3Sw8;ota;;CNS_%myU3UT zgiwNR#=Vbt2JEtRRmR%t;pUOFk^ms8d@R1ia|ejSCKB@d_Cw|s^HUwy(GOYXYNC$D z5A@_r){xE&fH;4H(H~Ceh1xwO%dqwnwNr+$Sylll{Xr4iE$tvJwW}tvcN;QAUY??0 z#6F9a`RZIQX?K~D2fEcE)9(QC4J;Mf1H!sve=EKL7TZZefFYu|# zsv;Y*Mqb;zqYi@DcP4%7drKf8_}8AcS)3*{{8Bnqv!@O&c7~uO*_Ehfm?W=S$@ES51B7zX;jW zhnHL1G9bsh!BaGj5^`B=FKk(CgWM7_vJ;Q~!|DAe=l?VC|KHe_+`H<`MCvAS>fNf= zpRr$tum4f+|J!5V&@7}s#KaIxxneF#q)MPS;}%6LfoZVP(Pb-qV+1ywHV*?TzJhIo zV7N#-FW3tjca7x*fkV*xLp`ZPaO`ath;pz6r|-^88BYG-!Vsn*hX zgYh6qEF1dP(@o8j&Y@Rj`-QJV=p8wz-X(I*7VfE>B3O0o1=G2XrqRhTuxR_#Di`?? ztXha%LtY_Z<6|gD`BMk%4y`ij?_&o0^zT&X8Bc&CN!&xDS8u@SqN$600p^NMM=$s* z`+-Z+{KW`&!IChx)hER)^%N!%BxjwkLG)di2k zt!ZQPir^8umG1OlAQ;zt>7r1l1@pDa{WbCXz)FmERO<^L*oe#Vxo+zKJKAw79p47@ zA{`8R)^r1XfUdm{T%rJ{=H}Vj)+6AuKcJbFmk(TZj@Ca`KY^a0BvfH^9N@0uGVoy= ze%|TBZ46DHz(Z${azr;AJjOo3xQ{D%Dh#OI7N*CVBb}5CdAycWlnucN17O1x-OU|~ zpO-bA^2FF_u>Z-p_KLF#9BUVcX4ZzmS?yiz^&Pl}@QR8`WHJie6wWhi-&V$&>$%ub z8-8&Aa!5|i^D}saP|y3-i-0HdJ;NxRPavygf^8S>D{!5)`L(?Ryi$)mX<5<(YjeW# zRb_Ipee|61+oRiH|7}r*vd03ZC9WU!SY$fY(WBW95Kv;5Djs`ueg8)&vU6=fecSXS-PWQpqLo ziJXg(X(I(+#>*oYE>!%!_kZX7|J?fjx!3<^W54T^#+ztLHE8BGp;U?*gX&HR+|aBI zhIG+MeqAQ?H{ijk^1f*81E7~NjL-HlR_%Mmv=#S&}?)VYZB#R53 zOMU+XH9qRrdLcaUL`UYq)8<{!vIs$hs5q$k$y>LDLl!E+ov#T$(uPvv6Ot0?U?|%5 zO_P0y74qsAiw{u9K+dkQcW+)zq6dlQ&+dn)k^R}J?NF7^al0gZB56a=sq*;ryBHVEzUnih8`@rH<~$zc#!LJ&3!VCiWV}%V^wvH zkkhy6e#cP{y-gAsm86wSAzfWb+JwXeQcd(5ukOA2JNEx8=l{o^WBG3e_dl_(dDCql z?TyzGbeF(e!nIOVU0 zJer+%c#XM(Ao&5Eeec#)NL9cQXm`nq)tHj{*KrUpS*V4IW>UBug3XS?smIoe=Tq^$SUm zRwBnssPIRIw*u{VCiLOGc96OV^OFqH%gw@OlaN6aJ~l^z-lU=#hZQMif%v%Njl)-D zieDBRkbOf3ncb1Uei4Ttf;W;e{R$IAOE=mN9ZZDSm5Igu@83hh)sf_xm`{-8l(BvJ z_9sZO5vCF3O@XwFH6N}fqki6%Q*qS=YYVwd`W@VO_EButnx#UXKz-WM+msq0;#jX$ zp&BwtymFM4FGFUu?z!f4U&vxr*CZ)B3|WMg&0OU2MWs%c+XYHNY(!Tn$x&&n?c9V2 zxZ9kRxJk7p?TNcM?LN(s<&ZYI)LGF;g!D;jLu=dzAhdUSOEvSOFO!e-=#}I6e%z+E zTwajL67}vl51z?SUpd7`he4KY`cd-dMvzTkl@z_lrh)+M6=%wO zkw15N30Ztb;Z}YIkWF^3fBrEQWcSR#8>uId6GSOBS;Gmr5*t}PFDD^)UihLtGx`|& zYi*$wP=I`v^};EM|M>9!lk@)>`0Rhx^Cf@r_j8Xl?Z_3xKcY=LJpZWkmHvwT6Mw&s z-}-m<`ERlGSzald0-ft+oyVpJ;m(GC-qD0c(3kM?pFmxmp?IK5^@JE0?R?79EGrB5 zE4H2aK=}hqL>3hk&;!vlM~*tl1^rXLrAm#MU=Ep>X6_it0~W_au3d_^0gHy+L4lal zSxS(6d;PTtENho;rX)px)v?>(z6!R%ZSMHu$3mY$r@jA9r(7}I)uVez+ZGD?xldNh zg9E^@U^pY*{V*80&XIqZpatV&v6@=fzJW<2WqjTufmXwS8bL;uRGNLwBm@u`rqgMsYmYx2)`u_mE$5AbG!`+QlIWofQp{DwVwF5(cFI=C|P%j4(% ze00di8vS_k%~dk4MYMub(RXJDS-wNI0h2o@^zt`|+Cz~X0i{o<@ESf*uq${~B)>Woxe zX=fT(b-KqXAj8c1vgA3rV0y6brGy{0f}lG~maccbt2@A=Ux75n)dYXO#FY<`=3pfo zclcD~JFxovCeJM@46LI?1T9?|!RDCTci}+=uxTj2u}9$z*h&$f#}u6egWDl0!fN-y zi1epich?;-c8EF4TE+^d59&oK1 zQImF}mr6#71gwAcm-nkxfK8jx>o}`uuyx=Uja`!fJ076`(M$)h>lUxw^TPn_^*i^8 z<8xrYEr6?54v>3^E}P1>2sx@L(sJR?fcWTijaqXRG885XXRXkWC5R%~ z^SdRa+8g`Vyu&&C;f<4K#+Yj!Oz>*(yMdg$_ZjPbo5+TEVU<5<4qZ)Sv#R&$q4|4^ zNm~mo)JTYCOts!eFU(elv5Y1t|FD+}F^CbLJft9@ZrfAx+npL*KO=QpiMhD5vaz#JRDW7sL%n*wVOH>97XG zU5*@9c*h1$4CVUy>%K$F=7I+A&IPEY@7q_2Jf4cY1wtJUYI|Clcx8hukYB`k{a$B0 z^ohGJot&5r=xp;Q1eA7K@K&{Dz9J5EnkFGzBFqs z24stDEWI`R+KifH!Y!?x$hwlED_@CDhHe!f^H(92&{{pOFRd2@b>}G^uRcc|d(j79 zTRc@g4d?Oh?3bM=rb zUqQq6UJ0@VSor8ah9l1?eT_yCkZCH~z1rQ48t(H^b#0GPbF8E-9Nz`0^hP?Rmip*- z=-TZnPyv0`9RqeJr=eZ7(X%Ko9hw@CvWv;CKy89{^!ElKsA8Mo_-TqYXaudn+RO)O)4a7Ki;#t2@ z$TpZx+Lt%=Vdu~F}L?EhEJ|Bo-v{~xQ9E`*QdAF9{#-z?96?Y$q) zxl!AyNeWR@d#z@0CKmgat!vR6JvAH}H!>-5A*p%Gt0&aOkkU0C{Ju91((=UYIjmk{ zAAi%kF>M_PZsoUM3E4sh_>A1zi#qGigT6{>)j&)vy!ccV`@3ft$Rh~2Q`sFdp}mg3 zlj~{)zNXTUH7X>}&5P`++VSm;k1~-zFQZIj(+zRj2V1|SABKc4Z%bZZ$c1Eyx8>_& z!nm7jE^g?)ihB87N9&TxApPg*$A!Ogfza0~LVkxAG9o2evJamGq8#gb4KwQfzT6ky zc0CO;V@O{bHuz(VW)sXczCl(Uvw!2|F~}BwV@{Uf2N4mb#Ril$5OdJPhetpN;u(wg zQYIupV$t9O)%|-R`B$KW^2Zs}>Agtfr9}RY4<~nNI&$Cc%TG1Vpl)4qTx&tl0*E`$ zF9^@D0LUt+u-NVr}E96sM26d4W4$ivv0# zgC#8dxfBt3^OQP??JPj-*1KWALkXE7qqFbs-$C7_(q_r$1;|=@a)~j746?&JhMews z;?B#da^qfa$f>q34gX{WG3h_#)Y-BAA3w&=qL%|nO%4_*D!!1a@mAqjG44ZXr!aB& zlmWqcbL({#a>($RP^EG{3q+Toi>1DAQM1!IH&gQgvY_WjY2ZW1rpnXetsa2vUPXqF z9ETyt)6<&y^BCmvk-qCpXo1|`WZKU-qszNHMqQg-1Br_qd>S%#$Qm23J@1IS&w7i6 z-XC!fp=RGgXI%(BPm}>Zo>(I>xkg-;O@}Q0$rYIAe3u>m{k#)UaO%eRFrz3GOu4;|BgR3Ycge9&S5kk+ z{_lJLKfXNw^ReG0u}UR8`3RcN*NMjTZbEfQ%--Q3QYiPZPOAF04@&$(G0#Rko*koI*K$CX^<*CkOhtf-@2%qv-kgf1BpGT-{8K0{CJue`!aQ)BSN)aa!( z@^V_Y=jKYApM_d(s?&t5VyI}@!SmI39!f_BW-KpRLy^7tRDs4g8$n+wtrMBf!Kw{ZV z%O`C_i2r2pXvbE0=vKe*;Cas_Xl>D+K94b3r*^J?umzz z-JAwDNMfKM*Zaf4pUzmnCR4W|cPBf&h?W1<0A!Y>_=PZ|PZ0V1onNl#R~)Hd$$Ksd z(&ci<30IaNRlbjwYWxf8Ay3$K_sKz`VOQN-$sp*d{N!|F)d$*M3jOk6l7I%0?q-j; z*HCRJd4(4_KNTlj>{}Ugp!E4&VS{J|DBhC)O)Oaw3TSG`Xj|}kNVGOQ)`r|XpNr<> z$X?H^bDTWd-~dFPfwhS-^vdYCJs4DuT;hQ58cyGkp)v8<^)|9klBaENNi#M;pLe(aqFlkaKw-+3*|mg@}61P2@O)J<$8bQ{4rSQAQLtBKZjf zNA2BNb6$|H!}OIR#rDtGKdJQ(gIh~h`Hv;OKPGr2Bquf#cmBcTGT%(vxi*}(bM0f= zPCfiSUFQ2gUM5lg_1Ek8zyHp9KQJiW^x-K{h)_(}Y+K(2(J$9%Vo6>=JXJQ=O`Pi{ zPIL8wWjLhVrE{Pv|B0I2qmu8JZlV{rB-s>YCJ>^i=$E$;P@{Wd-)-%qkTHF)c}|E3 z#5=1l;v_sk9187{I-QFAG;ZNAr!~lQA{&3@fV`Rd!!#<}tRZ;U(4}%Q7l>pKDo;2? z4lyM^={~*pfcOR9*Bp7cYdP5O)%p>+f4Ub>vwT5TgmUcX@4t}IDesw=i8G%J_783J z3*RB*1!)hoW9&&^uqx6W5T%eLnuY!h{OMF27%A>)3!qNmcO$kxA6D-uV_|v5DH!+Ww{$%whLX z)sI`FMt4v9(9LoiyqC&MJo<5utn5e8uD5ECsXDy#76bOWURzVfZWKb6^6gz+FPhP# zq`%d7(i^figYRfueGA!A2i`sYo(d8CvW<@~e1#araf!aW$OfTLVW|IxXQms=q@3O& z`XRBix(gBcuC?xiS~W$k#{j(EpH)WY_L{kU6-ggXiE`$a^u0EntsYV649|pKcB~C$}fuBdXf*<5Pm42&2Ne}s4JrtAzMc*+(bdn1Q`tIs3Ib+i#yn>hw~ zkHcH8=f8mb_hU`e=MF)^yInGYdDKwYtSK``6oVqGA;aDF{ZLGO;ZDcp8Ym8R39IDT zL=Vq?0mgl!P;yP}OAJLAl%)4&&#C>j$M;9<|JCdJdwci)O6+^9v-q7vMzY-DM*V8! zosBHzItmdWo??(}!&Ma$U%Ot^+jSXIw4eRp8c2n-XeD-`8>rK7VdKn+WW>GsO0DYr zQph+j)EBU^1{otCoEH0z0r94C-@&CgAhw8JesMt>GMSt2f;P@xj2~Bjs6mEeaTG~% zQ#1rqlrW|F>Omwe#itc!^qk7K&f_|QUQ_dijH$-Wko1&l(#yOGQZ*|gggpZwU5R@| zuYVf*+eRT~Pg)^^l^CCU-5c-y!@$m0i~JU=pqHeInDZxopp@PNnKvKiFe<--%*IpR zAITn}Z%?E5n>!tlr8KGe`HMP)sz>^JssF+~g7tRY1J=m25+I+W8HU8OCTGnUabMt+ zzvt~X9qe-}3BEP00Rnw~an&6u$e?H5dMtbZ=NDJpO&A<;mu5D5sf-6Q<+4qN_3-QC zv{2vI$019?G{_+;5Bus|w7l`HkhKyd@;b-`vd@UUyWua3eg4buOFW|>M)qakOE&Zw zp#C`O9*VqQhqfdN3V%ooHdhH?odN>K4AsY%mmuS6@I{xWsLQ{#>*%5=>I$XA+$NTV z(7RG`cglk@$jbMs?25{P?BlNz4R|vlJMU!FupH{yX}(&7WS}?coy{pa-66=yZ@#By zg#Q1o^&JXtIcHqD)294y*r4SBa2lbB-BSLac~ zCu&Jw?5|ILKOl4;XM|?;TX)bRm*-N3yxt%XF0X!=`)LV8&5!C?FWeyO+}US&dvN!5 z)>BNF5j|WDuALU2%Rg|V3X0^1bF^pHAbZX25xWI?VBJ`jjAuX|ACa2p_)+Ak zGV)sTPOw8%KCyvMssh3fTDuB(h(n-lcbU=i>)=zpq;ilp0X&wrr8)kL0Ty zUS1gw8%k`*c_8)jmvDQG@p!_8`$;&bjXtp2TX+LGF!tR;&!jpbh^jhrkdh311-cii zugT$#uUYg7>g(XTUt;t%?iSlOT3ZdRWrFop4fY>e7oqFqnO>exTagoYHRih!2UM!{ zpAom{f#T-}5_<~qS?23cz8^#h#7pnnNF9Da+7U(`HJ4OKxK_V(*z-L^m$l2xYxYC< zSDAB!ThHfxENskCO3*ZUxW&G@jtZ2ylJp=KW*tBsl1cEVqU21?!0?GNSJ- zq3ccOX0y!;%ykP_`DdR(6$9B&1>Wl=t4UuEj?+UP-Gx1(7xB#8npPe25_OB*X)7B@S$2N!~iPZGk7<6LO;E+|Y7NhHpgb7gW>E2y_NN!`zx)G`(#U^3UIG zRQ}KdnLl>@x^!6u(m##_3+|u8nQOw>r?VQki<3`dzMBLh?^b#5wL;!8*8%po9WCHr zzsg!4h(1V)`Khm0DZsr*<+=j$`<)CK7gFIY*!rvtU3O!H?g~=d&G9GD3RawoTDed| z6LvQ1h%GYW1)1&qP*>uzO(n8U53-i!eY?#s0HIQ;Mdf_~B)6X^qU`sAIGwptquC=6 z#kS46FGC5!)J{kgDfB=<)rTD~?vdh-aj2oC^iA-%epB^`t{*tN_zXNea}Dh71yjBs z*!Mg3|I_Oe_}$CXN*D@6MVYhznEUi|8{0q=$yuhT)Zcv9<(W4 zJ^BFRY(IR6mOTZDEhG!~b_qdB(L3`#4Mv=|#=W+oI1B`J?=gwq2;2|L_^PzE8_(&4 zJ9>+l3yLg=R9bZ*lffpWJL(B!6=W&Can*-xnej8TBbMlgCz=s-X9RNOzEkNg?}ePI z2aAL~k04y6L;u!M8Ho0l;-I9z2Jx`L&Gz;!Bt@zUPmBdXYWO8pvD_1oo^E)&e$WX0 zZol?N(P3Tvk-R+P{VEXWvo?n+58ypJl;&cOId%Bv48uJ7{+++U-mE^4n$9Z$9KOqt zV@4-5uN4b9A6{IU!22~<CGa%g6pr~MltBO;h#ry%CsrB=%kbo|JQNNVwTOA1*DrjkO@LSn*MI`RV5HdFpQj^ zLH?kfwGq30GNdl|zdIKB1_+c6HE#mLA;W&6F8nsWZ@Z#w;^lG3{OGByz4stweZRMT zWbHa+zjqpW#jK0FthSDv(&>=v{-LbqOcUfCefxcI1pO7-&Fs9}L?B;oapFu^3gowO zUDZ6&2yrI9I-JBZ}y|HE55EoWR#LaY%zw?b)qnQ?9}vmxc;@)|N9O`HmgN`h>T z7<%CnYRI|Ol`*?64!L$;jlazbL7rVK_k$wz`qo%v)T?}qS}^;4%-?Q6!MLd^FV1ia zojVJjp+8X(Wm{|ienlt>%-kza_Yu;!S@A#N^@og8jS4hBf*=!|B__QD@qHE=rUQ3E z&g;3*vkqG!&)Qy9wp|Q&$qyaYa9x4IQv#3QL={7k&ZB6xr7S46ASQr(*V?_>K|KzK{jS297 z6+hpiCk{a=(JJg7UmB()%~ik>d_8k_gHk#KBovR9iuFP8UF!9*uiqi`eM@WIQ7H&d;xVQ0oPY=-^e@z; zLuACsK*j)Md+OJUs7DGwG}p)Gcd4W3WtDShqyc?%?s;zL(9b~3a?MFru}2VV__|e{ z;T^<2vj|n{KMn3&`dkC9Y~Z~+tAl=o0Dj?0w`C4v{!%5Xpvd0@Az_-~l84ShIK9SZ zb7(k3oD&efTg3yBN*_n^N!*Ze`9)_b{T)PeP-ZxJ@Imz3!$&!HX+cb!rEF{tdY1|D zMqO+>53#Ku+Fafpz#iwphvMBr5Lck4-(yya3=o0K$T@!kReOmb31oEGemLh*iM>k0ntXWwmaS|Kuq)^ zUvK3@h$Zr^oWK1Y;$m}IrjzC%-eUjS$OJ2DgiJhE+zcUM=GwTW*GWk92)Hc$co34P zPVC+|aufZC_bE0Y$2o*9pSn-#41{}YNhXK6L8Km2fSF1@{vMY&k2oqrOvgL*5Pflo zleP&xI=2VnGm@i5!mvh}f=Mkw0_p?#Ptz`LL*IZsPq#iq?b+u?AC*@dAf-ct$7iSw zQawp;RSr}@+Tj}y73Rm0FOkIPmZ1;nhwAyWS9pHM{y%;Gzk>fyUf=(G>_1N4(&8x> z1dZX{OJ}*Lp)$>9yU&4aJU6#UedqfG`C`h-5xlB6&tEBHZTkcn#{5j;q`Z)($HQF*DO>@dr?KC_C9B#2QM2^jUc&=^%gM`p2`lcb08f z=J+oBI%K>v5_-$IAJUnBO%AhS?wP}x_=Ud);zn+SDIP^{FhUEvzcdjd=J&hmJg7zg zAGVsC$Ee7@lhRZ3jQ37X>ZkZ|LG)+J;U-y%xk5mauF) zT!6lPVMYcEvJe?~vxoj>B80Pk6AP@bfMDkBVX=wg;2+dYdi{(G_}C=xol>EJCstH6 zchPI3MJ8d351=1Sv-gW&ad9XcquF}=C^Ho8i+af}r~EVB~eV-tm%wxiuDG-tf7N*RnF@IS$G#^de3DHm51o!P6 zg2)4B9oFSFAS~)&3XdbQJY#$G>10j7|4{V-{hhtgGtg*CXvu^&*CE}i+s07WdU@*j z4>_#87`_Wip}r%lm(}2M8x#!I&$Y=;LQbe*n3X^VWU{m62(kp>T&Ik`RyPe&KJ488 zO;Z;V<{L$x?%RM^znqp!X9poFV}dA=ao5)L3=-9`~U5}JmI%#39FN(jo_advob-%DVC_LT>CX&C3#;kVjqc z;4=lD5q5_uNX0iGX8i#x-9k7dymIXkZF&ePiiOP5LE4Zmkf8ms&kN@XT`Bsjn~?F$ zZZ%H95Ow3+tt!^rAanH!{r5qz2+k8k9R|E zDcxu7bEc4YCWBmDq!98dR0?k~uR&xH@5=J-a}dYf_VeM!4oKSVa!U9U^8doyhi^(L zLHdhp1vzD>AVX%`AxZS2Acox5mN$f3y&GGzOadOCuC8Cqxzp8lonE*x9th&8> zG@z)VpDdo43W{&Yhd#?Z1jTP9yCcJOA^nkD;9g{PWc+Hdcm2f%S+uKr+>jxcy>_+X zPFOkQ)|R?4G$Eho%DJl|RKrm4WZ>tsm3vUcCV1`UMN=rg9v8KuF%2c=ng_e$*DPP3T4*1KWt*pLs{3)e!UV|DBpXL;cmx&>ivIm{(pRV z{;2EIy8oQ<8492umq`Ckb3Od}$M*~V_T)vjCrzjMZCeMzVzBvlj~+Qkgw_AY~~ zrAz-gp|{|n(D{IYl>@xEqs!eS1i*VhX7Vh@DEO+5T~o(7yF%eko*C`P5XA(ADn{_yEfH@3>OGxv#oMnLT~6AP43g| zGq|r7)UPAE2OJB=9ewOYz)j22V~^<<@OX6gz+E-01+u>dN-B(i&kajQ&mFzs`(}NL z-`EoTWh(`Cz7vCh04=vio@)?T$VR@_sscgfQj~;-R0vK@X`v~Pgb=HXMg-J}hn`H) zdL-Bnp%aag_AxXN=2+}HG~Wld*}civ+6myi?fjOb*i{ySjU*&`0L51Kmd*2uj{`*kIs-;QWmPR6fY2 zPHpS9NB(`NqpDQ;7j6iXq>WvzDS)t*Lt5JNyC7Vy{gb0^FgTFDy=vCp3$985dp~-h zKTjZy`jivyqg7CmHH-FuPd?549BK{Z?V0dxo6dv)hK=3lhGro!Z2GcD{zC|Q8}IU{ z&l*BlD&LNYOd$KyUgrIa5C{{lNcNbDgK(OjnWc$22)AwerYC{9{1BP%@tg*nFR++P z`Md;|hlh62PiKIK$9p+dkOi-W(eo->uHxsNCliz50RIz5Bh0^~K_JaY4W-#N zK1XE-!eUC1JPk#hh&m)enfF>`c!cb#Mtz&=v`!mn9&b%YK^;p-}}FF{=a>B{x8J- zncbuA`RK_1aT$YbGez{jt7zS2|?3t1N9!#o&Gae0^nD z2hZ!TC8nR5Aw|HvA$;T;B!qBjkXyGRhfd$w?Q<7IjV78l9h`xP7)xzclK=>V%ZV(S zV-PgBBO=kM9{is>2|PSS4PD2C7sLW2p~+31JZ2^hs_bd$TujQLbcwx+g5xU`JPo?kbLRhJ6F#`pDPS5M>~M%N+kjWLIsoyx%5-^Z1Lk)Q3eB(DQNMrP>#15N&ep zl3y?bMDF*ni4(jB;d@T|SbW1ic&=LNkqemn#%i9pLeC0akJ{#x=;)yN?v~>>^1nm% zF+~+yur#u1S)dLy!r-LD+`&f>_X*T5cn|-X_ zNd-@$mx4pr2cad!K#_?q0cvoAR@hL zu+wT9!pz4S0$63xpMY{!Lgqbm*UdTd^qqp%%KnKdJ5#7l?N;;rbQvl_8B95aN>Ee% z_Efd002GL;cs-ICgd8q{nl0T3fi8@%hZrf zL%pkB*G{EYsNy}8`0&t8DD%@v7?*W}qB2UhenBS4^VBWAXng~+xz70C^y9)_^QzhK z9^50{IqUUB2>0_?msM!atU_Yx8#DLt?GV>mknu)o2x0{8ST8^Mi8@Lm`$HnmW!6{1 z_+S6!%k%$ed$a`pB=lqKD=SU>+3Wj*(QQcbhQjdWG{qm z>5RD|_J~xdc2S~-)Pa+xvsUP@lk|wa;rdfZzrFRqqf+Fav}xBFejx`U>F5LcW&_CN zIOTq@a|dK`b{N#1xs-?|K@32>0}!_BUTG z3xy2Pd%o#@SAgiDqGL$%3^H?czTag9$SS=qL)d*4veP(As!$i6V@tjgq|*txr`;dK ze$$8C+1fYi$zSl9$!6VL?u2~0(1{n$v5+55RS-DV2~n<(v_d#3AfCl4QpBkel6lhP zhfcGg-n*%F?EWz7zI`!guc?H}k0Jwy_COi^vdu`;2`F>po}1%OgtE!dz@O=oP%g6j!QK{iC=V!L*KkSr zeeeI1^Zyz6$m7F$|HR+1Z4Q$Z=34mY%H*H1Pj~4rM)&f+ozZO;;$yVIeE_U}$PVoY zUkAHL`D3-4T;N#mmE>B)0WRTR+ZA6S7mga~=&Db_L(rVx`LR2Aik*8Pnr;PNM{LW> z9Y28gmhAR+!yDk;5!!Q*GXQ&8I<#*>WRRb>I(1g-J@^u-F63{`LPqt=yBu7*!7stM zekA-An7i%#>6pC@tPA2d5vbnnCa^d`b9dwt+rD)Gfs4Cy+z8tVw)`?|VVY)*AQaeAb1M-V~C9Z&GgR+7n0c z<5yi0Jl_I-6+Va82EL-#B->=xOEa*DbWWHn?FXB(gA47v=fUA}Qu)4+igN_-OT$g~v(JE!01J(!ia7Gb7K4C67PY83Shl z6oC`1kS@C|fUCNGiIG?=xaX}r6+h+)p4%8aRSSy1>)Z$HU)qk~tyQ6a?9(Rr+*#mj zr&b4Fr9vX*5B&LZiH*EesRX~d_>6V>b?}c#8?d|e0|JCtb}xO{4gnqfeM==Y5GcDX z$+_1WY}dBYeB8nWj^D(XDY+EDm3TQ;BdZfUNJ^Tgq#eOC$X|CTvjV)nOsOQm9q^G@ zoDf}c2H*P+Q~y8m&O4s#{(bwRLK;G%A`J>bXZGHE@4fdP=V7sf2A=i<&yJ~? z!OKd5D}1F3yss{1EP6-4XOGWqx?gti8Q%J2O%Wx0{kHL`5dWqhXH`sqry2Z;1=m%n zGr~cYT62htnlMegn7Vg-hU=;a<>SY%!|gtU`>i$2@VK6k{mV5Io-fvDY-U;mZy_s+ z(dwV@G4ah+=p}caaL+x0ratgXQjLGcdmH|tGc?=I@gcxWj`?%{4Frm{JXiLpN8s$K zl2YzX2$JL7lKfMP?2BdvjRN9+*Z%LG|F2X3|A*RtSQDFRx2_j8pH}5MTI!*kegpp` z$u2HRzfxPd^%?PvMQxqmMKqh7CN=^3Waji*=g7Ca_mM36_NXuWw#Qa@Mu2X16sVsO}3*5}B6=Y-&tD%zqlbTkXP_pAcT3 zu+F+p=?AEd$tyd%;WNpAq%*4)ZXk1Z19pFppUC4K58Ou)h0G3nMVJ$xnBK#$P3wr) z;~xFtG(K~}3iEGxZkU5uCHsdv!)6dAa$%qTfmVbE^tK4|P9XH;xyGaBhY&2mH$Pf9 ziNMusXkNW&gzv1BaIvf=+Me!jtR<|p^)2qw$}~C1uq^Z5kF!+-&RIf zdD8P|9a)#HN?6qUUM1XQIENHX*;Cgd2rFwFy-2nDX~b!bvzK@+BYK~Ov;vt?Md-=i zEPHwtVYHMk^?S%}a4uX`jyDTId-nNs6{Ns_N9vl?=R;^uag)eC(uw+p{lO^#%ebo$ z+1~H986~ctc1$lRpddP`MLpga+3zn%tv4DZTHV3$z=Q>)UU|@E?%s!_SsJ6&)e0no z`#Wv?_$u-_^bOzlph9Hc3U2;4qlAaBdARq=3__MOe|>#LvZ+|8FTFfijDX(uJ4y?N z=;%D45N}q1hK`%hM?C3K`D|(;#d-{-?eiuKCpl20z5Jc_BDs4#?OjVHOpDC0AD6nfkrKL2`VSMW5`wYRAo5OLq4`U-m{;qmp-UcJ+g1U)sL?Oz9w)G(>+Q=}L)bcu|1d z=n@T8!SBf9IO>v^cODS}*ZT+69S}3nw?lAPiFlwe(Z{k9$u}*|y_K0n>UxQt#~Zbg z7NYlLx-l5(UzO*n-TINqpK0X_Uw{Ee&XWO`%-rh5Y(xlffRmr0A*Fu5)RlyuHD-<4lb$o0001EqZ9jaG(5RX>CSKU71dA{c} zF%X@Gv{g@b4Yd)U;~x9^fV+gD&E_h`PoYXgLNIOW{b!uCah{(v%q77ljgiIPCqQ&0vDrq zq0+V6;PNU@Y7H;R^gKM{b@*u#^l9hhP9HLd@dOM1kD((l>wPA**YGkdO`>0q>5Rj= z$@Bb(*;&~1Jub3l+6TMZOJBX7^1#8It%{a-N*$MXW$f?^f>X)CmYN<{IA6FuS<7k; z=l5&!dS9BuMK@S~_G&I%2K86f%YKBbj1`~LxCmVHl3C_nZo=(0p@KsT*I-hg#&bsT zJIo6grrr_{ia z;oce;6(1_p%p+`c&Q?puv~gInW><{##FC#oT>DryHDQBSkB8C7!CsZs!{b349F?O= zKkj9LQ-q_!+nw#TUihUV`i5F3zXYAK>P2 za<}iZUbuau{W9%C7~MCn9K5)9B}|({?uoYN!*Yc+zhCA)SpS?&3wLdSZJGE=Cw)6O z2p!olDszKy2MbCZt`jYi^e|tE-DbFm=-##LDTgcbWxC1X7jPX^UCDCp0^Gts{raSn z5BFoMUCj7A;r_JXp`e}wJZ|i6yrw$~k5|q;0xPe;^Gx)~2b4aru99exx!(obPbo5o zwAkVBsV7NB=mnhmmerC|$Kb+w=H{#vGh8qE?#{k@18xQ}y1yjc;BL6GzCcR}9#^uo zHM`fqlYiepPa4r?ecR$(7N7{PjM?<=9%Fc)<-K6&mILoW_M7~Tx$x21G>{n91fO@3 zcK!v5zt8>OJ^z1ldH%TX|JT?4!~3cayJh=OGdKVFPy!WUC6LUjgG?x@O!MCxIY;)v zCW%#A%w)cMu{0w84&mdS4oV^!56PC72I;uxkdX0&{zro`VmHl8PCxQT6hjbb+av66txbbT6ebi6BtXe@y#|0{pJZX$cieqU~s~$cy}YsLgWbKG4~O z^4w$Wvb{ht?_=xyCR^lPtL$-OQ$XhOq`c5Z!rDsD9WLv*h~zm#_3lsWh}Wf}=g~$^ z;&*2j*h}*jQJv~0-H-YZvB&6;p@a`Y2VK{g*1SdV2v1p$FWGf*eW!JOBm)1F@xFdF z@o0NF6H0kZ8+E~AJT5WB!>oBjS7vw)#m#SjjF3A@{!P!xtX0m)8uBx$TzQzx_~H+| z&m+F_ObYpy8dZ`l&hNE;n=0ZKCi%AgFh+D6TAvP04Og%n3xYWa=}1i7q6B+i}y>6OGNW=$ySrh7hIl z{*yiHH-x`u<9M6;4x!Z@3^hrr2!5&ln%dk3L45g1=R|g*qeoBvzH%cPUX+iXS9^rY zFAblIxbC2Ita(oVo;!-XgWe^#2_SDfg`V;2F2d@ly&t@&jdT&?Tww>2byBujVRD%4 z8zR{HoDE4Pc=YNmg1ZT;#nZrS!EOSPL;Ll39d?sDm||t6>s^F;@^6ZsB;15rWry#F zJn%^R!M2xH-_W=^^&BnHYgBzZ^X2;?O_b+Y^ox9{MhP?PbR)-R6udWl5Ls~NlwV|pWKMAXIlM}^)X?iZ;qhdJ&P#C zRl##_LJ_gKpz!X76A0VR(Aod?{_ooVN6-IvF3*#{*c|`t`L)R*uVzjDAYbyTS84yy z{*b@^JLmi%7nYvRz*GAQS*+lJVi3$$BDWspeaxuQ0&1v8?}JU6-rZgf0AcJ-!6@pt&zxzKP~K#y^QR0do}Vi zIFS9xnw7KI9XU3#w3->&$XTfG*ypehp#oxQ!TE!Tq7$!e{qPKN!Ud|i`&S_Goo}&g zB+0i4YfU%Or9BOE5L*|FVl9~;?AvX}g1Rd}xw-+25jb}4q^rw?^;njm}IEaQb^ z@Ar^>!jbp{$BuW%p3n%%d8CG%04LVZeyjTD^?@7E$P z+IoY{QZyn`zPG&F?1orPkH)@AJ0!+e4)3@^bo{0ArLLDhBUMLqWj)0((x$S1I?t|0 z#zoQGbz~-)84rm%(c5#PY)Aj|6m zgwIr%x8zUwjG3pMB^&Q;LRQt6PoKL(kh3OXLcb>pxuVYn1_OzfN1ih87oQgL&+PFK zeq%{?lcV%UzdS_2oOsNUP978%Gt?F^Hlb*rL(T4^tSGX+%OriG4=L0muP*w<{o%K4 za*+r?h8y!S=TA?O`oCV&FT+C3H>(vm5dcAG3QWjH>N~M*HMNad`D2a z^GudOy!!9j|GVe^v+(!*nX_B;SM3|iU89v3RiQn-T7~wH+NY1*|KE|rt2HgMy^<#Y z`VG^jQ{R|id^Pc;+!-@6d@L0tuoy&4v; zmbpj|`R((0m_qx@cz}(6ByQ)+44x)FYvXPamk)QkU`Bbnb>)~W%;ye9`K@h$W!3hS zTR$yet)OzAdPxd*8uK~U#VnDz_zLOOGACen)VcpNg*)ueX8yWwLI;QAGEaN=oP^_6 zf9Z`O>2Q4KenREmEJXTE_FAKn| z_KvTCe>g0vQoBWeI>E}L?wB~naA%J}MdQj&*d$5)GIj`s?Tp-74!X^-=Z!yBR5b&K z6TDP`!CT=dc9H*;Tp66`i*5XwF2c!bAja9D5l%fF_q2)5&Y4GJ6>HcL==BXXI@9^U z_y|u;I)e&K%ZKBQym(>$J*9Qe;zw969-vM*aSql!NrOc;uVLfzt9h5N4D4u(?`r6_ z!2VX^+uXozIAl9@a0d;-u|wv%kwrJ0bUzL{uNQ^W;8V{pKh5AQtDL(zL+M zlSJ!mzu&&tuY@qro11H|W`w|L>oe*d(K2vq_E}mnW&mg9TH{L{+HfA@+^bP92p65W zl|mw6aOrc|_>S-gT~97r;-fuWQ#Nul^jX4uBxkRAIVY^bC^V0H?|}{LZHJqWFT&3F zdpfto6*$DQkDZ&`N+UkgSIu8Y$=F-3U#zAIR*h zL2%i@2Tk?X2wL4!m=nGc{+s74zWV8)?RbF1!9YsX7KdajeO5<#1v8WA^8yqfj@WI* zdIfnFtq($`ACpW4xl_zGq~~vC56;q|cH{F1J7(iL+`I}Q5?wuZHG~o7sPTYjbE$hS|WG&w(vY|78`@!FI~576S*-6w^VN40B@zal&u zzc|5C`;&;j5WD7#niFC;kN1V_A{wLH8IHMkjv<`U_SfB~SqPQh0pNguGvm0Kkr_Y?Hp4=?cbM-^hIXwDqg) zHAK@=csf3Mryx=v#Xj)g$%154tJ&)-r$`>*MSkzS`G`%~&}+~{hp318);q}EBSMk; z2Ho}*2;=R!be5AHA!oi$g^iH+Ayu(|vw<)=`tA)pH(rf~0kH>s+GD6(5*+i0j6msv z=elj35h#lH?EBn)0eLbTf6`=rA`FdOk0V@FNWVSmbARtvq>Q)R6cNiuQdeHOhet3H zHcC}X^;#l!<-Q9~l4=ok^I3qf(iucBT;FP1`w3y|mMQFVBSSp(RIp_^!$UgcYNAjB0)ps-JVNJh;7eF-sKuvcYbM(f(c+pi zesPj`y)H6gs;% zl_PF8UiNl9$wN`^ktvcsOBfa3-Z1yd6DAcet)mYm(x#b5(~lA+1h<0SOd;{OoY-Vi zapVWGj=i4Nmt;Wp_Ui|#%jZdtzcS+&8_7&c(PcSTM&{h7a<{y(c0_J}9sTZyl*rRi zY4*sth_FkCZfb9lL9}U;#!am`#P>)jx2K*%(#t~|tcwnlJR38vKuV&Y-~7?k_j)(d zt>r5@o|E3U{MwtFkpswlw%vD=BGJ@5U-^=*<}$LYr71sYx*^9``OZC?CFDxK5quX+ zc2tw!KD+*WjXbZgl0{Jq@?L>_P#4le8M-#FhOz z`?(CcX2PQFlc$N-l=nLC$(1BGR_X2V!IQ{$EN?2-3Pr*C^=tFBgAw^Pv-D z160TE79y8EB9P}5d7af^y5o}F$Q#M`f1|t-`T6Ig0uP&^Ks`Ta@1Q#hSGQ*_bakOH zE|8Iv{ygGbA}E+vRUoNyYk!tF$=CVz?d0eEzmWFx{M_a1Jj8pwX{Ozd>#ZMUryV`yoTfv58qBHPCAF8y__4ptv{paaoCueA2W)zzRz9al1A}l zq?KoA6JgCN#clp@79~SkYd1&6qV&`^q28gFUy(Vwf}d||7YR< zN87_e>im!UyqTJo<^dr?164s|5d&2T12t>DTjr$s7x*iyll-%P=Y3y^R%|nMl`fQ7 z?pQpf4ucx|NPFo+!i-kE7XCuL2wFEEJs(g!4xRmmD?GG>q1&~K=St-+=t(ghm`Z*L z{fJ9GxA7Xco09wlc4_QUisbCzrVSH+_ z7{+7S!}qEV;0isnTyyV1sI0r%&b((0)MCtUP+eXFjiIe9X;zHT`Z&ADzbO~W_XGS!`h|k;#+2V7$2yn z_CKNp<5+-`Drk6 zHut|Sca<>1%Pe%8h<53oRhnhb7)*B4Tuplt028->w%!@SF7IC_-+DR(H+J^8lK6St zobQcwJ39uAz)H(Jjr-6VIiYmHUl}^f`Oa;H?YK3XZ4k0Q4*F?(g`(t2U?7~j;6O)M z)=5XjG;QW#H10eZQqB%zpK_h7jk7ReV6642)`N*(Wz)I=L6|JOi}$y5h3U=uV@;7s zFs+SX*`m1vH{&v>P5G&yVNQ4GP}wDDuj3B>VXX+=+vO3?cKy(cG+Ch}?uOgJ`V#9d zlGnR#yVBYJ35=HIzG$yo0pq$jgScDgU~-%JUUTJkn9`28e4b)}X*BEX0Z(#w+iGI| zeJ&qn!Bs9bj;CO@*yAG=Lf9p$&9@rs+M(6Tq2*U#4c!0+m$;7{(BI3e!H>s-Sk^iw0mNZAX=P7hy>7{beu+oCup{|WeJ_M|EHD75NPXDg`-#!0d+k4XU z|JT?4!?rVz-#2o*$in0 zUk~a0xQFE4y4g46o}6gM_L}()$)1H zFW3EO#K~(UhlW*rqS+bgt6mgDj}IW_W+kWJ`Vu4w?>wV^xE^uc@7T20&>;H30*9y{ zVQ}wbS}vt%AsVB(yVvb65G{u|7rRzFf=?Zd>DMtvVBn*{Rw)Ivz4dD9)b&MO&d~fj zwWlPDRNOv+mJ7vW{`7ej@#H+C>6pmZLpI*5Sh1cO>D?iw@6TBxmDzT%sp=Q;`NbFy zZu^4xg%{V?_IyT6kEx2J$_hm7>{N?We1h;NB_;ck)e+ixsVTMF2q82n-E>tP2(mrp z@#}~(+RJ8?sJRwV|K($ab{Z${`fN{O-0Opqmivk4n8_Em zeM5Tf=}x-sl-`I|x|4lYwgiz3+QIW@6388h@yRKrRR~pZzixHK4v$n8GZk)&pmFC< zhgD@8Pr+DDJ9Q)(4u1%!Vb zd;8JNS;9jwbsnjwepuEfb0cjy?mFdI2bpj8ck{eXBzkL^ zs8^dj-7xXNO2TPbzelD@N*DQg zVJv-VO(-~;ltK4O2;o(BFN?1cj_y8{bxS1&koe-mOkY3%+2IKuZR(&RIXW8#cT2dE z-I|Q(kSE~-4~;|(OU)xouz~06PokH<`AmM!@Ai`H5W>kj z@blzyIN{f_$SP2tMsoX!9K+{PNF7FDCBpK{}Ko>K|yVlp>4r66o%N}$k(Jp5%&v)@^U8> zISo^6JVW@$T`#o<*5x5VbEA7jz%e9ub@4MCxr;Qu+T>7QHnLCfTcJ(%;aSohpD!^y zCUgC>Ni)i}$W4{DkUwLI{7qk*O*rjQpv7`WXU?D0rk>LMb!$;{!E*ZZo6Y3=KPWDv z?nkk>9Cgs>1{7ypmbGtYMhTUv$9id;Lg*@kQ5 z?8lHR+dnN6*@=AFUHf-rbfS>GCYq7#Gm11rxLDl{P|U@=y{O?nieGRT4f8mo#PUG5 zVbmj(Qr|z}nRpDPeteph?-@|GGQ|$NnNeo;iNBq&R?A-A8~AWM8RbF`_dReA_+9(I z&;36upPc$+*7x6D`wu&gri$}Xqn62ky$|UD%O&P5=z>~NG{QD4sIrLMMa}LDL#L40 zCcyfUoU>_xEMoDKME~{cV#g85B_uxZ?-WWR9DQk(qMyqv5v^!eUOcIUh|XyWx7L>k zOKPvU;!MuF+If|sm4qwDvWofHmL~{2TXd(7?CRR2Szcw*1)}yLBVFr}=P2)9TJd~p z8O2vK%*uy}H{`*g;|r-=$g(_d^iG-R>Grs)KFmFi6t^MKP8E^`rfjHjeKXnT?tFE$ ziOi{EwhD1lpF598x9T0OXXOZsvOfRtWhR7PZKu2O@fL!!RF@v)6CW3^=I4VPeP|o0 zcz9YfA9W?^S>62aP!S+3G*bcq5-SM(_=Aj)~~yT_vgh!8xMK^JudVFDDFFIo*D z#Aw$g~YS zdy+e6lAA<)b(z~83!DRpkM4Ac-YYp%B+tA&-8Vy+;Hy{aO(YV2&4a7&ru4NCJum1L z^V#2(L9w--zI!KcXX28qhJebEva)5)G3FzS`?=!9DJa zA>7-TP`01HU$K0Uu(?%d3!fArua)2Kb{paCuIN+RX-?jU#p>j%oh19q{nEMDTgm^! z;xOk!4__qeR|tA)5*GOF(I2maA0zt3(UF3E=MWiI{or9X7vULwuugqnhR|ML#kZ$g z@krbM+*&PHH1cLY4l_Q8>eKcU`v@noV$E&p@~HDDaoW}9N!^CRPWg|U{Zf$IwC)vS zFeS1)r)hqEB}~u32iqMo8IhVk7nQI@l6cOVF9fKaMA8oTy(!s*`)|Co|CyK)Vy5K| zDwF(&sMf$T^|T#`==YquJ-+z6_W$SH7ZUsn)BU$@&)C+H_H>jxt@sUhT8%#*3V(eW z|J!wac8_w?PV6y-i#qoun*?#VclWmGnTEk@=+T=y%y;0McrNpom19*nQv2cr2AoW@aULv@^n5qM= zQt`nvMBto1?>_k4J^RV%+B*0Lr(|9`wTvJpOKXioD-eA0Fbm_$GK45}&O6!L5baXj zDBp=UgxQtY>qsMpu+eM7)8E+<9;Ic(w<3`+yUqt07DW+eSBsdxun!`SH&rlv)LEd*6IVGx}@V?IH;Mjcyeqm?U1)U?D0ry)HzFF!BX00^neA!E0 z*GsF@lGL#NbI3$=AWVOX$0R`);R-Z%>Jo(2wf*2bjX_yNym-y`(|0#vc0Fo7xl55S zyUg3D_V*HI*NO9C1M`I0WzxU(_8qu8zi>I`FadA9yAKbWUxxph%e$lk4j@SI_ zLkzCEA2n*0iO^jf1B?7S$m_MbGEvndJi@1iL3$%1(vBAH?k2Aj#q6r`ydP2KeBGwT zBxB^Tf;;m*3Pitu?|FHL2V$I!yclFZA(n1>=I$vb!t7$y8DuGhKf|dxhA}P#xqEaJ zB|Sojw#2Cq+^qzEJ&{M^{@r`5xFwL-@NnIJpLc}W z#iQk<@9?|!|C;svr|?N<|Bw6rIl}D`t^1D9dwO27 zg%XG~O1{q@OSt@ZeYi)o)*(LQds4W`B$7B?>-0|$t<9zR$Zc)zBx5sQiCK-z!If7h z?a&~s&LfxCU30QR+Ar(by&4Y*E4r3LZCe#Gq>7rH4w1dU9tfpNH6~CdeBS) zlAn7Wgn$Q9CODYrIX@!x!-o%=8&8ql-xbrt4T6N#dCGb1rc&a0@t>_5B>ecdr#xe- z=8$Qi7#-czhs-gl_58ae$^2ks*U!_p5qv%G(~am-gePT6KHO@HXdQ{3kF~^`(_wS_ zXWl&7A%-h#UO~J|^1O-$6Q7C3Daa)1ULjHo*Od0B5N~ZU73KaBRisBT{=ClWiVW@f zeO%5}$Yk#?c&1C(p!Z)zey)9hEJdNR=ikkc_3YsNMPb6R<0WjXOT>!FMF<(?9wc+>VFvJA{rx)ZL*50NShd)$p5qv z=|5!jo$ee!##kt;c;|tf4A1{&3<4xVv+>7k{Z1t7P(oyY!JfZEUJ;)s|Uo(uG+DRA%X7#ey$ga6i zt75UGTpdN*)5`YJPLMm!=Zj$)WH0bi^ox{8FN$~egl9GfqgY4nQ1F@b-?jgD&;Qq{ z|NlkpKa9&9Z6){fnviQ31^jLkUf*-)*-gxZ*JoYvef&9c#60>&Rr-x;cIHNya( z+p>HPErgfHBodxXm{)GREJ1;dW-=iS|vCp4ttR<)_DrPUs7xqN4)MjxSWi@w0O zwsMp|Wp({n!;WH>`dvOCzV}yh2k#dNA#=a^tNem@gx6Q=C_&9aczt34htC)wE@2_{ z(>6Xt6^NWHa^pn!wQYw&=IRjQb+7QD2OENR*!!#tWrW|Zp#zPprQj7z?U?j|czvBY zCfyCX2(Rx;!mOM<>Q5gC^E)n%iiVZJk9*Tm+^4}#J#+y1TO|B=!+4Mdp$OJ2Po&-H zznn5RM0)J|Z&#nEBw1Ee=cUuPAi7sFzRQ60Q!1Y?OMVL>ygotpZ#E+c8e?czP^^Z( z^S)Hy8(-kv^sYK?j}tunOBauYo+7-yXP&p(zoEhYl%E&N6I5;;`{1+L7$rZ}$12<_ zLBUVKeqE0B$bK=jWpYRa>AhPLnuPBoCHc$PvHd$q?Z$-ZufC7i#H)M{?xZ5})9`AC z%|3+JXCx^Xe2cJCvW9fG2_rDhh?>pl7O+^5u@-7v^@br=N zv8+0h`MdW2(ewY!=;k23{r_vn7xZuE^*R4=ojP6mf%v3bs@H!ZJe$RH#YXHG;J-(( z_q1~m0)JJ^+uy#6;M1jRhiWN#k6EMjqZ6k-I%yNmP0&T_u9jVP2+rV+9Uag{$iVQdT?FCrQshp4yiM|K z=pUDS5cz}%diH|70Yivb7O{=v=||+7scV~)h`zr_asEekHlocY(rQad)(9^*+nhxe zVxIS?eG*uJ>%IBpHN3=M|7{Bn8K=TGsJ4u+&IkbyOqaFH4kGBKkIRjOIfU@b*CcnR zAk^r%6vr1OgvBm-SPgGLc$sv)q2zHyR9jEik*u=F;+gHtA4L%ry;f)Cy@Q1LeQ|D^ zg96F=kX>M3IE$G1I^GqnyAhjpvGG822;5Cx3Z%abhqte4Q!~FIdEa%+^*DAS=pd&e ze^fJqOB#*@&zwZ)rf90Zwp9pIJG1dlqxQEI z*%PiUppWQJduUn>WDv6yoKa_R5^*bd4l&&$_x^9r`$p#q5&vRB)lhaTeuquEDWC<_4JS^xl>m)-VjOrOe|eH4k4*@_Keq8 z1|;)mk6spQMsg~j_jKh>q^zI3Fi*YLkqxr-a|;0b1VFJTDLF!_=v!{<)V{m?-4AmRnIkb1R>UX z9Q6AF5gLBl^8v$kge4|tQF93+JX-qayX%XHaOruge1mv$l>?i$h;2p`_wtE>!=x5Q z-(8}r5JYrrp@5W1GReHU{DbDbC0ug654nuE!Q+?cN-Ebw@QGb}dS^J{w6!|?IJKAX zC?jszw4b(<2L|YNDo+yu!s1UJOxyRhg z7m))U$E7DozE9!#8hO20q8(bkP4$?(F3$zoUX~-I_IWsxZqCBBVw+@-%x8E`u9%B> znhsyz!GXM0)Cg#BY0TuRA>JF7=ri957jEB|NRE{A2sPOM(Pe<-7sap^iDpP3yd)<7 zR=h1D?tRe9x=!*9it6QMZ;-s<$b~I^&j>fx_)6EXXAokJs@G<*3nFIjTa2dcTf!GE z&ZQJ}fxE%0q4#l2@b+XA>M9u{@4K>oMTjrC3&mVp)-XnJkpjI}%`ie4MMng+tx0C7 zlt+avxuZnb%`iMCuUqvwV4I>4A|F++HZAc+RJY5EA6G{Z-6Ym*J>`R#bSG;ag%rfz z`F_%_VLRere7fu`$-$koGhn>&0bb9Ar9^hzfM18P*p95zj(H=}FNVs|^bDe!Dv$Nd@P z91vRQTmE)_1mOl%#v|KTBa&&uxExb61*v@n>-Vcjwns`vL*M5ZQu`L` zU#vdyyY_$g{C}VN#H;h)Ui)qI4-Z82(2zO%4-x)MM^tQ~zQ+{%mH3`#g#C4Fkw0_S zM4zP(+1+MrJIzU+Ym{x}PyJ@3E)>4A+i@Prj}#Bo3o(-niw}8g#XAs}-DLSB^*Ewm zaqBY11|jlVm)vfWg%rN~05$z&4np^QT$SRPhhVi~H;uPRXbVdjndE8y>C$)bk&WKVYu`7{Tn}#`t!pngKIaC{4V^ts>vvlHdd~Vs~aQd z`n|Z+););X_kQm=r)RId1-Tr1V~$U7U9Y-n1w>mK3Y3hXU<`i2&{3U8)#(taX~XQo40k>vb($hW+p zl0vFfs>`Emgm<9Gvb_8~8;K%UBKV5^5qI!I=TCi0M7x>3KS5X@ky`}j;(dk@HY3YO zYyT9FsaqI3)W4$fdEM>A_is^?&~|e%7xPAO_yc=$RcOsd9wZbbQ~I7hRTh|Qp+;=%2BmIte#9xJo!PQw1>B|?`j>m?;T>a`s^xwc{)QW85;$&v z;G4TTd1^_GDzU|fUPh>>+hJ!F8id)-h0zC+xpt;Z&Cc#GWPWaDDe=Vyk!?4Wn)Yu& zRMR>cmc6owF6cJ9!9)0i{`Tpj;f#n?eXzbpcoA`2lFr9|C?Rgx;FDFEEj(ydY>(dz zfKQO?HWeBX1gKGP%@+be{Wm94S92gl`L*NAoMQ;BcVM}P5DK{eHmKR z^tp-nV(GaT%{SrsBsKGBE8w?pg92X#HR1T$t0s}Gu;6UoHJ6+e5Gv$-v;L2a^7tR( z3Xcc_^y?v0$#Bwh^EYSO_dG+?4Q6^u12R{)`lWiwaTj9TF2z`kkjx6#>=AG33y3qn z_JvQr9q~#xZ8#4~B4Pg<>HDQBNceH}c_YaI^gY9HR4{oP0*y=tava7G9Kp53S_Op4 zJQ)rMFGSeeYfSgZeLCWXC51=!bwu7@_h>B+r;V-J$pj_#hV|9HFrEoIdKPZLn=Rx zTM*8m$On4k(ThlQiNDz@q`25d z$891xKtDcKWEorhuKmAz{yz)g7OW|;P%7BIYU+t9wT;*ecPGfl}@!3?|BkFC(bSH zZMq8I``Vi4+|1z5?Z449*9!r5UyAFu3Lvn?OGi??5J48~pHfbc&z36U!C4YaK5Np@2MgO z*KSUUQ@k;7Uq0^=@OYkZ_SA>wrc>bEyk}H2O$fdw5@MyB!{JY5TP#i|hyeSm*=wpI z5IC~2`9+E$f?{q4WDtLQu!#4m)+#>)KcTg`_n7SURTNc+l64R=QsuFE>MBAtkN@!1 zZiM3ozt4TXQgBh(WnxDu3Ag9YjeP4L!*lhRjQN3hcvI)@eW;L3xOejOa+)0Qix+SC zHvJ0$Viv#3CMOWsLZ2UUAOJyekCMfwHy~J63r3t|7dbu4y^r|XLV~5#ys8MtfQxax z!fC?oEh(b8U@C;LBm46n*1aYy^zFy9pQ^ykI`7Jv&HLc-Q9=K>`!jg&IHYl5^fG(} zZAYY^gu$PK>h`H$V+i;v_o01<37Nxqaf_zVA()f$Wf$d31dltPF4{Lpm|Xj=Ui2G4 zs8W}&*I)vf%kY2DV5uf|!|Yw2pT!BkIB;dB>M+7vcz+fYeZ7ds#9Z<`J<@KeI*Q03sgL7@6uBA<{zVjjX2^qP9FNvD&x_QFpuE z=6nhHUHiX#{=ZLs;?@0cul+VbjsZ0#9n`V?;7R6uf(mDo&SVW`l$;UYPxn!cct0NN zjfVRWzU-q_D-D>C**`oKMeBj|%gpupfwV{!&90EU6oF*J{lV)ltVUwpnk!}3_z+KF z6Y{~d5HZ132k0p86MtXWEagryL>N&tl@XpzSSDXb=HxH5m90DIIdBYhL%Zl>x9i~U zc3yh<7Si)S?za`?u0`R*nE0z8GH-7&6i>W=4_N`e28Y$eiN=Oa$?9EvR@?#x$6y0lq*eu)|JFBe`s4rxW~#i6jgX&S1DWr`LOu z{n)OYxO+Tr4eEy*R1GA_>wn$${QcXLD0NmkQ_d5JqM(Us){V}{d+A1BElj*hE^c!D z8|}!>@;Y7jv37Fz7^r+@PO?gqjB`qoxREqdKsDQa6bXyMWASWiBlkF&m0b zQjUE@c+0uAAp8TcZv@~(YVL8;D*L9tQV zcS|jQ6sRtauAd;h-yu=KgzXi`qD{M{5fMUmss20Pll^^a>YK!{{1r%kJ325)Nf_#v zI2P_jzeN1luU3A4qB*kUni66lGr$wHCM)X=5Q!GC>|Snk#udM{$zF~7b;TFmkB2(bED*`9GlBY;=9+olzPo$ns}g2ytMp!3OQ7orlAMjkZE=`vD%B| z0!lCo{8T6*`O6E_x!8^5!s(Tj6jOxb_a^t@sTjo9r6P z=OZ4ePEN)mR+91ZlP|fXjUAbRrh#{F*&*Fx2m9UTS4fS$C}kSD5y`Wjm&7!DkYuO9 z9;h8aG+57RIAU!PJ5hAbX{!2n?f;|a|C829ygGmP^ndO55C5P0{U`r+POp2__ti{P zCh)2AU0-*V=Fhkdsdbpl*uDmqwVy)p z7b|tEfMA5$E!nnhibB{JlqtQk5h0rE=Ep(y;uiG=-y#X;FHumAdAA;-D@rdcS-(L{ z{kAj~XBWg)RutNEyCN=~?fEP9y@+>bDtY!T5(!r&;&xr}K;q65(e1LI;cc_mM?-!b z0dzCP2Qo$xv{;>(DN6c-8k>H$9VA;qVY=Vho;<5sZy0-vx)FW;3&T5ZT!_*g-q4kQ zmdsAStXLalkC-~~K}I<(#6EeeaqHwG#JxyARw?)n@sDKA-QW~Q!rdV;y@}&U3=g_< zQ(%zPnsshpF2CA(1iGRUFT*aQplY`x4cM>?C&WI z#%L?ayxrbW!C!~;|&k^q?2f?C5M9*qOcwN!NBK`#LWn zX})LIb&|`N9JDGfD6j)5+xza(%uFLC>-{5kFEym{UZ?kxAzJZ_u~Q1PTYlI6-#!1I zh5sK0chFz8{SR&rjR9%!|04PR=J)?=dwz>eev?sLWw`UT>HBsu6WG65PE>OwOze2? zPaT~8V@k*K&Zn-8msvYe}W@oQJ0{?xIlamrexv79Kp`9$A?M{GK zpF@w-84Gwf_%K#$?1E3s%ff5dec^k{u2}J@DE#(wEhVgsgWm`J2~<|V;+g;QYlaEf zgoNLW;AMt`b@{{TZ7bk3mL9K9?xQZZ*nKos0j|vr#<$LWg8S+u2ZJ!AM z;l4Rl$esQMFNM3)doMmFd^UAA2|G{t2n!};ncRl&CYPW(DQN5ezsNi5uPFPj?IYbNCWtg53MgOzqMMR3NI_9b zK?IbLknU~Q<+0FSxGaz_~CrVV^214HOu^k(=g3u+F@YzO#kaGT1WAb?-h89yig zix8m5b>W>_2Lv{YC9n+$LGW%*WBJR6F}st#c>{F`q1md}`p)86=svghu4Q2efA@Xr zmLM-geB~{EkaH0tzdCQ}9YaR;0{=~+$C(gq(IK(zLJ34q(0dZrpCNCMq#T2~Pd_7n zz8(FjD@B=(p9f~LE^bQVR#k`K=)fRJ8)XP(Ux~l%tqx%^E&aT2nIU}DU^hSc6hx{{ zSqubLcd|OVOtAsWMs~n;XHPn?&Sb8$aLzTyT1Whj`lzZGS z6D)g-SrRcQ(@BAX8!^%{vg()zH&}kztr@bP`@ChBbAT+4lM~eO^*|yTNHafVfpoVu z9~NGmsj;nRMi-&Zf35d4D|-X+abfm+>qxi>hnIh3=S*UKyveu${7w}NbER$fM*Zt09JZD+djO8 zersn6^4cEgpf0TZ_>l)1_&#Li^sGU3^Rp{D^$}1pR?Tg*jSEVz7g+2i)It%r{tXe< zeUR^R{=nALE|4pK!t?~rl(LP+)2$C>KxRvQ1NG&vKssyD87GBo6K1a!*-w}$e%I*K zH$ERos=phV;qx65rfwK?HL=0Kg{BUwTi>9g-9G;c%^PUcRSJ7R=>|1jTpk-_*z?y{ z$v^K$&&;~~Lz`I+C=OfZ<4IP9g3jKj^(>f)VomW&Bv%G)q;XC-%6XI;_h>~5@A6ooh%i4Ys?8n6O8UDVM{1jr4a}}zR(|-8xIRF*0 z_X!{A+41LaO|(-efZ}bePgq>gQ&uxiySu_1@^YRV{Ios=IS)t<+jky@>?5-QYEOG1 zv#W01Z0RnL?3Ei{Y;}e7pdVxbMiYN(|G#?vziFLhoB!79|7*Yh@8`+>Vs!tR_5IT| zy@3;EwJm$qA$)TseamiYi00iBa93jyVitn$=oX^~#?w4x^M?-Xd2MZs+AzOIGWG6H zttm*b-W^m;k28~~q}hY$ErQf<;oE!P%|P1Ybdl2JCP*)`o3-4JydS$c9qX9{2p)d&iI&Ndkm1C#D7Gc^)qDL;ux7BAYX>-)5C{} z)0p+mEPtZ56{75}2s4U)fSArh907Y1AU5K#&!d+c$k&hiWv#>w@g>K^k}gX@B1=KS zgWwTJx*RE^e1jR1i7%f1^izkF)-O4Vwt0~H=nL7)J*ANLQht4DcL$_TKL|)-se_Dx zfCHb)Zezx$k#)%<5|Fwc|JdP#XAMJ*5WyekAjVQ}N9mF@`XXKk=K3??OzvRH1Tw|q zpLgAEGdc{3R#^wW66F=b|#JPTuXno$84y1KxxixB@MlG^4OUz{t z)cY;%9_XM0QZV_f;m;Jvq%jXPe)9w}z3tDAJj5)oWp_7$t*dMn=?vPk0I`@s16OxUK65hn&?{&jzV7sj}qy=Z&OAS*)`uYL4g!j@wI+WV> zGzs;EldfIUf!8ocNy9L~?;0{^eCElq56#LAoppZ31=)v&Gz?$XL3XWQ*1JQA72SM8(TU*n%%`*zvwQ9IY#!Liso zB5!pFoZlNfIahiP+)ATF_$ms)ldY;Y_Tqlbsb_d;M(Yedf(uUJ0zbib$1i9+TnE0Z zRn;ZSbl}&U`QzvpO7M@`?EG5xD{|5@7inH%uKdpfJIwQsLtu{XgSiiw;VD1)K$Kq% zf+oLsZ^eAKTTr)!m4h0bMAh%u@}B^gYi?h!9-shs!PobK{4k#;^-PLxS}%B8%6z{O zg^Xw$k_Y{RT=2d6D<*C9F8C>{zLX!~1b@!mRG*0|;QzL=)z*U%0t$4Pcdim3&|oBy zV(|b3(T&lvvN=Ie36mFvHx&dcb(DD@{lf?BkR6?*l`yvY3<50g zSWRYYK;Xf5%-;$y)AIdY?Xa>n2uk5jQFuEA!3tWdVtJT3IrH-Mc@Il)Al^MlsX-0S z$G<%6%-s!cm*YrQM=+a{J2_6A;sO+CkFz_qXaM+-*;cs%a3-XfL)-sjc|jxThBPdu#^uklpKE_ba4JB+;*_RYBI-hC5^q{4i$GBCLBpUMV-TFxw!pUg420a0 zdeR^<2BF7-Bd9)8K1NN<02~Q!hl(mdC#OZu_V9|MdL- zDgOWUwcn?FmW;*A7~1p-w}?oqL)|^?3mBnN!4-lfMByUsvC z;~Oi|cRI*ZR8Aqtp{GQ$c#xm z8&Gk+eN?%v0J*l3nhuo)Q0N#;7`$Zzc?-UV(iC%$GumwIh@YKo>U%lgMAjkGB}i@& zb@3#Qsu_Kjr{2CUXVEL zRn9q{3xV3+s7d!HDfbABKdO*^o-iOgg@%4EJMehN@p{K(~WK zGSQX>+9<*r;yWjyVditx0A`NXTIsRO%kGA%sb@FEo+3MbBC5Z!=na%v5eQD@^H5wm z{m#bP6$*9t*{N+5L;jhkUG*Wz7xwwpt$WH0vfnk^?qE)UEH~{beHqMiIwWOSalRNx zloc(a?8pAp{(trSzj=Iz{$_C7+UV1%%o)(Byf^r_wntwCy8`SB{^t1N!+)lxH>ir- zmn&2iBDN)V@lZU4=<133ubr+y2&p<;+o%n3dsUg{NY7BS+cZ%Xg__PqrJPHisO1!v zx_3br=djv-VK0geAlX8!C3NvL`q1L3_pe`sR5RCE!>vw`rcQI}o)~IMg!gHE3_ynB zue=A_-BF9tBX;p!!U6>QU46&)bOR!KCh%=&K!bT0odC-gIj>YI)pQ4YlqW z198oW_JP?h*F9_UW~S^mEiEx&?-i(VKUuGZ9}WQOYe8^%0p0Cv_R9 zDj{=jyM(8NEM)lz-+12{1KHc&p731{#XJL6Q{pj3$k`Y8flTlPPwoHi z`Tu5gV@v-(cYIR+bH}&&pU&sEzGiWFpY3h9m8THeDX0vNmcN|HK3{~}-EkGFLzqkR z$hPo$_ET`H^m`L}>;rgQf3c_*n**Nfg8ej(n8PCzx<>56`Siyj62*@`>=BFk3k&(d z_lVjzmD_kG7_j8rsfBFji)rOa$Y}C=VaC>zAp-u!qB$>gH^9c0v43{#0@!b-x%SY1 zJI?(}1aEwM3C_a#*IwYc+f_C8yv*1^z^KsU>5&R{^g6)}y(Z`1w zqh0i1>*^*jvoVBBZtrjJrnZ2S!`|<~IjrD3RGh}LIT~E&8s50N=Y#w3mm?=O$AV|< z50|<6hv3aooVc;$DR|E`KDrPZ4?bzaKMrjd2j6oNE>as|;JealA%8v-{0MR815J1) z*r#HeIDq*$b=vPJ(-tA%1V4Z3@&mA|J{|Aa$pH={tCq@cX>i+}VwzvG2wcW_PFK}Z zfZM7lCLkJf6JSYPn-%i)P`cJ`EVc`e4H}=Zk(-{in zgu$=iDBq=YdCUrpJiq&dJ)VUkT=t&X2LT=yg2l!Z5J=CRlacob99TFToxeQ*r|&m2 z?mH=gOYF&y{^cLR?ZXqv<*QG?bJwR!FCM)DZ)V9c7rHX=-XJ=}h+`hm%!>ZK20Z&U ziCxO|!(5(#U;Le~xxilu^AV)7z<+~#WE)8f0!rT}Qn2_zppJm?St)G@TsmoUE|>;_ zf=OCR$UJw>t+sa79s@T6N$Kf8N$_~pD0XNPUyplDaaW-p_?*ym^o_+_C)K+HwwJZQ zPvx9G1E3a3nl(S=!D9&E-s9~ofcuCa>|;Tpb`Uu5c!+&N7C8)0LKcy29;}g?^-X#i zLZ}&!5h=DnNVQg;Ynz_x*|&uBS76a z{|(_{Z>TCcbL;UX%uIe|v%u7Q6N;Db$J`%gfr7UU8oNcX=XRR>cr)e~pO=7u4Twqv}eDh3cb1g2R4`s3|FVGZ}!{4|h6B@@+DpFpsZ9 ziK`9rxqp7C*M1ARbT7POUhRWy`D>Rak=>NpU}c?Q6N|a@`Lqs%cINRT=^1M*}QNro;%Xp|{ zIC1?ECk>Ro4xv4x;|WCtHZP@WFmI5N*8C#o;N?F1{AhIzGeW6e4AvxxLY7s4yWI*k zWKv#|{`^1^GQ#vDo={zbG>ut-sGK24IhXsMk>UgHtuH-&E)Wg_7Iv0|wd80^2{{d(IhW?`Njh;2 zI|J@(^FVP_L-Q^TCn(%`@u>b2^!5Lo@jjWwiaCiinwOuT&mhWQ-7)40WbqmP2$x39 z)6;V;6IvFKVVx!#kfj1?7gd)!kH3cd8It>IoS#CsPja*Ghh=Ev*&1~!@(MIkq}~fG zJP)-|^a&;M1yH@oBO}+~E>v#%(HA!T3(C4lMYx|A){2Up@cdv`(_< z^~a*{QG%)_|NS5L-l4R@3VP8v_O_WmGTE5 z_?_P~vjzDxKBWg-$->dM#q8+tvJ~g)otb;b2O&vOzkI4(5t0*0Gy9X9A?0y&a8i69 zq;2vysoZ)D(%CF@ZfM6~wqu`+WR47wn2Kan72X5MuU?wSs12lLuX7hkV~}ZZErjVh z?%(B2^_i40_D(7?DaL@DumZS+!N`1O7zFar-eGavg3lp!v{@1%|=5~QJAKp zE6$mzR=EUaaIURdSZq~@b9@(ZyRjeTkRJbOrSBTnT+S704J#=iX~xk=lBj^xFZ3WQ zRSGg?%pSAz(?VuJG0U4f(GVULO|f^j6k@iheP!Kr1!AvgZdxux-MmQ&tFh5# z_pv&mSM}=L&AsC2J?35e_2v`K4^9-OUdLR3kK!#A?yn$yuj1>d&{W7cZF$2dz!&Gw z5qzwEf#@T1-5;fZUY~7$ikr1p{o)Qv!Oy9M>lhv z;=3(Nv6$DRFw8$M+JJNY>-si_dmyPsm3z-2QAm+mlXJ@DMa_`q&WGgT$OvVhz_2k4QudW(7;x2I@BmIE1!C~9i6er=D7 z5~+o3FJZIR?Z422)L$4Z-wZ^BABU6CZ=Vo*v;FP)aom9h9^U%I4^nDsIFooiAT6fj z%M$7(GPF$gpO@rEecoq1=Q~u8d1`&jr0{9f>FYfmT~>gsMsAHr^fzXkzP97obq;c9 zH5p&r=fKR^Da}{sxgq!1TeNoRLhhY&Zw`!YgS<0Ls!_^(fA0Igd;Z^rj|E-vckTa| zwujyczyH^q|F7&0ZhZXLwE@5UGi%?tEV09dVgyX>n*ApxOu^h%E>HuV5nAQdH5R1Ez0$ z>dvaX0rO{_Giy^lU}=`9JkPiRH%P~Z8Ak%ZI{RHC6U>0^$@NCh83C}{-R-ksk_&e4 zHcXGJU~S=E6*nymwy-;zT#Ov-jvk&|&%xg}N9UDOWY^Z|; z-LAV$*I2=7bolH}?_jV#Z(9*TkqNe%?PppIN5Jl?{z(B{WN#aP&Hi?}3G6kUPfjlE z2ZzJ@;itYkgCm1p|Cats;5fdf#L++rPKl>tat)R-({!Ose#scWco(vpK8|y+IAT_~ON)v^Gmu_M?N4FF*^`Z2^^v!X zkam8+K(*ZqQtY};96j(Jl9~@Ijm(~e{$RQ1{%)_JV;>`_5ZT)ex3Te4&GM--l0=#Uvm#oHuG=XcHv&R5U;QJ_`d*!dCC(TcKk% zgMr>a5E_dWpPj~QWi8(}g&K)KsN&bSIEMPQ@(&j>oNrx+k|-0NUB~C3aFSX1UfF%f zPn7lz_%Z;wWeg8}A86p&W3juR-~(9%Q&mywosg;gY37Fd79jByxg|<}hjcMbF`}m( zq-yS|muE%~@6@F_2liR$qCMW%zwZV#rwP!W|7Hwzk0L~UWcFc}1;0b#z3AAV0~Qb9Gw-NqT#<|6*#m9+ESs`U&at9|M+C1>k-i*T*lx-=RBYFiYf>2ee&!!8fKV4UJ0q zj%sA~Q2V&bAx!-fRI3->`4RmbD$h?{QnzP@a)+49T3j+va<|~};W7^>@<_SVzrg?n zz6s15(sGb@N9M%D_X-UQhb9CD0FpCK#J-c9w1EV8`$ALv(&{Hgu_>iK^& zx)=ZE^d?SD&?eGN(l&@q(wg8+eslP*e=)i>{(k-+d*6@T)jc!Lfb(T@ zKe)E-FeGT3r>%vPLz3EkStEBXNMUXj9qYjPZZVPPc^9%0gd{oTk(HGZ{_@C)8tgG| zvIGU6;e<@O4i(#v+aNQ|Ls#e81>AYjkKR1Nh&nGSiK7osL$=UogQ2Vd$R;Upg$G`N zD7O7}txI=-F!D2YDgP<@%fAatkgh^PJ=JSdBlO@@z5w^jO~{?G+7Y?^0i`$`3M;tEP zaSgIvd0&cUwn6qX?c!w5c8FG%r*UR2M@B{Og%{Mwx@1_CPjBOe#M2XJK2D(rS?%5W z+ebB!%DyjPO_~kTdW7dxgoYtQy??i>yb_R%pG@2z41!GN@V*|sHWn?<*eVPldto8+Mz|g1Sg0gLoXCP0M`P}q)AbPNoO8NVb|)mz z>V9jv8w5$GPMz!;C4&^z6pw>b!H~vtokEEW&oqxpy&j~!!QLJ$tqs#rAOH2xvbj2* zVSH9%dT&6M=GXm~lvD5;Bp0IEObOW`>r$rlS&+jvp!=>4^A)m$wuZ!=fn2^EAs;C_ zh^>#hcjgQ^#0UDEI6guDCw88BC9=wPAeMSxs02SI9_ zALOpmS#wp0K%SS;ZlQJD*X%gur@1K>l1sIz-+s!4G}hOfTI{-TM_gT)*uND>74Bn- zYs-*Xbc1`euMM)|ZOtohVsGzge6Oy640*-8G?Q|REV|x`qA_hO1xC3sSm%0LZ63^lMFsU{_dNu z)cpLA%Xw?L{Qf7%wjPXmZNUeb-+4Bl+PI15+==wj@gB$!Sd~=!?1-P;i92R8xM$Zy zK^k2%B$eDvwD7Bkz8AV@zteU)c35BANwfOrdW&SdrUT%pjE1coRx> zS2lEwnV?AF^?`3xcOie^?tB_MvZC8pCEW;^A3za)y^CufWcjTWC42V zs5$U~*n2e#Dm8Xq*h*FgW$t54@6cmZeCCzxR|CudYh@fAdJ+bCX=-`nX1S0v{K?tZ z@FHZ(nbRDIRD#S`Nsr_ik@sIdBFs%Z3K_>%nE6<%AWb=jBRoz7nN#~V8}Af=0S`a^ z3kF}HV_~X1>Ec`D)xF#M$lMNUWeySi?;L_E+1)XLG*nQr{YYmO^KK{^?QX1IL3RZ< z-BPRp>I`N}zHv@?ATztWDYO45@{0Z*(e3j2t;7&FD zo5^X1XCEMq%aJgC*%=0B+x*|iazNMClYtTrlF(ciuer*U19eLbG(RurK=qyYq+$uT^0kXDNK%Vae^EYbvRMz z2__zooz}Xt23b^`tM48}0_ok{6QO7lWQ@&%=?w1oyM~)mm2IKBXky5i^cC7XoT=3J zvqPgr#a{ z9Z9F}AcOO0LC&}D5Pfyibvjoih~e6ktc4n!nBK?EB71%T!I<#|pO+yJ-lVD49wkAn z@dVFFwv(8T^WnRtRwl%WIQVXIHR4?&snUJ=}* z5Xx0`Ozd+qgkSZRKa%wnBJIz8Kb3`kKfx%9TWeJiz3#gaY*7p`9a0H|bBoB|-xqxt zJ*BY>Zuj3D*F&yh#LDgZQ^+tKiF@#s4C4HAb!b-vk>xGdGpuz3y!wYPBx3%IpZ2bM z6j}WcXw}0^cIY^S$Q(PcRR!6WLz$14YGxpk-|XU1)JaD3I+`s|N+YwocCWE@H*)ra zUj3YN2SSh3r*^M2h;@yvX1&@CaeKNCd^&^t;|i{e*S$l5C_!5n2JSwoIJo&LUqYZw_{Dts9}tqLI2njKjc}g{+9Icu5GDHY^Sv*N5It(?8NQ?k zF^dO%Mz1;p;fvP=m-Fu+c7}aopGQB$HOv`o-oFWmuA4M_*Tf)RNL_OA6#5?T7%mU# zA3MKM04A69sNcIF}ZhI zwU1{)Ec0`lL?3(~my*5=k|WD2eE3vAnG3{cU%qfi4D$yvhkQ>4E<<9lNK=r`Yvl64 z^93GcvTa@8?;BbK$t~qK`loZy%RQpLxAqvMJZF5uOCbWO7iFLJe0BR%`+v{+{$2Q( z$A`nbMW*Zj;`Z2bVcoM>(2>R6_*d=!HS7Cp(EmHD6CeIFXMIsctxWvLpolvfzteM} z91^}g^6${>g%q`S?xMcCAzd!>iXss`5Ki<7+cZBwW}#7om}4}~iRr#9Ty}-*x)2kg z&M?R!o>IE&#R$0u!W5IMu8_y#IwpKOAM(mNKADebLB7nCaRi4tF71qVXd z+O7>lbec{6JQIFazqUkId{;uR%~TA3GVtQ2hZ4qLk^{?)QKu&MbVkRQL4l9_VU68v8D&|?hXWBprC?$ z-{m+@g$;b4#~znwy@Y~}w|9p=et<%yNpd!kQ7CM^>6H6z6k_jvCI)+kK_XjUq+ciA!-VwQ z`vl}Go+$5oBM${!HQKYP&!Av-;I#I`U?_||v7<579f}TGh{-QwR%jFH_5I!4P<*V} z{62*jat)UsG4nmb9cZ?jN3SK2wnwD6kgejG>1**`T|6^HT2g45zQMd(>uAYKU(q}C zDIj4N3*?twO=jBE4+VosCE7u6p>TM&TKm>YD5_iZXwAc&aNxac0sb~9ky8$9Jk|{* zZ=(DCthJ%k{k4fM^%f}Gq`vd#Wqdu^o73I{ERbclUd!4M4>_JXRAlIj%)3f|kZQ|0 zDBzai3_x8$VZ#NV^yy+KK0q3mejEfP?uweu<*ra#b-l$x=>(MBal5_6>p1FAYeckm z)I!BM@0O+r%n7Or;ilGdg-S-Zum*R`Trt=hFPUWwmAQv@4Lo}Lr}lsM{QoZg{~NX6 zo5!eIpnU^cN|=UUZ0$!@0_Cd~;v7^c#g;Bmxj<2z+sER_5y(4A_lZV^8L}P~%vhtY zjl^UBRA6}!QXZDu#)ZmZ{@mJ-@p(%ilKU8v9*_g!(uI&$`=TIvJ7rQPdVj-Dw9_g1 zQ=-S0Ra)iyI|z7vTb+H{6#Dke=WtMNhSm#N!nC4uP^;_{M66PQis~P=NiDOe9aT!m zODjfJhDfirOe$pSEwb$|NJU-T*Vo5ZQ_#=7{}frR86*xpV;ZEjLVm4JpH+ex5T2{E zzFi1{=n#3ECWUD9sncq_aEgJDsJONlQ3oL~lzlhDkzweotJgy+wo=f17D}W)E1a9#gtM&n#;O-hI7{24Tb!~VG6m~TG;1V5S{fM>L+egR zs@jpj{uaGX#?R$>YULm{YDlHm#S6JM$8}4KlOdAlnqVkB2}1oTx1V}W4nbE8=p6P- zLBCI3hN#vlXt#2{IKAHm>fXmT)E)JMDu%QP83|70*xzQHs=5UQ_1C(&_T0jJTnU+s zbH131WO(8=YMnBexSxBRMOOOC+-F(_WOBGKv*_zwfVj}m`RxYmKp=n39X`+qQHA-f zJfD3aZ1&XWecU@CIQ|LM`J7EKkm0%R{GAIr;DFzCzJ87f~+{^bOiRvejWbg1vmnT>eZrkg_dj_f{@K>Kk*D_T#t%J{FZXa%cnM zS(-L$h&+MVOYiJYiVUJ&)4tBr4fT^`E5GK?=Rl~(HjTj~eHi@Iuheqn9du@%2_5+2 z1WioJ9h@g_LQT;|L0iKosMzqGZc!$Kl1P?dN#qL@Xfbk_X0AidyY~I%M{#ez?c}bD zTBeZU6y1`XAr2|-B`jY2IygrNJ!(G_1;qU?SueMB03j{C)n$7FL|fX6UdqD$b^QeK ztC#$r+W)Vf|2M7kH;32u<_NuQ#vr||-3YxU-sCqM{+r{w_)lkad&{WA_9@nbKd+?o z&fr`K(#z6?*=h*oreVD2`T@dIRiiVquR!FpRIkxJ$d+)OVU^p+h8PF6HG6;TaSh(` z$C8k(Eagl&)PO8!8b=D1c;w{wiC^9$mjOgC;c>06I71U!n@_QO4DtOvei<^zvNleY zGL_5(@2M#vuBO-EUvcLkWqAYy&8i1cT(5)BQfkKAwJ#t-H2K2RQ3r_fFq=RAa~DJp ztFG}^;_DB!yqS|SLBE|}iWLES`ZNwp;#mbuv36EzCA)osaj?x znC}4u9@Y7pabq8ZR5;$X7JCNa6Ri`kiPjL+x&6_a(i4c0ZakZ*iEL3X0fRFc$o#5E z_%bGW2;xRMx~qO+kN@(Tk{z)S07TiluQqMlOScZT|4txAcTt^jF3D)4N=k8ql?5Xk>M&{MrCma2(vz1$}2R; zE;%O{VqOfy5-#(cZC@b%i>_snHxnd^EO4Ln-VaH-r|Z`6eI{E^2A*Yp3n{l>^M2*V zp8l5dt@FF|ABl%r9AlAh&D8i)`@ehs--VA&eZ}9k z{onij8(90l{|h%h{zvckeXI34r&LlPNL;(OM(qNGzTQ355Uc}{>?2*H>FW^fE-zT_ zf#=!27GbNcbU;{l4z~LB2;w-mISR!~0r7xC*211vh~JmQSE=?H5_V|STZ^B8gfGOK zU-lMY{@Iy*P5M6|DSUHbXC3l;^0>6OzZSEhM64M-K10%JYCjU@*ClVOE@$TZ0m)-%IWFx+mSu`PoqZkn zyI3sEPVa-@el5$T>!|f{;xWJ6BM4D7m)gCa@j;B12AyljI1oyzJFg@zL+mFtPU&$q zAabfJ-P?j5SLs7v$HtMJskro%E5x3N^%Waq z1*D`K&5>%-A@#h?d#2m65O{c1>oVgR2sL^4bHz*mBI&I9$hGl(7jBB}9o+$h{YFMX zMUL1<7@qL+`UG)LZ#Mk8q6YCh7Uxd#BA5T9p3Kgia7ff492N*yM{h4<#nr_3kgR?3 zP|7tANI5Pg*Widf{dOhxxy=XB=g?ac#n=RCS@zlKrm_%{e)v$bvIazCUA1Z`(T3<8 zp}vpy_;=O}-II`m@Asv&int=``}K08cobD2zF|Abi-=r)$^qFMj~_u2I6PL_fqKd7 z3UfE4G|<;z7Nm?`>C|9*ffu;PNb}hiKRUVs=~fLy9#a9xP_=&Sm*)>82K!ptVPA+o z<*~9w0X1dPLfh}hW2VPep-WpQt^sk>RnK;u77}j1Z@MvQ3Q4q=C*<2akwq#|&}is` z%&iIDYda+&P2TOE9+MF6k~?ZFku#N1FZbCn6j_~CGp7!3Lr>R==V8Gg4p-Z+ zF34QCX2gG&1F|j;yngsOG}Vz?Eee3-?zu5azFza-S2I978Wz0PJWPRl@0#y0tQjf14hH|-MOkWQbLNN`=liyz+@;moS*BIcgh|O`^ zBmN3Je>b&Sgu4Lg7Y&yR=Uqszl3GiaLzdL2NnX_MY}}PmXwp2Ug7^*Hl$QiHh+D4P zPb#m4SWcy#5tFUZuXL-#qdo)L^T$nPRWzW!D0z2B?jfi?w7r*>dNWiUX+2*&st6^_ zOFM6gpN4`$%gE2ul#n|YbE&Kg_Ztc8+~S!3lSvvDoROt~jL8PuhBp?FI$i5aoh1*+ z$8J(=Ox8lelQ?goL1dM;@W{V97ld4bl{f?Zxd!%Yyd9a6fsR1sj&x~fXc#sb92GeV zH5f?NI>U>aV!io*&99);e~F)4SsDsgC??g{EFqs+W~XNNd&tR5cr}<@09jEV0>maL zfYhz9>+1&vNH^3F({o#b6yp923j2$Y#CG+B>?+PRp6WT1sXlgwFx-$+qbpH8yP8yclr7gNzQ+2|G#?vf6+Q|)>rX2v&(k#EUoS7 z7qr(WW@!J#=-$ivzeCsOYyNKZw#YpQ%pDmPC0~Hhty0_EOXeYB(^uNBUvwZUo}hLp z0B3SvMV0m!AoGY(hf$$h6=InPQ^}J35WB$?;YL#oakErovpP1Iscp#>WO*F-#U2s! z&zd1Yg}O=LInHA@vT}5O6hmU^u)YKT0Q&!CzAGB(Vg_gS>HC)rA?O%2D?8@cgeD_N zL+JoSgk|V75&|K5tIE{-%R&&NxaGym=oLI;2yc=4g3Roz$6G%rZb00{>|f-`4}d5i zu!n8y5X5sf%4!r~5BkYlN0j3`B-AF=&0ficM7xYDhOis`Xj?ZsCrv`qeYu|Xuk_$g zan(y!Q4@k0PWv#HIzgDFemb3V14QblxOJg!f~1;RV%oNZN5Aq^(&N zU)NMtCpiw1J~weIsC~z|0obrf1GPt$NkJ4p&(|k7Kj}8Z>5{=L9Ms?=+Z+x!(60zbfA3^60ayK z42k31!!YQ)%*$hte2(;Ogz_h(NJvwa4X!{cWACd9q79@zsdLyQg1p8|zUcJrc$V~M zs7VV?gXo{dBR4N>0z!&F13~%*#BqCm_IPCu#Aw;swP@_?K2Xry``HhPl56hw8R8+y zF_x14>l`F!$572)Iu0p4@+ybXW1jlBrhS@18`7TnDBT=-59yP8jCT~YqVKOZnsYzu z_;r_pg78e?N~8|Cw`sx0>$- zgZyUT!{8u#atPTB84D8ASC9|)XipIpyEg>gjY{Y4K7^T3&-IT}+d*p5J{4IXxZV#j!Qz@+j|YLk+GcaK=^_x~ zrN-_oU4YoFDN>pX=fS;v_cIHF9Pn}8-_&~B1pKMa1k&JqHt_gI#}-w62o~SUyn37) zLVoY_>*adGx?;+F!Ysem_L#AC+TiIE>edQrAUm zV~CmJp{Z>g0m5}^IhSqXK=@eO)BcDOv$YNm1gFM>C#N96QlE%iLdMx80}1da*+=g4 zQHH?r;NyZ3$R8Z*{rJ(?2SRfcCANz{f^eN16;IjMAmZI|=}^3;M45-Cl%K~;Z;Mj` z{MmOPW}DRPuBcInsq@i&QAdk&f&4B088`9#x;r|H7Oz>>{2jbb1`xX>di78yauFqC zG8+>^z>jN^yyu}X1dw9XDSqxmwuxqzY_2JUJWC%bJlO_e&G*#g(@#T$i_l=?_!2~M za9S*KI3X*WPCr&e1Y%ege&|KIK}?r`iCJtF5Ujq?*KW`vvc6zb7saj^_3^q@h z5w1d{q!(oc`zDA!6f^cL0%wIsyKR&b!hvwen()Zv8}@B?Mf!hX&NKP`xaffh^nZBl z8_yF1VzD??^9y9c*;$|4sd*3*M4d!e?;vY*R*8}9I%aT3F^llp=3sur-FsSt|IzdR z>G}U%{QoCvzYh|><(6k7uP;A(*M4#2^}WB@=KK+PeVy)?XG)>m-NXAq_c#=Lj9d}4 zLoKWM{E?aiT9Es0G+BQJcR-JNy?0$Y3|TDKDFskMqb~jwA}kusHQ52 zj)|Ox3W*w>we@%?Ib&^qyI2VdIh*!uXa_=GB>x9H7AeTFv?hGfN<_U^;h@xV7v|#> zWn>g~L&niCAC>DQWM-7@N}`d1WN)>P?i@EE(c`%F<5GEu&kxVPrDl%2zLd(t8!wU9 zH=OGo#0m}kv~sTVH;~sCt=)Hi6I6`0vA@LoY^mwTJ?#hmpom@FZm|)5n2yq+o{M&I+@rycYS3_F{%qi@0r~J0w~ASUbvf zLPBt%O%H_x^7`Jr{bm>r9qkE$40o!K*Qffra!dhgI%9{Ykhxu1x8<%(K{b?pQy6J= zeGkR{!c&)mcSAv4K_W>f81jq`jMSW=f*ix*HY@R8n2{OcyCdWdWbQgupk=xU84e$d zSnF;<8bvBcN%0UQ4^ynKvPeMU{or4h_8viAU$~`+%sle?MCP1ZDUjF4t{yJ#4|OJ4 zOcS@PkfCy`XYZE;sOTbc_I%$9r9-;cX@~LmaL=}fY1#=hLM2S4@^JpY&5Y8s_ao$p zb#hFOqK7B=Q70R*1@(?Oy`dkGBj8khI*Zm2b$b-b@0YkCdG^<_nvV|1>(dJVaQ-HA z8&s?kk&oCq%zmeSW)&Lp9U|$U-GSPDL^Ztw=qrt`pE&>X7gPl8HYwbk0Huwso*Ke7 znAOTaNqIdE3WDnAY@_z#9->l3`dkX+B=$6lR-x8wC6)K=TilhpT2+ji^`si%%CjXo4^N(kC`Mp;tZrbx5f-RjRZeK=z zF7;(`A97=e+G(UbI&c({8+I@c zu_c0kqLwBV`EdyT>3aOiIFP1Df)ugSBk_y4YfLyObyuzwLZ)`IxhP`({1v^NXF_;ilbo02t1!i! znoLiY9TR{zo4V8E@(}xTft&nmBxZm{i$*5kPH(#$r9mRzSLh2<4fq=%VYwrj-@gnJ zM+(&W!WSSZ>xtf28)ko6u}^N2&xaJDp0%OBa%`UK?;Y(hfglMX;^qg)F;*Bn|4u>! zB3s)t1z)3v=grB1&F0ZSP!qk%G>G|!(Oj<{FsnjbyM_K<*9(O zaK`z@N57Df84@Q=jXF<0gQWJd^3Tp8keqtPRzd-L{G0QUS8lUG>Ji?O+YIO{SZ47M z<39zVrwt$O7e0@(-5&=A?M)%NJu1HAVKxv{7PyQLieQ$<#^Sa~%)FrF^M7#P58`F> zrfo7qA;Id-O5CzIBnB0(wv{YG5^rW5PiT$O5^%7h*{Q7s1j426ZTDdE?epfq;1H)G(@j> z2K~r&gCFSQqzb2;%0vI3*N+wT=|h;GP&FI6?uLwT;_Afdhkt7SchCPD(~GrV@ptY2 z&n(V=>HbjNqwzP(^B>#uJ1L*7ofWVFH_Z%=kL*FA`U|z6sh&av|Ek2d2F$g2J@S-ZMGkJ$-p?&xjRvt+%JQR>O7m0CkES31Xo@9Pk9 z;PjT0RX8u-M~pFQVu3I=wo}qac0$;%r$6|ffnUkgYZkzHd3(bM*#j*I zcw9(uO8YPbwq87$&d~`$1+UqIZVo_jz{3Phc@+rJN~<}qY5}3Fn%xB|c3}T$|4n1s zS#YtTBXu-O1ov~5j5FMq!QjCNjl zJ&$KrTxyceY!os-hs2vKibcV zkQDWE?C#H{m;Au_R_Cymhz__tsO6mEsDSf=ka!1=OV1#<N`@>cQ4XZe(+B8AxkTK={=H3V^G-28q>0)k_$-+T@vfRN88=kBaiLKyX_d)qR& zgRY5H&bPRT`9#_m+Y%}ueEcX2`@La^&{5&v;fwpC4}udv2n--nw=XlUnD}??|L*z! zUHtz))PDCh;;jj?erS1+;YxEq1ZpO!KOONbf{JR7g!k>@P`Y`D+uBADib#T`4&6sa z_x6&8=?5*y2@)9@{ERGQkB4M@?F^97wev>qWCo;5n4fk1^aE0-l(g?lor7c_Z}TYJ zHz(>l*uU$=d)UQA!}vNo#J1HC-|+2*?xBqYYs|H2<=#iBFxm~Z?m}*6`P5K(vDiRZ zE(FS~_u6wUutL$BBc%)!-ypxK+mKzF6>|9sIa!HNuYLSshF%I4WL|&geYU^_(z^z^ z_&$_Dnt@?UH3@o-bjZka6D1&t@9l0hNvI z{`%~g-n=nnQ7xBy6iz^fJ=6UL*6WbIZ`;B6-7IEdlm#D__eZu>R&wE95lECg2vJrk z5U;m=#>qhmo*Mh|_p;_e+pC2Gy~gjLKD?Et?AsNn-X&jt`4Z;Q?1+vveDe%SQ$FUK zMwUVmzsC*QWHHFMshsh}IY}9O1X&W##K=-8B)&B9d)111xk_(5AHej3JSB%MK9A4K|Yf)@jb&8$R$}VqG1_< zY)&5vHq>@yhNZGjjnqK~-PQG@uYW??K<)jk@H*V_tw+yIe}UxFn~{Eh57^X1rZ@$P@R|}ZJ6)~D)(|47?K4;Iq6*;4q4;|_A%dJ z{*FHv6v4jY=o`(iVcERIrVF|GW8FXZ?t$#FOLOJoIglml$Dlie%EPx zR^4}ENV_7WK*am^tnWYO{D1cJx_|rgF_%Z-n(QrhIROG40y!lKS%i04;phL#?E1&v z``*Ofm*NAN@xK0XNn!%`yv_%U9%*S%+6cBSx+Q_s5+0``EE5e^~AG?+LGq~wC#0@)5W#2}wUD}GgEiXGHX!E4f z$)rLeEvIBG?w}H%nS{P%oCWVDwcz|(GYC*5d@(O zDvQn#DX!^fqZ12J#!>p8Rx!`b;~t5R49?ZP&2?g4NJFgsQuzW86U5!6kLP@YwI%%K zJm-@PNZ9Avqev403B$+Z$rj2XFNO4xI^AE{e> zf%kVp`6b2=5R`M>*HwH7_3UKzJ);M4uaF^Kb;1N9=`+-_voZ7AOw5^1y9A^68|QUX)C`SBEq`CbulqI{){D?1^-{qo<1uD^Kco=k$Lz4gOo8!Om*dDv51?&o z{{YDneQd^NxsXC^{De4O3<49bFFoHbfzY7R^@SYt6?(T{8Ph0+Na}NQT%0ccymbwX$+$Ni4sP!z{Gz$^zg~z>=&kUfx%8qxD+YY}GYd=ATfx`)!+fRPP4Hv*?z&+$ z41R4_v(~sX!BRz&IMa0gSIuiW1W4vjTk=Oylfa}Zn3gTg)Fw#)e2;XZC~Kb_h% zCl?DIY+A{(j|Z>mSMifnYv5fmP@G4p3O?4=LH$gh!Iz`q z_CCyn@O}G^?aO0iWBb=?c;&T#KTG|hp^++B!L(_}ObOU=R~YAhYy?N!x;OQ+GTm7u@dOVx-4>L3iIq%B5@m;1Rupo+A7uc!mi`RMa;kBioRn<U!kwiL z#|4t(Oh3VABgmTW#Xaz?`>`3~X#{?zCLy+%U*mt=n9ck93GnaGoZL$B1M3>W!g}P^ z+uJ3(if}lBQ^8n3XW|cVb=1GlkxT;aJDys6@^Ax>b9aVM^Rs~`xKPkbuz=SkrO)LQ zm|4o(eynJR4EP*BKSzIW9(>m4`qPN5!MBH$npWlu_=W!@GTv_n{tCiIaWg+4fLM$% zUn>#8I*3>_Km;_ha^w>`pIpDUk5;CQB3_L{ndKVhEFqcX9 zQyX_1GT04cxE@~v?-bdVHRI#pld4Lu>tO=Ek^N-GRFA>WsWKsx(GL98%#!`fcS8Vs z|6JGMAPCs#bul2`i~EYP8s(=qAjn!TGrZ>*IM3VPWO+UaZsA!%-rw(n$3cF(6t0Wl zX}2x;@aAFg>S30hzDo%{Bs0Pf0|jvx+^&56t26kia=s^Aa0LGcVf+0@@vUb`e^LLy z83;55`_rOh5TvC&=e6qx1WP?0L%0`&Fs^yL78!()ALo1@$zh<718h7|K0Qd zHOc$?-v6(y{caUsDgkkGXmJnj(fqj=YBr1ttf_>dV(5MQMg}{So!~R5<1dCHL$Q3z2i~j5BDT2`lOvr}5d+*~#e#m6G%<_;h3DS$#%vmofLfW0+AN`YWA;m~u zSJ}E9l5Se^xF2vu?`%`Zu|t?k-xMu#J+&OVCwA?5&GQLbuk2q8A>xACq&myvy;M-C zC4XwK!yYJ$3p?Di8yVTGMw3hLLZRRwwdDn_6ObF>?Yz2!1F~I5-6Fr`KxSiuB9qH` z$ly6_m`czJX=By#(_V?ldU`u~XcaX_Y^MVmJW*FCO!;^-f*&)hrwfAX_@O7jI{K6C z0cd0H*18didUo6WBUA@JLKXEBX3^GCC>J)=uAD=5$F)&`C)f5t;W4`i4bg!=7!h3$ zIxjSow>{VoUkgbUz>m%dSN%n_geT9b836f`cC<=_!A#qh8wXmiEo=v0>Pi{xSL$aP5n~Uh-%}VDUef=0U zR_zaX3e=&p$hvH2f)teB?8;EAH-*x@83*JB(C0u)7r^w^7V>jjH)(cXz${EIDsxUn z$ZigPtG;dqS*N6ku8|#qj2Z)y?V)ANYVB3>&v^-Hmjebea|$8Fd~@A z#0DLy{RVeEk3h@4RZ*VgK&ZdMT4P2W4Ar9{?}Q4Zpi+KQrgdBx86sWO?<)tPRM$)E z{hMqkD&xJ!Os)e3w@nv@s9!^#D#zO}Q7gy^JG&8BEdp5^)o%$e;~pl6GSZ|6_5T-L zR74jdA)Qjde#bz^@7n*5p8qdKx5B?Uywcmq=cd!#BYjZwPewQ1^M8Jr{nI(U-qYt| z^9VyB;32OPH$w-6q}!X%8uMa>0~#7_!th<(>~Jk5j+;+}Mu5J{>)eC7~W>jmsREkE;cS7A=ig^zQu$Cw~- z%~|`29x)^poG+7jt^z*Es${bH!Vst?sIm*a!J!;azYvj`K)8V2@i?ESm<7r(LzBE4 zBEN;n-k))V=+jAs_iqkE3=&?qkfjkTHM<~$jPW?JE3E4&6A;h(UA?qs821U`MZKnJ zknoPa&Sypf5(}MnYkePpBqKSVp0h3Z-aKWVcN;S-3g4+EzRiK4OWe=;X+|K7=%Wh0 zV$ef+n)1eM7DQ6DXAhIygeYCMH;1VGAv!T}T8mT%Vj3q(OLxA5*q*@M?=1w7ogGv= zJ%X8_&2HbACop$6YfqG?@jgg&DY3jg2(VMxq#;)!k8C zUs=EzS!4W>xymdEt9|3g$5{vwDu#rPOQ@-RF1aQ_Net1athVG+@#|{Ev1({-L9D+i z!-?Vrh)X9u=89)@eEzf0%9l>~b!VMLLt`N^vH>wY7qHiVEE&C@1LqA7BkiJxAca1o zzVFRbNSRZ3KHNuwIf2cMB7~C=rc%^aasj=-3~T%9qnIJGopfJTLjpt#>&9*mpF_>G zWXSfOdk{MlbUwcs$1L-X##*p^l1}2fbOLO$!uZ7R%7gu0v&K8};o!&= zKSzMRH|M7|$8o_k2HFdBPuC88EsqCAK+Ew5~5u&1>Rh_AF`=u!K}8#G$}|7toXyOs}L@O z?Osa$85?r2x8z7D$TA1Vu;yQ*OXX|I8Q{*n&@2k6 z;QmQXb;(fxJQB)=MlI^W^CIJ%bk7`kPB_0-50e2eOQnsV6wLA@JRQD!s|36Q)Uqe@ zrI5Qf&5*q43RZ7tV$9Ukz_y_FmxQ$!I2<4#dmH)yoX+R&&#nFeF7#_B8g_pH*N=N_ zDD+=}TaQCan@kY6M|ggts~ZE4Yd@N%PaOqM!c~vGR9wgeCwoVvc@i+O`;gg%Jn&ke zvdkP&MMn0C%?r*?z-JEu;C_d<_B5+6zJZ!KR&OJ6OP*$bRP2b4u{eK4GcxtpR+XOw6Mf-z0-44t8aK1#23D&vHj4!S1N)fo+1% z$hFhB9e_N2=M$uz0gGqARj%Qui-9G$6^IUcDD)wVocPGu*;VjxUknIlF9uJwHk6*yz{S(n`t_NkA|GyrC@yX5#JjO`*{+43p^wzFk8j%@{h!Q z<33w_iu@7pEeS$l&3Jch1Z5SaA?&!I-? zbq^Bo>Pm`s+qMGl5~;{2`Q$WjG=Z< zpncd2eyG6B$;RV2%)+EfJ{uGSMV__O-zVZB-_tm<_6o9)X~;CL5X?e0jnocFOxDWO ztMO3L{tD@fZM*C<=F!{flC|rLE#}ftw%BPSBl|<+hUFk;Deq$nD~Gtq08REQz@Q9$)6 zV_QfZZD%DgL{AU3`7S}rqtFxPenZ-9AGC3)d?<>Pg*v}Q6)vSosN%RVS+!>g%CBF! zuzN%wN<0L3>8VMeP~l>@!Q+$2?TIqHng0@U5>CD@x56yUt{0cC$UcTl?wbmZIP**I ztMk0c9sy}l!7>Sfm5}l+Nm%-b4CC7t zUfegdjym_9ge;j|dv?_DLB?RsPyaI9dwA8;vc1A=YBf-1(5TQs_r0eb`OxwbuiR57f=P-4_i79iP}aM4v-m$DJ2j zz9x|Kt9W*waRg)=Yj{-ESmBvK;rTCKALqY6kAL;g)b#qLYG*GzJp>{9jkaIQ{Dg3p zth1iPj1aLxw^6o^-WShUJHeXW*y9s?qdy!d`~ zek$y%DTnjy!PW0?PD9c?sXceJOd(mUw$`426jG>YbC1OLK+0QqBh;w|scFx{Hnm=Y zzu7=4o8mqQsTlL55oCpMn|}7~2SN}Dt8&%XZO|(kQtTJ812aHJ&lx^&f>_VD5%2pj z1GHwgwfXTX#E;Nlus@Xq2~+z%b(pB4W~R?_&-4yR>iblbUhj=rm-ZN zQkX`ZR)i??izDZ3ts#cEHZ$D{J%$`b zbt%cS)BKREA7CuCVhkzz)Idh^1i#;_(tB)BZ^;0;i6vo>7FL*bO$B@6&4Ue__fTi> zV?=lK&~rxu8xmRXxlWHNh#{fw~4?8X)bAr0>GT6-etkoVfNQ9nv+~YNE!FmHNdZ z_vHOG%!45>)(9-zk2>Z3;%zzIPXX)+~NN7 z@8$mf;~!_hKfU(vt{qTU*-!$VkBgNn@jhTcnrL=XA_5-dr1LMF=mq1?nj9>r_Jirh z*~dTju7cS@X2q}6S76??6?xUh0~yMnJJtn-!1Bh273G9wup&#aBHsBLtm=$+XHJxZ zwT2&ytnYoWUQfy|65#`zVDXFBvfIFx`b*JRpc32*eod&4=dtcRU%r*xc`&eJaCx1v z1V)tBOWRfAV4_h&etU8n%y=6+6d1L^{Om0uB1J8*AmKchL+b<?*>*=E=p9)JI!j zdtcR1*Fp|#H!k^E=QY6nM!NylO-IldE}LA-6o&`gOl$pl#$ep7fA^YGHkj>GZHzIK z1#?=#VX@02U~z<&fQb{C;5$xO2M4i%uMbUNdRRTMf+1CT8RknMy_=vxN6!fG*Z=_9L1w${xvX9HUV655K zUEjM2ri*#DA@bE=&QNb3HnI;a_#chO@xKB~fxBP6ZRdm4xyt#3o+Pk7Q1>QhksPc) zu}o;}I|eq5>*S(G?ZDQ38rzmjU?=+MmRu$w*nM+n%WZ!M_NgEE&Ssc{gGAR`rv^(f zq94{@C&0=gCYv`k5UkxK8s}#_ zz{br+uRHlM*jn8ZGVAsLJ58P0Ku$)m7w#Q;<2R3QHeX>6t~79%V0pUH8w`$VJSQkR zzk`!H>5zAuCpeRni|0+$|GxKs_xyhr`TyYX{`ubj_vZ=zItKn5YQJ0ma`&T6eP}6Y zVZZyG0BTvDJijD$8Y=fYJPo0E17&yOcN`UthN3CrHS>lt$p6@y*NOYq+(4G&Uz;97 zc6diomG=^4&U`$ra!d`mxD|Z?r?98uIN!;;_zLe=_VA@_4oIPv&~j_v2T9UWbe~`N zKtkHU>JsjUyBEn}zy-yvUV?|& zo1vhBSbe1VF64=tD#Kt5@`MaT;sP_V$9x}Iw&OKq5)>W{>Oxj#h3BQMnS+q#|5c-K z7Ly%91y zLTBX-kZGpRxA^^o4KhP_Jg$_+`;YD&?W)EzNV&?c89P7%Nj|IxJHE5Q)8K72%PYjt zP9RM%V(0+%pIZ+f7W9Q`<9_Rqs|rwI<8VXorxlc4b6{7?b%SErmV^GR=1`DU5qjWC z4CLw8zdg4_3pqZdblZ{qkTrekNA4|ovi-x6Ip(K zRCxzdSe_kGwx@w--zy4lgqOoZmQtNNt#Q!!@uu}zcV4JvrHxgO`wUe?@Nuu(74#JZ zYiAv>fYLET%O6p}P_)tQ7*D4G1(C~Jw$&k!=PyjpPPYL$ow9N7DkRb8Fd+26+8O;G z&rZ??WkW{%Nh>d=Nz~R@Z+aKte&2T7L9sLidM7XKyu;N89o>uk#<9K7(#}Vvbx{K9 ztEkPpyFNmV?DaGEWPd_sPx|NV!X_wx!H^8&&!7z6*e=F;LGfs?XGLQf6h@z=79kLT z{FDN1i;vcjJ3aj2q>C-?VZJORIub(G4~JC0npVhcTBW7DeE~8O1d?)dSAW<3fAswS zVs!tl*_AH)SN`@NS=`!K^M8Gq{nItQK`GZ3lqit>XtaAr|LRSMd>dm-wu0G+pAPgB zE<{0WB|k}Bq71}ip3BOX0n+qBaJQk;2Tlb=DpUaYB8 z_lZ)>!{MWqpneQ#ef?2>p4fv)AIWSO^MUjR5672HZphuU7N)*62*E!5Njq1YkqvT| zpre=pxq%##w{*-QM$BSLc1;`eVKN1Zby^@k`)X>;AFOU#-xME3Mo6-Kq&%ud0m+RU z=jB+?9zmI1Qjz7LT0&fo>1 zsu-l3k4QdfEQ0hO+&fjCo`DSKbyAy!F=UnFYlL|>WSVdW@zk&8KU>7y+YQ~JSaHbQcSx#C6nAW~rXT(8Qa~1sTj2P|3CN1i zs5qd5Y;f66C$6a7g1F?Q>1ReAkkG0bNU;-HY;_({<{9V-3-yXR-!B7cr$-1?6sAy* z?_%i7h+3USJ0;N;JX36a7%TfXaK~(?-eRGKXASde$%!M7Wye<3Gcf_#ls^u~{6uzl z-Uc<(4de~-*G<~3XhKdK{cy>FzvukF@BP0R-Txodez$Q=WO0cNv^0?%AL5mUTDamU z_DBON&+L!cbG-)29P+f7DFvaJjz63b{oVzv=F(|QrI6dNLBUw{60*m2=zWErLKcsE znc!RwYJ2rc=3k58emk71$^y@KgQGS=&x0Ukc;Q>oSIpjI&2tqTvdB_a@)&>zjA)f~PTJQ^&Lgpq+HGMYVYX8i=W{ zFbCd%>VRuX`;Re0MfBTfLos0}Gk^Gkq^bmpV@dL8)`g(p%TLkh+HuHhny}`1hdjkM zuQdht=R&qv6#c}d5G5Q;2o7JfZFpFY%TmauJX_f(n!BwP?N}&{UChIgj zCo~GIYz&8o{M=rzoQ^^h2_-w#vIx}5vdXzpoI{3)-O*EzHlTd`n`}h!A)GlVnM@w6rP_X>8&c0q8@;-%(l!-J$F6+jjlINq4ou&FvoK6?Aq*h8o4#+?z1+D6E zD=Vb0J>AT@iD&b7?E@S?nxXfz$9eCCSJ3gg>8PA?9<=_Sx6A8ZtH$ujXpLiR{@3MT;tX4MHy_^7O}ALfG|p?^*P5X0^7HHu)KPDSS#M z%Da(~O(OO)doTX(bY$C-xE_7%@$0h_NjS@U84{u{1r91Zc6tNq=b{8DMAehQGl)~_ ziFrBroEN4q%t5bC)YB58U&0X3%G#79D+fW9d^V&#FCfJB{+y8GF$g1`B$(fI4*h3O zDx#hv8(gRPIiCk7gm3ieO7jIngiB1Md>%P6r45TnNzey)$7;djp(8}LXrB5uZV68G zgDbDd%E8^DkuKgJb8^j0ybiZZg73+KUQwlGWP2_bk;aiiV3!cNimwI)cXtK$q2Dbu zAufo#rvbuJ)k;g|&O*3G-5dsBkt! z9lW@?M(T!|eog(<#RhPtPdjjq40VzV&4bh2Lg2l8Ze8Mi0{E4UfN>-;%+B}Sxgwhg z!H!NcTyHZWG*sBMsPQv|)t}5+o_he{QTKcgLtJ+Z=GzgJJ6n15* zoe(ATAUlic7DP2R-Ee%1{6hAKnVt9UL3FflhSePI29tp!OUn(szuhh0%}fLSdx+yU zjcOq9?I=a*@=*wJ4tkaH>Uhc*p(0Mey4k zVqo-cfgqK%L6&k$2=!*lW}?KeBdW1=q0$N>QZjtb-|~RS_gD1U!zdtn-|ok^^VuNg zIH&Ee3}k*E?yjJ59D&&HM2m-X3?S}dY`~QN6Nq;(5v9Mi1qmk_J?|)>w!7xL#0_f9 zWfFe$NVM(>=KX!ic=+Jl@7n+OtnXii|F5o|BK7H?S)67%{iOOA@E31C=^uRlKN#JA zd@LpZ>+|@>f99M&w9h|F=hqL2lC=_j%87iNgER}ie2*dV{_4v;0;v1Gy}$fZItQeA zDN7xXFT|W%&iMEq%vyMU+sT*-d%CWBtgEUHkd<8^!)(?I*(OZKtoeE&hn~toaxXjN zwC}!j^IIR}UK`|zij9EW-lPe|aXgn{vm@dba#PDL?VF>|5Q|*!hL!M-xWrckvov7s5-MojraYvr?&_92S7Ft2*@K( zC}&526|?49$f?UpctZRGay583_hD{a?qUdeKIQ`FnW-pv5r;zF^y|y>a0kNQI0QA= zVfH28XJ)TARggfsxq~6>DJ0v?5z(@IM_t~?(2fV*kX}NxV%Yu$GL|yu6em)UmF*H# zVXKSmu#<7sjCznm#ND31KNfOc7B|labV6>FQ&V7tA>@fz-8K&U4tc{@T~cU$Az#g? z;DpgCILNJWh*6A!6j&t_pxV&h7KF zb=!@$d!XQw`si@A8Wi;Eo85C2 z{RZ((l3ihkcTZM;tj&c^U&t|qBS-VPAdj_B>RuKp zCOjF6+pL=wQa7PwnEwzJ^(d4+=TmbB6DYe`!S4RR4$2yZ^k3y%hVsKz!hIzQP_FxG zQse3aC@=NfeO=l8ckTbx^Z!Tj|JT?4lU$FUXQj8HscfGS$I>gPdPM9xIHCt-vOcOa zYSK`UaWFTD^f=^59v5^_s)dZ1UxW{KwL{wZ0qNq#F-Y9lDS3*}3F4$JDwMOR(05%c za4Q3Y#&j#);W^a3dn>YSR6+L%kFVrBC!twm z!CTsy4yt8`JzfpogR%z6q{1OhC{&H}^eEKEo_6=O!$ol%TdvG@5Ue)#_L;afa&X|0@)cq5 z88T#l{C*g^>zr(-7|%nChzB>9>Sd_jI-fj*v!wE?yt|~&C14Jrdd6%FBjgq|TPQW` zg{&h=qbzx-V-TVlibr;M@*`7GLk&fUA1f>QIG_(PfgBEZsLnxTgR!6KHx>xL)@$p6 z*`^_u>Jp3hcR|35H93xAcJQsNy2nJ41wEdlo6J=k&}#OL?9lPUP_ul#z;WmsR8U^o zm_!aiaeVdNNo79B>yZ%;^5%!^{Z>qmj>ka8fw~3(8(Z9|IV~tv9fL#${crOxBOtbr zZn{7RIl6T#RyjSX2vK5@WNW5?Fv8^76gP5YrOO&sE;xbT;-n`dM-@EHxE~<&B^cUb zi>A+FK11ED_D(7CEvN+Y9qzjqpfoBj{l;Zo%)6s?d3_)pa{S&>un8_hrfRoC^}Pg0 zYgTpP-ocJpX);0liI|gYv|4_y4Lw$pXZ3vJ9wK*Ob6+m~6od;s9tGlT2+@n!c~OcD z0^S`gByA~zXDhnjy2NqTnUi+if=3h@j$NW$Jxl~ub!Qt{c+fw%uQ=$AQ8g45k;||c z`Cz72&UCl16y_rQ(rL)TTw&fLUfc7RA+_!$`S<99kl3>2o-(ivajJT}6J2T${ot8G z=7uyxOo%;M@j=~Yo@cjG9rB-TYERbBM*Ob*zh`|se{pyfu1)^A-`9UTMPep|yuMFU zB!4iv|N1cd_vi7y|C#r`>-3ep!q0Kw+3|v9cV{a2%o}eyE_?+4G+Ul|^n?cO*Yp!N zIRPQ!W>d9xiI_*jaGcEL1%y-gF^>&@h45e0dzfw#Alsrj`0mkIh)m@sOg6>+v__Ax zw=;6=_WxWcok3=Hjk>sM84bkTps3*JK_3o^9XTU9((PiBj^?vg6-}IKbz}IwC zrhAnL0+@^REEiXi5uTM1r#=NC6T`_1UdXSt=hQp+B@n{RA3ot-3d9@$%Hyq9jzA<| zvY*-&Er=o-j_ZBm2~k~Jo29Q-A=){j!}HuGGQy9)xhQ@D8R5@wlL}&{_}$cwnO}L} zZayc|Us4L*G>)dlN$AHH7`mf$_9X1!=sMIbx2wVE%Z9`as z`83_@R$p&I#JityeI}P7vXg1RoSqz_;)cbwzkJ3F@AI>{wi4KzwlcFFVuG07g}u^6 z6cB4e5!({58{!VE2!w^#g2%i{Pi1rZ?6b$f%7}bxQ zG&BtmOLuU3(;KtIUj`o}sy+>IKCai>Hd!H_c{10BYA<*{o@}KKG6#RQMO*PzWT%Lm z#Mhc5n~R#^k;3~y2z&E2M1s)=B51S9f?86g`D#0~t?K>oJJY z*{jISuMDwDae|WRT@ZKvnfyMvEo7%KSWLVpf%xZ@io1!QKth0H0Pi{Ub6$AP*kj5I zfpG`*zijkC$jq$=&(m}ud`Eg8-%D+XaL^_=G_VUIC+Uat4jMr;m%*1a$7>+QBDtSz z1i6Hnb)72bkqz_c>{S;zCiE}zyHGJzBM;d&OM%)768oG80={oSQtjKRJGW8$8y>Me zAgYH9Q`va7wKhnl^WKuX_V>O2SI_@f;iIyT^RL<`cu%4~j`a_3|Ezrq70m6ykH2_+ z|Jd617@o3}p56n#w^(2iYBSZnUm_rxEnfwj82TBrQ%{}y0|f#|;8K28*`^2yOCq6!oyf1vP>YaLH3hFEs@75Mx8S#IxjFn6 zwKi!C8H1FG5c*a+HmF7p!u3~n+`fd|ppJK%x?m0#G=6NhthlPULWFCc-DKCV_5`8jKMhBYe>Kw@L%vhN-}NU|Jv z6)W!qA62V$2h9f%;LlL}FbDSz+!SJF4i6!$B|YWmX*r0v7#2~zR{$cje|7Q=(LvOT z71=1uBE+!YDVH+Oh1i?ICZulx;*1GH8J)~gYjrw^gmWh(7*k)fxP;6!KypY^x9G{UL4gL><9=8(Y;2cA7zq8;k2&=Qao-)D-5xVO7XRb0p zZ2mdG7qo{v2hm^}+|)C)2hHOS1oxl@OHnGOZMa*#sXghZ_PzU`3^8GBV&d3G2$?Lo4EhG`hEWf3ZLLQLT z^aqV)M{46mu#NyS+~~7TQ7A#WTSVu@rf^8#P!6b>mxl~P zyRY4Z!oTnR-#!1|jPC#X+V4K$lICr(2F+huKDzojK#kPK_TIfmp@Mk!N58~9C@~LU zH{ z!|DDD*+!5u{o|s=W7HMyl9Rt4I0fL2 z@*T+D7IQV%PJ_&{w|D8CDR6gLoIf5X3Teb1>ck1?C#O93&6MjMW=518@Y8aDI7*34 zooi7L{cTykc#kVY?F|UmIAa7)*{EdZRrH|Ezy5d}&wHqQ={dG}p8~45#2Bb6@a=K9 z_-gV2b|_ACi+p&00t)tu5^c;NFYxTV5W~B1$ZB%@A;W?G#ln6$A;DQloBTw$whObi z<2ty?D{UaL|F-N7@imCQvBB4edc)YG8;051*b5&Sb|<}|1kao&gKs57LVNrG57VYN zG^7|Ro*lD;>Y5-)ktdo^p>J!ntacJgzlepgiH)IuuS!x#VgvGiMGsCh2|$kad8&Y9 zfGnoN*DsCY?o{AutmHE?^v(Z}6a@-M+0*#&1iv&Ss#g5ujpBv4kAhQfT-XP-3q2dk zn}J^T{7nj4SLh)4V%yrQ0nG$6x9y3xp|(qlq4WAxsN(a}uzCIn%0uG}n7!?xWO-AJ z=o0}H`ra!{`9O=zbeeYJ&ytYC6F=hP+Yea>=P$@Pm_i13RDRS;+-vwv>E5V7em`x` z!eR8CB-)TGhB{$>5T$gLX3qaP=l?gVm!N))m;Oe^~wDa z$oD&|^Pk%Ddr-e;&kIopAMsJKb;GaVpQ_R%TjdKu&TkH9df$Z5olmMYgw~LMyH2lg zBNxJ}AFna{_CQ2A?Thlezc3Gn()ztKW+gh#Cmcb)f3&=R)!t<_%#PrTP5<^4VumU` zw!Ob0vpW7=Mi}nv&+CxxU5|&j5uGuov^MZ){_JV29{@fB&Q~799Yq!tukfIeHU#f1 zIZbo}aIT$KEujh!ww!ab`dL0i5M63Y%*OXTk+`&s87D-p5>8GDW47k26gwLtCWtQm zV*TCYD#SQ(^%)9GL98gl=eK9BKxL`)sD=K!v>RyP8;UkC&K}PtM7oj9a zk)6Hfs9Maj4?RuiYN?0hz;8qOVYTx+2olU?{*fvKp~nk*p_ere2}=9)Ac!6kceN?2Mr?!MiEbs^w%yooglzkQ-DDnJ2o zFV)IoBQX=@;dzm)IwnX+U(vjMY8mr#4uy3-Is!>z-#z;F?L>BHUHXas7sxwq79*eE zz)X-&Vw_F5Ke!o@dxdgsnTss4C@9$sz@GX_l4G6p$M`$OS20^d4 z-tt?2#1|tWj zR7t#ZuNFkI**;#oW&lo~Y~+>Cbbx!}=><|QN$_G>a=1qO7JRf-Ctb?b!B4F?ieiNU z0vK&;!n}GRu=m5N=))nL-8mHaE~Y|AZ)odaQxk+85%XxCDTJ`*n!RCRl@P9;^NUEB z1j3hd5?Fq!L4+I2H}83NoB;;?RDLM}k$&w|n{R8tMZJZu04z$jOX&L#e@IEgue{^DDoZO%kJZ&B3~^b^0c>Or4QC_i+mlc{t`qf zgh~@tj3U!I<>b0{Jb1(tkP*Dl2XEEkN0DWA;M;d?me~CZW`k99rfMSt7!L?&LOiA9HmKynh)K5<(=CCgnjXWV%kwh+bKh zfT(~EsSCz91LkP=yk~Y6qMz01@~PQC%)N?8?GbPA-E;iZ1-~}%Pk;Tu=bx4t1YqBtb23asx}7l(w#RPphRHi)YyGu+L27W3o$7>|mNK##fdQOckv z&_*h0$btjIY^;Q$)Vn^gv5@tWagkt5MMOr zzi9akVjpvqy!+_^Pc=xNmGwtLTZieg@#nixf9I-@#Sv|&dfI)xx@!{3+qLw!T05ci zz<8$F`35LV3D90q#vR^Z1%s+;^QK+!Ubd(MRweU z)EaU?@>`|;t%a_-M zS?4RD<33A8iGMLPPndssk1V&knoSl_`D;*pK_Qw7-#`@^<{$K2(xEKAe2HbG4~pMv zDaCbTp77x*_Rm*6Ag|1GcxB)m`YQSLZL|a->*4csPs}mSq+7Yz>b?T$SyS#xHkcQ5 zZQfSZb{kT7T&%;?AOEiX|CsatZ$|h3+4KK5aC$wHR2YMVdccqPyb0}?9t4I}f8EnF z3?bp-wA-n{5Ef^%UqvSybL}^uCoS4Q#H8|hUlK})oFZv>-@Y57hC{vW1#$LO|3lqE zIu~Lh?EQZ2xB;hUlE$?U5FaA^L#Ja268L^n(ITJ6>vAeh zy#EK(@U#|O-*FRysBF_Eo!&v{A>;Jv6UeW7qkUYmcpf4sHj7qXW3SKJ3^y`OAd1Ju zPoNlc+gJ}rotj0~!{O?(%h+Sae0@@)GYt^i**@;>dIaKvT+V!D5yoD-f+?ve5E2M> z6jFRZzFbk=1sd@t@P-km{AOFs#i>Xo6~y`adwC7v*WD2MZY;;xG8Dou_Z6-{0cwPl za~{m)Lu86?WY5ofh$_0EUFq*7s4>a(SrmJXen;g;t~tkf&_}OAoML?p0(wtJnJcnF2rq|{TW~yt zC5SzkA9R55RZ9Jb{5YTBHqv>iiu)7wXtgNMa}aIrQBZ;Nml)fnqowP6AlB^N_YbWE z5O-Ud!2UK5#EZH{JPaa(1S%i*;7(+Tyq_ra2_=EV+;f-4g%u&`t}pv9g-!5(dDDvt zzwh7(auuS(f~euSE4;;~kNr|A=amM@ejC4l%J1J#Z*~L?;GfRyL$! zT9MU4dLcPV7jupd3Jf(K_dq^kGl`D)5lGl7d@&(-6cVRia(@ZxhNR{$Ij37ikR0-D z=Y&iZq^KltH`qUg&<0N3?_rn&l)&U)pF0YX0w$aHA5WqdsnwK!@d)NT@>DXUL_@4Q zt4ouBAjEYu>Ddv)L&A>CqU6HckjTn<`kFZA3|?WQXcCXcv&B&~qRAOj?s5>wGoyb} zWB(qt87<`U|KKB{mW8x28&v99y12t8ScYON+aO+kv@jEGY$OT4|dtbW|F_Kfx4iFWy{akKqC*u6#w)?FDe7Iqx}vy}$b)hrY|i|0D0c z<9hzzxBn>F z|HnrwTu*QyAacs@muNcfXkPGNxy1?gyyAg*Z+hSqc(%JwC>t)v9MobX2{*3mtOH%P z4&3)!9hG-q1`qq$d#s*<@GN?xe(^jVy!y%;Qoe93LklfzV|dr z@H`5?-g&l|fF1BJJAcussu=;km2YnxXh5LG-O%`1ci8ayYu|lP1c$NM;P;_~=QXb}{NL#rI?0G4;L!~Wogk9+RrKY)u2mW+vb^&SOlhzW zl|JqNZVj9!&1b%jl)>fEx|P~Hb>Zd}_*9bUA3V0q#lJ^0Ji{K{iIVGv*L(Q951pJh0MZ{{?ke_E>L)~%@1g(Wp`H0=~oG$v=|S$9!)1Y%M|mb zJUIkSJy&xe{DEN00<%zgvJ?KXi|UFvnI~9B`Ilc#`Ca?Jd;b4obpN-n{nj&nR%u^$ zpw^UoKutCd<)b57vYW|#x7O;F*p)mK(lNAgh4vtq!~Xle9nHwv5XGyN;(+wDo6XfF z^+=7A7UXnpCz+T7 zEKD~FAnVDAsN_#R$WShP)O%?GY2tfLvn&Za#Kh9Y!f-W`-d$zN^^8NJXKSyVbS~mk z)_xazW`|gdr@i6!I)pPYBdYLX5|JfJd}kkjA=(;GpBveSP|v;f$~@uuRq9y3Uf=x? zrPMpGlzz!X5%-&FTj58@XI;5_Ro5qEKR=(tcGHsR^<-D`O1mJPsexnRJkg_xJkI+p zGl=9!Iy#T$UL^77s&QuUBBB3`Nw*Q%FNdsOk?CKG7*83k93>`1W&2YSOYPBaGMJfQ zUWocv%Wv}Od`DG`0=wL07nCt=4rzIzmB>cg)83vQa3nm7a`qGDV3`SkqL4Gm3;` zV?16&Bfn-c;>wy~}y7m44t7!UTc60euo>-P1;*I}wdV|kIVJ91YHChHSMccAMIa5A9wh>8mHnp>#i z_TuoepFmme&#wh9y-~sus%E_PGK#ilJhODoNB;cgWv-Wokt;sr{2|!`S%V&nmp2pL z=o8w8emMoC)6wZGMURo(rjn=Hj>Aa)c6nr9Pdt(`Io7}BQ6W3P$j*Qi>)*BiA3gs+ zw9fxu?Th{I=YG#p^q-nv(jNRfwLNZC9==tZufbd6hLD7U5&UUK$|E}zKv}m(ef#Kp z;_qu7O)?`rZ|YRe{!^qUYs$GlQ0#`#E-ojx>=A^ul<;oZM)K8)_K4jYokc`IjhNx4uepa!(8%nDyTR_v^j&2NL+;J?=us zav=r&fwX5fZ6oimx>w{xaW#TUE;-V!kwWmtg`FW&yhIOgA`ut)7-3w~&)v>lML5U0 zS4?sYh+yETbGX`uh)*IiLB9xNqj_}Q)lkCf4!$!SIyHc3wRK^)6IUQ+E$RA1iLSdY zRoGyt5FSQC1>a?|;M4NsiA(%z1YGgY7~ZQ6%3WxrY#&1KmU|w8rmhIl{28e8j4-<0 z>U~%8s~{{g#4J>!8{shnD=mT}5fOZXnvwYBB5hpiHw$?oO5+IM^wsBx-eWO!&Vs!E z>`>*>$_2y}Gibzfi6Qn{IQP4cL|3qymrmiSJ^X}dMFN}1Ia$8U@RlhLf(+IbjF-(I zxc#SjCU-CSzUvwGtMDM~=*EPtss;$ZtUeXmqJ@YXTJ$34lMs3Be&j_1cfv#Z>amoLA*nc+P&~qS})t^nT?MOvznb`SN=ZXK)d|&vy&^7q-MvXJ_S0QkDR}59~ zEs}fpS=rD&8o>>mT;6L-5V|++u|3#0UWN;U-++~kJ#E?9#cYYQk7sD)$ zuhT~~L0O)!WJ1g;TABEt<%s!tq4=10FUiYU)|bC?E#g{en%%t%5FaD|@cPqRNHCC> zcOTh6^dn&0M3%?Fnt4oA8wdk_1+QEvVXlQynsvWXb|8XgSD^P>qV>MIJcwJ>8Bv9- zR#UsI5dDGuP?rt`vFvxREh_OKPBPM8qT)5;RYF3g*DMic$;iepo!v;}XFO2YLXX6Z zm2(@G)026Skc*$4Fp~U^2g`?*{;vIhdj7u(|4(L@@~^*Y``c&&y~+AmdXxDWdIM7E z|NJuf`*rf?e`oERPCi*J^UDBM+xmJNKJ9~zqmtwN&1%@6y(;za@(diic_NmXyn!=~ zzL3=TH@K{3xkT|)gv-xsWh$4r;5w)+t@5@DZsp#z&(?{--Dz4ngq{W-(hPS`6cSeU z`~wwBebTF@@kKsvorc$`jjuGR<>581-OhON0?d5l1CNdC!79DOr8t%cHVfTX4ohu? zeW$>el3#Lg+A(9YyCx3K$DS*AE7HP6d5;~7fDc@cmo7Z7B78W3*6H2GpWwcVDVy&? z0^FYlP4f_~kVj0-LO;!Uc&ar8TQHMMO}Y}r8nOfQD!M+cmzWLjvwHMH86hw?JN0d- z(-C)!E00ZAn8UWrUA4*b7#zIB*ZMFv!^z!>Df;kLIA_@vj1%6DOT|%j&dCP27LO@h zdw3jf37*0o6Cxz9XgDg8N(mmS%i`S1@4=Hp`uYSdxtqM!zEfdF*x+GtJ`x$<;4ORG z&UVLscuyKLZ+|ldi;2h{c7YIB7podp$5_B_{G912hX6QMwZb$tXZo2Ds&Tjc*J|DcCX`MIejP54msb2 z^ZMHvwV|ira!K%HOUNi(14|t;RS0)6e{o;SU_9JwdDOxJp2DNf?!MQxBk(Le*E@WY z4PJ5OQwlwVMQ-;kY0jt-K4-W)uU$@o@0L04OUfJI`)0vJyrBht(NSLxN#?*|%NxDp zYV2^zO|5#%M>voC8T*${u)#Gn?QvmR7TiY0pI<)i0*~!wtWBI{@I0ID?dtIzUgn2e zT=ae5?fGI-?$;E20=syX-~EKIpK#}ObGP+%Yyia_=k z5pS|d=G$1srjxff{jUArJ^!D@|39PlTQBg%ttonmTCWQSX??>`vCO~NHEV~d@1o?!GH<5a4^fzMN%rd?$)A^9p>z$?FHD^(4pPV?mK4`@eogZWXn4gjjEbAbg|c^P$tR~ zJiS^E#RrJ+;k}nT-4H9PhuodnivP(ck$|Ldt8hKSCrC7QkTi;j zM|{H`b3W;0bk*PH?@nt&V|vS!-;Eh^_f!u1LH`j|7y9b_Mb@EgQv3MWI>K+%?iSgOjeF`9$Q=lIxvGNrPP_&uA0Em^rkkB$Yu+Hz@6kp#GEyULC)b|d zEHR{1wR~G;QA)TCXEsJ<1tUp%MUoE3)!()MA3gs+9A4$i=U4qP>-#^?`H8mYU(e_c zcPd@(?FygEFQ{JLBDpwxmp2AdZi7;=Vj%J`$wOyh@!cXt=G&@l`Hi+_2(>Bo4Vfi9 zna|dxQqNBa_oB{gDfC8!mCF3GHC9B^sv>tyi_G%{3j($b@gVAl_14Y(w-9~L>2lL} zI?+|#I@3N!JUBc0Hjf`9n$}ZoR;!zr;PaXNv9J~fs=6><*joVKC)Xu-=iedF zXW1CN*%Fx*`33H0Q9^JF&(u9>k|n*CSM;dLX~L|wz4u|m5W>~>w5(!bAzI!d#aQP1 z;BM3c+#E|M)0b%38OyZHMSD644YAEq zJFip)5?wp9;LYY&gq^+Cr{@dFQs;Xm@%%fP{V@j#bbez)Q16JdWD41zUrS-!`Ti5p z0f_qVHJC^Ez77FFI>PoaSJr#|_8!@jD~>k*lqVXVJ7WTjgz3^7+ikf-7^F{42cDF7 z5?*3b6D2$bad$m^_g2axK7p3$vv3U(tX_GDPc;#qUr623(k2A)(s+GRC)(wO3!irz ze?e&WXYp8;>tqMKVT}6D5kyot>#>|EK-3nAxY0U#MBmzW>iS0$#1uXmk05u`*x}6G zejYoCeo$AOL0Ah3++TOSlq5UWjcVtwJ-Lp=NS3E_t%TvSo@Af3k=z6ySGiY%G}UId?j-77ye!Qe{lK#Q`N7c*hL;Q^|p2HhyrVKN6hyeg&LV zo*iLL2qV3rI#W%)8k`^YIvO(VBrnLl#qr5cxbuEb%IFv%o}DwC{lwes zp_3<&ZbO*XU%x+MyqN#F2nQhv1T6)@AFxmgMK$*JE-Mh1>DVdW-A&;J!vkjLmZ^+#ebR z3Jop5!;bEC&nGo_?tCJU(=h_i_U5R5Rt|Vw-*ZELZ7!@|BxMFLK80P3W5qGY({SAR zetwj2eVv*pyM>bb;5^6^*KM;GE+3@g3!VGn`k`yxr4A~%4fYSc>0d|Q=Wewoc}94o z7Z2pA&cM@_@!~xdeX{=v9XM>cjPMAmX}mOA;oZDC{^ZR;_}qEYdyJEt%sKY?+XbBY zUHiX#{=bUY;0P3!IF^V`@qM}^3%=#+P zl-NfYEX$oGUS2L){ouHb^=uGr;>s9*OH4x3FJkCO(6xyHzFc5xX^YJtOUT!qZ6P^S zZ!DQnFDn1Qfv*mg!TX2JBBN0%eqW9{<^|I7dq>fr_WqmNHqoQ>g!JdheMO3#z(Xyhqp3qwLh(43kxoC{`ATS%0ku zg}jPF-aDTmcSc=uY?APcBnP7PJI0W)bc*_Q(PgBwn2YYsA~{Kh?H8XJRv~##%lgH; z14zo+knUjc6^V{J>{mz;PV!rAFIu~sh@C%h$jbT~Iwo=>k5E~mfnC%wnaK$?4?gt7 z`VXUm24k(R@LN zOA1E%A;S#2TU(HtI&4#7T!oawSJ-SW=^^P`(XE?%$T{5mMU;g(kle-Ss^d4ypsS-j zTDoR08cUyk-ac7`+MquA#&Kg**$2p<BYS#>mh%~FWKP>p{B);A2EX0*Z_>0#i^-l=+@*@teFkcJiy}y# zP{|YL`;Me~#nHS1uiv%*A3gt{v`+p1I@f=5d;W;w{10vq)9Jq$-v8Qj-%Cv?X4eAo zx91nwDwxX=-MsQUgJ{C9D?VBpN0oz6@s~U!pBWJr@%dMf-3-F}t=_!o?IU{e#ju&E zQ;1r3uA-fV%<^wA?Bk&t9~2F!D-d6r|hFaTvXYQ&$7xSlaZYu zy&RFSRhps2e-7R}r2@-|S0+H|u)Iv*9PxSI`H(4@PWG^0PWfHEOXljnMj=yg5H_Ou zU@3ni$%51l-m$?Gk!nwOg+EY4)XfhmA0s{@+JeWyknTLmnGbZ=^h!jm#$9={iA{(T z+Y@)ZiR8Vn>DD|VbP(}RZ=Y7mtVKd(Y3MSuX!yvMFrAqaMc|QKo`^?Z5tKvwvGuYi zLT*GK=S`1C=+`=@vX#OJ*Yx(u+){~%)S&jolxX5d5TR23UWBO6g6%FzHxRSTB(Oe^ z+-0cfU3U(jMC{DPoTZmX5cjnF+Az}u;)_wha9#!pF7Y$%qJ$;3{jO+2+*2_!TR{qBgx|-H?cgJyZ91;?E&6 z{(?f|!|#Y%FizZjcRON0vk<7Oh*;AYok))thzqA&>8rhn_*}!6U6!P`FYWB+B3h_K z4Ihygwx%S9mzS+`MJdT?J|*6oPcpHJje;5lixI-u-Z@xA_7@EHGGkXsTHtg;U>Sp_7~N10zRG`S^d={y#nc zpM_8LC#UzHp8o%u$MYI;dl)mb;}>=J$VsW$lw?FHSSUO3_K&j3*B|ZS#uR3!9;zsk>4)RSnl0 zYs6yb<6xxo{l)E_>M$-?T^Ao{0+TEAv}F;}xUFK!f9teAOhxEV8Qbc^jP|`p*pwj5 zT0eW0#gKd*xBF)z_rHaOXrW$?Q7tT9z1yex!3vfRjJ<0PUGhVK5)h*LWH?SG>_Y=`(;^YHqD;1!W{ZC_c^mS{1n?_^Hd; ziNG{?Gv`4UTbSvu3kYV&hPjaY`S0=M{Xc!YD$?c(i!>{h?ov%yo;`p4@aa-m&QEOh zSKJD#&>01pgYkrw{f4ud$rHLiHZ0Hb>BKeHtt)A$j>FJF&>~5Q8^#-xvv~|35H@*S z)#~(m+?Lb&CA+8&Q>g{(Jd#mm#uslY zX30jwTxFPSwTsbTPTu!K!_%YN$6$KJZgZQNKg_g}_~RD8!d%tLL+<7&SV%0J=~mZ; zS`2q?++#J2tA;g~=CtA`E?9TgTd--Q}n8Fo1TG+D%%^TCmmle4!FR~`Zm7Z`VQQMjkrW}Bx62oSCoei8JEMhwe<3e zSJAL@k3Gg6F+%c=dQzBwZ1`RKzkB}wVs!tvul-g-@ykV&YSb1V*BaGnM8*C(bJI^0 zlx(Van0{ju3U4fCtlyVRm`m>zb2F=uO>3vYyvrUL8KE&D;e^#$kbT8xoCB#VIF;AF zzlh{eIyzN1IV36D`tX)DBT@M1Wy!@d#9t}p?aLzhZ~^54-19f#sB5*G6zL;1*jWOt@n^Vsnt^J0C1 z@UiDeS39gGaDWb}@hg=lPC6rnd-k=V<|2~%?z=IkG$1i|@wGy_3lfeGnx~#ELEQCC z)(SLt5L5O#=XBW++7h+jn5Z5^{V}E+Y{5OKtck)_*15QC^{!7Y0#4 zJwI^CGZi^6Cl)1)c#)+Vze!NY5E+NQs%BFUBklGPL3Qg#NEzK<-pARAWZ%c5SN%^T z>D-OYXFfebqRa=|mutw~BV0zq)W8U_kLGU$5Wib{&~r-Rrc=02^Hol7=n|^Fg^G*@ zE2GSMu`SYa62-2sH;J=wpzzXswj%um@(fF4Cu1FuegB4?qT?tseU|d|->gM?R>sr= zw<@Hq94mM_BS2VNsuxW8*paMW8#STumgwrs%7y|+&%KxBUHJTA#M@NsKbWvU$D;MF z=gDkn5R&hs8csvaH+tvjwO*(=Bp4?^(}&XaLHzW(uTj+U^X$-SD-g{C_dJ$xJW6;msjmsT2%xZ?e%&o`z6HgEnm*Hf@ zX@t;aE+SMzgs(%hhW*H}AtIUsJ`~E5d9p@x#>pU(zurpKe{Y>CxwmIC1QLx++{ID} zPT_pQhVX9RZbouw5*va!14+%R%~9t#_aU*bb!~Z^K9Uq~ME$ZPx~_U9d7fo;Nala~ z^`(gw0{lPF`#KYL1h41pW5R+8byV1%SW|*pt1Rsw#d`LV;p{>94 zSUZX5`sYf6fYq&t{9eguBf0TQe%Bny<~lXAc7HeNxjWmdOPGzx z4sde5xAH5(sW&%V$R;ch`N-k)tM3tg#qaSS{Z;Jg3yjvHKM~LFdcJpb zA+h-ST#IK7lFT8NSzC$Zy@p1S^WTyDqAod`@cL6+S&NLd4GGt9>7&>KGKZ;|o~yhb zg|ySk5sx?VB5fe|%tNcwNY@(QXQ83_yY_#d`~NVy{|D55tI2>=%StEIHqptRXzoXa z`~v~LRj*MZ^k#jv^c)I3a`p-KG$2pRU~_9ME3)N7DQ2VXgnfyayL}c&`|Qg zYJ8BHcIfpkDZ-CS-R!EIUyjrnn&Ibx?~r26sV&74hGfB(dabchl1WkCzn|<16KN%x z!(QkT|5mh4t0)jPBCo=!V6wy-Im{=*t>iBwbFlTpEXOc1 zXoPJt+IAuBn#>b7iDsny+PcO6N-dIWJ0rc9u_7tqCso)_IV8p%d1Kn1hXh?;4FQ`_ zbkdnmbfxK{L2~1YDsq3m%Xv%X>~@|_Nj^>)q{Kdo>DR4K4vrKHV*%;{r@`mMgL@Ulf(S~+4KL~ z8QqqSYM&o3oPb@F)Y=LfTR4rsYYJK12UiK5UYU>5a92FmX?;MHcxSF`JbgwIUN0&s zqWgH^qobk2@;xt^FSgy3(Qic2A zW&iGcdU!q%xE;Nn=5 z%14^B@J};2Kag2~z}-y!64@T09BmV3-y#9ZVC_^}h#Pso^xJ)+eF*w^Zr`QJN(5^Q zJt#lWir|NlADMnoBZSv`Zi$MG>`L?YcG3C5)yY1aC9xYG2l=%ZCNqd0P-aK#a6f#P zjgClK*TR3xDz=8+lL%bNNL9rd1d6U(&564&5hNtX+~0bN_QaW~l!2bi*OZYAC)%1!?J6vAW+@_wezc=acO1D7dN(-U8#!)}T&6$3)g3kWZ} zXh1aHifl)S4l=ASE3zuU9pQKO^jmzdM#P#`=Ue<>xzgB4gWfevUCZWR!8yBkT+^ z4;IXth3!FR?(sEeWC?SEr6Z(gaS&ndN4^i!5(Z4+qGaB1Vg@nFm%ijI5 zBRaWe!PJQ4%6PmjU1*3vN@{wBt?zP1WOGL!4YJM_kd}^99d0V-7$ngosAw=MUfi_J#l=p ztnL&dbJdw6K9nOint#q^k2n&UReY|gx*;jIC7i2Gko>%@WF!b@A=UJB<)_`Jk(O)_ zaEWAXrkC-({CKYh8M#;1sV)g2)7K$8DVqgZXFcRLbLAnMZdJQP%vWS*Dhz}YCU=fl z zp&McGal)sN-d=p=b*LaR8D?hv9~vV|P|U!Lem}BB_uQm@{~0-4_n%6xU5=cu_UlG+ zwUArtrbTi#kay(^?=(+8^1d71%IqjdzFX7yv7mM&ddE!ru^l1(N%N|W7r!92Gx1(Y z*)yba>E46^}F`} z>G^-3`u_uJ|6zW3pRd(U+-h1ZWAkI^}U?BU4*`iq3i7I20+ zH-+qLMSZw@P6`vBT>9})Ey7^Rp1-<5T8qpBW)0Lk!pSZ!FXZj0F+!vRCFAzHgR*#9 z(wq3=0_Yda!pok+CozWQKHVSnCuzqjV}RD3qBPqh*Wljmz#kXSzC@Y6PmbY`5DK3a z@4Qpihn!fs&5G0H8M5cQQf{ge$y4)c9hYE4;?EUk+c}&GN8d|uMlTgnWn;>1c4rXo zp8n+%lN&<10}BO1Paw#~Mo%SC4FQv#_dm4|{oZx0l+0_Z2@mKlUB|shwBFyw`m{|E zwW>;-p5$3kzFFeiIT}6`8FA(@i|s{j7~}T~*GG`?^H}rYPYXyj35j}qmtViPE1veZ4AR0vqk`Yw83F?@2Z1b^gpqrFh`+SS~8)Ms`%-Y*C9a8%Cs9ZXih9t@TIsuo%5zixEkwI!R z+A>K*Qurbwl%gu{#tV{jez)b_flUaOk=1yjZ`jw-JP4Ii!>Xb&ChEDoySBvs@ zxL>bV`Aqf&s?T2VQ+z0a(r;Vm4}b3^8R)F$$zp2A!w%KcuS1cwx@#j{h8fbbw#;)J zc!lI=EB3r4v&2Ma>(cX-e3F$W+x(?N3Q?&O>SC9wNq+LH$ncgn!T{~It-nfigzq=f z*?Z&@{!r=K;>OV5wf`SI|39eSp3{FZy8pkLUMA_kIlcdOMz`GgqvW0!0TZ)6iF=Vlh z?5!y;U3N;Z*^Qupv6k^Imk@mLwD)(eG6eUp@!3+#g%HD#B0rLi9I~+U@G@$`eY20A zYCrn|_TL?-dh&(fa{hpTRigmhx%<4?s`tawPht8f$@}##w^U9R<$!O=P{`@%MfiIk zUJ`t{gh2H5ZQox5iZSiFJF+JcBzUi7b{N_C2Z;HfGm1lgueIX0+l=*14?8Vr4rz}hSSJr;1}F`bh+0O9i!Kg*-F}oCivXG zFj%P&2tP}w>leHE5OD6mGmZ0vt--J{dNzs%l%X{P8#d%2D9iQ0SgtjK^?E*3UD83w z#?*El$}NP{nRHx#{|KR%>JKcAF(LGGg1h6Hp9phYa*AY*gfr88Q{$FexE%~ojL*z~ z$J{=L0xsf(xsi45dYd$Svs8)=4Ts==Z})xwd>sVlZ*MuDE)7b3?sLQLW(37fAJNhy zdW@UPZ4E>W5F-3ZF(@(-Aya+dqO*uTBlgU;$nzHwCOymdMpPJKuiJFY@@x=(d(hH1 zdH}9rOSyiHpWzX?(M&p69NxhRG+tmoY=Y6_iEAd&nsW>uJ${<`y-C%UR4q>VU zQ$-BCL`1Yjke7crBE=uB9#NTvSL(TaIxkY;>vQTRm(x1px!EgM73o*v?7{FSg*w+dK2D-m%|DT@!&%$3vMfJaH zd(13!%*;)-&GiHnMTwDDfy$h`&h&4ueOI4;=Pbgz_uA{V@b=kl_vbW3;ea!IX7a<|Sh;(_pvG_D%Ds?K-8aKgBj*_LAx(Khw z^BRj*1S1*o@%h2yNeFz^6~p$NcuMs}E-q-jMsVH!#er>v7xZ&Af5r|Mgz-L3VDDx^ z_~C>ym2EAEkfDy&VD?4iA@;eFOC&pV8=KV-(IrGJ-On}l;6rq0ZeT&I6=H&{4~>lz zU7P%C5yqWe#0U5AY@L=8ydS8n&pMkxvO&wU%kLZN=M+ZM8i zp>kTGmO+Q;#ZBzjT%RLmobxd)BNJjDb|l%Cvmh?kbLZ#S5ya~(jn$6l!pA55BC9ZA zbSCy?dp-Gqpxswyw2$c^c%W1B=QHxmx~a{@uBd^q(T&Gu9}xzq`~$i1p+-bTq{*D( z?L$=GSM!efSfXuO(beI7knCSpu`hc}@{V_>Kff)dj`*Fy2hS)bAz_nD%h;=2BrI-t zzrUmviP!oLF7e$0r6wx+L;EuXmnyYvOX@~w;63G=%t8oPa^MvmJx3U;Q!HL91`y?S zZdpx|Df#?FZe7M|h1grO)6SmUhZgSHIiuvaGcC6Gxelxw1HWkTbDQjG>+1G)Lz`~&ZwPcPyaS(&B$iZx$f=FvgN9m1eO>qA*XF)?Gxxkp0BK(HfHZnUq3pE{|x}y|c+e z;)s+s9k?e&a@-ES$Tp7ZN2t!UoneI)+EioowGYXmuKm@vI*DmiJgMHASoxCp#+qn+ zo)@C>>X?;zdGB&L4FB2xEK9h>r=K(fT0ZrUnYvezhB z`}PIdd2TmoIyPL07olM3Z#D4#>x7a}h(Jqf{C;=qDNM7wIqgxBY zk6&++BD=tjK&?gJc3a%fzyIn2$z-eUs&x+JxsS4`S8O)@KT(`2cWT!RneU6~#xC2d zh}?{I`+13OFe@k_K}tIj=|Achm`IPE+QQ0XZ%QG%wjGaMHZ38EFK+iaK0YLz57_2r zLiC+EdzuI4q(D$)_FSu32($dHO3eZdy5ysnMroJO$l;jlsIUX~jz0Ruye1EoYke~} zFnmF&o5o#*P9GEv8S>kUo1h?(>cZl~O~{qpF?Z0&30Zo=1}-E6E&Z#CS|&Hy={-GL zbx86A$s6`~7t%mjE@!GKQ+jhq$hUpEZJy*d)4nURvi?f=!GgTU#MOS+{(toRzZu>6 zb${;nuN+stBKqfF{ypo9;`w6~NX7K`>*Tlp&RL(6Z@2`RY?j2XS za|hnfcXJI25}((msPAhE_8@>m?6cnJF$8`O2+Y4Y3CfEN%3W8phz9ohqR^Fb1e>^0 z_S`v1vL~n0X}uQ_@^X*yeQCmJb?awyG$Q9DuTw#38PTZL#;6bPHAMKClaUg-DsbLn zn_=U94{j|^6H#+J;8|a;Ewo}9J_;%{k;l)#&p%40Q#t?vQKLscEi4B`N+qF(CJ{kA ztSmmQPZ2a1f8gvjJ<=CsgzJ)>UWfx%YOExF+I@t*7_V}z+mKi=|GlVpZGz4KI!JX@9;w@g3QB|W%LCS@=Ik*;ATrTWfzZe0ZHomnCbpyhj{wt(~`H$?w|#r|18(#{aJEg1>6tWJA*` zlZ^URlnYI(Z2qWuroUbn`up{NZSC7jrez(vK?~=P57yI4_roo!fm=fL13aF6H@InP z4X-Dij1nK};gjGYx|YmT{A4SWRCHP4-?{93c3%_%B~3Tb` zO_O`Sn%J&na!=dlvB`Oz7J`e?%bLu8AVi#1(sO$$$*b$Tk~u8^2T9H~frk2U(bNq4 zs3`!qCH{NQLpH$kh;2hy_ZxU0p8Pfx*aF`*&P^|^2{XF-1Bdm@a|E2+9qZVxg~0o4 z7mjWwoSk6Z!)^yn5p-xuyjDa3K`-`&_8xkSV6)y$Up@#SWX0()#kC(168L%jO51RR zvIrDcx^=>_WGf@5tsPu`ylQU>c}wz#-_8h<90AX#%LW4r^WZ)3^6hOV!lf&k(R@E` zMOYAiSrn%82v~})rTxJ`o<+>hD-JyZ<=bxa&=9iAOY`$Bdri1EC!=+bWRTD9`?-B( zn=c~7e&J#6*UJcH;L%$mT*T0@B4yTn-{DN>!hCW05Zq|AlULD4!y{y*^~e|2&FI`*tI4zB#LrXa z6kmkDTQ2;Cr5K*o%{9MXy@StrrLVzOD)4KUd=z_N4+2?dukS081tp=he8`LzLForw z1+(Z#zHxx?c9C=B|IxzesV3n#nXfTAHO_)CB__5E`(NbQF0P}pQV!u0k9n$C$ekf4 zlWY5)VnphG4^7^E15vEaUC#6Mh^o_XTF&Hkn z;xw6}+eMhnh3RXOi7$GW%(dzyT_mK^x#^F8Mch%*^^C*Be`l40f$<&J~q%k=5`Ww{BIa~kH@0I_JpDP$}1=lAGs>QJB&hOX0P+*CdlplDDnQ= zEV8mVqQXcPL}pY@h(j}xUz+IWgg2j5w?d+PrE+Pj0^+w`aLQa# zCcga_C;CGa5H&uuH$;_WXKlKFa6!BtZ4M(cDGyvw&wf;8)w~TVRb5TT@0Fosb}{z& zkxCRXx~aeBKTX)w-=$5S%_BSKQ}_nKXk@PTyY*Pa>JOb*oEBl5r!2@mFO9g3N7A;(2`@AI{1-|2f@_mfR<&NsIrKdvHrCh!0vmVG; zd#qiRO95%D3faRK%aC&U=<}`mL{IqQfb7wFf8yVp&uoh$n(9#X)u+$dAoePyq36Oq z!Zl#i`F`IB9i3Zd=iWKt{x^0i_Wtvzv0`ZXJmZ1#EQUI%M#4}r4R4zLF^i&&^n%}3 z5Dl%f#zI!60dm~MHr@!?jI0&*TOTSDX8YUW0`ZS*NLztqVKt&{*4`rh{v}~_Pf%`V zk~>;r)H9nfZ&Tte;z{q>zaFt^sy^4mBG8pAtG9l142^Caxc}w+!5-6lzG0w{pC(bc8KzdG-N>1p7Js^4nZW0eX{$JtNpw7|D)&shtbVN z4)j0#`TsXBj}YTuxjp~dbKiwdQfphyQFyFK9pA#Z0^Y(OvnEaANdEe&@;Y@}1cs(bfeVLb$O?#=v6=(OUc(zNmDK_~8m$)IYStB|alP;1w@CoNo!6mC3<7 zEs$yE+5r5NHHs788zUfBe6(UuASikP-}SCC5x%R3VsVEBf=^s5mK?M|$TrvNtH#$6 zGV}2!`*agR%P5y47@H7w>nT6|@HjaKzN~oI^#I}Rm9b)FMu;$`ZusSW3z4fd={7g# z!_~He+eV$6^ce>C7Zh^fbIzom%_dvz<QzWg8v;VEao?9L;-sS3En z;|c3yA(=nrY!4!%hQ#CjhT-;R`$WJEX?WeXfqeE6_}Z+`GNgP$08ekkf;H)9WM-Gf zb`qwBW`e39bt2K|XcR_%)klch_lkW53J8^y(&ywRKDf=aYaLFDBW(O(DRqQ5nFSuy zJtq>6h}$B}KI@1!d6(jCrYZ`_&DmgX)ku172Z~?G(M|AlFEdcu7xo7SS8Dl{SMYxr z#h6#=M|N=r_hXAEh(^et%S1_lXlm^GqZ>%S7N25K96N;2_@^NQLYEO1d{bnF5V>2} z^l#s^I|UIM`IHm7v54Hec$_;a9+BT}ZS;OfX0A0pBYrJ=$PO^S-pq~62KO9_(KF11 zUsCUjv{Gln4}Sb}lA=NGG@fU4{R2Y!Pacm5CcQp&k>(M<)d=Tt5Essy zBN=P!D|4R+kbS}X8}dRA5G68QZupU~*LSSl70>z%F$}MZtqO=&ddl8>Tz?F)4MEwh zmqQRod1q8KHh_3_i^vCj&wtncKRy4Sg-_=EM8{M3ckYh1&Miy5zcRT#32bja!o={` zj_;rBj(=_KTjd@261-FgyN`kYi@f&^=elqI_=!rA6fGkwyQF0#J&Q^u8I_S83Q4l} z-h1!8_ugcakx+yXQK6~5^Lt&_eP4a=-|_qB_wW7vIu6I-xLn8a>4WqAI$!7cd_JCB z-j&x$F78mmMq6XT#k(S;;#LaJaiveJC28;FYos&9)@qe>~HpH!e?tA zs_k&=g#X<>&TmuK5YSUC@XkdXfpr&BC<#|CDCwT#-7Z;@c}(vqWmSZbQw5hA3%4MY zey5$9t_SQab*8su5q?#esF8&ldHo5Yp111U@QAniy8FE}ym;PPt?$-@cYsdmqEtP} z?pl!KA18YW>Ra>GUY79Z-oa&Y=OP039~emTCU=7ar`O-7Yd{b`#gQA<2NBHRD^VBV zjNrFLoid^y5z@6*=y%~mgoewC^hTG!J}OMD;j%Pbd|u_&)4hPZ-2BQe<_LJM_ltZ` zzJuI>_zpA;2*YPpxL)KwRro3@wO0KUhM(V>nd{rC;9ndp#H418fX?&sKhhZxc-OQw zKlUVoda@e(MO+YE_u0T;GwIb+CSNHNhD4~t+rI#Q0gi@8WCcP@;kLfJ zW{(fi6|URu(;fQ*o_E^MM6XwdxB8baD+@L7={u!ivpNla9JX64@eBTX2`y6l-yPr)y=zuI2cIbstJYnFwV}GLHR{A( z_&3yfGa8W0RNCcJ*?Wc&bT*iqQe+o`9hJ;p5e8dG%Flb=WjW;a?$F8@{6?6rCmUlW z$xWK|nDKnaO7fDNOct!{5I*eE-h4t99&Ni#{5%NzqpjzzJ{LIylD)!y*;c?$-O#(@ z;~w~b&mQsdA{;(%pGn`8wFvqpC-!5J@SO~p+UI9UjzgnKMG)N}!aOb)_foVVoFh_F z_%A(WT`7y!HXA0nSHeD>cM{ipW-^!)z==>K0| z`@Px+&8}5PpgE%Qokyn&YBx+<+C53e890HTUm|l_Po5T1|{MbV=Y&?PxR~dc1lr?Ymm-) zE&uLOEu>D5T61-hyw;kKeQLH6NNoRJ)Ax}0(0@2R6NjDC7S)(M#uX#>g1%>LberBB2LtfW1{n#2~icE_Itn+U`s4$%2;y(6IQ>+bRhjg0I#*U) zkZ6h&t5V+Srb|e2yV`iQQxbOBqUPt1xogz)sJ!>{ zj-*=*${jrfl`^)XRQ+(s>ivWva(zcb$KjpG|9ZIiN4o%WXZ5!~9oc~#dLupiSA58_ zyp!p0oairCr`7Mg^A+h$GRqFHXOR|OzI1-$R-~?)Jes+~1^0Se?4wR?M@RXF5vf(* z2{+QY-l%ai>Mi!quU$Qa8mbVR5SL0+I(W()d36cpE}Y>HoG+ua^;)>tc|jD@9yJ+K z_eMeODZvTL7sO9^tH?f?13AyPU&v%=K=!%$Ij?Iq$ehx)JNF2RYFHoz3wd`~AN_-T&I}5B<0M_&m8+DLSk@O}KS}Z!Km+5s)=@ z@y8A+1e?~T2-!&?bZqv1a0q!`uL|2Hy5|YPSME{73>-tmi#Yz$)isFhHhftd^_k4B zo+`ZzQ$X}(-lh~~qUm57e__I)hM4Y0Z|!O;i63x2`=~A}+3k3b3y`}*Tq(~tvAW0b zY~67?L46N=A8<=-JxYl{W~<_u*e-6GKN3=Du)T z{o)zoGqhMZokfj^j6`PlZ6^>JL%YJTag^{2r`wz_A3*d4hjT6ucaxr!-lI{R?BAbT z2iz~UL~OdY*w^P|AAV7+>RJfNgInplpOwRo_{`UygWHK;faywZ^zbnJ2YE&4-#kXp zr|%VMlD7z}`%H)Ww<8GOCQO$R=1a6vWtvkHB*uK=;NjAq}sDoNUrdNOehBn zV%BwK^6VfvcaJB(?4i7g*n-Pp6zldQ&P?I==KveRN`Dt#s_8)dh)0fHoZ5;6Uw=-? z?z`|CG$_b7ZAXyw@y$F(+7Nn9VrMJMEW)XTg^sz9S)O#m>Eh1qgk_R9y5^M}qFkG; zHCqUq-Fv^=ou^k3hz@w0P#-326ZttMkp?6* ztT9(AC0cR2A^+wJDkKx!a_>E1PlT*F%ouI0hOn3qlxFYVAcBsHZJnDGBCk$-Dk>rG zv+$&a(~e-GNn&0;XS|45O5=34E(64EOWnSzn=sfp8Ct)Fyg~xI<&(N2=164h?GYpF zv&7$b9?Vh3A!*iCVd!l)lIw3v%U&mWynz*=9k-&8dT}87clG)|wf|4g|99bQkg6xV zzJJ&DfAsuguPzN9Wr(@_*OlOp$Cv!C|D8KNE6N{5aZe0kXHctf*I^?ZCsomGJm>Zu~ga2alneUv4g6NM`3()%_I=@G4B8fAN;&^>`TwwYw8m zv{LjCr@aDvnaUr(ZeoP*y=M9nodWo|Ig}s2D++%>_f6%mbl^WA$y%|MFfX!o`-Q4C zV7I6nwym)kP8U~#L)|yQRl+WJq{anq^K^U{o=w1GtRZ%IuZ!fjh)67`bg=G@>kzQRv*V?u0tK||c|o2}o^##{ma5U0o7 zX9*khpf=Bj?_scxH0y1rbb@`kdM7irBAhpdl`SpbgzLKxJUX+4RgG$spZhrBajnyx zj1mZ+&e=__sSjQ@xt0<+x8ZG=!6Qwcg+8)VI-_aC^Tf`+ed&iEd|!R*xbx^d{K{mD zJ$3lue_iC&{5;7kVhdM(e#sC4z57P!3)NuDuvpsv`~)06chy8UUWCiBquj@$N20aGeeQhU7;=y2ZAmNc<(9#d{_m31`JZ zeR<|H~FJ&j8NRLpHo-k5()}t z>q6>$kte$M$v9zIBw*QCEE7#QI_xRH@zUXJ>wg za<{&8JJS1?Jnh-R&-faJ_1W4S>>rW8yP4IhR)Ngfd0T^9jFEkea{t<2B%8Fv`jS6Q zHZtTnPI!I#MEI~atVd!Uk@|s3NrdohlRt6=s-+1aiR;*jZjDy-hpbx;t+PiP#aVui zAV=a|bz7t-zJcl+3_(Awmr!Bl#CTTA0%hm&<1H=-5LWpMg#wpfD2VppYk!b~JoQsm zS$jwZr3K~gaeYa0_mF#3XSNfWs;qfc7e|pnYf^HWFt^fXJwx|w8ANL4W@h^9!{iP$ zySz<{8F#;os!N8PLc3tvD>a{LG`<+O`|xRo{2laul1&Xk6{Rg@P{SO`D{cwUt|sh` z!Dxjt^Bfc{xlS$eIitWu`uFvRGRV6TQ&+E>g`A8sn&4mGk-g!)ZNZocGPA?W^MA6E zzl)m(nG1*yLb5bU)a5qP_V&vkac0K7nSr~g-MXy1*+GLvg#g>rd_fN6-Hcqg&+P z4DP)9D(mtdk$5s$tG7!AAG?8d8X>(hm-yt%~NG#Zg^nl(%ja&7mjG4E+t$8-hgh`mTIy=1#=>)@nyo+ruj( zWuK6r5B#QHM$(_$hoEEWyBPO{kqiZwbf#zI^#?bs4mvAIyr`GyXxm#5@kxClup%3g zFTAwPc$W}0*pxC*Ad2YRc$IAyMu@Sz|C_Ie><~ry-OR7$kenV5p5X(*h)au9Jk0eQ z@n@Omn>NlN{*}kTks1MbhsaY1zi5PiCXYN7RW5?Q@_a0m7Dwn%;_8%F>_p=_JK<@r zjfit)$8(&BPG4<{aV1AL$+G^bNBv9((TB?@j`WfDyRCZV_M;lISJs-QjUyTwqBQA^ z@j~1!>f}w9zKG`&`+UPp3Gt&fBD)$%Hki}5dAsxs_|ok7nWFtDiQr6G+h6=3u5l| zC2na^L~QN@m-5<=h_m@E$#rE5$>g*O7v4^?NPjY>zka=lg!0E?s;QGm)LXT!(Oeq- z4=Sbjd58!5O5WD(gM^KK{94yr?Y9WeeX3`j`~neg8Q6Uk%@M`sTJN};STV&VB#X6E z5F?$N!o7>k^>H!(OVwG#iL7O{OST~UbKUR793+qF9ozYtCSxRYcv6?&X+dJJzS@|^ zbtEZBcAp^qTk<+x;b5m?gz~%`q*A*;yuj6uvknp_dWlVoL`W>6Hhvv8D-}YthRn4G zH!~3v>19Uyo)WQ*agFwIwS<>wf3t&lz2fIDjJ22iM#8iG@ue}JkT})7k)~IK_!39! zDH;-xTxw6$zo$vBA9~@NRXAKsq%wU=c$Ejm5y*J ze|-TPMByfx;h+&R33ob{&h`2`;6BJGGWA##9zNgOzf0eN=fTC(b`&4s`ABS?Uv410 zYzOpsmkr@fuljk-K{uqbDJJqcP4Fk7(?y=mPTbk{V{Ntb$^o|`4JxUL%UbvI!Ld$dXeVf z0kX3@p&1@Rbc)&ql3U!4lytVaxSId(u&vyZ_QyaA4Xa6Al)y-0kob=zmS6VnuR_HnhG}`VFsO_UBOp<0Qk=?YM8`0eBB5IOsJvz{hyK zrN8cZ_RSG{GxEp0bEe5Ap4b%IKrf}&s^l_2ShMPe% z_k*8T;I34p-4HzmkG;oBSCuTllR|1M_0CoB?A~aWRG9}akMk*e*GIwo(6{R=RTl7` zQ_4PY#SuO}k53tp-JtKT+{Zn>Q}Dfgy7$2F4%q13sWIND4ttvlGX+IUI0=qPK1*ze zORP{)p$|3Ou2xyR)0~34sZIG*8x1`4L|uI7W=Ss6-hJ&o*o>1q%Ef?6!*x+B0idC1p88G&5%eFHUi z2z($jDfvtJPwoHd`Tuq5|DUM+-W#g4iq%4BuD8g%e325hN0=MFJb8mkP6@YDaug`D z3b?zcvJJ%yuJi$$521iX;(6&7l7-onDPFDKitMfw#?JEngpu7@V>`AH8M#4cJ@-!| z{R(YaiVk5{9lLhL@r^uE?34%Ywj?7dZQ-+MiZl{F(B8+XZ< zTECE0u~d@9N_6dqg6*^!2&d+`-fFTL0zaaD_}gUavJ6FVa?ReJ5kEQALnzLXh}Y% zaepFt_xYx*%P$QHr$(tJWMlSja`y>-9{T-0(fIVaXdMhiM)I12Tl(FQZrIN0euxoi z=fBSmz05#L){39=VM8R1XYOF`ID`J^cr9)FH)vaDzlEDA6Ai1TkMb@OMz^=5kb18y zDnb>wAFGrTZX)%FQ1%`ad)dAhJlsGsLCcKuCxVcdKAYQcY9n%*@1Oak@&?(gJAd)} zjUcnIAtUH@2r{lDJmt!LkMtuOTs^|W2|w|~W1~+}gxAOLY^*~c1C#*IlVpu%MEfRtI0lIoaDlhZ0MlF)H8&o6t406X1S3uBAO1J_(l_l$WJ9=GiAg- zx0ywLBbnL7Y`;3WWlcX~>0`IF3V%ZE$7f$3J^g^Vdq+bq`YRLuzLWtK-!~-ao*(1b zCr&aUH8k_sn2>mnmHy#?8j|b<`|8ZdY|7_n$5_ZZ1f2|)IqkF!VQaKLJW*-D zU6AzJKOP--%qN;1u`@JS-GOLT8kMi?_YtGtwCBBTDe=n-_DOe_A^iJ^=rQGClEG^5&y`1NtllK7H=`l%<&`oSat5g zcH%=YY5SGdK(s<`r)e%`5cY<*J9S+U;rclpY5)CY4-&4j$Su5(A+P&b-1#-hz2A|L z67+H$Nzb;P@JMh#a=r$q5!X(n7znLn(c6Sz@uwZ`HvR~UURa5!vm%;c-roHs#fTiY zJ7khfJik(IZ%SX2-?vZvnf27fBU>NrG2TZQ=@aKZ2(2b}iX|rwo^B~5yd3JjEBF^H zT;!H13&{Z*ka&J5DHh2k0)}swjw8kUTPB<4TQXn2Gk40s4QU%n<0dDj5bmtLDEWzG zoyzD^dp*92sJYJ~t4^mQ#$eN1Bi>rX_8IP_4H`x~bNARZnL8w?4av?$6e7_lj$X-- z3Q0M8SOVV;k~#j)O>!DUv(xHy=y&Q6@iI|yaPsUWjPPT7T_9#mYeNC$ zS&`bf&b-ZTwnPRu9_XKONHBx>wq6Q3ODWvqX<%lI3xNf*$k|84V`K57_OyzY5-bO8 zWoSiC!zxm;&~@u1tk0ihy)_a7>lK$pj>>r0n-4o1 zEB2w6dte~yzs)Gx3Pz{z$|s!c#&uujQPmo^l$7&zoU)h37K7&y1S~4u&ReI^A}~2_bpyq zobVYob!YcUD!qWMQMdihB4yZZjvKA%FN0m>w^Vn@FR(u!>|Oop7p~ewHQ%hf1rz>5 zw^G*~#SM)5dv5f`&DW+z%J&m+i|^q;akm95r1+&NJ}|>lO3=s1jSf~qo=vV?6|i2H zo1^0PjIhXqJY<$xV3U*3PW6Yq=MJH`85UbI4JOoiM#^8F^&`iyUD4Jk9Y#hCS@c0?bB=ieZ0iqDVq{ z2@XO5f$k6I;4po)n4Yg5j(#$`M{j%K#(Td0HlhVGcS@h_RDFkAk1apwIBbI@&+uaJ zOG#L%>86(21jE`+HbQ?U2R44u{XZs{U>nFhIx=+!cJ6U&e#&;h{>G(^+>?QDP>`lR zTloTx0*9(>89d?m?Z&3!mX%qG7|HprcJbt`gYb8c!%5msjAuS#*#TgVh&Ip43Sj`Z(M(I;pKi+Oe(-`+clNbQ_B z_A{1bKYwS8q%q|q*%_yIyVyKNALYI;(jt*)$uen#QtzJOiB!X$siMuTp+7CG;SCdZ2ii}856{Dzs^$n0-$P+vHW z49_RM&fi9nu3Hi%t;B#d4eNRZ>!V0{Z18j6GV%C|u&y~hLwf%5rs>D+VQAIxNnuna z+MX9)TbHyWP?bCszHDKI@`0zn;-iVLA;`quroCQolEcU%0fGCDsu8x=qHZ?H7SCW@`?x6X1kzX8aL}uhoF2Nna<5r3k#c#T zzwy^)^k-XJ3j|$88*7nI1nGks_Fmg&bhQ=LwLUML2~(ruj-RsOP$$ZAMZ3N;bD)Gq zc|}TY9}2JS^;dN)LcaLhFTXu2kgM%)#2a-A*_}qSJg=gWr73GlwWAxEtV%v|s)Q5# z>x9ylI&P$Wjp(m@a+Ul$q+j;jx`_d%wA1~yXVHGni7U#36;0whzI{&-LajTOk!OQ1 zs&wyNmgXHq#f}e`2U_={OjY($;IuS~$37=%D11SoV{vcYAr<7?cgyq9ej|6mQ?wq% zZ;*3n>)cKelGQq)*zh3#0y5K{-8s8klrY!AjC8jG>E7nL{_%DgT&tTMDXEN(&+kPJ zTpU0PALAO4(%ooazt}(ZL<%)6%&~{eexm9GSGf6wYpA$n(Bbkh3}u~p5{o57*Z=DB z<`iao6qPHddebZscDZR#54$4a`}AJdHuFTTug16(*Ky6MO-Ts{W|IzdRP3k3~TxI{}_L$4f(wIw!7Cu2TMeHg0(`1+RT1+o>{T`&;QyTZz7bo7HZ}0aMk!OL#8V%1p zk`I%}KJI3kL-;Uy4Ts)UA*@*7>3Y|GM9l69I&Hy?s2Zv*4|04EBi|d)QYnep27Y7o9?81x^`B@*k)kxh#+b>CR53v( z?=Zr2p!vP#Rtm|7xfPKaJ5F+GM+^O;DFqPpJuKy%rXtCNH2?1IA&W@)rX)wForvb- zJ1lyl7cmV*aG@dddO^P-M&dV%cX-#_<{yKEia-JJ*dQd1zAO0FNV2{bm36c(knFLS zznRXLzeCDgB?p~k08$6KBI!GHk*2ycZzL##WR(T)X0jr?KLM@2`D!JEOr(XrC;R>I zx7Wk`4-!Vl>QmmEiyR4alDbyfA&&gq>)&PmG(p__nhQ&dYr1WY2TsOv#)L!lVZa>cvhPG;lrl&g6?&r)`M9Y(`vUQ3| zx;cMw00*L9zeKq3A>K7~PY`L(dD?iAp5|kIL zc~pSJiW^5JmdN}4$;B12nguBbG&G*s+(GK)kbtkdNsldYw}+4J0@7NZtFZMEJ>M}Q zBk%SVq&HIM72K#qhRA~tgV!RFk^bqs{t}tz+erv*I!Qdnp3lCl?qWln{w_h2XeuPI z7H%B4uaCsqjp6pa+mI}lmU!!o98xk&Eg#VkZO(U7){cY#q@}&yQ`}}r*kNJm1re1< zf508FzD0!0IUMqWbM=w&YJ)aK8V@qf#d;{a{gL_h%uNM3USt_J(i`4A`KR{(ocsS~ zbpKzd{a%mjUqyErpn2J`bfTmWwa!v~S9WeBytW(J-}}gZzb7faK#p+Z?o7SSDEWwj zIlnq~a&F~?mkCYYWM2UOT#+T-&TQ)4 zg^W+qOOFrRApN0=Rg4}#sde$jIrcY5owz@^)psxXo85V$QDY1Gn#|KgC#cbCl(t1g zVFT*dxqkg{*$7pwMmwam$gJi}VNYl$^G7OA00>*K}kRkf%sTwNi| zKy(fvPJ5fi#84rpJK#0vOxV~Gnw_uDqvZ5Wk2S16Q24%9)GTEU@;}dxb4KqX83qm% z;VOike*1aA>O-N(zUD5g>_;@d2g8p(-M^V+Vjb)jZ4f{P*8rzUk0}P3O$L_dxzI^h zoJnK%7%dmYZfm^!Nq!EEJgx6jQS*71@TS-W!c4chm z*jVQ>4SCuKPi~5HK;q$M#I0XebUEjMgeP)Ax#s;y)-N$xlR?->F_Tg+@_dlix{E?+ z%oOPnKa#^@ZIK}>FnC^&=*Z{p6*80ETBdH94UGo z6h(!E7p!>8Tz1o6+}>-NZp0gt!8!F!z4N9mqlgr%OsI- z%=YW};3JafNAaUAwF;?|S0WGbTt_<7hlF-BK4fgE-ni>01v1|M-t^(dd1O{-ZA>Ev zW7Y-!yHwXn?ad5)bnOvFwvyA!hx)n5E^B$Wk3JGP6iSz<=%tY(-59gVY!kxwBnk*@ zC+DsF8D5$k!Wv`bzA|&-1d?0=HD*rkM{1ai_V&Dfr0=@w{;TmeGE}`}6~k4CM^^cx z=#&w%WM|h_IS_Uw-M$T{m`V0zMcDy6X))x;D7YK?_9CZ#m!ONcB63+*6;!aiLatfn z^{EYI$gOl3b$m`3A1Y$;;rn6`A4UJY?tmYX-G&AoDHV|xK)=>fk7Qo(Y;t1RGK9fLvz!81}HGQ$}Q|3fRszCcA4u`BV9Y_@@?f3WM2PmBNbGE zY{i?$0}6>&=+`4Q+k0n_=V*TOmA@A9d-Wo;cLxx5sQ>nq*Kbj{l)kZ4_6~}ghc9XL zQj^Tqy{D2-{6NWu81XQ39h8JL2w&S1jglX$yA*Bqp!AaWM*8;TFSQB(~aqC(Gh(^c%ew1M;;+}nx z?-^r5;=lpNz~(bZ(V`6bmb!}U%FHHnN=XjP>3dpKR-~uf*fAJ6}EoFbm0 zrtM7i8p!-0!ce@n0a@y`!B(=P#+dnuhH63apnE zV~`_u`0Hlr=j5*8I<#4^8PO*xBy1{X5x@Q-Z2$wwF0+kKR&Lw*`%VkwAXelF2~T3JP}a?-|r!M8UPW!;e-;B1IsY_SKVB zNI#bvofAs<1DZcS8;u=7wur0Xn)M{(Yu<=6L#GOPhAG=;-%ldHR_89?x05KCs!DaJ ze1gL1nBw#|ON0gfk+HeB6vd_@yVn|~k*uyC4SVUdQR1)g+q&r&NPRMn|pr= z*dpg!jEmAp}KHs|RufRoa- z7rSyn_HI?}pO3@+{i&2ZNgFsjQSE0TGa0+X*JNs=t6;elI6u7hE_wdX8GODDE@KS`MV}zW4oq}Enhf~jIP3NzQA=zQM4%zvrj`@Mvyw+{xG69 zD-&bRd4w=WcGFd69SGd?+IHJfPxy##Ddl<;36D#TH+-pw;KF|R+%u|cuxs_XE9`h4 zR;tB5Z*H5S_dwKIhwJ%hnxk2L<4h;f@;Z#)t+GMM!;8l*>u*G!@I%8mb#r9OciuTl z?un^F9$|4qWVfYS*gCOTfT${=xkWL;>-{Pyo|{gXS3?n_^>2vg^e@c$m?_hJ6+Y z>U|jG)&=-hJDv%6x*DFgH(v&GlI)QmqTFYtla-EN;pAdoxb#vKwkGG;4dkZ&)c&8IUuWOnv`!-K`IE}Rl`IqKdE0HgBGSpe-fn8yK*x*h#Vwh4$MKTv zD2-dmb0k}fU->-OdRnBbNf~wPHz32WIV z7;z~5>G33uaBVw3*u_vHCA7*+Wanox)8Q^qGnXRw_sa4NfjvaGzsMl!M9!l{_pj$w zrI6KAwZ}zqGqS@LI=PSOlb%;*(!pi}a^}vSeRyMu^t!+0`sc19_hrAMwWvDsE-U+g z8{L9%!yV6QNj^<(mEr@ckP$8? zO?@>JnPn=sjC+W8xWd6x)s|?S;uSa#QtBY*TBOXL=33;kn^#-htV3>x9Y?86B=Roi zhl_XhB5%|~DnDTh@@2DrGk85kr1njfpK|rY@3-ynJB6)Cv}IqeQQC-qNgwh3%!$*kM#y<#@U3Ht2DxoIcbHzP zBF}BX^F}+FcMFYfEGjWXeus|4{T_D|oSA+8sos#x!`U>VjHVHL;|SXoF<~UuELo`9 zXCO7#$LHE%AEc)nu;gujg3MD7Dpu%oNgq=?#$-^99Ijj5+;5hVt64r1o!x{y+u3q@ z9eL#2MhTbyl@WURMa1e47g5N2O}WH^3x$(fEg_oZTz0A#ks%(j;`Q~9tW!vD9uUpS zEkb6It1rY|ys&_bgNaPdnpVhy&1jn6Gp4g=zpQlN>z1J`L0&pCF^G>H$LI9qjuGw+W+@{|6hgwS8dBvD2Dzki}Q4u_qt<>r2Yf{Rr`O{ z{a+vE|NcJt^1rk8o$mTLjpz*%t>)LtEi^ntdwMMD+2siMJr?}7vZ#i@lx_Q@gGq0^ zU5sW(kZ|Gz?@ZC;%aePm$ga18oQT+xBK7<7Lqt43@?rF$10vJj$y_KTJUrPD);>$Z z!=H+DelOCCXx#{mki9|lXr9j7?eU0_aUbq_(;X=Fo7#|bQXZaVWH)k)dfTirN;PJzDKmN^5-2JI1#;ECjKmZ3^7IzpWe~pLd*mGj0}UwKetjMSstfFx9uQV%X#Z`V%a;(w=(Uq$q#5DIbfr&|b1*_- z(s7iQaQIF>FOmN&gD5V_p%0XVUB19RQzqAs=-4wcAA@xebBN*|lhby@w9an}n9d;c z^UW1kBxDIk?qqg#k2l;G*SAb$)dwUf%H7^KraZ2=ypoUFslO ztL^9A9-2NvgyO~AhH>(9HW`A@W|F65e8>Oc?l{7$TlX+`KQCg~?u!`{K4Q#h?z!MA zK&*q^T2EICl0_BL7%oegmLWFd@gAy(r<{y4dZ`F+H(IqD@51446j90Dv4G&UQ-d#7 z3K6#V+0D#J!V9W5)b83tGO#!oJ9e4AL6nIN&FQ86h>q&U>|gWw%(aFxJLM4@W5lbw z<}l*S=Xg%svqtm-zdO%OqF9pwniGhK~Fm6U+pok`dh%lZI$N#GW|KE1^p`JsH|sz+i1diQdrKodkY~7l4c?k-3TzDnrpfo24DKayT4!A1ux!6Id{VI@7?_4nU(i_ z+?KRGeNNyZs=3QpLTDvW7I-VhkaaT(URl{hs461IsBcX0>l$P%xu2#Ul||alE!my3 zPDsp^d;NCPFT{@dU0mx!o;B%nk89XS4&CArYG*Iv$=P+p=op!02JQ;F-_v;yzU3Vz zAs%7ys^QdK-cyL)f-41VgbC5Sf=IKXmg_ey5w`H*C5@XBf}JRO_G%o1f9nSE?@_Ao@e01DBy5BJAo`iW z5eKw7#j%`!&xbnZM(Qe0CRBW-P<(11h>~xKSyhvVkv|$*5unh7>~ZroG-Pg)ku}}= z<&hoIs8Tk^N!&(add6X?WWq#Sj-KmSvldZ(?_&9H#UO&k&~Ju27NL>XhFr{B}w3mVNzzyTe^&y;Ou3=rV27O6~yltvVh+<*9!C?j6dqrxW<9O>xBWTy|D)&so7PG0{r@nzXogJJQMi%M zEYo!+b|ahseFD2yhp|*{_;Yd9%SC6H#f}ZCali37yDGtl6f|_R>|9g$k{1HB^OV$ z9S`j}GX>R=>p1uI+jI@`7{>4F@(Cd?hRL_oXCv}Aq^9S-CQJ@nCh8OMgzxt)(=S_x zFdwEh<=z~VL$Z7tOY^ftqCZb#YM``3dSuV9%nFjZ{NUQNn73hMmbcY6I#3f?pRa3& zT9B-&nJP~y%EQPhcb;kBA$fRa8~hb>Zxda&C0*Z-V#0Hw`>E;ngJ@y0DU5`}kY9Py z&)SJ-mWvrzg+Lv#yct1*+fN~Jv-&5K^;eM+)+T?$ToP%IE~(OLb0Oo@Y6a~Z4af{W zpL*Z599dOqbPr>iNxrMsP8a7AUWR^X@3Vq9A=LL4ZY!@C6$$X1f!99?v(1>Bj{~X4`w+HBW))0jAfdOMgT9 z$EVi~==hOo^7Qx0q9L+w>tFpnE{5#o>a$eoqsU#&ZzHpD7`eZ#YdW<{kvGG)cWO|9 zFipR%w!dJ80$qUxm5cQ#q)zH`AMZe6+`82Mg{LTDZGC#%iym>4$t~vt*pMu{^5X7C zvir+tP!gjj`xCdTHFqq@9$`<0+_-i)va8}h?aOl@Ifq&u=fcjDdA^Kb2gz^DcPjh9 zDa?%mpPkMQE>kG9VruQ#V2Yx%^Bh9P#wgyfDW37KXINv0h(U%1O4N@kR2H8>$wFqW z%4wpz=sqkYM)H0$e&>H~{>F~%jq{7Q4hJCT>+z2ng<;66OTIY$LI(vGgk?%M5oT;( zcYw9{W)yR9dG1o)iV_un&Yo%&l$tC0j9)B9nb=F&vKfAq70Wr<|87P3mf>to%`B8# zX`d~)_?dWsze!A8B|FP)GMbsQYyZ^#KRy57g->{Wfv`D_3q|%8f zBLr6HPipoN)^m!t?#rZd1Y7P=Q2Nx3kOSrgWwlHQnaNPjblOF@c|B93t14iV%bhq* zp$ezf)x$sIW#Fc3r(tTZ2@e(UUI^y%#B?Icg2aQmp_p-Kd&LYce-1;~$i|L(&V2~y=YKSF=pdXsO_+6djKbZ6vCD9*7oM+HvL=LY zz=x+tN$O!V{6r`%*9&|{0DV@$vqpIYcHA%Y*{g$Kwe-gI)C zBI!eJu-p1G@WSue@f3yHaRlyfu{@I-gWyYhtymJs&rd#i{Ksc|goRY?72I?X;rcZ5 z)4Ny@!Nb-mD@ISU-#Eui4%|khtwG^XR4$@uy?xF!u0vF)=a1{pLJ&bK zhucWb`+Wlu#suVaC}+%ZN|5t@{6d@MJMz5#^7{9%29oz(Da^`#i}+yXQOW^Z#Mo;w zd+dBhydX(ctk-K19&J_9t;C5?$#GUS!mJFuPcLI|_blQ5d&iav#-Mk%=%~n&3U0fq zu~Y;qquOqxWx2yKl)dHXQrOpw!a>JbcUgbr8l1nko+Y37bY9Jc ze~fXw)5s!74LK*`27_txx;2oaDfGp3sbJ;6zbhEL|DikYy>L}m0sI^z*>&xhBF z)fQnj_x9hr&Vk^5iLv)JuMv>s)bA`yg}$h_*&kM z;^YKM1O?Xw|4c)^v7<#<=K`{uQu;D?&?2LkN~Bt24bkn<4Zf7QgQN=XoHGqQh(A?g zQQpmp7}dV;L+-hVyzj;|?%{~=w1&N+RV2^Ae91z$^e}>Uu&iH`JB0rF1>Q$y&(T^n z-2XK)0Cgz?X&WEKp)zD+hnpMGc5y93n@VjA%=>sFTiQ4$nMzDEJo}!s!p0wmC!~ z#8s6u$+Qsz%!9*X{;FtuAU9DnN{a>;_a z>asib5(z8^**Ny?MsmukOEEi%W>WK4<%B%R+-g&Qa>XwLnUo`eOLZ&A;u4`gr@IQ- zJe_PGMQsQl#bd|&-()`fq-IfMoOoMPCf0@BeL{L)y5{<#m&luwZm==@%lq2+t(Ynv z`Ah2$tS(JQ#IZy7icWnX%!`%Z$!=%K{J;_(M~@)IW_5zQ%mmT~`)C#-K{BDQaE>$k zA=6#%6AK&BWaes&@yzcdni(aD!*bflNoBu!oS_f7Hk1i<1Mb9c;LygMZ z{q;AK#%pPyhXMxw-E0>;P!PJpXc@AXyT@HB;VG2AM)hS zwF_I6AfL&%Rf7Ht@_U$_P7Llsf$^_qmwmP<`00Lj(S96-ZqjaQt}A3_)-rA^cM%Ev z)aSN(ry}L>Qj(xb57OQZiYC4BLq;6!eJ>p!WNmq%S^l;I**9sQh|uvNC+~{ZP2+Cl zwyU%w<393Q?}waAr$&BGpbYDSY7|({t67>WqHv#!_=oR~D17wg)2N9XiY(oeWs>Mn z^h;eS!@-T#N0Qrn6u9^C^WF9naC|>&2N|5JyDK|>RC{^6PTtI1`yWWyC(Jd$&h0b52M`pCc1XU|Y>v7FCO*M2A@9R>$IdG6uzuJY+hP{~z+s!=3B?{re<^?37T6WJN+q z>!gs9nU$F+8D$G4d++tJ_ueBC*+OO|BPwJTMN+o9&ujJj-s2y*zIVrQl_SS-ed6PM zzs}crKA(?gHx%!Yw||J*@Dir(InwF`D2bmFn`-xh(%oOYgHC*hQkTQCS2{_dbS%Jw zvIhMT;^uXG6)kwr

4 z{3~DP7Hm$Ov8wI>Y+b|r7~8HAM#vh!XN0$Cw;=5s%6|>^9*tYxn|y!+(`Co&N~_@z zk=bc2Rt`tDxV8gx<8TaPPrlpe0H+P1s$mLzaB|^@3;n|XckTZ@=l}E6|8J=M*3|J> zpR734N{wNPo*ktV3sGH!qf_|NRyaj;HS%R&i2GUBB4_&ku@^Bw7JJ8vzd$n5N4l@7 zbxRUHTdn>pZ^A;b4*BfoTS~ki;dK#{t4PlK)x*X+YmhKAd#&~O1|+Oys_5Ujg!n^m zDtPP#5vP+d`do*2XpgK+-Pf-r+8UYHMZcm^7812TxoRJZ9@Ta4T)hYRy)%8wCTEfR z@=o$mRt;p$#(c}tUqVLuoxzhCS4l?Zr{{NHP7=?m@et(~GbFcs_(1X_kQC?`$Xq>! zM2A)CUBYd|L)_tU@x?F1=ltwQm*zs;Sl)e?G99#ixLmO8_Z)Q*Z)sT>eNk@ndCKEp zKZ-?%)_mRIhyoWD!38S|3C{a(4XuwX<*)xqFmfY9tz#^m=ucz&l%z#NS`S9h59WMGwOZO6p@M(RE4Fv1v!4H`g+c4KwnsZ!ij>1J&)%0BeO12aUwF+qs1DYkOItCm8talyTw={_WB8VRWmpG2a; z1ZU4Xl4IoeE#O*w8#E|8d{QN9AA2H_E)CZi3_^S;%vL z5JV-{!veO>1e8A0FJF_JhT?nbLq^Q6QRq2;Z&*eG1$5kz^^LEP*J?zW<0Ot;BZ-~H zeI#EvEXjgtWHU17rWnoNenf_gw9&8KX-Gf$;T{L`1k%=33>;peN6K8jr(@{U-?jfA zJ^x=0e!f3ByxQIGXtZAtPVbgc8Vl0rZ&2AtzLLzYe>$VvC7SVvn>;-{8Mg~CF=Uba z79P*ccSL*P=_F#pPkOqnUd`J@SIAtnu$ez28iDSnIfpxbAV{IQL||z@f;X-8f47h1 zo7Ugimc;)RA$M5@n2z2hW%B}CZfST7(wO4 zOgOx}%>wi^L-2gaSGI%X!uZsf*~`X~8DZhKl(@tU_&*!`R-Hw1+j=~Il=-C*Z%)I+ zOA~eCkr^_uOg~5V=X;WW(6}P_Tk;0Fm=T18xu<2^Bn*uc=5yRMt4VI&vo+Ln4kWkl zx@Hr{MTEVdI?DR)@y@H#=C_Y_@3uhy~E2w^66}MT8Z!}R7C4*qAj?gmFN|6@~n$@BV zAS*&r-LIa#K{6^71Ua_8-j2|PgHM+Qh_@-!Jz;Zb9l{TvDcrjDJHl(3+-Wix5h3B- zliPI%o~av}^D{&V!#rWyb3O=up9Iqyt}`Kkn@&(eN0x97&m1-yF+tGDNB4ugixIpt zw&p3xJ`0}ZG~_cFCd`z{g9VoY5Nahle@fyj!g$By;!HFVHYCvTIME#8wmYc}WwjBp z-RVWh*0YExVir^FT1MnWjnP-?$GRzXV>d{aTgv_xY7c}uaXQPCsUS>lWuT8Y5#h{(+a1=KA-tDmwMxqy zL^xNPEm|xgl0R%=_zq!*3@T@4tA9t-qqP!FyJ!&2a`@m}p4{KH|M$87XXO(z&;R<` zZ>=E1*{iguwLY`$;TKtyan2Z)Tu4XJo}V{(d+s7Xc-P%tdrRaxw*O)m-H5E38p$pj z9b|ZpEI!aFL|XL+Q;C;Pkt+CwZ@h<-Fe`d4X!sCjMe~V#p7m3N-6ina(=q`G!+I>b zyGULf7m6+dm?S7rRWCpCeHws@CA#UL-i}QK;GS z8STGHO#CP)P;V<;%-I%<%8dhU;yueKDRgxIWRZiyA(nGTUFeYC{oL2idWGZ@Y8U2n zNg{`~zTD{X6J)ONoXk~>pz){502<>taKqaDsjmTxT6 z%{NAp)(V?--yHD)M%ifFtwV>|_;Z^QDKyk-=GA%-Kjo>Y9hv>gD2tvs^qF{+i$fTq zGo15Kcz3V=*5iUCn_SvogXS~x)PBb`ufBjBZ@Fnm@gXZmu}1o>88SCk$H>KQM|xV# z4lk}ur0LM?idw%OsS-UY?1yxaa!KX_7wrm?mDlL(82N;*|A)M{e#?5@y1p@xutgE2 z1q1<65J5y479C;`qJScxgwhH~cXxMpcS%Tts7OkP1p+D-Vj;ZeUVG`ipW}V*KVa>L zpVVs|$Hlr}e$RQ%ImT!BhK*ZsB%;M<%4b{3Q#1;DS~@wbL9P9{87qAbR2|Y}oSJxv z@&OUeHKLLz{l!Ed&A~``y~k+9yq=+0O16rvYlg5y&iGz^e-Q;4v#+!0tdPHD#U+tv zX2{J6PxyH9GjguWcD&h07$>Kkv;1e5kac+4!Ee9AU$rlyeNy|+xv%sa!%MO0Zzk9O zmdCg0Z>|q%0sfggJ|DN4R@x6_MkLqdsp@Hsuo2JOXX$wnMH^W-R~w9&XJVqy_kTjX zj@OEW;tnK65F5_5y+~?!D@z%_9?7A{@~F&Zh+euP?|g?9QeKW8>*%dUs@@)*XHqkS zSJN11v3WJpgyIv2&4~uc{)KOst2ff>T;t#Bl0EoZGqEww6KRy5@pExR^J8J%-&;a7CJ*y0EfdZnohjY@Lp&XsKR=cAu^~DGt=(Dt zmxylc_`Wa7aU=(J?iKf^svU^Iwd8M(?Ih3k@h}k*AaSHNRWoK4lD8HFtRq~$lx?BP zyi~i9@>#t0g3BqSmfncAcq@;z^Q#Z4X_Noo7a=c~&3cf2u9@RtMghV4!I3q&%>9mj!R27cdK?IYi{M25uF}n8>l)Adub#4QOg16E(zpt(_WbfQ$vo)_9vCM4Pc(&ZSxTmF`G2I5$}E!VRg<(?U{C8Y4|*fnxtb!eg(z;XA)74(ZenYMJkn`?x~Z zarMD!1e_B8DVixoG`TbW`ESP%@uGI- zjhnooUdNC059{1F2|q!`L9JU86(rY><*>nxEoKOpxS+Pal^)TQ=Tg*!VhPVq_oUiO zQzUxqc=$Xn63J|r_|n~%kaA|juO0~}q^c!MZZs#}8IiI3i^iNt`xU~-YE4)w(Kjw^ zRXT+X-r6zmy5q=5TQq%Tsg2CF_G>5YWsrG=z3xOLKjHaX{McPZ?hJ?9Ii{|9Alh^L zH|il4#2at_c$#=~lbnw$b|*5B&sQc_*vAB^o|0S*OEgGp>T03AsfYA2!Ln;h@yKWr zYaEXDMP?|Uny-*DvLuh4$z9z}`k;cVfnssU){r}G@oN{dTW+!zP!QG!)AybOyI7H< zKmNmO8AxEcz9@*JNS2{pY)V;;R4Eq&VZs(q=j*Ffp433bL~uEmuK}`jZr>Hsh$oEL zHX8jw!ZR50Q|n;dj@;1^ohI!K$SZX}F4XfB`9{>M7G)Vxux2Cc^3@O&c=_FV?m$?x zUp~K8^dxhNGgr1*3-zHeJ}6F>dDWlV|GVe^SMmP^;v|RpfBm`NapXf}sh}BZeaD4q z>s(QKY3uHMK?dZX-d&^m{U|aPPdWzFgds&!aI?SDWyEK>a(2JyCi&=b{IzdL%~bFA zPKtPgAP&dJrSY8b@ld+uY_b;a&Bd1AA{^oTmDBZ?7z6BGzi$&$JPzw)(~j~zw_!0i z`dC-40v$OY820Ubg*uM?UGJH0ptSpob?WX0nJyBZd)8MWrG0oC)xmp6 zIDew>q!nRN=8D@$)|Vn|&-iL9_c8?el@@hym%^7(rMRs24q;Pe2_G`@go_4^k>$op zIPA`Q%933T>$bRNnIvggUdr(Mth*PT!uIcr0M6pMlaic~?n2V+!J06Pd^E=OFUx&=_+W6++qLbXZvI5O|?+ zRPORLysK8_R*t5@omuksTnaaw)tdOqc5i~6+f{bY{lV`&3FVlZIpFd!7o#)%~HwbNVX}8|I50STfcYoe+ z12Of?*EmTp8=n^?@9AoeB<@$0C!_q3tTwJ?l0kSa2J1yzi;|J5bx^H$NfBvc+P(XN zjv#GuS-SK37}9gK+A_n9ks+1eq55qK8ILwmdtO+FOxZ#G@cuHwN{$+SrgsEEVoawC z$0ZTwvOJ!=Vi{2qsXEUp4kI>bIyCxi7!m?L*_E?RA}NWAAw%>Fk_T1b_8-uQqC0s&y_5=HUJjIAMnZMW~OM|$_!Zi7&#EU$!z@w7%5y@wd ze|O%UO6KG5xp#*?L~7wHUF%$Ohbf_B@mhBR=}{lwhj7>;_zHHEBco_t!t=KZGJVjs&SJUWbC5ZCigC$XsT`{ zyerN`*6n;AYKBc@&v1?YSx_HxG#Z(G+hmcu`*cxrHkr$eO8cLEW`R7r*dsU6uONSI z!mo1zr;zWHYFt=x5J{9&%LOxBND;nqd1J#_q+!3l?tVw|J{eS&TN07^G<`#~wH&f7 zkMe4g-EPk0@i_2Cf|bC!rI`&gmapjR!F{@R~&|L^_&zYCun{p9@ru>RlK8`LeN z?z4<(Oc@RSs{P-L?tgqPk6n*zNPCA6Ty);wV#w`+`{Qk`FUEu6^(>N!ljk9P6ZoZy z3&s(!{gV!r%btH_LF+Fs?;)&*?c8o@D@guMMJ+#@079nZXF5)kXGrpDUz6os2$NQ6 zV?RwYsK+h_x93SC{PKn1w>f19AF#mNl{*n}^dim6^dvY46^Z)94#9Opm(0#a!h>;j z;Q2IniFj}i@dqey!B1C5Q;MB1uHJs9?C7x}dhnA!IUBbjI8{N1J~WN^2M?P%Y$DHn z{mM8m5eI~E%?BRLSWoR);!9Vc!CFNotk{v1=5kP5(;A0~51ul9B zIX#)=OiT6-lGEJjsaAxcy_%DP^E|?)9f)u=k94uHh5o~>yugE34f{w zAAS6Y7JA=(I~$Uf8C)%qET%v*)!Az%rwN-h%<#mWld};B50l7<_WF*96xXAtnF((( ze0G}RdJCdV-8)`CF+sHO6b^hSL-flxax9#3h;cq}aY_3GV%I+5zQ&S_SdVRKu@|=z zt(X+k<2>RIbqyNbBB_lKUBqvQs3t$hO}FV;#u47S-ut4!9Ymf?vNPZ$-+ywq@ru5F zL_c%6u69BPu`Bdf)P%VqZjB)w4QD9gKJmACKI%n$^T^Bf23|;TN?+LJYKz3(fo#3L zf=IlZcBg~g14%58792(e{?z{OIsfld|Nn*B?}%H=@;;{$bwRHWb1{aXEJ1T%^Y+t( z1%1j(Z}b*#ttByLA~zmoVykXfFw?YzO6vq;o?NVy@LgwV}dD|rqwAW~F;fwGi% zEa?MY?zzd2;G1=;`*Lm|Kza4aAj&aTF56q}%=sys7Vg|)ZQ(-ZYoTvQT z>*cLk$$Wg7+vAHSlJaM78Bs7IF1}Lns4CeZ=4+@ZTWcZw(gD^h`cFtE*T+qQbsY#| zGxiC}S%M$)%<`&gWqA3;1h;Hxf%`2jo?kPy=(1o|38v6PgH(I$%Nl-E)YtOtt*=Az z6B$3D1|j6{SocQG>n^e+sKrk{phlYQP;O*YB9g7-Z%7uHA^zL)kCctIh#pm(3}$FR z#Faz#hfg&i)MU?5Rc4aEJAIz2n%sl^?TQQCkC8mx#(G5>8a{Zq^98(#E=0E<`~H{d z%V@OA*Qb3|i>jTkmFZN(Q1VS6k(E4~3ci20@r*PljMcYFzG73vx7oFU<%JDW(!~@y z&F>*;)qJxO*(=1RoC;HCSc}MyOamH>lVmq{U8nhKBSIK^Z0olSATUgXbMEpId?W97 zzdPtlxC6Jxo>R2re(!|Ry-;>EIn0}zFXy4UG02jc%L`>{s&41(2sC{UkGsS?>T9fn)q_GFuU**dp`yy7I8w)oP|K{11O`XPwo^d`Ebz2J&UY~R4>hB>m zZO_a|Ey?uac|NhQpZE|~>W7~eR)vpju4eYrs6Vy;XU?xJ_}j(1|2L;M?ca>vf3bQE zNyEQs@5O(iJSjf-JN&b=IfAmh4}6PXf$#&$K|zb;9!nQcQ_~@cIGdem=a0QXqT`1% z8IMVxJ!ARO0zEZS&Ob9gF-_P?kE;t z6s)!+d*j|!0isok+-+4dNP6tpE!#3fQVDx1NNs?-&>cx5%*wIbZy-f-IDUzOaP9r+ z#B3#%kyfU6u&=ul=?(IFtODeH3#H6&dK0Fo=cyTc4@qRn#!#*dB3hDf$~(_@keyff z6Y0fc=E&JKpKAK{8gi05AH_L4A*}p5<5dPDM04eT2@LZ@JaedqwviQ*)G~iHd^JV# z{Jqp2Dk?}dENkvLM0DtPx!=`QB zVQgi6kmhsCL5kD<0Uv91r0wlnVBjTpi?XXpJE@|Pxt)hgjJ5??7dA@Xp{7N)ofBt# z5!rRRzYnRoPj-A3v)c@-Q;;WD)7;NogZyWz7O-s#iNrvcw3WH*WdIU^7>&pHtj6mD&h$GGKaVtx7u|GKwc2uV4 zW8RXyz{~f?R)jgj#o@b)1q)$D8m!2FEhhjEu8?z?q$l^RN;qrJ9tdx)cg%(}_V6*+ zXFJrF4BvPa_Um+d@XK|)CnB#2|AhCO*Tu9Wz}AL6SVjtg$M+TZ4VfeG^RZ1ovki$K z?ZMMlSti)5IvE>i=m!Vo+E@7$KZrKfYpX++I9yAu{AXMp;GQ!WUe?PEPs_2HZt^Vh z-sY0Fs$B&>K^ME?=?MpEdgW~7U=;jWw_Gq#c0<6Sc-?O~K;WTE!2$P)-(bV2=&AVy zl4;qISs(uuLH%pl6=X>c4$rRT74t`6-zxE@Qo#YvE=qULa}w|HyB$fN)tBJ0o8`xv z*`x5Ey=2Vg%exTeQe2n-jI)_%}N6M1S^+l>F>IHb* zIAL}}>ngk^)u^4wGtF11QR&`2;=NYcrYF8h4uOicbg$dG5EPK)8%5YM!8)JK&w3Mo z2p1I{{X`x@2DysXWM4q&ZO1%CopT7IwOUL%*p9G3t4R*N9)we0Ev3+-MYvU?IcND- zgb$DNB$O`ysr^4a|L;@(e`;UxU$el!U#Ix}82E3f{f^Q@2OkBkLEWQ69n~I+D0^3Q z_k`0$lC^AnLy&@o5__M_Z%G1P0|oP)*5}d5 zFB(i+$bh?!8VM0E3s7#o!C`YiEsEw=2^(ywAbh%j)#ifxk*V{^d!7GglH>M#nsMh6 zlHqfSHT5A9R{uJ#+tNXp&`)`5R-Q&A+u;MJWd;y-L&rvRhXq1*23@=;eGEa{q@5c> zj}uO8(1K|kCA=fT^`vE2qqFVa%;cIX)L(U5l#U8R1^+cU4nC3t=qe{Aea#wqU1=qJ zk)(eR)8Br`jGyr6zLhkuBeSp^>Z+yNa_Zcd8 zFK-Ppu_C^?j*fTNCQ-1bzKVXLlkCaORL{-~Aib`{Kz!{5veVq|>3;M(lC;?6*W2_W zu0+A@)6q;sOZ8s*DMq+NYH!PZ>1&9GCRt>Up&){jMr4JWvJhy-`h(Sl`22UAzW$VI z0^QX!pEV5Ep|LE*XWfQAR3!|&NfJ4S(tw=|b?c6xXqQ(XXW-*d=>IJ4q|;nvL+H*A zvrCCZ2;QK&<-%7Wz%g9(4s{iJb`F^RdNYWoKIJc$PhUrkgJo6s%?ya>42H7rp6$fU9kin&4Vp(|>soLAW@BJ=KW}^K+AFd}%REDr@D)P*Z zDP|XzY$`@%o(e|)l_H+e>UdO~|PgJgmI*j<#&lJcka|D)&s?c!Dbo74M$ z(f0hs^4#=KXLN_sZ0*r{XGC^(tZ7`G4-lt&-H&0>3`tvduh_^QhUA(mqe#9FNEMwC z6!O}GG(Qu|E5!4fUhhWZVN7&my;Wzncl<(Tr#APrktMRSaLc#y6tYe8r&wNB6MZ-T zmotWA$Y~$4Sr>Q`xf)?NjnqCPcOqSu=`G>+zvUXAx6?<|M@<(2DUzFp%;DmmRm5Z3 zAWORH?8>RAP{ zPq1BkMb68d4WAjPaxatjb;)}ia|5|I7ABTvw;*q|m_&oUFY^2Xg-+&@`Tj9|)$;w~ zh!Lxey%e^91b@pFhwn@xdH)=1!s?euc`cM8o;Zp$(_bE10hwg(5pVxJ=PEMk24798 z#UkrK!uQK#;m8)HRmt4JfE;#ldEX!&<9(+toI^9zood-m%%)SEQB?$cD6$_dTSk%{r{7-iXD`yD7e!%l0Ebcg&PF!tx>ml=*zF-wV)&@@wW&xB z!smh<$p~cVA5bf~R)H* zB7J4l-rF2Z$P`3?CP6&RntT-xbkCr$b@qzX zr~`^TnTI*qH=>xyfH(G}E{fCkyC`o_K?!@Xc8t?w!kq1o=#$SO^9zb=J)FZRRbkp= z{W=Y$IkclkX3hT8{_mduufqR78QfJzpHN3_enM?}b%5HOocEh<{`>X)|8z#T){&mH zi`*W#x|659&3zL3c3T+VPl&+4{1&rMtqP2{c}8~Iy~EAhMH15z=U}Q3aKf~D7-lE@ zH|=@a4|6svN2g3#n7@Ac!8u9=7IAq@)mK?TZjcfO4WeQBxbV)Zp-5P%ISXsbb;IhR zU-zWu9BvWR;@!P%(2j6D%`;($tF;$aJ}TIUYb=9-+AHtCka4ge)cQG$y9B789OQ(F zpjo%*c1sYHzxMJTHJD{jPeuA{fw>>wG*7w$EYzlcunAbfa+9{obwO@eR(uXRdP@yf zibe^F-r}$tFdVzz`Uba@Z=PJAs)t*Rb_`sVTX4B&&xTFZ@z5=%iD1kw!FBpej9b^d zf)SJSVFL~-+~|{;md%-fDaXZ=PbN}ehC@LX)q7!%_7x|^y!y|jSO_zS zx|rO8C5MT_VazQfRg+aG3kDAL1%Zo|Ao zK1@7n3Kn0_ovNaG1go|E6kA@7;1-pA8GrjK+9~3&1;$D89bYu;V9MD-yLK}r%*LoHJmWZF zVXpJz&dS!GnCr!@Q&i2=Lrl2sJguL1?+vWe{Pn`cO<_}Dd#=l87`Dkqv^r&a zxZ@~o!FujA?Bt%Q%snZD{i^wm3x;g4&l|WrATkdJX>Nh5UHjnBFZt}f`2SDaqb>MX z@&Ef=|GT#TYiqxweL|wYC=7RLBB%1!Dxh5Cbcv*z4~ibY`IW0SO6J@Ftz&+xkr{jQ zg8zpiq-ll(o%Ys6a{Keb;xK+Bs?>^&yNDq65qH}1<8ed{jO#T7MIl0-?%3hk5QOdA z^mwg(8A61H#1r_#5#+UeOXCC`0(NOOOE=#^=ZP5Rb!Xghw@!cS6E1y}H+vr%A$_}-1p z45-i0{eI|Z04nV7uA_NC{EIL8Pw+f#M1DH!D@)=N%ihPM5>HVmQ=H>NjsrqwQnv}1R3TU@U+7?79=e~uG71;ng2t)!YJww2P))}p;w1bT zWpsgR5|^D(R24e8LY8EYdOVvxXmJf$wU7G)8c3#QDRpO7IMEv%yrb6t^bpY<_ILAN zEL)SbPo=6=)k46#o zfT0)eF@F$n8s3Lmo?xD~81$E8i$jKS!bE)17UfT#&0fP~s&+^!OZ_ znNs6~k#5D$dbs)t`95A{j^FYkT*6ezi)*xzD5XL~URj7Uwwx$1w?Xur_U8@n-XgLt ztbw2?5Z=9K@0)e4f6o2?==p!MJJ`q>|1UPj|CY=1A^zVCZ}Ol0GiQBaZM#gy?aBQ} zivOA)D`9HBlZ!OuJAq_-lU)I05lEF~c6_Zt^7md2B@PfqM#lL)ZfD(zK0Gn)<>?PJ z$m;mIPlL?}+5O7rn5T$NyQS%UR2A`b#^ZnW!`~9jVh}}E$FzT`&5{FwD&+j5V_qP+*+^IE?+9kmx-B^rtqhKo2 zITNDQ5qIp2NF=>BhgT^R9kO*KGG0#KL5@XIm(hMQpD>_nnrclT`E#3}wh1pFf4#uX z=YHxWx3>BHf&P6c&>48vnE!=nJQXPAx40mZ_qcxr$!LxXe0GAvoeN0`lCCS-rIAw9 zuum663+z)_6GG0L3}GpwwZF!YSt>A_CqmwL?T35W4o{GC!uDR`0=ZLY9675{u>*Ok zGOxuVCy{^nkh6Dd2@0ru7B0NGhJr?&+BKm9M0=texqPYxg-e#nQcv;`9hlG9z>6)t#V`Gb1_nrMTz21ev6 zxl4WOY(u`{b9u#k2T;i7{n4sF6-9dX2WZt@NM_s0fcJgNC>~myq4{|QN^UdCX583@ zQtFh9J!wtE`%Lx1;4$%}QJSlKD;q+YW#Nc|BNNJo&6$EcRZxDwf+5!@q!KwIf@rU-_=(9i-3qd3mxQKBv5|p<^#v7R-R)Bf>IWHfg9JdUY^iv~ZpP;Pd$}WTntbDhl*^e-;MUNWo zdxxMGjxi4n3A3z9$yIhZ91UNeQqP8&qB8tYV~1HGO8Uf)35l#jpxD{=l1-uw1tNNZqH?g9?^qm7eB1K5zjQG(8I2X~a?D=x{no&b4OeCS-X;9rvXr zddjCah^m@+fYQ?M%IbYba-(e`n>WdbVUwb<%r+-^K~cZl_TNFwhc%zdcfCebtA1zs zuY-sfacbP6N%^Pt|L5En`YVH*9OjSy_UHdK=l}QX6j#Z=6J6h5U&?>``^jJandiP; zGo6N!yaQY`edP*{-i3QllKhUuLU@g{9NtEHUEkO`{?s$yh^{a6QbOicqU&=z==_*Jni6cS71&@&M8G#dh+Dj1yho@=pKEMWXA|)JP5|ezD6!YW>_~ zx6$rBEUx4ThdpauxBevA)ihsRo;=?S566dJtw#y7DzeggNPC><`hMme zb+19dyPaA`_{jUmN-%#hAq>jYy5M5vHG_#*0f{!N-%-to-u`@28*2D)OR7%Q2MPH2Q z`eZK-mot$Jtv6u@D&8PGief)QRU{$~u3qW5-IVD18jW(I0*S8gqTzT;=A_jv-mKNBFt}nn_ZIWb&t^Izq zbF(C({3jNaHpLQM-v%wS@l?1wDVwlu{RnRZ>G0495%|;4?VhtE{)qke)1| zo^jJ_k{vdwcaCkCc*2}_3{X^EBf38GibIU@MAw(zt;pjF|IGpHyaUfkJ|11u#T0gg z=)GIxaFKkTyT^a-E2~6!+gMaW!&O8|IzP6pi9u9qLPDL=B%)t%G>nFgAa<2y-{|~T z#8Kbl7$?4txKI6T*GNAQ-)fY2U{yO3+`2Y$o;`^~e*aGuo)?gK&(2z-g@xoSe=Oax z`NN;u|9jT=r|?NZ|E}%d+zwOmXVm|y{oh}v|Mc1qXuWXfS_Rp`6tcVt>nlK{o@c0N zs0CsQ-g&(s%;fmQ0;bpudUCH;7A$Ng{<(9~R`e!BW20vk8hi5@QZMcty<1FnJ|gvu z`fWs4|C6`*r7$%VogR|mCARKYz6jeQTZmsx@}2Sp}mO&m#@*H7oH(0CTqEm?1z(kKWxi?bAssm zmNKN(2pe>AW59<2cBHlTKJ7eRhxEYBpU*{SAmg~Nf{`N0$bNSH%-kC~WEwMX`%WW_ z%-7ACdFyr}OEqqTsyEpapP}B>@pu>cUEX!!{UxFm)pVEimK!JMr+?Jcu`(p~6@HX= zB{^@3a&(H@h-cWJ#b%eEK2r03{}4t=sgGNrn}$H!-oVljMn>k>Uu8%-_FlMJ%7H>}l{GTe~<^}f!T9KubS zRx?sJ_d{mG=bu(&Czj<{p}}nS6WM!=GVMd&A-my0Pv1jM;`49sc(i>Ra%%fnUHnfW z^5O%wk-k*lej@cm-29>m@e1D?@8lgJ%u802*TqCb zm3isX@~TWXC@5O%}1Zgv!YNpcvGjnA?VoqG<3%8l9XdirHD$Ogzs(vEE3QSK8J;wg0>4|BvGTudn@%`1(`I z<=;>jRu^@Z&j@8HEK3Ka*vVek@qt+H8sr@3)*n&qBU;X1`i#2^kz(o|8L55-iAKp+ z2HbBW=JS-$brnlQil;%6?0v(wJMW~haYe8RPf{x-;nANfSbunABYeyvM0^)S;mIiN z;}i24Zh|~i6nmP{xplZl;PX-3g|pYXHD6H9o%XfQdI3fL#Q}=Hx{&k1K~MS&x#t@n z;@2_UNIX2T8>kw|4v?MoV)G_>R+hvea%}6G0_kOhrS7%Nt3OOMz2DvDNv2~U zPe8!_ffV@iS?)Wd`H1B5KIoCW6AZV8hq6kG^ytjf5w7dFgnFhoncoTuQQmKPW8prz zpYE)``QhL^@uC!bs~Xlr=6+?vH=0dI4c#+zT>@QP%9{+#6DxjVQD)4J^@d4T*T zKda5rAZO3~>SZh{no<{9UXWSi*g={=_k83tEA5e8@c>!Ji}i0POd;*gk1Jdxb2RyO z(5tjG;xYcQb+vclW1{IDlTm(hfM|Mm%j&7tBlKq8!Nl1(!r{^MIaeAjox}k}5~P<61V7RyH2#AQ>RB$tO}SoGwJLNmzcN{d)aYkT_Y|^!%e)y)<=k24NgO|W5Bg>|I+<)Bo#k(?$uuJl`@kB49x~XcJ zZ*>yN)OWv+tocPWy%7%?e)SSfuLFCp&^}}at*TsP^&s3|5sTKiK_tubF-GZZMf~$S zbzBm?h<>Lv&}v0EO1?uIA5b17edxa3RP}ceylbSkX8Q&Ntn)g*+a?-5ie4XeGsgbZ z{(toRzkPh0{?_#VU-tWd9sNar>;A}J{+T_$^S4K{J8u}lL#rTh;a)0yR-RRQU(E}D z9!|NePU4CDk&+?&k??1w>o2PkUSEifMg871dNQ{@?%KYkmE@=1E9iepdioeciaQpW z#MAlmq@w6*L~0qXie@l!XMr^ONM$hATzz4d_aAb;>73pX+XmgeM;~=sFqc#)=RD z_1qd2!q>fdfOG1j6vAR@8|}HzAiVTjWYzo?MAZB$eJW2_UB&4-6qTzH6?K2@^Kb@4 zo9(#JX=IL=BUG`fih_vwc3s0d${(>=Tkk4{Fv8u8=gFaJEuv5KwLSAZ2>x8A`>r#E zAn1U1gas?Pmlrn{WC$4&4sG#K+DDEEyR0Hn!kkLHLPIt|FZ&TucY=G^)(Mdh9{09Y zj3Vl>Imf<}KM>vgLoZDt9Wfc=g3lzMAl5d;igHa3;xG|4B-f0%uaA$q&QZW?sKA>( zSQvf}mXbayokx(!#k;FBhYlGBkWb-5f`yKM4WW#sv*3iNdI|` zy)#tg{yfokA*v10v+tz*=-Cmoq;02|tc5s=AH{SRt4aP4zwCz$3B(UieDdUfjD)<) zJ2ueEBhl=d?4Xf40=vW8(vHWFY&Y7K->BjcTD&!d;;00fPe}N$+em(n+dQOb2-71f z{hDk;Joy~i81EjDBzq+f-?2r{Xv7VV%06eNK*IJ1cT9qbSLftAH@?GjNZKc(pt`>l zNu?8_wfR4gyf3V1M0gCzsf?T^Pb~;Psyklv@S{Jq|GVe^tME1c((6C^ySD$j?~~X6 z^^a`?fBM%vfJ_6~NYVeJ8QsobmSxSJkc`KR=QK2rbHRsVQdM9nrC3+eV28H0JnPnbd^4B;UO-$wB-XJbu1U2`aXP zPpp1e_-Q-%x5tOBWsXG9S?#&_15@OFU&5ufS{xyxS%Plg$+Kt4Cr40>I1z6vHjsFtZC+T!N)Xo@Lf_ADqKt>8vX-}o8Z z0~g_1u{Nyc!WDSVIXhL>3c%O*`@JI{$PThm%W^mIrv>%Dl~WqiLI{7El1*MdLXE$b z8%)ZRyuDXiDe*vfiKIjq*+E5AUw*ZtxeAd*oXkT3>WGT8w{p-*Bc9+_1)+K)h&lY~ z{Gg2iV!mbx@P8#dqD;-qPU~}Uzo8r4Fm(dn9(&|%zpjD*uC*cV%XSD7?2)FU&PQUg|c6=7OGKS^*pk(pVqocx6m^31vY=4js+MD}p7s7mr9>d~wD`W(Xl zxtDsDHA^7i!-Ht=06c}{E*U`MWUDUZM$@Eu@_Oz_j4mh zo+EmCF;Z8$5;2P%iW!=eh+X!UdpCKC+`kzGE7@!iKlJSdl~xrJa{Vtau%{r=G?TY7 zj&K6o_4<;}Xd`%#b?pj|V1yRf?{BL5h;ZY4o1UE{FPV-y-e1*$-1BKUJLmT!hI!Z{ zMr1d+6PiphzEnrt;5%O3dXh6tPaQ_Jr_*b{?pp_n6^O zUQhUNJmp(M!;!zRa@#B{=$R339NTz)@t|NMExl!r%Z|Drx z-AwCVih2)Ow!9#BR1~L|ZJ0iZk~3`m1{K8f@reKa&cm&5R5{yLdK5{zZ_=D;nGkPF!>1jv5iy}b@?G@Gh+5UA2iJCl*W|4)83RH~ zID{8>5`O>0mN_-_iZ~yrc$)@~u;QZ^)WLEa^K-F5JckuMyePr)JbTf>`OFCUaqiOiE`i44G#c}Tx zPtZi^+bQ=a^7$z0vbfgu{0{QI`VD@s;zstR%Gawa#*lH-)6qDh3aLMq#yIIOBKhS< zkMq()M31@RYk%ck#C?~X+F*JYF?;o+QZ-u4Qzn zTTx8kcGTjm1Md%ZO2 zbr}n83#dvW{J2r7&2%Ut&M&IzHS9&C+QLu=Ll2@9wtHIou^?K=>QlR*5@ObVI2S_v z{xMI)wX0{D5F0aR@R?=^acAw+m404^+sAK_CPD$kug`dd(fus^&2H=XXo@08hcm?? z=Qx6&viKT(*^AJ_>U4IM#6Nzg=-5($1;R7A>u+xmA@lRVYfKy@*S7P}DaGE+h`KlZ zQTpB?L>J@@8onjxg#QKCv4DMu)lO@i;-Ns?ZjO#mE~gRqVt?HD$tifyK>o#RcH)f@ zZY-+*h=9v=?>ttJY^yQZLu}^{B1AKfecMJdS8vc*7ByTzI92CII&ywR9I^2~RzdP* zH6t$7JZ(qRO*ci~H$mi_Z&Z<%I)xaWC!ecp$P7&;KI-&|*N9_JOMP84kGQ#lJf9{f z#22qyXT+rh&qvx`EViZa*e*1MqTvB!Q;hQG<_&1_)j!uZ)B6jE*(==j~=SSFIWFgj(<5=-*A@MZp z*EG>76Xr{6#q}qwNXYM(cOu_sVlwMpo-V?9Jhj$M{NY9<6{n`qYfB)QS-|T+DalXX zX+w2SY!G4JDsqGUxh#W*SY_@r~luyJ)8dNd3?4y1_w&l3d2cZ{reH3sd4Fx z3UU)V47Zzm$8}|v_@dz3WXA3$L)aF3UbH(0_#(jW zqt-p%9SE#*muw?5p&%>9BF%gY1g{D{yL^gdX8UX#GmqU)a`5P%D_Rofx3ib8=Kf;b zQ4bGL$$k%~@;cL=LgFJ6W%Xok41~Lmz|LsjQFxw}5qf@I5Z>EAb)DaI7Cv_=?I>TH z!ta#rDvEJt_?KBa`!ca3aKrmGRr~bG4)XcCiU;W=ALy9s7R3p|x@P*eL%S2f&!(KT zHWT*6)%8>9lUxXSYBg%KstR`Z%CGNht%URWmbJB`XW`nb+ff@P0QcovIzam)7 zKA~k^9>EWP3CphtLx`4yNUH34guF_fWn?CI2VFra(MLRR+{7Dv*`5L}S|$SzuE~*H zy!?2t9fUJL6>d&%O>%OmIZv8j9E8t{vrd!>Tj3YaUU`@78Uv2Xwg*kVLtq<|Kf}OJ z1jXGI3^^~1V1?Xus(k(k{yg=ZOTvuoKzXcJ2ChRW*N?+b!_SkxCsBFV(nW+F;(A2A z_aX61DzBl;OM{#BqnM$^S$MowiZI!m0dIE3iMU4};VT$G+wV$ziL5oOmxWIt;KR>2 zxjK@qdESR?-W_a{=MR%-qda3eUzQ#s z)=RjW`kp~VYi#5)hJ zBVCnIx5=s!sfX3#w8MRod{byCOrrpa_4F>pna>ow~E|7B1iXst42za z^a-ITleb);;x#G`0D5)W@FP@r4R2A`86b|meLmyn{3a%GQNUt z$%|5r7evsQ>DGK@Xd|kbUu7!?2BXZG>fDnb6(}|_xMIit76sbVU-l||Le4YEkl3ah z#9LErtxCKv={e{2{%qqxYU<4Nf}RVKpM^`f9wOS7b04UOnTXGFmVSn}!kw_dB(9yW zd4=fX?5x{az9SM(BQ+kd;(lC774s)cG}V{M7HJ?*go~>Cy?tU_KX73K8&!^+FI3_MMESNu8`Jin?a($r|d5&?ue^xy(LqS zh#1xMDxR;kh~gIad^~v+ooW|nzNMR>QD|M}Rrw;^HB))PRJsNgQY$?7aTMWB-R;Wz z#9vUn^Vz|7D~eIbAQ+pxw-vb$QG90SDP(>BOc%b39vK04?7NkHiN=@aXH`uhQeti? z8Fw8*(hNt|DTit#+S&<}Z+?z=1JVa|5wldx1yiae&H_$x6!$a z)J7H;sZVWFr~cc^qr&|+%=dR+@UQLpz0?PJlQgCfu!ZKK&Ru2%^&F5ac|n7aMgcX( zTRG%>Sh9sTk}#(h!$fG{jYy45)`Js7ADuVke)6mkqK71>R||6?cEzmiz9(UbV<@XS zbXEuPn|g|7gshQ3HPM^m7mb9e#W>AmJ8~{J#)}z}1=(Jd9h#5@Z;@MqKd!|hpfe}# zTM09Qxn5niXbvDgfYit^O>+N_HHKMnCkEcmXLCpE- z)hvsugf+rl^H8oEaRFDaOMGTVeArW4**<;372f!!U@JcokA|=&adIIsXS!{KEY*`X zdHt~bHUuC0OKDf-)+5k(xUX8(9l`mDnmXqQt2}P7Ty=9E!c|N@F72*C#JjHQF0VaA zCqMARU1KBhh_Z`Zd~pgfUvE8`O%OsH`$i+-26@CEm(%!qNeT&P`vhJ}kk>xvTCMn4 z!lg@Cm7}MPA`p|eRHhU`gV=e)Z)r4Dh!?1-xx&zegiDd! zJRf9`DBL>`)2u^S>gw^Em(-9XDond2PytDI;%iEJ_>sKZtl-gp8U$9_cRRb1`(;1A zZ+|cw(Ls98?D@h%vQ6rY26!(Za#trw?$LD4@rY}tXVlO5Iu<9S?i)UBoD{x(B52) zl*>lSwnVcN>b=q~=^+=wTL{)8D;kjlYk%A*d4T8+eU4>EUXU{c4Hc!zFyiG^I_mq$ ze&Cb0ti<{;BvyYO;F7RMlKEQW!A+9n@kG*n6thHfr$3#A05@UF+#Hl_dWBTVWSZ7* zZ;3B4sPA?e57Kr9bwwHyMo8Mir|@Z(Kehk6=l{F#|3UT2{o?Z*>0G3iJE%^5YUc9a zwNGmO?;l3LZYLl7J9m6qfd_XSWLPn_?f9a;6IOF)UMb7ohjn|8?Unuyun84SP2Z#qTX|HQJ@JGcrMds+Q7Y)D z#7GZlh2ZAIjHeO9Y23Qw;QqI(2 z3TD&+C66~T!d$v)ds-YFEX;@YigOvlG9X2*;pGUdqNcLhJl$a(=6mqLs!Z6peAuGgv%*72ZB#3#+wULu{?auolu*oZ+Z*l1=i;d)!vI zP9xC0113Tr^fyI{!}QzY7KQDfU>@%4o)SU}OID>_^2I^0@=F>Y)OLjRhqeHV{U2a^ zXrVM=8xQPE*aNLc3Sb|fR-doD3=Y-9hbD!~;i%Q~tl;!9IF6}E@R<`{v}P+s%2Ftt zUPuoGuwI1oX?>A{gCT!v|4+~V`>IcLegC)DeyjZW1IvVb+%wB@9HN&;*@V%v1}6^` z-|shi{yq_fbQDf%ZJo&FJC+=1dLLQbCy@S90O_??Z}F~ufz+Dt2iLNRzkju|A#<1o zl6)7vb++9^qL_cSAF?~OV^kQid&i%@HX(X@Ii2Ohy@data#*PJ&0VziZfp(F z-h*1v2vLT_=P385&^+Z3j*@c^*Ki#4ApUIE9AT|9$fNw)pLCcVSrcuVc|R41PEVv` zFAaJBzIZEIJe&?Gks6ZXgK9{opIkL9dKHOx8|m+zw?)FX{7Wx?Ttpm24TrX29b%X@ zbq7pJ5p^|xG*-$TZEjR9A$jJgWA|BnQr?aVU6Gy4DI0KyhF!fOITl5GE4NB1JVySW z=Swz%cF6wR6=-QeIJlR@R`p2BBVC~UYb^Bn zDBZ$ZRA;M);>{(|AvYIL@W}I{H^VaI-qIW$o1#EgS>Vzt1;Q{lQDSK5AUaC-Ae!Qx zJV<%za7|5ZHIlU-S$sDgih_A?HhU zjPGuuw{vW&(265$HvPak`7hUzmXQ0_Vpl6tY4@KSSmTc5R0heYAXg+^+tMSWZ$Mrb zFY6C0uR^?qeE;epU33-%h3IlupfU7Z8q}8Io(z54rI%Mw$-hyta9p4GIQ(C;AaIE09YA#O1ZQt!kF?&TN8HZF_LTf7#HS}t$PxcxBGt*K+-cj9Sj=!=?B)R^ zoqx1zwa|7Xy^B@2$fks3^BoscrK$??wPa|o0+&&u_` zPV(;VbewxHB2w;|*61_B1CooL@MNg1qc09hVtI1CI@mcb&2OlC??qsjX_C_QRIbHG^`+*ewFFRD;^CIQb zW_OK98^Srf);F^n2(lazI@U$_!nV&RDDxZ;v6dyxXGj`R(oX%8^!pHVP9(69DGPC& zs=Ece30tIpFl>M@#}kEb2k?m{5?=mM@4Pm$Tg!Q(rJC^q$x3HmlQ=Xae~a2$nR}D) z1<#i^9rZ!#*3EXEgmIOcrek_Bo{M;*p6u7wRYpj4hQ`kLYeWZkh%YQE8&RvXt7-D{ z5hGEdz4|NZ?d5_~i>3A>L1^vU9rwxOwKZ;vwtYhwnHpbsdH%}opm@60k$j)Kqt2*k zO^^%^w$K&6WDdeBI=P^I9;uI$^PYbYL7Hy=k@2!+geiViJ9?VTOPrIYhd7o#R7Hn<`~XbXk=rWs_spwTd!Sc6Q{ zPghw~M2H{q%<23!<9}-Z&vpMlKED6!YrplP?{dwwaNP5uW-hu^kMdQNQL?)?q4;fp zc9I|!3VB;4&sPQ@*X~3|%mZFzIaG)})4hlcrsHh#YQ)#ZDr}thoaFH6dw0%FS0ZV) zFSBxBj_hy(`yRd9L6}y0t9tGX5eB8wh9aI@h&eNQQ<0Wuaj6e!k79 zRrsN|7+{I=%t_8mqFyL5Oq4o9W_*R&>(Z5~y^yCkr1;L0uqVatZa28~lyHLlPj9?J za^3D0UFgk>2Em1^Tz+GRWT%u(b*XGf;!7RfP@+ZN8^g(*`j7XxG4pKWpxDISMh!jv-rrnz(Os~U6M%!}<&-XT6 z#ZPu52%!CnAz^WznD}}q{1alF!V4sQucF;9ozz7^kI(h=JMWOk9eyS?as#s8J9~BPxQNWJ-}{Xh-yq}Y_)5ozmyuRx z5p!f>nB+3*G0y!6M#^^Hq=a-~^8c}!*H(P`r}qDI-52=n-(kt z`SXcDlTsZ`nJ==G#ef$-!PXjNX9+85( zQcg{`g#|o{zLZMxa>Mh33wxTLFubX5huFOqf)Dk{`hCo)@cDRGC-}i-_||T>H?#c+ zKP%Cf4I7A7cE?Byee7w%>I_p{d94;^qGm&*KP+LL)jLX)$OQ-WcM3d@ye_^7@4UFTYsMttbEonE zM_ep?6GJTzHPph-j8jk6zy$t+^mS32#^C>Y^O%qPWy0!wetA`*3d}Rk1rM_q!FJ_W z+ZWtYaMUoHZVnWjl9>7k_C9}4UbZ-iiEf6 zx)_?b-${-^rRAEUcks>Yyd~!S6@E$FkG#^v$?j9>O(EkA!s>J=yvArw_V>EcueKlg zi`D6MgA%Y>nKxkN7YO_FL1*Nj#=yxZ(n*PM{9H=ML=XP(gkv%UHZ z*>x^v?5^b_?vKy-@NFIt>XB&^P>yOyH#Vb^?K-KFXnoLr?>zv35$%g6my^h0~$z9-Aj zPPYS|0xXH5p&#JA!OSd6ObI^Cg1f_F8sMi)+jCst0ntA;HhI<%J>aUm%_}1xksarU zq&+3_2x2$XyT951L29TZRb1J4*rD8<9_Z{-!0&N zOCg8qgb6&y;``&uYvCg#vwD6bdHyamKg;R4h5$K>1wJ~W^9<;}zVh%vlBoi-H+m`v z=3J&-H%p7)UWxntwI_&XN$TMH+ldIJjpH0mT7%F)P4@X$q@Shwq!Mp=1Ys7z2?|>l z5!Pqme6Eu6PwoGj_5D-hgvq7-AI+}p!2_}a2V@T|JF`q{nXJ6T8S)2Ha{J?dJFhP= zV&nO*(rXaLb~~qWwJaikhK4L$Ct1ZhM!ULBUnbg18^(3>i)1d&)*`!y8p-D@?y|ll zYzaMaCd#RoBwsIT@5@giNR!oC_Jc5&(^;(Y?r9EtU5;Ys|cf;PVU90 z86Y#HwJwHnl&~+7j@JcpA?TW-#N>67oiV)L=BBa|qT1Jge7^b?;zTP}i7K}vai1u! z6@506{K7We*WyBQ$8a63uM1M9t_T+d693>ANv`LOh9nHudd>No{0~t5{ zrcAmQk-16ymSVFSGV{#l?ZTcR>!6J7Wg0hR74g@f;-^P&MERI%9`VtwuaUk^_5jgK zEJotPx`=n!db+KH5{ccy8DksMk&J5DQ44Zz^z?D!aR@m^Wy}v6PGm~Jb8fZ zy`6K~E}0OmjISu&v1r86UW+cHPDkRo<|bFdu}PXbdbBu31u5F&!k7I?4_(DkP!@9% zX&>4~9=_#o&_t~yzb>p%?wyO*j;w9@h5Jq=w+}-C#$sA?;v`&D) zpW6T3^Z!%)|1)a8<<8Wxz{Ja_=^EN&YHo!)pH5c!d$kbnW1BjEFnKN+*CD+{ zY1g}>14uDx6+EMV1c`0u%A0c^k(}DGxr^aOhzj1~zVCz_!mazhG;ef9$P4$fH{S*b zr+4a_!7fz<;B>pBmJWQn9d<8}+#b(o({qQl2`Bf!+R{7s-{Y=}n(JfLk0`Y^q`SbV ziNeoXpMO}LBK|Ggfa&RYWatz>pk{MM%2I(yq|<#Qov0k5XXHja&4Y7RamPDy`Lv=b!3gPrtRnU6}A#ii{`NZwyx}2^2!}vZI;REPKY+ja$)|UE1 zoDbx1Pp9$*eaNKakHxc-ec1(Jpt zb9Y`1L;@$*$`|FE2%omQa?@*l!s(q4r`^;;IK6coR8&Mu)M-00bC4Z@6&!UEG2h_# zaQwo{E_&iw;!>Sjk%6{|73_nGl&DR#zqwXr5as&#O|epNlQ+@! z`6mAZz7mp4cXx1jjl%&lQ>^cKK<7xwUm1tHa+UJi468*r1m{fY= zF9>-;bBu?57(q$3nPQvP5Kiy=@X4-l!s%TlpLXph+N2*z!B|4D~ zmfn>KKV?wgy??*qjcurMxN^lJ>oQ9B@@aoBoko%80pX4XXXF)Tm8B9LK$gqVg>7$p z2&Xsoqb`2|QdE?#GEgNVX{C6P=NF<|WY87nhXh!0gZTy9pW6Sgp8t1G|2Y01Cf9$@`t-?QZ@ql~-#fnbzi$86&ibrx z$O~nPjKfhrL{TSlFUgMF#T(=p1=pX!sbh++aDRWXQtAZZ@MkvV_gvtHw?u<~@+{$} z-)C%Dv2hjrxHnO481Ep@WiQ9V#AyW7+9cFp%_cl}WBcK|>k+i_8UJdZ1qAspRRy*r zBbcVtA-6LS!Ol8N=53;|x#G-lh`eVwRvxv?65a)uBY9g-(pkbyD__x)Fc&?PdIy7x zeBi}m9FRafwcd5AO$q8n@IA#eUv+~De&vZnF{d*Su%XSWfR-PD`%iba?9@TvgWyA| zU((3l{Bo^k8`+<~83}mDKr+Oxr=;3gdn0&Ig-MODqHSBVqFNIOpI+tQp~v29;L<(3 z&~DTO_Z7=tjrCi@;&)m(b+P6ji4Oao<=m^|S}`6J^o6Um-*ES#}$Oh>@j zsye&a2ZSwB8G6s`4uX!fTY7z+K~UFW3pp$a#E7A2Wjpf$tg;Q(0Sy1^qxZ2uGYG|w?&-eF47Dp|3aXgG1dDlyN@69W= zY7!nl`-#S*U0Lw|dY7I};}Zgv)piVU+6ek^)IX-B1;H6cSr(mShN$vH zrsfPYLMiAj^BjGR&?K`H@=o>$J1iDOVzm+0vLlKmdkfsfTJ75IiIHo;m0-5IC-4#P zo3yAQXC~j0Y@G$7mn;q2vp7k-$ggK|LbG}h)VGr_;YA06o0aaL`@R(+S*rwut=1#d zk>P5{r3HkYk$yAw-II8`Ze3p3PIP_EXSOAabs)n0bnJ{_6qzkP_BtyQj>w8zGPm@; z|Ec}oJ^$Z@|G(C@hUP7EEjfJ+L#<^rf7SkPZVzw5zns_S%F}h*j)TH_*S1Wc!ic}G}F?+@22gHuvjMo)w^VGSEP{Vx?Uq*WCTReE}W8kNt|e4F4k{2U{5@|H%Hf9zdeX3gF|~>-d#fU zRd3CO3Zmzivbc=6Xfk7b@yelB53!Tq=Dw;@Bd$RAlGT+r@MxRbae1j3zPt2KO*xY6 zj>$t3BN58Pv;2Wcxb7xG4!oSD<2;7YXu|rEAil-Pr!8gb4T#vEt$&kT-y&5{tZ*5R zMwEF=L*vLGqFuOK(nU6qS>kg($>q8v%bQ1^$*Tr&O4r%)wh9nE|FYKx{hJX#o6eYX zatnM;2vE$fe}w>@M~4PO2un1$#f>$e)YPdfBjIEo5jybjMf3wpqH%i5E$?7b zZSA-6?GqQ-T21okY9u1rcA(6DCAV%2$zwZZyHoSHFVXaRUv8dILiQ5tTt3l-XADUD z-hWg@G`(9t@G_baO>b46xdYj$83rx9&iYI=y?5jXvYN?0o$*lT@HC=>rLW{PokgV9 zKDJnnNrW4y(w!O9C7Rysx%%gWMAOUITK!C$XnMu?>{U65ruT9}hss-`>7A!D`yh;h z$>U=K;wD7XTanbjOU}>!(?!&oO+?enC1l)5SfXv~SDq!h!K6<*CcDm<6HV_e7m3x8 zMAI7=Pc_{_G`+stSra}XikY$eq&3OF+~}UTX?Qi!^v1*+S==F--h8!WCN84soxHD~ zx1DHu73r>%tc(&T@9kgb@1rn?XVt0CJVetwzdy-^p7fj)S>K@AyJrqUrsy z`A(fY(e(BRH5?)P>lr$UIz?Zi=^g%YrznwVdVj7HdblME;Z}C9Hw*k**R+MCy z_-KE?!HY!GdwZzVAd_f%Q`Bc?Cy1uEEq8;V7yL=i`YFTW`3V zd60p4cJ%j{UlK)dVtl~>KNmuHM>!g+iC?R#K1Dfa5TVEH7TQlL!ogNv^yLCATRPP`adElp!%LXq>(i+|Ul2rS zL|~A(nlc=1#jhNRb|4v8yc@63?;soliJlXaYvGxGllslJgtg|A`a7Ka2j9Y{z|xhR}#{b-4Qml(Q`n53tZ;9e0}Oi;Qn;a zXBYjw@Vca#wJ1h7jcMPXx?~B#ubzc&hK*#HmCN3#^dy;B%{kqML3Ieq*dJ85mw1tF zDeqkse?_>31v;lSw;_~D&(m186ruHRGEWq>l3bn``{wa0Bp~60m)QFggN%O!q%iAm2|)&hq8a z1_)U;Njc_XjgU`94yXFl5Zd$1Fczy2Ry0_$RJ9J_ZkJMy&n6*4&V6W$ST7hKjB{(cKJh}9`X9Bu2@Z7h`%e>3R3VR zIR3RGhb!@q@hBfYvj00mDy8g?ZMce1(T!@wyBXkMy4p6=#*k!i8!!dvM#HUEZu-fr zGCXNEJU;N_DZJO8dOd3;4WALCfu?@)+6a48L(S8Ufc@)znNRIQph8R~rBWG!Ra8A2Wfk=jUum^6&VIH3y2d6VC7} z<2gsCNCXz;?W}m6fS?;a!oDlH$!zh`qLgU`g6mIhXZIx>`m5y*ccuCe@||%us^K}& zueVIEpe3)>%{%8_`w&)V_TgKB9?fvAJiIR=yH;|Zp@&X@Z~+iS+zToi8eaC&Uka~#3@9meRMmZe-574 zpB~cv@e)2X;cE_-5w@7)=Z3d3{>0yr%y_o^1_GCs$+p~BkKmQ3m_7*%BKRkxhTvU3 zgiNKEuxbn;w0rBS8|$qRR(R-PVP_rTMm?rlxJwwNXXjQOqg;zfdO^Oa!ZJj5ZY}Ec zA{=R_p{H_HgdKBWa?)65@y~VtPtX6m`2Ppgeyeg$aU9PR+_R>g3%R`yWz##Be~){K z;z!=*fdwWgWIk{wZ8HsWPp7xPIzxIaiR{@O!)K7*bJlob6&+GLAN79mCcQsHy6{lU zawLT&9p5oVycQ=8eW&%`jrhI1RgceaL@bS;)A~ws?%&pP#CUWBk?Ov0i}mBt`f^+O z!KJq(gSueF&ubMZkF4(t7u7+@rRKJNHo{m8U7IAnj0t&cPAUCwOp#5wmpS92J~Euw z_Nke#MVkJuFTr|7gwfp4(nJ_x$xOVo=_NanSQA}DA?=C;+UfJ%7xEDM@<+nRd>io| zIovGXy9rSY4+b4{$I#~G#42>f0Cjspre-pgP+_v5=}@)?cQ#K;yyHEOqC-jdS3a~s zz65Q+&wx_o&@$V(WYHnhsD0~!Qd^`;Rxj}qfnKWqG5=$+D~Xq+==qUPyODHV#BuUl z6X6Q(*nM(vm}rYAa-3rbi*rh8$Kh@Ch^7O3YpxF3Ej}wqJ-mdv5oHInu??uqkC2bs zR*ceJYi{&QzeDjZs(r@{E}~#ULcJ(T4!NERBm5#7$ZESg6kVN*45!vUr7vU$=PxMn z(%>#q`X_WGtxh9Z?R#|7#@B@P$zO@tpSH43id-jpL-cto7X(yhs9XN<0*}2?3{-wyDnOWkQdWKwz z#Ajx2iN@O_QoOA488YYp?sRw{HxLk9NZI{uC$2`gQQ-cx%UWi4y)FS~;~X z$h|a^YY7U5fU**e~+Hbi}m-O=v+=1(SkR#v>v`DYzdm# z8LUNk>e0FOl;?;zwuWEeH+;dTuA2hs_ceB7r3qM&Yt7Vg%=(^ z)g7WDZ0d)qr5g_+Kt*EuyePTO3^p4j>4*?N`lk-Vu~TG6^Zp{gC)r)~^of)Uk?VJ! zhO2C_AHuBSO2uvwUZ8Nab7o2k!ar@_z1w{W5%GNSj}(;j%X-cwF4FyP$&uKD(?W)7kmqf7aQ_%!AAtT^TvP z1_UCg^1H$ahX8_~=y*2vi6W%i@h*3eEJB;!ZVT!-NEjySYNO==2)7;H8-18$jht+i zbm8n+<{%!vld zIU{io*+q&p;;26H;|D#U$QmQL3e92PYd(`6dswr+H5>???4t1rvqRWu$PLp4Q-pVY zuGR{DLO6v_8#SZ$Akt&gx{VgBh*G%zTIv;<^={#;-@7J-Fw!`KH5zXs#*>Y!|FjKa z4_e9Szy3;i08<@wbZ!V*K5jnIl!RctcQw{C83-xw4iLH4MDCBem496};W2JM%{fAt zux#?lSWW!*yQ=mYKmt+Qn4E4(?j($uCl4;@-Xk1-9tv;XorrnJrMWwV4zUU5mGg=l z5qHzh{A}vlmP^Mc-THH4n4Y$&sMW5Li%UM>vj;!%|v|Owkl! zWg7p3`D_HNJ==d?T2l`jV&f8GQHHJKrJl)yda%2ZXES_I1@@B4~KVfHCe!1l=+8&%k~Z|Q$~ zCl2;`4MoR5K8CrHPz9a10W9ubSO`0x04vJ7wqM$nV10NK?eM2i*eI^bt9p3}wi=V8 zs+CHx({MYme0mu6N)2TUH~rvnOl|w!?ObrA3-CEjGBX^l`OX`bJc8rvmqAxHC%{l{ zc=XQE=eQlOsrUTAS(xNVt+zaG2s0z5lM$)&uvl(Cmw!$XmWB=EVG>KQy0@u8m<-0O zXPoDp=#6 z<@oKIb63%2I9=VxbZi~*?+pY67h6Tcgyp6u&5PGCqw_y3IobsCHjalMRZqZDZKZ6^ zt9P*KxX&vZei=6Gebx`9ZHYhdo%~A?W!OdL^|N-$N3NY;qW-}OM?76I2z#n zO%6>seh6?e>0SvZ?U#8WBeQUNnb4;lz75W2S(e=-JV8@hOEoUh5SUZPYBX;A4vQDV zuT6TRVCDWfZ!xP8Hd~4eC?Dyb(p9NHJG0moN6 z>*^bP;beTdKumQO&J-cWDc>vM>^-O}b2tJn>kPW-HE+Nr;EM#+(+_Z^y-&FWcl_&Xztw5ljlxzH_a-0NY&HCda%&#(l50*Vxk0g^qL}pDO#<|q zIbV@?*C|wu=N_`3hpm__vIn}txPFKo(9BM)7EUBzfJVyAEQTt$I(XpQ^%%d7`4^<`xBGdQL(fA z#O>StDEYo$;k%wYio{JeCqY_k2*<9jD&219C*tedKbc9gEE7^sF?hM|L)>iqZWni9 zv{g$|X7Y2RPFrqa#~VLXu43LNDD8yS8Ybn~mIi5lSXX zhR7BlUA(2rj?9CG-)3(uAl*{M=EZ~oQfGP}B%L7phm@;2#v{a6XdZLq*pMUf^?A<( z=iEc0$$?MO`&S`hkG;0L>UXpk3d$|{*Xlcdb!5V}Pw#P>*X(Z5aX^8E|+9lLn zoLt|>@C@Y{i5EED&*6@@$!D=iW)w@Mq|+x8c7}V?i5Ht#kuOqX87aB~Id4NXya%O_ zHQd$w>DqH-?n`>R{G>M0)2yOtTndpU<1Hdkx(}%g+0q@4tdP9GzUZ=P8ItBN%yw}a z;t`Xdxmo;qG||cwYIuwiUq>bLqW1`@&LyU8CmEh)UsAf1ZieHI_0_8{U6N4je@;5( z(t8yC%-qaGe3=DW3$d%b3Ge^FI`Pwi%ZLU^zjeCLJ>>$;@n$zpO`IBMA zjzt8x9}EuWcz~b*i?x$xB*QA)HU7Q9C}CjFKWK?1S&CQc55SRdQ~eE|>q>k;WUA$p zFUbOkBH@tol_rRe;@Bd{T0qW`xl-qzQ6N@Xg?WFR65==l?o6K|OpkFb4W-_vh)*jq zI^H3Hgp2!gFAV0x`}t4Tx24w*xaR9h&W*|l-Zs%)Uo(l2XIxWdrYZkLxs2)et!uz>Tk!h?YC=zU{Tn zWE)~-j2TQHpF-UJk6P{*lMuh+Jp+fu7Q}Z&aJS{AAR*kK=HyxOUbHtuXkd&9e%Y&b zvhE^#0{+JWolL<*gQLCOhn-}ZbvJLlIhBKOxjS0b+I)z}2u~rcNd|?m(=fHAKqVzRxaIQ|mYo?L1-CDsLwTaKyrXL_NjXlD*O81(_GjYuw#e_L*TAdO$n|m>4iCD6>`>m%7ZqM0 zbExpi3H5AbXzTH~dxapKp+SDKf*GkJGekwNJ5diUD`%Y zbrfzna%1&=7UVChTZ-Amf!wV!!rN^;kZtNNGe0qd%=x=Auig5Pk&$%ni_r|yP3soD z>rNx>^8VXXPX8xPui$SV-|zGOUtS*m|AUw3(=VpizxKR;D^T#cO0*G7Dar%$gZ9EA zN>%w)*#xW`XCJrT>VRFi*y=U6n&7xTDno$u15QE(ZHMoEg7Yz-PLXjXxNzINqi_&} zE9JiB&xOR`+R|kqnKTDCOIN9E$qR7b+)@6_-wp0**?p$nX7JeUEZP5k5FXKw)r=V! zVdPlzzAujwra65Zn)p7z^7Q^&q7;L$vHDtg^TRysFGjcohHrqQMR=Bkt`D4&>Gi*@ ztA%qRYjEftO}M0jT+cwdbK zZ1RCe>GF&nY4z~juRp5iatOCWtIAh|w!^HHJ!bRrb+A%MwKJ-}PR^GM*#g13aIijZ zz*0dAC%Uk=N6aSSELQlVqD+-cn_ca9OYDWK+_ai}eK*_$U!2#uSq68CzJ#y`-f*w_ zv1RP3B0MzLtjqcFlW2oAYMg!4;OVr~8o#C=o=YY%nY~Fc(HL3n`|c<#jE=38RxpCi zCf^%J9WKHC>WcL>_s9-1Z?NftMlzhK+HNW`)x$-?U5)B5U!~@YcQghDaMQKEe$qUf z_$#+caL2R5W8cwj{9M!UnAp*{;d3=SqxzPvKZt_Yp{41Z0+PGeypeM-aSGn25-0gd zZlC#LJ^xxtUsx|O#EyRRhke#PYn?||;I#52SMCZCI0s07lQ(E4Isj=!jj!BrJJFFj z)+0@PcTL92LQCP{~?u^93a^j!t8?}7*6FyNRloRcz;hXBLv#0ke{2~p!HtguzT#cjcux@j%e}hKQUqK%7tiy~rR%q7Un$%i%^SG~Wp`qx+4%cgh7s_wg_A zS9OqV=qR6`gZ@ZtIlyNomXBnC#dAZ2vq-V=-C@7>IZ~6az1S8kjkMf#RNIe|>r2ds zd!_cqL}zxm!j8@znS%8l`6_#m`Ia@iKwFLQWllJ$oC-nq+R+seI|K;tKX$iIgA+n- zP>U#Y5AQJM^XP+sXAjxz$rNizF;)fqB%Bsvk>Y*oIulJMd zsy6FJ6_Z0qH@`E_I7spZ4J2l?B1e%a-LyiBywEsw1GkKGOQ2=7N{%zux^ zJhJC^a3)(@Bgd}v+6!GCgxNC%|1dgEdRzL@C(ML7y~oS3Mlb@2BJjg!GfNk}@R z(tiD=4^o(>q;~eZ5%$J`u0o%kNLP>5V2=wTdCcl>AMLwN9^d8Z;^<0bi>x@C+SiGk zQ`gH5ZDm2Oh;!Tv&11-8q-WPcsENj);|u)u{IDNV#&seZo=uY&$!Vq z#*Vz+ilBXJktkrSs;#dbLm`X&ex{;hD2y`_HX7zY(Z*9wLfpGhWbf+pl=KHhgYPHf zMYf>$u<`!D_}wV>Iy$q#`2T0re#N~Gs*%_BKBEGc{541!)KQsQPWH9Ogx%9=Nq+nO*$457N`%K-S9_|E zFfBd2Sa~ipAav>^-$U~z1Q+@@@z>=b@ZQtL@v`giXXMWJws(RL>m)TV;nlYuKJxnW z6d&%!XIrK{VL)l@rB51O>rlj$_>hIB1i3tUdj(~bNpC+8xNR$tYM8s;O4uJsHK!v( zoJa=5nk?GEJw#8gy2J6Af)zQBd$>G%os6&mr$=MUw;|+&pn_T^@vEO`d?ipYf`HY- zw`gPT!e@3rqe^xhTD$jtXxFvEJ-2dt|KUuODP5H5-FXc~g*i_Pek3A~Pio+MxfL>V z$Gi4960a~1<;mfMzp_Z*wU2BeY>=%=I@jth6VLPYn=ut6`-@xSS_{$AgzFg_#@taP zI=6erdbPGAXqG~#OM{MNkIp+MsI!v%oo!F-GcTYmH8lQfcNJ zV+Tkcfm7Q0ki&?`@;HH}XL7rFgYbB-d>!y&MQpoCet7*^L=|owco9W3)GI8$%r+3sPySb}IpSRo zPHB#{aTZ75bM>AOs#J6cug~A<_XYJcGIndtcu@85y|q}*A(T1<=|$h`L(z!OV0lR| z@@+W{uWlzE=u5kXXUOh2gKEH2@rDpmC9>w(?9-7nH}tv6asr7fn(SP>>2M+Cp8WXSQi2>p8J!E_7JBYu08mjB`4pY#5IW_^NxEBZJYr(!QHe>O{#gz{7%?Uw?o9 z*T-EGZrdu(fB5tH|NHF^e|`S%&+Ga1buTu1a4laWjhDY}f4!qAChe^v-u(La-j+!w zwbi*`o@rD>+8)}6%20+uYom1t$_c^QP@q+Z3c}P z9Ft3`2EtFnnYB;Uj=C5wCi3ecVj<_WlD-z*%3VCwgNdiPjmPzI*a2+^93x2+#__UC`aA zMnxSSXC?~Ywei4HvFPN&&{25FJ|5|77=gF2g$twBD0~?C@1EEhK^PYyS|?O|Nv}C) z=S&R?2t4QxMK0L$-%cbi-9@ z;frBHFx>oiP}Xx?hkG68Ijv&irg?o~K-iu5&^}t7e*C2zUY`ZVw0FIN_uJn2wsZRM zY1P*Mf54M+-;=&UWFYsTr6|Ug91Oan< z-NuKrFypLFo+cq3f>QbdOvF1O_?{8n*G*)Iay;aC5tt0F+yY8|^dEshdU`#1-!;rC z)9*4Kn8Z7j#eMK8u)N(I(j=JyTp`e_3ij>@XNmN!#&3q{09RR`HvMq zz@m_HOe!q|Zg%*l;JF;MxwU1|%?!aSdmhCa9)%E-Oo|kP0tgwu^Yha?WN4ar!?Pb; z;BJsPeGQ_(^Q0-o)_%-rTjO_M%bN$E@Ty0?$l3SfP$3!8-Ua>n-H6h@e@}p_q zWC&78F&pPZon?sN*8PvjU^5Vs?nI4O$a>fO!-J?(a0{5zUF1bga1E!e{}%}J^cR8_ zto@&)o&CnXe`^1C&;M^L&tK<$$ba+t@b%w$eE;V8ohPFI`}Kcp?R!?aTrw}<0KYa$ zF)}p~2wFP0{Y#d+rDM7^bd`}IV zR*1|mKkMjH2~n?O6bBU%^sX$g~*OT^h0+Ow$E%3lOUX#C5qmZ@;i;eHLDO; zzgaiG77X!~eb;*AxiF{KKI)w~=I{{W9NA^gLDIFAR?5^(Nak9g9%A7FUrQan#VeB# zw2fObdmYci%|eq8l*lTtJ#a&wNgE>fgli3v~iG5k#)|0CAE(nVw9(GbTDPcXG20na;ZzOG$d`)rma~bfn>w%6H2__AVnjI^0bc! zqQdf)>&Jt(DkFWhM2;P4#GaDLDODB6?>w>EM2SSgGbfC;hTv=o>0*W2o*hRT`Am5ENev)<_ za_+HhR9rg?nJb^|ni9U@9l&R_C@2il_GU#>Pa+pCva4A{Kns!_Q@xia1|VT~S-g`m zvOT_)>bes8E4!m21X7b0U z>DCBPbMWX6P4R0`{%C(6f0`haJo}Q?cJ(?G-jQ)zt2ROI&Nq99(LUr6`6n(%s$(XF zwsmaq7^Gi6EuFN0OpyjIhkL}IA?1Ac+ydn9R2^tctc+^o12f zkG6fylCXmwjpsjw3P+&1DeUvmoE6kwE#FRqyrGJg5yoeO&!M#X$vX~OW+?i#gGrwV z@9zQr66WRBDR1=UY>=Uo0g0)`NEH8Y~ z5E3u+NOXpXK>R+w))!`@5PNi9FZ@#*JgszI<;?YjmY2$60xQcW+!iS<5%1}S)RT@@h5LmedC-` z6^Hj#$w9-jWOMB;b*L#Q7oNJ<1(gDl`b&0eP!^Xie{K&sYP@$5acAV>|HpV7$BGc- zGgb`5ipe0~$KX^QM=9zGF6it_kA{qAEa{8hwU91cNSYFgzn4~elSCOy)K^eyT<*FL zN#2%eV$|LOYv@yssUJ`t8TPdzV-|2bd-Pzpi#&R3 zP7j9{6Tl;>@1^$SOZ2(ll6$>{b9$8nZrM7iIM-bhq>w|#vC+P*k} z^UQ-Y0jcib7EB>|lM#J&*M1xz|KJTC?-fl%*FS=n+KI3_$}R9tstlR0)!Glccp$(fP>|#v zp5qbX?~e9~LQukE7Ol`Y%)J*;{56L2Z3U(?iShgp@~ApcLpT&dkIi{E_W6S=^}&oZ zRY4%gS;sB2>VwD0HSa@F#keOq-u&?zFL+1K7$=??0biy9_s5NT;O9^@-Wh{FzuqBr ziFWi>(b*_ym#Cu0saa|_DfVr~bEXl`iXlXrj5lPvAo~6&tG^cpL#X2TnY009eRNd6 zZ#&uyVW;f`B1`c1d|g}4cSasOZ(Y?3D18Rr3TGLW$5p|1+jHM0gG}(t$!ew?+6Mu` zli?IhG7wlOeJk+JZU|<~>6$sP&SOnKJR>d-S;$2(|xNRL=hv!Wg-~?brZh ziA=nI$ybg1hq6~9!9x(Oz;r^%gfA{?VF8pdNd!m)Ud3-UHW7}ii zjBYnq9^U>pyW_9d=`Rlbv$Z|01+R0r^3X@7y(?oTxgESu`45>l;w~lQ%_NZ=)^g+8 zA$ck*2u!&b%H1o8I(yPar32`%vJI;Dy@8q(aiVH@s(IwL6ld&?;D@l7y@FY3=p&Q7 zG0|ji1>qwqEX(iXQTOkxF{f||BDU`CK4!cKksdZj6F89@BcjA}hpz>9I?JBlGfJ?w z4SuvDPu`Ehqs!{mX$UwlS>X}a20@himuL2eV`lS)`r&?W2&rf%zk7TSGOp=V&3^_% zm;kqYYQ8h(>}}~D6d#6g&!WZ{nrjeoaBVlG9A;)WJbbQxIudrj; zEx3KB^}mZ=RL?u|JGh@e03We4?Tfs_IHy=EQ=PGdfQIF&Qwfp~l-KdxBlsPJh{r1> z$NNAiO$Pn!<_idY{*#XOE&Av}8jAR&rcv*I{`z<7S_uEdnWi<1d32FvuUp7!AyR0Y z6}^--@@i7A_q>gTD9w}G7dVlPDUp4Mr7Ik~?9^tjtfO~V?2;8XQw8|P3qK1w<^h3C zIlecB+mTW%y1*0vVW;>Td{xsF;}agF#y8Gq|hhn^*zS%Sqw-Uj-p1?7+f& zI|2N5drT?ZoWu-RRoA34CkWOXp*pOz6SGh=v#_WrVaecfq(=wV@?=jz zLT~ep!i74Br@C3taq|jvCr)Rc;TnWynH9^0moArX?bk)7sfp~S zW(1@%8s28K>V)J^eWWE)=OJ-9Xv7|$1qr*EtsX0226r%vxpRL9v=9g1l8rqKwafO+ zGQdR#)vnevAv=4`pi?jXA8zWGteCYjt(AkVc*U{B9W~q)c{@yhBEKa{NfW)_WF6%&$^P-XMXe z-;U&KYji>Du_K*g>X}f#_(*PrSfQph%z z>~@6m)@OHDzoW*xduE#V;smnei`#BocnO82kEVBc=0g5Wd2XhnM%)SB*kN)L^Z632 zYQKwogDi67LG`miMhwm15bl}MRkl|>zpsvWR0~xyo*RE^|G#?v-->>Lzy0}&8R*@Q zKo9?IPfAzRVEJgBa_fEv}~tbOjIDG*+8e%grj9Yk>3=GnF*$1RSLU!jK?2t<7j8%pTg zw>{Fyz$6Mjd^~nZ7Xkckndz<_OM?Kl*I{B|&JaWv`bIPt{dNBS<-?So5Mrm6&cr^6 zGsy=hloC*j+~}t^qJZa-8g&@|_RkRZI<@Ud{tR;Qp4|Buf#>jB#eysTBoJ|)ocu|l#RtK7bPdAuOIUPK`Vk!g-p*TfZJdJ{}Y8 z+mu5PA=akGDd-Fl)iUvQ0;v!wDDfnKc?@%Z%VmCG{;$_ZF4Jmj3-Gm}R66tm^M2Fm z{Z2{|Akd$pVL}mkgfhzAp~$=px&2A8D2We3_d8BawwJL@58+Qx9qKAawEdF-6%s z5SFU-{;Wncge#AU^4LE^U;X8KR1I$+!Z$fF+r|eXIl6ug3z$M=kqP;oT0itz5}z$k zUxlc~pVpthyMk{@dAZT?ZU~?yWo6j41M`KizQ43z1VT7`=$~E1{)+k`AMGM?8RnC& zIFO8Dzv0R(YQ_TLPHLfCqAJK8Y>}`R!Oyoa#<3Ck6e43j1@PXOfT+{`%j4~X5H;Dl zL&pCFMB8~t2WApM4E?r2+hz-hNquxIH7wyz?f>rie-}O$bjaUao`3QAh5!2g`Tu;0 zum65F{9|k1ETXnk+K(9?nwrkeT1tTBkdXJS2~)7{dQ5pbg%WJ7ZtCP*tpvNJb#4D) zJ8(GbdUJy$3LKp-vW5=3fm4zc&vNA@)PrrXKbBzzmt1}iK}!JF^Iaz14C~<9a_i>a z?>oUwfQ>f{^YGl_ZWa{&jK-R;Jjt}r67HMH_^ndf!o!An=^m0>V6{j6=xa_fu%Yce z-+z7`Y#-UYAIbaz_F|MQT9?_tAyDWe0}p0rcP9DXDH{N%1?@=Va4m5DK{}}{i#|D` zFRxBM$wwZK0JpJ%B)ER+w>{i4k9lma%f+^D!L6_7iKY2l^4(YYgDqJg?f@_?9L7qFJF=<+J$16wYR9fU9ZVAn(R;vvZZIGnp0 zKs4M7jyb(TS>H-<7aKPpM*09;_K+tp=PQ6KJK4~+_fp`R$u-{WM*(h!z64B^m4aLP zIeAr{5FqTXxU0@^9|(bEEr&|+e*ZmJS8m-9ELik1E`N6fD=&pE?-6ydNxc6i=i3_C zS$v_qBh3j8yA7orBUHdKzRk6Hd;y$(v~5KKQu%3-WXY=jXMWx^}&-U z;$D`a5O})UvNV^C|Ec{yJ^z1-|99>G#p(U~bqwkI_haCHLhX0OC2)x3b3+qvxvYu- zJyf>|QYb~1L3w=(&C#~cP|6h@xx^{}MP6zij}zP=zi?-zXuUq<-sz^V@Rf&b|JhUK zLMf2>m1blYANu*?UywZB$$|X-+$o-`(~zcJTib;nSYgsygV;M zy5bJVnclf~x#tXItDkRUm`sAq?>hx&J5(U!iC@2T%S%YFaLLe(Oop^NcMhu|OGtfT zVo@h>6M7Y%)vb8bL8}kzTP*<&c+}XsD$PU+HB3|TvGVj#NfN@u$8-0!S+$NATqoAH5_L<&}vj$6kekZUfyz zuFH^r;1*vj-+9Q*>kIC4$Bgcs-ycq%twvt1!>{aSONcdg+;)MZ5PfGP)gSgDH#cEc_28Gw5P$s1 zxu9}A@UfiRO@H_r1ny`VylSlvAs0nj4$!PXXq)Z!TYbvNXZsmHGhU8-;Jx+*{MbY8 zBHwmk^btgUz3p42T>w!}d-Rn;BOy9mgHpGn4Pq`h+_c*C#Eg;b%k0+8nEiE$C%Wzg z#3?yld?YmoakIZX$>9OS+s0Zs67K+Cow}U8=G73`5U8-_hI{UKe(lKD$eN}$c6a%V zIRlC(mM@!lp}s(GuA&@ggu3^X!qGDvC7%&LreXro>_X{R*C-(R-5#Mzw{D2ZjG%qT zj6OfDbCWiXP7p`#^*(&BI>hCl^;vjefE<5y%LVdDh@aLTtEXpOd-KD z;&fCj`Up*fhC<$LK!|Ue2t*k3*5KunbXrq){>h&83k{x--1aiXsit*-5WxUW__G!1efzI1?PldcSz zr6bQ@%zuSMy3<1n(mx@wBDPsooCHF5EisF;&_Y;-u3A6ISBRh~ddRog0Fi3V1+OYT zLX`97p-xr_IBQGGjeNk;2o=7kCHI`4fzp|4 z_crr~pm^)@$qV|pXJ-quq@as}yuMqF#DZCn^YNym*sf!ct+tZ!<^=l1*JZ0&KDIzc z>oXSaR^+von+EflpntvD>h@a?D`U&~3r?!(SzJc8Lr55MR z(Z?_If;jmI&bo%T^RU}HLuM2M%_oHt$Z!Zrx#fkkQ0I(vde3%9i#sAiw0aa$yN^VF z&Mb$XxgZfriY{om-C*6dYXRyeA0Os5DugPBeK+$pCZRm>Rqm{#HIxQ(GjFNbLGd^C zvyD`dP-rc;V7+)2XI#4Nq+z>J$6vn1MEwYI4jhsrJ(mYrgOhTKx>bdsMxUzof=ez` zstWELm{5aq_9B@*>W)xq%5f-B0&{QZTPA$TaL+!wLA%XX0P=ry=97;sL7tXzz3K66 z{Jqfhl1oQJcBV!ehd=&Y+}zpc$&l$~*C_a9<`-o6`gKUvQ$xR*h2n+7xzK($ZTQLv zIW+Io>_{@Ff_g#U7vp+bQ2ow1S3Z#iHNGM2T&~Sfp0*wVUq3?Ge#T?6MVJ#kE1LGe z`yCYLD9(SMxB!LEt-nToAV7iGl(_zZDe52rpMM4V2PPDWB6xSSm{&n{sG zS2Z%$cg`i?x)SgZ^C!X0Iae(L{rGObh(7q8Jq(10&$j10cn*XK-TQS&a}w(GPzPZ8$z&6M5M{PhwXJ6_Ke ziI0J^{mivT{gvQKQoJT6Oa-o${XHh;oZzNDwezT;1h~!fJn5Lq1ALd&1tLi=!^%L_8no^gXi~ywz}0o4ofdJ<%<2`*>_jruZx7 z`Bl>ee=Y&{?R@MnQM2PtXs+W_Iu7oijHj~9TEXLL)76IKWpKYPZcy>jZLr{V9X~94 z7_7M_xyw7!z_#abS8R_gI0z5ae@>x%shbRxb@)<3)=;zf%LZd-N2A z;{+fa+r7JgrVR+ALUWcXmf&v5d$pqi{guQPRBE~_=ySZyyEptPc&zJ5T_KPmla;m6 zCT$uXKA|Aqd+$0}Q(RR4q0tC-#A(`@$v9W3e^7V*d?`4eOk>P-;09M|%}`oi{G8`L zIk1SC0^yVm>oblBaNm6>?qdBy-(<=sW5}MlJ*g<2C;pn_ z)Bl~lVV?h#$~^ul)$LOQR3`X5z-*4cG{3)}4fw5pX3rn``j)YKcN;{NvyO#Pi9%c_ zaZVt|c}UWF+7_#H5>nbvP1WH}EKR`tfQHcnNVoY}vSSc;YDwDNue+`ATw(Ef^rirH zcI=N+I(adNU3_YwnGbS~ZPGoaw1S-RNG~bOx68HO*!DW~8gkt?6*@M~1A-jp8Ej`E ztg2urfx-=<9WTY{*nETdSnj6bct%JTyHcly|6Zzicv`b2@?P>&Mia|rjA)zXGX%q-Kk9!K!m)G$AX?wFHU>WjQV=1pl zVZV^OD_)~l2J(454I-WeBRgC2O1}9Ah^ct9FM_`c5{4g-+AZ}WYxJ&a!7qPEeaD

vKU>*eYlo^2FZ#bc{l#DAgWI`|hV-E6A;+R7$W+-w<^Es_vZm5fA2Z|6SCxe< zZ}=AECb1Rw2=e2(I@3mei5l`>k~EgIy@P^haSXJI$k8eD7(01|6g@cc^DurNiccI+ zRsDP&ipN-tOsS6pVs*nawtGQpg`k4??QYCb-ecaeT>-KVbe6nIErFcf`x=gp;74KJc;C@!43M2Eh?l3M{3?$w@9@{#Vy!=krP zYLI1RD=`A4{dcp8sK}s9NbB<33lmTlV5TI;;0tAwqbrX7|Kauh?)m>+{Qo;@|4G}9 zoRXYLc(h?+znDn^m0Xr-rb13oQYXl~rL+n82?++F>enD!M~k*LX&o|{RTpQ&&qAu5 zzyEi!8AvLiT)X9nI&zlJ&vIHBkca*?MJ;~}B3%l3e4Ct++urF>e&-NoW0mA5utY%c z<(!&(at#om)u?Y`H3wa%3?~l<9)^YjD|PXKZ%|oiI~`gX45fTqCi9xcP;mXUpAdl& za!56VFOM8R?y7of(B0F>eDZM4-2zAs9p_7Tn1=W_RR!uRED+Nh?lAt%4WbUWaVs## zLHKJ!SyiVa5IS3(XXuW3KZjqNEHq)3m#mAN)#DZD8e1u7^A&)`h`D~Ty|GYroA}GF zZO%~oI9=W5VImY7mS*ea9EY4Y7c*!}hauBUF5XxF4C=!ZUbnho9^OrhVx`sdknrPH z-@QegFLZU&gow#OG|dq6)=yW6DB;j`YQPMzv`cy!H<=;i#Z{Y|QJoOv9!#YEpccCG zi{hVC#X{3i&CT5-K~R0C>fR*o%*v7@gK5Xxq3A_#A=P^t$m2G9{3_unWcj2XFPz0J z(sbX*tJjMmRX&hzc4`cg!lezSqs~ISga8fA5xf`c=r0G~z}?ymp?_rV0_Gw-Kh|c+ z0-+;a9oI~dQ*?aqQl^$A^t^cX(TeN}G>`7*(J;}1noq8@-HT*U{?RKy`S>X)@n=c8 zcV-p}_Vwp3EKfm>;;WnM$m`D(d&X+*fj@_`6e5jYW7KlHFZIv1LSl3R|5q_MwHP(xVd)?mqLPWJDYpq>F1G&Qs&8@#)zLt9WRoAPAURHACHJ zL8-v)8&H|=DE)8)?_5l^^U1}SOE}k($c=1~ydLJ5M z>?Ixhm485r@9q)BE8&p%D2*TzC5d@Zc89yD)F9@fWbF5J)N(TxCHZykLw5Q5){&b3 zXnX#v=l`9|bLqmrnOy&s%kx{;hetim`Y!&%nOzTZ<QM!0qU54b5K+xU1^eyn25c2w%;H`un87y}RVq zeU}&D5xRY;(Ch?ws?eWxrD6roAMH1KQVWsYF;C2VW4te0Nl)cJx&m26sj*tx4oB@vyIgB&&KH!y*{3X zEGe`SrQju*k?KQ21m4@9N-gbm1MjDA%PPht!N;@UxKr*&@D<&2z}^{I9xuaTlQgDYv0x=Vm8xDiCWPv2Ar!n~oc?KxT07+2^QQPLdrPqrky zYHq%Y{>da$Pvk!b+FKQ8_eVjH!pip(FZ>{w`jB2t%)eTGfxmWmzkB}QKE8|RiAw&i z{oA86+i%a|pMjabYM;JjClS8XYCjS zJd)Y{?V1UMScDsR3?6_msc*;N(*WkkN-yNKc0;7Bv|B>jXNZcDdTr*3KCi*etd{er z)%YgDaqq_l#O-*gMMr|?KjRyE39fWVpz+;5e;T=gUzkcCo;w7I!-@po@zao$v(j~$ zYZ9}??Ws~Wyuhb>^oj7hZ4lVVK_8-?10hS_6t^3p=d(7Zwf^ZSM5tZwSM2eE$Sy|X zX@5V6-v8^dYu!D5!}Bx*UCZJ(zRd=q z#=aDs^dS&#_`-tup(|>S*0=I*4@1<1s?`z8#}IRii-+~Y8pMu2PnIjix%x@!x6f&{ zA;G;cI|Fl;6C3tt6_=xa@~v5{sGkg^5E-xyN%ugCchzQ(`XflCNFUUBp9!h1RorVW z1CaVzc=@VN0fe|D!3BAHznK+2kxm#cT6a9i)zG}DA z_#x3_DFH+cA!$=aO$Gw=yk9{!dfNuOOr*28>3`M<<5hcwM&+k296LdUR0H4 z)CFBVy{!va+f92v=A50q1l70hYuR}iLHU8mov)^8pycYpp2j_%P!OALUcS`@xmK}h zHk;Ovwcu|2G3Yd847O+AxBrRv;u8yH)VBD$|4K=aphBjG#OLV22}tZm9H9|1L^HAp zVJ>12TcqOrVvG->-!r@|_~8xRHrb>d!e!9RAYz>A><=|y-yhrc6v`)D>z_u~LCJC0OZ890aNjN5h~-vH8%zHq)fNewAY z!A6P4kW0AL&>7G+j*Q7dPcv0=h%23Gkm6y4m7lgZ=iHismx~uvpLin&&w8xK>mE8`~K_xkjuThm0(B%StD}`tj1}O zG5zw9`1zxd&iBxMadHzdL2r=$puO3Iuk)}MIk{z-_`TQTZn_&aF2`I z;Av}tKUGHnw0!JS3km-S_1dirIwcWM<-fS|;yn*2zmi28(JlogUt5VC9;HT{KZf+t90rMzX4R5 zR7y)6B!#l^HM6)ucPJSx79a`4d_vQ`VL#+fL4HxDP|uZbkgJ|27`G}8*(wz;<_wU> z=W5qS*P;aJ^ZIMd##ix9V9g!+#t>2kh#7b9z&RF~1%u)}fH&*fuP+=Y!Fw`r=lidCuEtB#YuI6i_N8b&wzHhz`{U6zIy|TS(m&}L?xqB* z(w04;$hEQGYaejB=>a&Uezv$oIR&oD6%0S8E`VE<2k-rgA|N!Wk1xIS0QdfFQKw9{ zgGbN1m&a$7!L#NEQ4kd)2hlh`>4{YkLWC2JR7Jw>m>gz*&Ps;u|GB>f@ASLW4en+o%3j z5$F5h&OxnIAJ_{XLe$mA6SToo=xqq&(>CxrXp&|3J{f!L_#2FDn5#SO+%QN`0H0E_ zQW|z-T-dJp|N3qNexex`$EDK2Z=sDXLADOPI^7rjEQY}LNT$ix&4=K4?0QmA;3IJH zdBO6$=Lfh+EQP(@Kpmj3R!%kz>eTAC&*hEb{QI2JhJ5tq0eB4bh<0&j;?H-H zZs3(4cpH^|6)*V+K9N(v#GwJc)k{+ur4!)SH?~DAYykdaqJq`4l@KtNf&foa2pkBW zZe}Qkpr+_5hLD)V?Hmgg95H@b@!hc5>dsUjo&x;1ABNr*+dT9g^1JB=4>#-2hdZuUI0Cn^+y$3!FU~hioC{?&eJA|{>9zFLJy_y4M=RHI* ztJ^`amU+Ae_2ld%w4@RcSzFb?EQ5JL7mZvE-(7&H*W7Ot5^BKxpiUe6Fg18Jn!l}F z!Dmx}qm#vh5JWkH_k-4?zD$?qBr|xnpgvOFg}x6?`XUlfPmU-PM>MsK`?JUZ9bm+ zA?2K(c2l9&s_xa%&U?KOR=Sa{?&SjEabAWscaZU6Lo_yEYzvV}+$?NI@O>EMe-^P& zK-9C99a6p*AUc3F<4ML-h&fFzAyBOWG4Czk6_Bz)Y*27l+^Pro+mZyuyX}RbbKRsQ zZL`P>XWO$)Gz&trZiVuN%s|-VCyf*=-;mkfu75uS87`v*F6-f_?dtQd_`)d+QFZR` zx_h!AIzh%__0lJZv9h$}7;T5xvyzJ|cDTnt5=(@o4dw!=tgxHbKz#7=Z%_-(uZmZajt6mbxL|z-&4Q{r2K`3J7i6G7xhWwo#Yvh5ckdwOdjwo*tvJ%Nj-eX2c##?{! z1vrRW;`o6wJ-qMjRWvL5UIrKXuib0#e?(lnn3}JsQeVnz~=F1m2rjA!Y?PB@s3lha5LX@KotrOyr|A4&xG8> zcbtN{s1fnJp1+GK7cv`E{N!CaAVYBN*8ufhNE@a(PvIs9sY!aQC*!yx@_xj5%n z+>Itnx$S4d`?U>0=UfRJq?kTb6rA8RU{Q zIGkzR1=*(#_vDQ?LuR3K=N)rf$WS^mcL@Fa>D$d#Z$}70>Ki*fom?eIndnGXsHA~D zzP1^4Dd zi97Y6`A{(w>GH}aTdP9>>$W{C&nX~}J^zaG2Y>W8zKm?KI*-h9wRkhjX=JND9}c{O zdn(Qn=^elXY3t%fyGmUC)c$|<{QtJ?;JN>|kMI9-?*FUhCo1{JXK;V3-!fFdxpmB` zqs!&kYkeNA`|&~%&x0@0?nL9@WA|P9dX^;kzF96F2$KYVUQ!09ZrstS-SyBn;)cMR zG}lFR)*A!J!9-hSB_LRAB)pCqCG zuIyl3Lp)|>JyjZ1XNyH*tAC*=BiCMX<_sPqMI`_U!V z(9__{?2{*QHU|6%(SfdW$QJ7{&kpwMMP3|B+$9oj2x91r52R3mV754ENue7Mvc0ZE z>nUb~J6kx)9+Jk{VJ|tewjYFQJzT#igSmz+3w?}l_CXkT_OMfy0l1l}G2P0|2M;EV zu$8Wz;3d5``>LiP_%JOENx5FfyULdb9{0JBZ7L(NAb@P^+fGXz#0Ho}{v_CJ?h*vm z5z8LA#R9>PSFn_nAoQZ?6?Vl7I74)eoGrf%VMp)vepQG= z-az8Q*mq>C?`M;c`^5#GZn@MISL(sr`*gbHx98xiOs&6lRSW!H*&WPjN``=Q394p% zn8}@BYSdNn41$IaY(BKEgy5}v*N5y6L5R;&nvbfM$Te7=zeSB~5PvZ#61)S2kr^}- zbD3gZFR_PE;zI~~@8fJCx(wm!1_JT8=kPkQD1IjZ_YhL#?2{MVz>kKzQFB`p_*XfV zb>QA7P|7**5_-skvL@=IC0mgBLM2siAOj(Z)DhQK)gV-a^x9#LRS12&qbCvldSRD2 z^N&+wKQ=;RZMcT{z#77D?U_zP_;5n_mbx!8(0qP=s<4NMc0YSvqtvoo# zANaerfA0Z)^ZNek4E`s~`OVh@lUnGd!BY21gN|e`Sbq-MVw9x-I~ubG<&+X&|4b-e zo;?g44XzRv_OgIe=h=m0i$>tGgSWY319>y-!aJSYF?TKdjd8N>Hsq|6DwkH_{Vi9H zkML_82wVvPS#`lc2z$COb2l;>iA>ntyU<^CXI*%Dwg4X7{=_*z$OFsL7^`K6S70OM z!&_66^)F6-^>i^EIIs~nnbkLeV|L6#-%Uqw-oZxr=r0B?>TXrutjP3~6+L!-qaIxQ z``t%9Fz-#>XhoT$7~Ec188DL(1L0zWL(Zj}Kxi9zw&&hia6e&CyzEr~?n%SRo;khn zkdDWqkeL;%gdAGJ(F0;raAu%T{W#dwmhf`4>wtqZk<1(uH#qG$?Nhav2ApkHt7h`f zgG<}zrbgpQ%-2hO=DV*C+^CH`ZkU_~xA4vLJneNrU}}BxIEM=eq4|twMnq8GXDajZ zlry;7$J5xaB2#dcC@EJ=3jx;`Mr`}OUk10k9^~8iA^UP8<>EIjXCOGz z8D>4r2Eta6eM+VzxLXUp$oJj?_k|-drdQU$LytUIEL;yPKbM@@A-4oJL7(-qN2$Q> z@!I~!QkTJ@dRN>d_7-q@0I5ACJHdq{Z(mno6S#^u)0m>x#&xdyoSqObxOpYI`^_%_ zfpd|LGBh3trA{X*$_|0MRL1tuA7{Y5^@PpbbPaf%>1UxyQ~`H~x8oH3P52BYzs?~z3m!REWC>($_&)s~eN}Pi++0sL zLGm5E&XN(Ok6D7(lfB~m^)3FK`+s`=zl;BWL+y9vuG3D-jziPsA6+Ywicr105L`rz zoVF$Yd&Z9wpj6}FYM4AL6#eWf96IBT%#WLoDyU(>>P-U5rlNg2f6QvZeS07T4nOa7u2F;R{7@cgcP?gis}5!&@FuE zv!3rB)N!wW;<3RcVi6&)t3dzJmdjj$4lp(td;D54~IkOCnIXUEok3o~wEF z2`Wl$$Y~pitRMJ<{w&xLwVP%?WKJ3u24x+GjNJYg-LA+KkKHkM|II!0(fOU298-nV zPF3TUQe-**NDM0`L_zm_0;FUZL33ikfkRzMQ0sa5%WZYcz*gDeD*hn_$^sXLM^(z9 zB>MWOwq!jN32?FR*}(z%y-b56GzO46+}W|w8jd6|6f&JwoA*7v z3>i0`D;`uuZk*x%ucs#DA-)O#fjqjhEz*;jAJ!F=+$oes@1DKktXMg6%62=Y*kG z@A-lL7hj+?t@NG7HGX)s>HE<}loe{OVUo!nai~0ZNKok18D{wBLdS!w_p|roTHmi@d;kz9WR2N!C#PdTMav z3m;Tk`@OxdI11%4-CmdGW1);CQmotQ8kCGYc)h>-DHI23ejlkSx4`JT&+td50jwolCNFO^yNBa@0N!ys)j$c|6e`-Zy(>v-#NP>>X^SR zfq#;lDgW~Ex&1q*cct(jp2O>|744sc@S|Gk9ExVhXrMV;_mTr5(l)Hk_Fq7LFRRe2H#`tI-_zo8EC`~U z3LI*@4nj0F@!i_J^AH`{x#OhLB*ZXu?x%ZMido$0>tf|P;5ES}PN{>vG?n_B)m~N;n6h1VUCV?;wO(zam;X8Vcc;>BA70g1W5RpEP8!hwJ0zJYa*q zLR+;Y-rEZh#Y#JQl;;pc6@7O%;I)D1)B2eQ{ttQQ8PIdv|9x#D8cM^cNJc6vm3R}P zrIOH+l2sbg-h1!8_ujiyv{gz;h?Eqfr4$-c_i)XwmwKFWmqsVn@eLo*9h zHy|*z$e!uo3*sJk(qxSIMmz%>d*MbL*go~LDW6{<^dd*L=5}v{G3Z(KD2QKxx~e> zv8;(^LJCn)=OUIOUcg5nz2P?x;WHb>#yw`ghQLUsd=Ui}1hqDezFN46;8&7sLp7xl z(#G-H*G3DW>D>G(D(VQk|GkXDJ-b%e@!CpH)o_Lw+D`hN8agm2nDFg$FJ@R90$kGC5jB721QNE$cETbKox zv^FA&og7_fA3{_^jEuh-5Pj2O!xi#<7DH_%y0AEdm^jXs{egt{yZ?+*WAo&nwf|?| z|96k?fBf2Sd(_Rhtv(vH9v3H~Y6?-guaRr6>IF&>~c<<}3Cj>*`9ske-VSo&JulOFc+u8yz*MB|WVlm$~fCRw3nw`pv5y zksuhFyOZxvBUzH|?#~aINOD(7?|e$`*QH-mZrpMLb^Bb+S5bPQvOnkdCvtyX`h+2d z?^r5|cgw%NyM^q#GUp7X?VO1ZSi{-aP!u_~EWOwI43L$qRj)p{8JR5Qfj%xjkp9qu z&t6r8c=3jWKOWtLRPQle{|jXQj~_h1K0<-yC#!t=k8XND4aT~b#2;_u)CtGRf0^B8&cf9yp5bK zWiYJizgB~+)rU&o4YML6WpZZkKsC}0)(p+c_8?7dPr{y?V@TCC?Q9lyMv8m$#CMUc zNPf8QX`1gPv@bo@I{4`l>fNq{M5NoJdRuPr!%`KLH_VZVsR<<$8xpJ1@1f|!37X&g z3kgTyK&Jl35ab_RjBc%MLhjzl^Cn#*BzF+@<{qC1GMD<<>5lF}M#;=;CnZ%fkI`|f zRdo}#+C=dW#XH1jBxbem;&!BT)SQu9D#KH|#ff2>r)YR=$ZgFcjE6Fof*FUMcLO2=FyYhDBaXbw`=MwisKCUyImfl z==$iluH%jacmC(p0&uh+>R{deB)-;SLzl~xXe z(XO;-f<0$oqM5kn{kRECp9;(6l)96w>tNvz!fvws8ku*AFg&aapB4xT*~9j%+t1+g zXxNoC#2nidO`ZpPJKcLj;K&D-v_k)4->y()% zSgsPz%A!YDdnoQac(!}=r5lVnRNwo3J_M8DBbH%T`(buvvj+$Vz{1aYzaFC`tbDXp zY!ew_qY`p7d7=Qe-#2-1yIaFvZ&&lBtj-IT}&bk zFcCeI*t{?RGdgBA9dY8J_rEW!sk9I9u|l$8s>id6{wl{Vl_AvCyfGcMyfkrz^CT z1wwE=mz%Wlg);8FUf#Nc?7yay!;c*m=wVUM_CSZ67g%2!mimqg*p@6xTL0Pr`~G~7 z=vaS}t)|M_uD1dw#rD#di{fzRZFCOa`NlozS${_&!`p_j(s=ptPX{>r$^nbZ5v^yePn1@dxSja zAB2!)HJl{GrlzLO!CAwG-@hgUE;q8Y0(?k@`ikvV2A!L5JEFaAahezIl+RRI_$T0A zJ(1ejUP1BzEaQzVe(+eaKVr1c6P~{In}t{x;Ki`nxh*8X2-eSa z-p3{GcLDL{z6+3eabyw6a>mA$No+_7Gp!CklYrER>?ELZ2WgLIn~c-;BE5XcXu4Dg z8GgTS&%}x%Qz3xDY-J5He~+qtb9P2n^deP2I=vII6c)!iVaSs9x>z`nd#>DK9TcbP%tv z{Vk_KB^#u^WH?&%j~pBQK{b9gePnQJO9{Oty)&+r_rGEZALe)6hp^1G$ZE5Hb2NsN zuq+Rrrrhj?oSl)jThd#QQ*&wbq-HR3Pn8v=(<&0K9NS*~Lo0~>p4Q6u_Bj%G%NM-{ z_=uzWmS2~BC-LVx%c>G~R%-4U);^n1q%*9o{GRucPV`Y^84sS> zA4zhD>Q{8gq9Pu?Epf#X*~s~-NgHWJx;QZ`)hFAF$X)I2CmMBIkk@qHPogvp(cuGZ zo^cXLK#b4IIS1kwnENdCYYS4cU)P*EMa~QE^j)5Hxlj6v6ILA~gb!p=Ex^w%fUMeq zFHYRL$o}fb<)AZwoRwE56FV1?J129b#c(6>^ zMLk>=^esf5(4IFATsp+>w@#~rcmNBY=d8CF)x!!VyQ$9ffLy%PFk%QQ6!1t+4kGFw$=Vw`+v{;|62V2JJx<{9v&mOc6^_aF%V5c-zOEKaMPMB3w%P%f@5x5VHJ@ zVRPFja@Vv&pDm{bfzmX`XDX%P=l-+qGt)b?z1?`e?vN=SMGWWiS399xzwr7Qj=O{h z`%AE5$5G@Nq%h|XMj~_Cuy=sm7%;G?3cTIsDnel_0+SsO#Ng!|`A^NiWdHA<$s@?ugdVG1S&kSrP z4)oUoW!B_5zQSN6A&P=9vQll1HMkMi_0YEjHjOl7@0TBnvwljt(gzQXN6sUqc;6$% zuTw};*&Q{UZ-)5N!Q;y~rk$E_6FM^W-E;?!C;P80@x3Awn>7rDZH zyFBjQN2cl_kLrgvkR~m_^WY@;Om6hor(7kE#H>voujn5luFkw|bQ^Jt=84O`cwI&u zr|K%nr;`y@@lNth3t^`g-Hk2Tvxl%Z_cR>1w}huMMO9mqUC}`A;2Zyb3RS;)j}67= zqO4?5&bsp^inpC!HjfTq&t1M5iyMCDMo0XBhpLEdVk<+lI@E5e9u^)^fY`9taEDmv-baE z=Ks6SGtKZX-`?u?ch{_LB0j#%fBE?S?(Y}=w>!Cv-=D2GO*;qEM-j~P`-p@4#YV%Y zj=`|L_mr-dg$uT|ov#E53(EdQjFov`8XUFkUg#xUhm&NnxSz>xIBz=eri!l>&hNe+ zRj9RuONd-hGbZOy8p7y0k9KwTHU8Q3WtkLTb|Pjz%fNu_}rbdaPkS5 z_2O5Avo3>RWoZ~(gj)LV$c@5fnoUd9gUp<1UX=TY^Vv=5W&H(P4!Hf`rBcsLhI_!b zP1`95QFD9u;cE|PU~*plI+gWdn0@1$D@bJk~&+NdBF*cThji zA-+IC%ML0UFSva>C`H+s4)>hP)#6Mg@K7r~a#z>}o|H0lMo#MROr(A}!e|R~r3ERQ zOj%fkZNJ7ac%Lv>BgO{3g5khj7PrOa032Jk*DlCkCC_Sirp#Brg!5e8&cz5x1s^r|ot@Ch~B=rfnXH4Wr_YlXrdC%Oj#Sn6D&+}_mc?~@0*(wugMBtTG zm-$sJ7Tyw@WYrFUZjTt_?!)mi*ae@fd^@ zD|6^PC7Il9IlC(Z2N7Z5ahlv2;&&-rZGDIp zfl2c=yEs`9Y*^@+qELuX+Qsn#!etG!_IPP%qKxpa@=^XoIYcrTTx2|-iztzsUFzFO zc2hp}O^Rh8VpMiK7ogmU*z;zZpB2s_PF&_wfuT0yIZDrGf&4tFSGBnHH*r-hc(z&5 zz>7uOXyq(nLo?f`cQ>s@(3Zt(w=>2NJl?bFSlj?YJp(rS%e5kWRU^Y8jbub<^k2v) z&XC9?!=_GIIz;tcV|@@vIDj)7+Qd&BB=bj3>xTn!h@;%KCev*l*?;E5ek`>i{_URL zwDef-c*L`p3U$*xZ){lV!K%W4Khcke0bJG}=ni6lRF= zBSF+V@a#KoB%V(Z2-{EYjOWj_ty*&yNzp>Z-so63ogb-}sK+(RE&r_jKQsSdi~oPa+HX6z5Y44Dg-4f?ckv%sL3wMxV*7(h z!j4ebS*+VdJn81KtUtntgZYe0_WPU2Y)dpWV;n}hinQ?6v<9TgQaEtcyCK;>$z6KO zAd(om<<@!XBf(xk7MwxEozG^LB~Z& z_~tv2`Y|{3vn#K<|tK_&jGAwBXvDUviY6OEV`<_g7pYKR)9 zKK7Y%J0dr;sF#wC=MyUz6Dg|*!VC1ZHK(OT<>{H#Eb50*GW1bG_+tkOf9pJr*%pbs z$4_Tk?mR`d`*_JcgZ0Sx?CN`ceh<h@JdudZ(rw(Vynjc<=WhiZT2{2PF&IZ|DrzRI8w_^DN8zFC2JawIDK4 znvT+OsR1Q1!s#2?me44hfCAm+cOh%(kn`)V=*Ef_WQm=>e@{^X>67=U?SV zmy$0?IdjYN_hA9jpVR*6Pi;an)vNleq{wHFudiOI!wtmVwpn-?*p6r`{gT(5J{8$^2wIPl5EdE3by#mytUAa_DxU2~sW}*d7?L8A&5!yp)HoA~7!MXskgE z;>Qbh&#v`D?6a*L&Bu46GooW;W@Qf=t$1&wHzwc_Lz@GA(M42#RpD$r+<`KS$6Cc( zHlz6SO0aV2B@~Y2oSOAzLB7`j>MMUBr;}AqR^$q@EDAaL3lorGBqA}jtr=;lYF2V_ zk}qW%|i~iL>j!o!4i&k9vryGYXcz+9&9*y@SnkzMjSJcGx$1>00faCS15w z6Fy%`IF-%^Dfea(mql62=lA+>Nm_MfB}9|3BP_}`3sAxBeB2SGgYl&2_2I%8;orD- zgt$r4t(rPr*|#is>=UX?XVVNtT=5gx5Wu^V_a|g=vS3!uC2J#zaC7Kqz}ice5>NDl7Zhh}cQJOPjJ%dM|WG~lW1|A5~w7+!RB zJL8)8;8j}R*xjoQZ}sZ$#rBl2;Je2C6z8e@Z13Mj#uR{vt zzCyg}`xe6Rbc%W`@>8`E&V4MU^Z)^OX ztidqYuXvViVjxVGjV*hx(vW93ujq)GD?)G?+O~IY51yv7x|fh1-5Pn(I^v-Vt1+?-8b`3Y zLea10UkG7+qUC;E4Iz(R8S|1B5o%b?tnYCZVH+;<+p;(zEQ?u+bvMbrNEj*J*u4$m zZ6AX#9w(nAu|-?Q=WoFMwn%o92?f0L2OXEFecFWvyO^-yMW$q$|A> zEl1cwiVjm-6T$;UC<Hj5%)3SsdSGi`MSH)d3^d2ZgY0J@e3y+cKBV3%q>AgvyYo-MJgh- zT^FNHD8gf3N5$iQUU;jNI9-?bgCD!W_2Re^awf~Qr)5|QfuHBnWmE~v<(sSgP4+?r zk8N4I<~JikUTmyZ%*sP(QJta6fGzPW&b<|yC9E`sjf%;qzaxV2@WVZG9})3n?^Xsr z!s4)_+pR77p7?)dwx>01LsZuh)!Yli@agioA#r?yJbTcsf9I-$z?6cpi}cM1dK1m{ zG|vaYziMb(=!i#&LFh?#H*t|Osv2ZS6WFC zlefc5L^;1{9J-{9=<^aKnuF}^b$iRl_MTKrjq;>B8ZCfV{2IF%TXeF`$k99Rul&8%ZM;eM4t3rCWSM_$W|@+ z$V~r>xEDA@Hm%}D`r0f7E*8S@xPDfFz$KA9oAau?loLsXDl=miK1d8SxmomSKN7e$ z<_*oOAnr`?9?e8H#6*r$8Vu2)?N_vFW|lB&!`GjFqdkm@IX>CP%9l{m((&xnyIB;i ztEgIUt%UqN=5w-wyu<;azHRLW!tbj%reBm>fb^2;49+)%;jtXDM|LgA5W9RFlb|$4 zvPeBo#M5FVt>1A#R-JhHsBW-nDUTz5JJY)DdwLLi$Gm&$;0WR6l{dt`jzXRCM!`AF zMpVA$4QI@gAdK+!n^WD4P*h%G)4=(Z+)>3w{rWP7T+y^24V%4?RVwy1Kja89;&v>5 zqfjl>BqcVm;G(M}{!R~n8~Zbee{ZcpI`MI@%>^(H9@BH`lR8!tyK5$8E< zQOtc1?eP_ao}iC>L^PRJ+l8s7>e_IPWqO~k zPm3MT$t$YvM#NrxS=gggoCZ=9Us&$l0Bjs=VzcvUs)?y@?z}h9+I!wg$p7 z?R^d@Y%RJqeue#AeNvi)3Z z)?tx9YyUrH{=a*C++^?n|2ep)|Lc2v)O=B;-wfeQA(Hx}RUEEockJCnFF>*vOedl> zQsI%aR^Xs2nFpvlqIi8z5bj!|%`lfAd@e2f$#dR;uR3UxZHM5eP+zovfMjwHo%~=( zlSMpk`J;Y@ml4oc^<$xQJ8^Ej^X#W4`&a(;fD{_i<6EPq{Dq0ke4VQ$S+-ihS-w$q zKy47NZkM#vqg>&xY9^&ikpquUTqn=el3D$P*rxt3mhiTCYw(J)8$O|aov&T4!8caw zB9|BGwT05CYe~F!+VZoYLgkq3E&#$AfF|JUU!tsWSZ!*EsLZRvCCd zk9%+=jUGNTNk3@G`}AG0&R1<|g5Sc5nCfOT_>Ztrto=<~)Q`pAc1kA@Zz1ioZzP`= zSZcVzW(_rh*m@HV_0A*6EbqIg?lOpWn9^1e?^oS&K& zglBtF$;JqEc<=i8CMv@VJ~t2ZZS<>$uea~-^14T)S7$e1R_H>U+qu7Y-+GP!|9YXL z-B%GP?#mqLTaCcXcuBEj;_YJ=br_YCBX_NRMJ7l4$-X+lRoH3u76I^}|Ec;k=e$4!k&|Yb$_UNZnM~X9X2ML7#U)J z!j9UL)=4r2_J#~*re;!bsO(tZwEYnrO?Pw;PHrZCG{N?!BUL27=eJ!ekbIU0@!0T<1w(ex4};7oDN6-7s;| z-7Z_#0khI{`TnwJuoSBc7`w3+)(YvY0sU8C%jq}p+L|7Ag>2dRH8OD6x>NnaBI*8$ ze5q36jwTGx^+P;(4X5kE5xIL`!f9g8aqj09o|fOle(R|s7Y_J{#ns0Rl@mEU=mPv-a}ZTZV~kh z;c&Uc%$!+Ec>UeRaxAh(NS^PIH0>ixlDB(#Pu=zi%#1~17MDd}$vWFAc#AMQFFm~G zVd?~1`Nm&70bHavNCXJ+if(kkI=M z_c;13#}6DMcdf;8ZFzKm*8ZQF|L?{BzhLdR}UD)72nN zD@1pL11C~Nf|Opo&?Jn+%cq1g*CY9qrHV`SXCxW&4$%K3Y{i`PL>ZrZcygrbbeei1 z>R4ZGll`rS%Je6zU)^Ctso#Mn*QpKUoO^|dZfFXHlnYLt<*dl#JFu}Tk$8dnh6Il; zSRr#>>WZjL88WnQvua-^96u_NHO0T4Bem=J-MyXHkWxE*baBTOBzH9G6%9=yY5JA7 zov0X+cB!)@+%(6N38Ro&>Bp!`me&|3Oz8&!^D8H8ZlLV)_vVjo<|vMy8!+#?hQc&k zk;_-ZkbnM?E{y z9)N~SM|SOqW;~?xi%($Qj>;Q@H(t6fp-eTe@IawFO86ehJX*3wk*U35bm1flIqn2j zc={smd;GZu!LP_&uQtO(P4b%hYq_(IC?NBf&-9TKbjT>XB=8`Ge18N!;E>**SeWuPEL(_EFY(9)(|DA0DpYL_w`kubh=G@<#7(4!iJ>e4koKeDNfG z&w*`nQM2oj6(TP4Rp}!#ZyCFkxmO}X#l$x?%=^#U|Bspf?;aoHUkvVl_V@qw`YJ{v z=2d@302Ke?`2N@K`)=PhDXw4Ag!ciirP#4&@YDX0%Xps-0h4T6T&*V%M7JtgnlO}u zW_d=oaM_T2+WZTu4|xc2ULK0(aUpCjyRw}-lMvdI$kgWW6=C`g(nC2<5VqKOJFUwI z;m-N;V$6()pcXr&x=j`lj(gAY)I3GR+>Q|zYI(TZNKjBpe1mteSkf7ZXfjL6b8la# zfk4haLrajewLK+18rGe}6Q{e(`jfD##`MqMCFl1cS@VODVpIrKJJ~6jm5I>hBaSsD z8xa<FXD5}@HE$zqDUGc=ie3^x32#U|LHLW6`pbOY$UBxxBoEV*q6V(bes73 z((mues&qn#?Ha*Nb{-&~E(g>r(HxZs71;eDe3WpTXLg-@{gn=3*`xiprZd;b0?Y4(;^}&swUF%D#>}We`B8@KR?p@p5Z|5SkFneSkK%?)Kg*A?m#*CyQ#}o zxLrbEgrd!s%3{LcD7sa5k(}}MTBsSnKZTIaw!WXCx(F?~^<=NPHo`oq_PO`TlQTul z4H0wnq$ld@e?8pMC$|J-+|(Yrm~g|GGZ68ES*mkHxIxM5TDof&2axC_Opi z^iyCJib~#X<@uO`g2x7QhkMhJM=Ne!6ut%7y>o}vu7o3N{av$lL3Jd@pVTm-r-<}Z z&MP;BUn6aMp31R&8W4W-xh}%hPm$z$#{F;#$!7drv2Ev&RQ1f^Tc0YPoX>1HqOuls z2enu@Q|M4Rwl%IIQ4ytYX!7<5T|=>0s&VB2I||j`k9OUkLf-I)uic|<$VusFym09W zvO4zU9NI;C{IGbNdHXif$63wmsR*N~;f&)=UIC<5uWb6HFocxufNbq5;=@}O6WlnP zisa+$w6ezq&`#4Z5v#v|y866{UxEkmpqDy3dzK4j>9*fyyDy-4`rg!Tb14*2+;a`7 zBi=XWJDQ(AT}EzA?ZtghGLilKNG3UbK-OU$iqol&kkLbJ@OAAXVTsLX%b&VQ`g2{J z)vG@uHL~uU67M8ZYEo~BHIYp4cc0$8Ha~Pw-rD;uiVgKXKdt+^Xi+VgctdCXeU$f@ zS@wRHL&@)-Z8Xn+qv)qu%8pS_;+;*(%d;Y9pIZFB45kstRh1DJ5*0vp8tvSWJO{D_ z&$i^Y6Hn3SlNt@=oFM%%@A}&Y;z(5?{>3|{O&c^pCX)5t6g)=m z)AOg@4Rrg6ACOJOuu(GxIrfSrx(7&agX7`Om4YN>z7va@xhs#1CWf2(0Xvc2eB0;S zYSPCT=p3q>KY^}TVSbi3I%ta1E_ZKOM%}r`&v}oppgL>M>2v11sJx}+M;XzLa=H^y zJnL4Y^uW4z4dO3RTseQoy~qw)4C|M7|7S+_=y6(2g-|f(#Q*Q# z>Y9IcdCd0x&*1al)(IF-`1BQq?{vq$dJ;d|{;Fi|mmtoF3sZ6}(lBq#v>tWOhh?#E zjC4=~>9c9a*fAY|EfwFEpH<1QGw3>#r^^KUinP8hui4=+=(6bxQx+V}MEb>sT;Moa z>lzt*4NhtvkH1E)hf_^W_nTd$&&KNZCc5xD?${RA@opM{(Wfu*Jp$e^DWm>zE{zRl zI|e6ZgvViV@s*jnJ14B8>-jfIat3Zyj3VXE*L-xv5)y>CCsdG>)b%;rjLLijJjQs{9@4aqZF3ztVyP&|Vz#eG%L@lM!fa{f3` zCFxd+-nk2>O87IbOf)3FqQ~%uk)f zv#6QE@P%atE{hevW$BmT>e2pe1L;n=(Z$#A5}t&c?Yd)uR|q5Q)2|+<%`vbzo1x~h zLkretYacF%$-|CAily=<$yZd=&q~{=!HMbV+DpWX-MPhi zn4d7#C|h{hd&l9{KD+7exH8;bj0_j`C*iT@)7a;0BwO6r+}=G+Tp-%JDib{=V74!v+w_P*7vW4|1aO3;$NIz<6CNL6h*GCIrmfZpKJfmS>Io;|JTm^ z!PF-cyQTCI{@#4;6XPw2{>Aigm7qQ1Lp7gU{HR6ZhbvAF*MyL)A6GYBfgY^}@(X@}8YI zmV|5}#s2q;#4%NH?kHCR$?o>QIHFQ3M;Hth%bBE;5!1=vGE>-rgnL~A44Yb!bcMS_ zCTSh<#_m&8dDBb!UgxqpmNt?uNxeAxXMUus%H{tOCS9ZR*H*j*_abwTH0LkT3#5C) zyDH`B0n+a;QeLIC71^g!Ot;g;BKyVAm8fb%t@bn>%FehkqB;nxqg?+SOXF~dhc~z&NZ^+oGzV@&| z6*75u4SGITC+~OTMaBzO!ea?vOT(ftVqZrXP-*?3-wjVX*{5%n;eB)nY?^C zvi8GIm)?5O_a4zp`rqXp6p^sk)aS#qbi&pYw50o_ij-Z+&Ta-@ky=!oc=-DQ(wS?H z|KQ(^j0;A7Xe0ZoiO#sF?^%*-6O?*+p$FO8-AXdI&Lih=&B)r{-pKi}P3>KhIC7JI z+K7HLLf&yb;jLVRwb_2xUr()%xKz}FjsoOWY`VROa$wOOCWzRRnw`Ep8jH*G*kqg|b`gbGp&`_CxVFbdG zra6536%nF$=*^J^O9a_*+FNiCE=?>?#F*JT_@?`*{@D77^!UQL+P*lD9$)5{i3^WV zR&-y~liVX09Vq1SBBxR{WnFJ0TsA4Z(xw%m)E0@S^kWgc=J{A&Y$UmJd#Nl?%L2dPr%kEs zhe(g_to%Y@Cmu!KK2a?46Xka=4!CkUps4+!*hE7V@{F`j%KRKg=C`rN6R(qzW*cWw z8{2~9N?tsTxI;3tYeFS95eEpjbq_a}0;0ZNJntz+GBgJn_y4|@kI>$PR>O2U1V4FY zPzaI{TIpKoP~m`o@7$BHUM14wI~}@hfRXh0T4YActCjB7ua2dHr=U@@f)JE<>YxN8L#7n5LL1J<8Bhus~wD~SKBl&Tc_6Q>l z5_4UCeE(F0xR$tE8`jw&x-{j{YEue2d+V(zb(96~#g|9ew&mvR}hKOMX* zU*}Brn2w0|iRY2}jyr#7ocMPl+&(L^*B~*o3H8f+P8JB$!dUf@aw{nhu*8YFY{C}V2F&6xb$z|M9x5ju6VRkjvt@&rB_Y2QI zw|?QjUjMJ%_l>Jpj_gP|3Dck=1@|p1ut?m!QPD>P)<=4cnS;w=>u7Pe+TaH41Jygk zJw4!fbkp;qCl}zfS)i#$Fp)4Z@;!tpHUI`~+u}x+kN)Fu_hJ>5dfb3}3VRn`WlF(pb%1t!(-BxQaalTU-2m%s zbu%;K&9j~RdDp=6Bpf#IZS%||&J24IuU+?L;H0at5yi;etb_$(nck;&2>3&^LPHKAbuN)tm3l z!#T5t^JOYwQ(Am1A3doESBcigqg(Are=*g$$*U1=Y0Av^ocZA{JH68Kz6~xobHtxI74}_huCydlt*YAk}u=*l0XPNaLwk}VkN|jc_e$a~PVj^);yX_Ko zsN7E2m=h&~mPZMjBc@6-!Wk~=KR^GF*+85j?d#g-NIqkkU!s(7cHL^JqB(6|!`+;o z=Jk_8cFC>&WzdHk-$;7})L*Fo1$Pu>3UgczwzmI+2 zgrAir6&#Z;b{SjFz^V3~-Or;AaPEk&Z+q89{EO@(5%2g&E^*zjXYw|LZ_EbYqkG|g zzbI!@VG}t+jd(QpJQ$u-6Ssd1C&BX(SG08x@gEp6bRIoRdUl&`ImVE?Uz=l=y*-3s zZhtaPIzspf96cY;QJe0D)0-G&kLlBJVUxLRqUi?LlaF6!h8x38)0J8M1{K`(`FI}O ze?VL;(0_F$ags>eydINRA$bUguL|eBz%{A?h3Xg`2+z4 zjqCT|cicwf!3LW@Yya<=|DOy0|K{;&omJF2^3Ok)|MxG+g8%!o;lFn6d+_buQG7cE zz7}=Gfhp+-R7<5iYkm*GJ0EPa(`iIV^`ydmC^co40bj3<-@>@p&bu;KiNP__O>C{CU0YPmpJYpq(z`cTVp> z@DG|sp)bD>8hoB*RE?aU(=W&{^JF68mbK2DOBj|KR8PBCdQT@^v-o1e`6AD9t6AS7J>3K()R7 zzY7r1_*X9Mu5ju>P;B}+Dl2l25nrBgbp2k08M|aZZyiL$=H-nlx$20_ z`F&4y)*sP4URP$HY($JxU+k@rZHVpEN;X&jjQBNvL(zxp$p2T9lKU#Jk+^F+CF=rt zW-Ju?#Gx-lTv&x}hqe>9c(##(&v-kMx5ggWC3lHrc266rmtREKrgseVYstK{AuXY= zKNpcB;t##2Y*LNpzuq{h} zkENADQlh;1a!U@9#k*UCBEreDqSo$fF2tF3<=3(G@$N`@N8corVvJOclsEVGef_ic z|IGY<@A{;x^B0TrpLcwJy-pqk{`E2NKVj{+NuO*yb?7i2UDkMzb6FGRZ8fvHYL8Hy zsC+D%>jDbe>-QZgB+sd@I~QZODIv4t=DJ>M;z_@9wvms5+|!;v{4G^@H)T#%@KOm-mlzf=vA!@A7 zHI$kTk$lXm$A0&c9$&BWb5<|XWRNO^B;N*7Co~%4Zw0 z7HS-Kt878+&u0?H?WGdrcftmmW}M zUQR}ebaKc#KU>1XdB!u%^9hO89c=@1+lUKvTZ%~FO~jg9OO;6?pOr3A&MPG!NRRLN zt6lujsGss*J6UFnYF$-{+}sl=4~svn-bol9S~qvhMi&xCir}rtn@^B`l~Ma-^C#ro z&|&^f_R-97nns#!girkRhubQ`bx57hzxy+eJdY&+cBil%*m`>_ z;(yL?Y7EID_HBXipja3>BW)U4N&d6ZYV6Wdy$>F3@V3tHbwuU#ne;)Yy(qK#IHfB0 z0>xu8X0@|aC>-Thep6A7`~db{^g+zX>4~-JtKWz$JBQFDSubRmNpCW{egJ9Nxgz5E z+(>2Vkh*;4BFR;_P)c8YfTXK3vb`t2ke=sjk%=2_f7bqg%=~}%_yU)s>Hm@GosLeR zH(nb{KR=d0Z%EcWVR!uVhvLe=UMIi#@67d?Qh$t!W!w)-bt=P#MB;!he_>w{L=St{ zpsF<>d^W2auXmjcf>Yp;LY`g3q2-q%AtTU5X55zA`|_m6cOheM>!2u^tqt#0S`zp5 z$9LO(_5{K`p7cK@N8zF9(QEir0UnE9TQoM1bJjTTGw*IK!ApE?QzTXI~l zcn7a2Al8mlALpw*~>M|KK_UEThrjk99%05iaAS$;FgqP=etvcutB`+dRueh zapB!asLn5VZthDRy0HS!cWN<*uhEfAa+O@2)*QTLCHL&NAQ|BWmgD1I?Xb%HP4lMx z3GDbbt>&*G&orARlO0pZ*ELPLCgJ2t&hIHV9pE`Z@`w^|J{&TE>!`upr(5-Kn^@DV zu%-|0?_b$#xRPgyC!FO8pO)d7#bX_Hd=g&v3sqjSd}~3N_btKZNA`^1Mds_@AMb`f{$dbU;0ureD|C`b#09| z{OG$U%Q*mI?wYHwK|RJckTb(^Z!}+Q-9Vr(_gjy|K#%ghrj_U1ZM*_y<>h zx)diRh~Oa&7cK>FqIuC;v*i4oWP>qMSnR!qFwTo~VuK_@yLqG0qpdOsHxO|S6`wFqq%qPgbw7Gd^u3kTTL z5xz%%EXE}a;m=bg*KnUfg!Li${Sg_6WYub3OuK=|?AvYTU#`LJQOF7J4}>Fs=QX1wA?V=uWP!687p+vLJZLrSQ?*CWI^) zgp>)IA#^yYI6UD!!smUMs;`NGP9x@R=Z;gmw)B|nm8xc7&`}*XLIYiabvI>fn zAUa^J+zlKicfrVh%Ch5#-IQ6ht(qFK{kd;B7QP@Z@a_+$+^OHS|GVe^`_w1*`TzFX zZ#64RFPG9FKEC-kmsyC9PnpUf;w2SI4;E7P=f|NaC`Lw9fG`*o{O&PPdLrinXN1^y zl1;gyVQT=+l3kZ4$2bo=(ym?k{QS*lq%8h=LS;fUt7XGi?gbhkDSX#nGovgdZhISj zyn++)N;TSE&Yp-(y{gSFVoH2`%OO5w*VYh8``mwrJu1H{8cH)026yWg>+SO6C}tFq zC{0*_g59O-(l1&dS74~BU65pA)>0laudYHyWp%38TY03fc)E05o@jWyv`x(9#F29N zR^AUvlGU(oRlSWGx$n}L$1lE1Cih_9?~mH&5N9TLok4&TkMnq0zA)`0KE4=<&s)}_ zs$aY9G7IUseN5V~3++U4m1OQCAt@AI_~Gr%cpZ5Xr|)ivK8frqLE23X#6Op;VO;Zc z7U=`8UrG=8Bkc^+OmWmX!h4H57FfR@$&E)2PxR3sDd(%9%_?Rj>TprZCHf;iY<=20 zZAp-!fK_Rf4VqXr<*vydK+UG-5~rEjP@YoDz%otd{Y8%H2RpS;_TZB%|p6Ke$9QGN37aEksQBK z-2bpRl0uaXiZ@>+*_>Bm5?(H&gMo@~SXUeOj&8qkOTGhjy!3@-SzV|!yu)Q{+l;bf zX3rNhI#K*%v8}445Jlpjo&C0{B0p%VXchZ=Lft6vq^}xO z+)J`gtJ6E$ZXxGXfTpEpJF)`oCQoM5k&MwomEAn8NRJMpYd%7FiK@FYUMUSBRZvm* z-Pp?Cwf`SI|KE!K*}pQlqkg`iiF!+ZeR@IjhnMH?ygqL7-+$Z*{;}u2{qt+j#zd^) z{I-@(JgNn5+k=@OE&PJ}H`<3I@&fR5`;x~&bm(4#-$Tyil@Z4Bb&8iHKgD;)@#h%1 zNO-j>Zv3Il@ZWs**zpD8|Lzz_a>@I)xPoO!-7#5TA)4QMS3tT}}uJYLKwW z<3Z5WNI!?F1soDYW2?}m?JuTdd&zN8(HRl2+6@EUd3ZK4e6zu2RW6ggeA?gnM>z0WTY^q2#F9i=H&8fEZ*NJZy5HjaQI z%6ioo)x=N7r^cEtA)f)>B4HvKw-V71x&&K5y9-3v%FmRkqc z(}Al`myw*mi@B{eOT<^ddEj&IvJt#e%-o`sC*l3$!~Sxgt?=FNQuF+9BmA^9SO&0s38a^s~AMR4;Fgo(o6NW^2>P_|Jr!>?M}U-hD_*9)ZyZe6Ox>C0VE(4CY&|BBS(sTjDPslD*;iro#UW$rmWUAhG`2Ndy^|ZhEQ^LHeTg*`*(e zU(l>Q$+Dvr!E+@a^LXkIa%23Xg6AuQjI5h#K0uAovx1Eq6w46W^kpo@)a7^W|K0Qd ztMDr+NFLwcwS8KAkL-zK$M&37kyW7h^EU;GKl1wi&hP)n*1oY7L*8DR%P{+V>ZdyY zGAv`IX;j3wi=Q}Ggd zuo})x@ddqPC*vG(AlG$K7A~xv+35nIWIk|7H^X%e;qVp898FpzJHYd8&U<&lwf}AR z{dg`IzjtX@D4~M6;w7q^qD8PAixoUivKefsPHv^;dkNd_+TLE`d$YgxXm(OE2o5~~ z-j;kMvy+Lo;OsNbzXc2S+Jhpt$`Mfx=-WBNz9)QMRgB>Y%c zk=x8_0^}Z%51fR zu-l(=!J@4e_D@7~GMR~PQF0w)=Tjv(9jkO;@|1_u2tV7=m7n2k>a&8OxE{_weR(uS z$S%w&W@^Rd6L9&N7jY!}BV5g$=$kC){^0PHli%T{QCWR{y$ei}G~6Pp0$>r_oGZez4KzES#r(e^7Lixqt`E_`}b_bvqkrXNkeM6}0lf}K>i?Rnvr ze`=an;3vsBl6pt~i4E?lTee}R74a=nikI=5!gepM3FZEB*zcz{PVEbZ4LG_wui?=ZLp>#>|LHO;jDAr3G-hGegvNnIzJAn(xJEQD7&3p_w z9F&DdWR{e5)ZFl18<0`WyLe`uBGUExoD$XEB2ALLVmX}ysp6XwxI|tc<)qDB&-QpE zo9kSl3b}@)(hc&xv9fr4;NoR^cQ!P#C+1FlPeWB!+!1r42Pg}ay!~L|5sD`zrmoQ4 zMiG_%{gds}$ls|Kpz5c9oYw|HHyDkOwY*u4HO`o5k9_)E?j<0DHfhd_F%fCcn#x!? zypZ~^$L8mipGfJMXSE6Wf#k0;Db|T3&rWE$GL)$okKb?E=5f9ojj24vO^yqw4jx&h zVn}rC=h&*>Wv~(ci$Xs`Uj>S?C@al*v`}!i&hy3V-N>Dr*A9Km-n%Q17A&{1_SIIThDqEoIckHHLjA4#l~s^D{KR3vfRFh2 zTCZvFj-ttkzO0j`3N>%73mOV`p~6LMg2S~3rRftYcGu3MIEp%Bt)DyL;PGz>9{7%Y zpSs-n64G;953Qju(L#1j(au}DXOVR<&y*u32pJQ(F1oiQ2^;)XYo$0Z(n^@ZcQuR> zUt`ZngAH$yGWsjmxq=@ZSMRf!_b1_Aw)X>*XPl^~eLcYOZ6m4-ZkDzUJwv&+>2-OQ zER+gV30M22qS!LKPJBZsiZ(T`Rr?T!{H1(*kGDCR|V$i53`zWv!epR*o~>%Ww2 z6|_aI%=7iEr?;T;3-d_(b zOkm|1qI|4YxWc>WJ0F9p*-J-fsG_04*3HFx-I`iUQH`S3r% z@%W_WR|Iy=&G5_LAw8;j(y;~7BaTX}(A}?skdi0PKI|(IdOIZ`;E@_(TP$Z;XhkCI zE?rLP_Ol3A9Ul9bU4-y49&`FMl9PX(^};}H4)R-J z7`E@xNNa(Q-8BkVoi_MKHiX3!J$KNW+vlw#2rGL-+wtXf#K%UxnLgSFeM2$NG*6NBkacz?(}lBzi1bk1+A_vW0gik^ytmKDa1#&$yuq= z=rQq^-KO){_60+f>33b>a9+T@f;e*ZoYtn<^i^Uxv~XBj^y& zo0^xnbp?VF>|_m#V-cLC>tu2C63MzKq#iETMCi4tM*Ui4gzc21J19fAe8YRi# zhEEXd&cb-2uc+$I%8&>|kZ@UB_K}SUR#$zX(Mp)vS|$~_g&z=l#HP2XQXgULI}^9( zjUjBHLvZmH(I13JpXQEZB#eg*BvF0ejr=t)U$*=-M9%Qf z)a1gQ$Y$$`p8I2Af!=*^7uU5w%uCQ(S+LmhsS)d8uen8)o@W0B%; zMRE3LCX$;KnXZp};a-kAKafl8=Y1q2D!Zp@0 z%Ci;Y2j1}zev9kb<%O|R=i7c3~ukO?xIItv*5y=^*2b40M$&vx&~xR`UMx9 zK99^nPkPr+I>^XqY5p?FiS+Q#PCQdugoC$tHrQ5<^dUNLf{~aA~xnfW&qJHzat1v2_nW)*z<)e&p-;GNTNOsw`j~e_miYU5uHhi=2A`0|B z`|paI#2=|d?1kRQ$&Y$X&9fQV`}aL~`p6xbv+j~=9E7LSSv96G5RCMWv_Sqnt4OZ# zt6l4|#gWE*^l2UGcRJi3s-1bh8TUFh(qHEXqWdcV9c@$vCK(dQ4! zMAk%#3Eg-sGM}`zWc!;Tqswg2e%=o0liT%wlrNw&zN(Br=qH*J*X8Q$)JEgU#K4OQ zdr+IdZC$m<4OHET54H^_Ge7zU3I0hHl!>>zcYaB@I`tpdbvC)7*nLp(r5Nc$>}B%G z^k1P+_+6gfRwm>pv+k>=a7CV!r-?A>Lvty{S~gs}KsbTD$62b$ta!*GfT5Z8ckTcC z+&}QAkB>n2{$_K?aD1^_Pw6O?JQ(tE&$c!xCIc37#V6O7$yetLYlcSyXUw0##-~52$zm65M`jod zS`~=@>a$wh2=ViYq|q+o6dd8rt#8YcJfF)v^ zfs?IKR0PupIL%jYnVb%S^Ch?4Ij`>%KjAb5rCTLjHf?HLyz2@h)xq!GmO(JyB(!D6 zm>*2B)}TS3x^G+4>p537_lfQ=Y^5cBDGu>H1hdH!Ar>?03WeeqF)BYl0m zaPl@d37I+%P20n%MMUST4ADHRB<=Kn$PfGbr6 z#mY@BxYN`jrggpmrs?`eqt@xb;uN1NZvxRaS8g-tCs}Sb?^Rzqgweom{(P264jUZW z&2Jyxc@R#L%A@bvT?zN_*~cs!5jdB2ad{pm`*}6@x8_SraG9d!pUR3M^Br}roCuQR zGa>!v7o#!U)Ncyr@b4qJL4LifW46J9fkpV%$3w6h^VgD!bB3+|V`uHPm*B8EUVR{w z8%_dM%$tcH#991m?w8wYaM@%0{c{f^T$uwd23C{&rO{Q~KUgW@mb5nH&HiP$pVklU z4m=F^=>(QTi^t(%#~jll6b(-bif>Bq4B=^|TdKR+44xB?oY4aRm!|h$h0pwFZFl`u z+kfi%$Sm-WM*rcdMKI}*$4Sqx{7+|dgz#4ODfXR2UfkZB^DD zeG*T!l6006--vGS*1in>*=Ivk(8?2l{vgyGUw^be@W$H-ct-a@wb||%f-IxL4#NDdc-fV>o zn{8#XQ)iKRNA|pN;d^AA-auEfr3%^WT60taw<7z|rf4a9!n88DcX37LZsdH=sI6x{ zNPOluy04cFB23nK`2o`bL^CXIz2;s=SRT&?T95e?Jsi~s*3n%^*}B}ajoK5b$)^gx z6TVN{His7VR_fDR;VC5#wnLO_&ebT ztkto&`3t${x_&j^euTUYi!HAg43XF3mdjwmjC{T1=NYX=qpr2K5yo=+wp{F0Rd&g1CmO&Y+xE{p;jFz*fV^F*l zt#Xrh9ZGcMm%G-Qqojsa((*?qN*N?fHgU@QKKK9b`Tte?{~NX6@_=sd`|>NOTk7!L zm3JBC+eH}7zMVqRz5PSHzao%ZxN)^%ZYim)gvO65dPv)SXs<;@1<}r470Ta8^!X!L z_%2$FSmiS=VzrfsQmil$T3Led`culkOxY3Y;U4NKMzT3$Bs=f#IE=vOZSQyb(!g)* zg5R_Pz+sZah+e^0I(3#_4_(i<>1)bEY8Av?}%NF-c@`-eZ*05JOty ziLH#(tVm%EixSjOM`CM=8i9Bsj=TAolQ+@#)AQ2GD+(dPK(6letGmRbyHRiH2g!jE zIFqt)U<-n7t$D zU7HaqO?-|so+Zr4?00?c7Fj5m`ZDS1tBM?~33rbsxy0!R))i_%>OG^Ob&7IG*7umY zOKFdU7taE&zt2Rh>zap~o~=Vv)+UWh60?X9uBBRQT8A)tfj0JNJ(4Xx)+@q)071@~ ztK`(zqirDV?nD$T8i#rfcOCtOsvimZ&#o(?^sCawvbQ`ainf^9SFMda84tUQAA^u} zLp4}S@+Q)?Q~U#Xjv(d5;nwxv3Ge6b5cdvyqThHwTf!%~M0^H%DSPxDAnE|U=_-K{ zgiqf0a;kWM&^H&8{TCz=vhf?kdjBePoF2dQChr*TG2*aDHz#UW8Q_`nE0ouV3ifsH zB@FiG!uh8eP{?GqtZhkhqQ5O$9;aT5%$zrZpQSe;?ejJE90wLMLml|!+iQoUrp5H} zhG$3+`J_d^nG>-}&y3IRPbK^){+Cs6T@VpmsCn*#0Ky{NIlR?cf7kwh^!$G-`kDS> zZ~S@g|Lbvz8MRI+rcM81b147Q*&Mgu`kMI%vBNZ~Kd*c494wp)#`=7&z-r-R;>S0Q zu-!8Il}6YU_Nr1v_0D#}z79F=^+PWYHgutr8U=d9*VbhCSYiwDnOUqho&N+U z#;WUMZR&8Ed272_do!HtgTu~w_QJ*amCDXTL~|?g?$vfTqWzt*qHYrI&n*PuxyOxVgy;dxnHoIQO9f%YyYb#Q z-6hxzzkcb`?Ff4<*Tt-20XQbhFI*7Fhtuobw7jBYa2~$@W1HS2T%J6Vunj!~*W%ST zl74WLjE=dm6|t>wSEa6B6bpw3+d`2|a4I~W^kxa>TEf%e=a=Gh-SFb`IbkhRxgqVb?6JY7}Jw$6HA{L0$vW6H&dC?6B5 z(j@+_j~%1>HOCRnCY@6hK7^PZ)EBz6oDsWgD(%IZ4T#&y*g5OC*OajcXfQzB1wx z{NLJDjv?OnN9LrH2oj7Y-@IPE4T(os0?+Q*gCy$AJKq(x2@6EON!Ga*0oDgXv)yR5go zfLIhpugEb%oN|8e{4w&nRjLnPnhZpO{FavUom@!V(^sW8(uE|d=gnsVvXFF(#{K6V z!XVK+N4Lsb3qkBn>tc&~NPi-A^MIi#LYH!*R^B99+2qk#ia9kz@~fsV(P$tl;7wBX zy>vvs-nZ{(OaWpy`yL8u3I7TT~9{j|0IN;SeWKIH#MCQJ`? zkskUbDnz|YQm(AgM2wE|#|B<~#5UP)yt$~}VAOOFX)8Gr->=g~nu~-+ zd(+O}wg0>4|NGP@_xZoh_5a%1Z$0@if!<{o?w&u6;BL3+va*mcErP?OIGqI ze8HG#R~m}k@$>CX52uhdc=EJx*$ZSC=-iyra7LQWow4#eSCNvYA+3I78p+#gWIwhg zATf(2>e^5)sR3Py)!*MC_OR--{74d_H9suRZAn99vJ&?tpCGh$8a1CyYd`}(*VP8U z4AS!&@gGS`L8P%nDsNaWJum#l$w3w z&H^?(Ccs^x#_MS0;J>DM_#LWF35%b$zl_pJri)ZwM^H?4W2ZG?SLVO)i+Vyhb2%}x zPZQFtkon_knKjWfra##wof&S7)P);8n<8V7qP3$WU+*cBKCMoj3-dwZ*Tjdgpz*1Y`DcY%R6D(Vkhx<5W%Fxik{=LF z@rNmwk*i`Tv{DLHdoGSVrrXC;21k&s^l^OWj4R30NfaH8CwqJr9oPBQ1xUSQ%%{(M z1Icg79y&hgAsXJYvL7$n61WWZ_#FxIk90L2W21JQezP+*dl^xT2WEo@H;oumvx_6m=y z)vv!IW4yCSch&HU2wBu^@bqe*oRY5RFn{M(9< z(zZ;a-bdyru20pZo=76;oJ#bG^QV5-{(toRe=GWf{>tI~!?b_k&maCZ>#O_MEKvEc z9N&NJxo^5{+w><-Ns^lus8u?02R62E&&VEWgZ*|b@v9RQaI~bXxNgb`r)E#uHEZhN zTz+(u$bmO-2@RZK;9Z96l~dwh&Lxxlw1v-a>(;>SwT7>QI?+=FJyNt55QE3TL+3|{ z7uaK9HbCpc8+e*K?k4IFc+rmc934-9X^jf!i#__VtY-G^A=ynf<|6ez^S4*xEX2sRsEcS`wpR( z)Hh_|{&H=0QX2z2ye5s>X9@3j_xCHop@hBNHpFBbEDx_Mo` zjOJ5PTLrgHHS1Yxd-A$pA7LjsydEbhy=Ys;;ju(te&Wy^@e`hlSW+s0m;A$+l2Ji; zjZHXJJu8R#oV3ofP2;c*{G}PbMhtdQ0^_F@FT?Qw$C1X&62icKoxOVNPdLB0e}?_h zGF*CxRkhuV$zAFW)e5Tna7*yH>&Z*9N$!l(y=Nz^?}MT=`@IrUm#$~t&*p|VoxqpaH^;R|2^^-80`%vK}5;m0!fGq~K}$ z(SQBDd+<7TleJy_EWByv_JyA>g?BU4gMxj8&u1lhF*`RHzWljLSMT+}UM1Yl1SLq`{^ZVdCdo4tP^ZSmt~}iTCK= z5x-)}3CU~a{eOB!x69?h z2hVvwz(XhMmHhm3cv~=UWl8jd-|7vTGmg5%#rt9XhfPul;@UfwzLNOJs1iRL46-74 zP_c>1Z4ew;s~oLeH@Z(gK%xX;1?gu5WXnTE~|DO z5x$vx{`B04+_3LbcdavA=39-gUL*|54x8fqRc!DcOjkeF6Ge3THx=@JeM3OTXiHt* z2LzpUNDr?dS|3TfRlhbgkj(B75tm;4GKw$y`Lpcd+MNNPAfL?~ zX%|~f_*2E(U0PRzz@x(k0aJGol=k%4>6Qirhul7~nZ1?h(N9bL>SRQy)FUohp&*2< zNT;fPYe0N$C2}DfMG<~m(7rdEXk9q{-qBbLBcirGDvqliks6&A-wzEU@_pB(V&UWD zGd&`=vgZ@LLW>L;uBF1)q2_1NiRTDdE%4%y9PvGD+sEkR<43Z}>&wr%bP_iDu`@Xr z$`SIb|I3DBItcAE7Yf>S3}J~)wx9d96K1y-$6TKcd0ytnCPP7pXwhxnU*t$$uP@`F zxiyGlQ!%WOaY0mZzENFt6g-1?2UaO2!RP+T@}2uS;2*GU=>nx4f~a?O?zGv2V1YA< zvDS77*|(?nVZJs(_p}~jxJ8Aq4Ngx7()keft@hwK7F&crIrsGO_5q@$YJ6s-&qrA0 zo+i={LlMOh!+q!;1)|zKinVow5pC6Z((rK@$pCR^S=r7;vVJyeEq-Glv(G0A(ha!? zdacPjsK<^F&cUr8Ol%PJa} zF7CBmGYZs*TH=&5(){{KSlw_230J|RVgyX|!6!%usnQk`j#J6sE;(zeA?lQbxbQV!WSxdQnY z?9>A-uOa8QMH|gPHL}>r66_<%=-lR?r*fnVY4$1n#sjfPU30P`Mnw`h#FG zO1p&f`6eHrn4L%K$KeSSKwNyQpeS+=Kksd5*h?~&FR$H@K(rzqx7~KT#36lsiyWKc zA*3dGi3hh4?we}kwg`12B=0ubcTn&;l6c?6FR_x|S6};J$MjRg6^wEnys1v+>^Faf z&MBc${f@42)@M|``qgegu?uCvZ%dk64x_j+$!E`V4iw%wXZECf8F|XWQkE`{klkVH z{lnxjGOK8l>F7@)eeO;9WyLh4T~|M6$S#9aCKh8J3lSs_wY|L(bp=U}(vJHwHG^1l zC!*InA^xfI&6=srXiI){(`(-tnmDYMb5D7oW*djOLs&h^bH){0sBfU8+BteudKHQy zI|_u_h@Wyiel7L64ak-0OIpQTj_kG9HPkzOktxFGD&}?<=^>$hU4hCZ8~y3PSaCK| zUN4`|-ph>Sy78E!v0+3@P0?t4=N%GV3P+1LrO?475-Uf|fqTbZ{?OqWM4g~#<=s6z zlIb*bLtEG^8Qe{K&%~Qxe8o*!s zh6$O@JCi$x>5;zRUA~ZDkF-#x_qPKhk*Y>xpj)Aflzma$8`4OQk6^*PK>QkXQpycR zh1ui&$7l3Bp6O^<*J7Qwxdk>?(~Wue!dG?%FVB_>{PnZm>#%Z_#?={?K&71vH8Kz(P26wyR6O-rS1-#Y20U zZ;K(2Bd+57WC#LZ7!5I=B)q!gFXa53h`%p|v)kf|51e*9G`RDr1}-s)v3GY}hFip@ zXX1oc>mlRxY>RCKJj=R1Cw3&j>zCa+`mF8n5xk}pKru&%0M>JTl=<+J$y^4hV)?|I6EM5UsLPE7fek15db5QPbmS+vZH>tDhM8T6JAt6(Cp*l;NtSrI z+$Y=3gK&MFz5Qf8VP;jyVeRc;k^v(9aQJou$=SPQ=Gn3lJ~WhPZ1{EHdw?}6fc6ah z&aiJQk9rM%t?R4QL>dsFa)@62o-6{T5_c+a65k{f-}njf;|Q`lJJtX5D}vr!Vc!v! zjNs!5xY=({n2Jd;2 zn^1KS!O7uL51bSc!sHom$W(z4yHzx=x?<9};@$zT0v&iReM4XZr3aTVr%T8*BuZm^PW9x~FRg3ZmoXCL;xgPpwC z=1ui_uzx*Xe6q_9j>-!Dkv6S_lSWb8@L3g3ldVdZ{I0>-a?0ui$)t2%Zq-{qMsj8x zY~%Rj8Q}7jD$?N;$yGNJlZq`OT)M%0w|dcGxE-61VBpb)iSdP^!|ESlK7PB>z3M%z z{I_jT3p)Ut@;jU-?#K|`UfTS)wk{m_Iu&`6G~pQGIlnUaJ)BH~JY4s(!g;MGCxiES zI47!EP-^wSMPT!LkAP^n*z*jo{4po12R6esuIO5ci4yVe(HnUhtHaG!|e`PN| z93u0N9ExvwOe}CdW@UWvvUP?swvLN) zOI>+HIlF=&F60fZ=2dD23nhGuxUtNygDz=P8xF{w5^zN5| z%d}8k=YS<#9Vv39iVnbS&DJ+-)}4e~sO2-C_fh0-les*w(FX3Jk}Qe}g!Q_nbbpgl zB&@yGDUZt$y<(ry&gJn4*pJ-5v4g`9j(4R$NG}t8WQ|fo+!Pm?1NeU3B76`oN6#!~ zJmiJTmo1YyyQbipSp4}*7kPa$=YF#IIl^t|l*(MPHr$O>9@_+Nf(OMQdoEuIJbYs> zdLCMUC(9bf^Q!mZ8D8l9<=VfQT)%t%f0=myCu+a7Sk0&BT>u)co}O53U4bg9L!EOS zVko2D@+9XB;n5h!27P}kio*Q^4jtn=k$00~71>lHXP@t79UH=byPQ?&;EQ8D*klJi^;h$&XCNZ0RDaCrE!9%76F~ z@vBv(3O;r(B0QcSN6b3O?y29BWsB8z!lLF@;}kiHw&?`}U5hj{-B}XZ*j0*}S(%~y zttU|tkgFf|N)n}|PPPUlPpCMfAZyMk14V34eCI=mE-!b1Y3`FVa^psq%}72@_ABFS zD;7nNb&1v5Si1|EOqs5UD|C?l?!v--Ct9Qp{aP;YA$fi?TkXvrllO%wLGXvD1Ud}M zEO*iI;a=gP2H^@f)U)d?q+WWADhu7~PwI}M{6_MzPj`|@mdgqSIcI(pds8Nkkni3i zq0MV#eiswElWLtT`0Tmb*qY3boM4^YYh=UNh9H^n4N~d!Z^Cqm_D} z8VQp;-c!Ml2Bi-g*ro7P58lqlCKWF#!!K0L;*>k-rB=Onln)U_kY_4; zh)_F%4Ff*#hwVbh-jzSs(=#CCowArn5!plgCq@{Wl^{%j`hgb54un+&t(>vGhH&ZU zv@0|n5Ppx1djl`gu1ZQA)9vsed_0Dqv}eZ7ws?p`{8q0_f1>WIQ&n$*bar?L13ZInB(=;gxQ@bA?T%r;JT$7e#cc2;+eKC zeqjef@m=5J#3*4okFqzfazL0D`oX;wzdh{%sw z|3)oSxXqCrVRVs* zplyA;eN_@7LT-h#GCf5k8|_5j1!F{psI~NHPa=x$ZGKq_$w(XB$rBdw1b!bgbbHUd zL7?N%sN8;XCmV3)OH&}(Xg%g%E5t+*Qc7<%Bk&!e_M=}+efehDF%k{J_#K-?LPTrE__!`#mRa~1VSz32gMA-$9Qm>lBoiTxwRdrkJC^_(0 zoi<=c&nq-a9DUk*#S~S`S5~^oy+qkZ!<@Tvg(x{Q@y<=M2Sw)3ess7zL&5r~x^)bf zk^8cgZnN@N$gYYsm*%tKZPOh>m_eTok8+Ggr9ZUaRu2HXXvJ9E6EJ>;$Vfv1mW?9 z=O6t#PO__F-2x8~F7RlSXo7qD2VsYa=Sc_ycjz>P7rp- z#BiswDjx!x6UL#}QBg8WJ zJbVj3+H~FbHg04V(;_JJ!v*2_oCPAJ4~d#uAN=Yt(aUuuD3vKSA>ooLt9fV+l9X9% zFCK|T@=1+)ElnfhwNd5v`Fa*9LpSB>>~A5}MyJp{kqc?7Sx5DR3Cqf?PFZ;Sb>f97 zQ;a@6i1f`aTe>qkkbWW5Mry4k0Fsaa+Fjp>LN zH*bEu!x4!Clx;`vDI%G5uOWv`08-fWj)oUFAZ7lhcvSvLq?UAt>xM{^Jh%V}&I58t z8=HR{@t)Ma>Zr+D>R(7N^<6&8G=q#4N!=r-1CSw`NmY4Une6T!&wOmkBDyx3rswH$ zh-Q{~z$SYbadtBTwZxa67-%%hI7R#uyh)xvO7$)+@%}%thL9 zQeVl=EU4uD_G^d^Es6L)`$6;ig<|BPiJjII#>rZ~}iNbBX9 zpO%;C>(&u&&#kuYeVWMn&>*9AC;-`pA5;z&2qF8a z^x)u4G30E&qrmWfi0q55cXvq;_M6ttGu0P}?(fpY^5H8rL=)tCddY*Zx>di5-x%FO zve?5azO5sE4hOf8Gb;VaZkrrFdtC@Q@6!|Rj#D9bTK${sL_YEwB@SyjsUzQ9U73>B z69tSeX33NpB+J|O%j?IqC|FABqmJK%LUo$babxlwo_w+XbyUOe+W*(A?~lU&AFBNu z3$h5jIKKTt}`L9KMVcNl)Di#~P8+l{nAg&WKdmoH(Oi;+wDB{J_TB4{01MqA8oD zNT#QPY>5ducXC*FIcw9y_r0EU=U&42{#B`*8rF^Q*oFmR=bwlgV#@2@9f;WG!E9q0 zF(jzlvh3S>k!VHDpJ{e%NAi(-q9YWuNMYBp(j0n%lt=Fkw8B>-^;)W!Kl@swz6y{} ztw|=ec$8mcm>Fpmbl=n5N|3%f>tfE)NTi>t7%S-{*%60DBAD5KAXGR~{`fJ{vkuoi zTtDTA7~w|^{B_q5zw`N7TV*;Vc3pj6Ks=(!Ym!=ozFQL}7?0WOjpWaXbH^&=r^s%R zYt2w-EYgm;iT5#+@3uz!w;vl9kiP!`bD6#=(qnT~%9Es#{*j*MLjmFA?(;fmG{;D? zNjy__GiMGOol86txfTet;~u|xbwoTL*nQX3YtxoMF}XYJya zMRaeMl*Z60|6KuHhea0((BsqGtEOC*{QFhhb zJzfz=b%RT}SIP*flw^-6DUm3Z zj*ujKXJwR4_TGE%J>TB;-g}SiEiw{9Mnx1N)bDv+*IC!~xqjb&;QV~tZryTvpSRu( z$Lo1KkK^&UKk980AuBBR!`l=3$j^AJV|8{2vZwM>6lAm@N6Bt}UhgF2w6(!UaYx9d zHr}58vdq6~aL7WMpPeG6&?nJtH%>l??f%b52W0LsnqmrqiR@i+qGBc9+)> z$KRJL>#e*86mAz4Z)u1?ku(#_LRt?LC21Od@l^U#`+xQP|0w?dGv|I6pUI8L9+Y_; zxYkX&h8R(57y3*Y3ZX!1!~9Dz@{Ar1Ca+eY9{HIUPL|UxNXo7FX0*Nru}lnarKb?1 zy1t7gzjXmZncui@;aG#ee}l0KE%K}^#KP`<32@upCcl?A3r^k}S^MF%U@Iu!NU3`n zEH=hZDZl*!U3nH!IaN7Oe^Fpry7>{5_3b?}J9r6&IL9c9QV0OK=z=kiPeE!otvKh0 zCiD!@oI23_39$v0JzMx;C=Wg$a!9-oLPMl}j=wX506JHlV^77wTcshvc(4!LRq7jL zgTla>)w0nxmkDgU=7TeK2*L81Th^rPQ|RVCp2M_v66zOw9g&Cx%2iIExxOp`g;QT4 zG8nNZZgdaNGx$N8G*bnW1rK0uYn&EWs)soJBfmHX5x1zWwEfN1I0(ZjDilDx-hk=V zO#0U=;Jszex$P|n?vuEy__NqOGQ*W=S}5ADZsd_i-siJTwGaHtAf1>sBuOL>QaBntpN%1HvP7gN*}c;c zi^(m#{U6v*3EfnkmFb0_??;QhC0v zgH=-6_l#+0=oz@gXJ|4EO`H|_-aIZ)c{U@>I*R2_I00Z?^rK7suvVubiS z?U0gogglqprcRYiq@QrRc%Ek$u$6S#tlCSE*l|Tzy#n!=UI-hXn(T)NhVO18d1y|f zESs;i)C7Lj4$-PVk-n2A>oa~;1h_pt9er)@1j?9`QC;(B1{=dSF?rkn;`IKHo?o5w zx1ygOHS_UzPLQg%cyrmQ8q%KOdC&YnIjX!5GN~U^ zq4Q_4>>ywP@#?NKyNEWU-qUR+U5XmkQ^LT6m6vfDVXsJAM8=UzPaR3C5Li>*F8wNA@>bNyFTaX|7Uz|So9*qnFM|g+H8cx;XaohYb(IaMu_C|%L7)1 z?DHgUA*6=3jU;|Y%;{o+yH{OrLVC%@p}nIEWW>J4_^u>CrtZ~b_A+9~q9e=D{!9f~ zZPyRmFTR3oIh#BkzD&p-lY6IdbRKeqo%KKZMM8v{mQqn+0K{PGqz|{^A;GF-emW@` zlD|-X-N7P%!ij7gx*o(Yu%#5g7gmqFyCHtBCYB(5t^e^QS3k(u>%BHL7{2uQNa_YP^24vo&YZgis@Z9L$ADn zVmH@?>E4G>JU*BBMH>f7E*8X2q5Oan{hPnr6wl!f6nyf#=NCJnxGLiqxDuaO=)f%L-I zKQtqHmfYWdK0_*xnPn%%jroaTFaI|sZ5wGK@#27uqw7ayOuAoh6Zk|dkrbqI0e zYrN@r2QkH8-Fgy;w62od{#z_#DBswiu+9o)W9UEQA4F`DC<*_d#{_&3O`}UKd8mZ4 zPuMe@yYkT7d+YS0ur`P#K4)l|f#&r=tL>;S;9 z9AkVv@ftAoJ)h3qMyyyyo78$E>HqHe|Fii2*Vlem;;9c7F~LwD`u*IYLO7Hso4Z$Z zEkiM#s@P^2x>wz8a(!QL3(c!NZaXm@hg72iR(|9I$LMev9C0p2`EqTloKhqZb1Oqw zNxm6=7PlXQ4T#Cu zJ>DGSW`l%mwo&Rd#K4?T{jP`3kI2+KCCU~t2wVBiC}#2nLYN~zx>Qy{0CUTu$kqt( zDV-8_T&YKyR`m3tD1Waz*RpB9o&)*ER&NIE_d>-`Q4+b229%sHz#sc&k9aXTk}B)g zXn!D^LHIKg(!#i-_kB!J=JYf!1EU@C3R7o)<^*&Hsk&9X;EVhV-bvLvh)of2-}eZa zD1_2CTh?RAAduFLC-^iT_y#dcT+|x{FYAGLF%4GevAE=E!f^;qkLC`JoE3x0#tG#I zjzLf|srx;#W)%u9NRXNgUPgKJA}$$<{gCc(8Z(kK3|K1i zK9!-p3cf6+S1Q6Yp>KFYdO=tKT3ql?UrjKAn&wJ|;a?VLp6{^w2>S(TcR6#Frc_Yw zo+Gcd)D~og&?JAeMgC2Oekz4dltC_~l9|Cw2T7lWq)O;nA#Qc%czbF%MEfP4UA=^| z$J1MrUrL%m80!FTSH&^}AEU~=T_+6w5Bv^DuSxu={XcVlbBy!d zR@j*K-qU45^uAg4;aQI%kMr}!cB+@32JVtuogYosmF6|%a#a$*I6%Z6eg;&t)D`azlO;rUh@-6AI}KNuOTwfgQ^O*RoqU%!d=y(Ndd;o7WU4lhHQ z=djUK^wgi)|4Y;Rufj)~T{Q43{;Re%wM?wFrHnLRYU2=^q6YuJ_5Od`o?qkLSsxx3 zdeD}7?^3n?0`w`)bL8;JfswB1)vuCEV4^hhaxYF1%#LilNNPuU^*7p`Czu4lLTKK| z63xFY@8ip21suVOCW(Bqau}?ZW7|X#AI>^y;&@m^2iOQG7De5>MH`!IZi<%UZV*511!;QF~VScDRD_AWE)J+9KTGGI0k0= z9}6tW?ZG^SyPK)}63xSc-~JJ7pC1;Ho;?A!YcVF>MKfS$@L842<_Bms%F-BvKj@zC%e)$R4h$CX^m5FT z;HBV_Z_1}QFwK0fy23vO=EvzJfE{~>I}PFz*ws%EF60$}{e86$I(d8WA~83- zES&@N=F zIK$WZr?TJ>FnXUFaq;w2ZnW?dZh(>BlIS?nEts+w=?afcqRf=-P6t3US#PsZtQ8Je z?u(fVIsXJ}iAw%+{78fB$?U}yYX`PTgA+3-lg%z^Z+7I^d$9NRPOl>u2M1+3s^R`( zaHJ$Ho#he-$8JgLFNn$Mr2FYi{)R3%?TQ?dHCKYk-rZ&OR0lA}e^-)FSPK^4%AV;s zrh!%62{F!EL$FcrjTrr80=Dxrk!ga)VE<^q;D1HMuQtuzl*aJen}Nh2-IVB{Lz24Z0kyrmjfdH`ABub6%) zpq-NJF5W4YSim;e6JFvkLAh?~o2TC~K|3+hIgL?4#Lv#q zm0lePCr?}uL|)WT!*w5{1aSyjYJ1jdUJBj(6)fpJiqKGdB=zMID`G;2w)weNK*_`7 z>v1J^kRPtTtP^t{^}PC1a)c=N?_-pHaS#Wj3Y^?ozK<9k>mOwX96>5HiZ2w2M$9cInROxtQAp zXxEu~mR}?XlFH~qu5xZbypK_mmB1y4d3{;8+RhZBoW@&-$gLs5Ix>4Y#|grUg}>N` zpM_95yrq`;J?JTE-tg`bhNh*;p;gAqQ001hV)OBP#FXT7f0yqMMdw%ocUO5J_vK9U z=$&k&oz{xznn1hLeWrUq-FpC=W_*8A`Wax#F;q4n@Vbeqq#z;<&J>3VrbCNB=gmTclNF}L%^fA<&47AcLK>odx z{0ici9g&77{xfCe2ISl2vXC$%?Q(&Et`CDU>VG`W34cR=#fxjAd|P9HB_WDwWEzF! zuy?x-cQqivA9q(Z+!|s(DeMlm_d|5b%1HrJ#Pv^MmMX62LHfk<%}Y+{(Es$x&4A4X zXr(-)-DPfpI))+KtE<&eF_XOV{q7u;N@DjhikwiS6(>r%nFM*#% zvXJrYa}!NEAIf0&NcK|W0_;s*vk!$efFa8b63EfyykJyYqi)|37;E--`aw!lwVHm*;oSPmd1#f9wJNw i2Thl!`3ay3C$dtq5Ow^i%EQ}qd_QqGp?rO7m zrcVSpqhwV}vN(|Y$}#d7+PCK=zQ%prS`GQ?F_dRnbfJKhg8tVR#91vcZO3$*Lg77K zyJ?YXh^kBXbHRv1!nJoa)m?~FJ5dOScNbB94(HS*J`AMwuzc+jOoa?XbE~Z-2{gAq zM~An34(;ByI^&~J?z$FUT2u_m>9J5Rr(Gh4JiYG6YIxp|FVrx9VO0g?2@I{W)qI44 zX4O^=33@2h(pILjaEHRJkt@XQNLzb__mW+Z9Li-Kx_D#)%@LAs6O(s1Kx%Pbv>j^= zr28k)KiVfi`*@~WL##1mmElHd`jbHpQN5&tGV(PD-0M3`YJ)tbEh&TalPC{nrzv;0 z1PXZHev;|D3WfL=-L4TAL1EKZ8s1zMDAHN2uIxa)@Zpz9pyA2_vn2J;FEUSBwy8;ZC#jTN=8c|Jl>gty$V@;DSnGJrAKpb3GM3#GEh9k zqg-@$3X-+^NU(^Bf*l&sw$6P4>DT9;aO$EQ9r;fAmjjWI{g^^}lj;}b5@8dYZh1gn zI%Y=@O8^DuAD>M$IFE7ybS}uBlZ2wEoat#hl%FI=;#zY?1pWHba+j>mLdk>vuJlK- z$dAxTx>rmGrMRy@-07l+QpL6gW~~WGXA>)yS}BFhMjpc-dMS`Y$92N^;%Uf}xT_dY z=L7}fKC7;IO;AKn&&QQF48_{ev+$k~N?7WH7!rt~{v6Fq!`FxIB~}`?X=1ggn5OH+yN`tV8+udg(XZqfl{U`Pl{Y>wjwh&$<7*qsPJd zUtjxOy>w|aSFq4;2P-FK)E%(&{tatewUnt!R*Ann9KqVF7<`(|~i{W?$K3F*NP z*cX`b0K0D=GMz;Mn6|eD#Hg1}d{}-uxgW8vrN*`|AAJtdz1oy5WhjdqBjaJ-astBZ zV~T}C5rcxf(dbxYDAMaIH{)|{L$}aAk4Y^KG<0@VNU=ph#c)=*kOmQyC_S=$Zh(B* zuXIU+7EK}B%4&XNu?;d7FfFy>C%gJS55tA#h%J~>O z#CTl7Wb4R5)MEkM4e~IEc&g3vIS=jOv&bddPp_hV#cjiM;|%Ctj5hJfkAcQgg+K-m zIj9VJ%bk6S6M2XR49H{$pkRosN4Em$)1H0KSVSEEjIGUtuxCz?c2B+4hNc{_N4wd? zC$u10%KEKgA{`{K2Qt4|X@FRlppMCr2S{&T!_l9A8zR|bY!{eKAl!I@JtDLZLKm)L z=gY03r&@A&DF>bV-{)Uu`{0ThYPS{Ioj?^1y`hiwH3T13B(ea zCA)i08)bHXTFYgYfv~;dN-vLH=w11Kexd+nVSPE$i_`fWYA%0xeXoxb%CFWKxRRoo z>*%7Awskz@cW}BW5g?5Y&h!QALQDaK`DE*@u1|`6rTU>Cnn%d^N~e z9O{G*yHyn}p^|VpCr3aFN?nphm&4bgsP_?eEnF4yS_wnTbw(lE`}_9is!+(7nFyP2 z)q=DPsusEs8kBpy->P(%3sUGu$T!JrA<3kpgiEd;;x|Q1#qOSi*p3Fzh;yqD{q~d8 zC#A1{YX9Ho{*8ZTbD;bFZ(iR&m|efW_)k8+{=ZnAfBSRav00Wruv!A#RR!fU?)IZy z(}`omB|YFf%|g2%A`1cW>%qe_b`VUCJ8(al5!H^g%F0V4WLqSX4C2_tZ_m?g zY@;2Y&Ls)VCkPLdAuxZ`#RxMCo9^;vy2COZT_ zh3)&~UzgC1uUZP9MG8VZtb(X<5+RIYVPl4=1ML=P!L;uUL>NjcCndH*q@m~Kh2v8Y zB^5=nJaq%2X*(5&hWa4-jSJhdFCWC%TXh}hegLsVO~e$4Zyy_!F0|*725~swTT?c5 zz{{nCdYBq%Vf1`Hy$Bb9AcB|+AKNaWY_1>$imGV{s}(t`gm?uJjPaFY)rgB^SUfBf z)`4pH65Z?%T!>ElEGlpu=|E$ejk@DaA=cufYKU_S#PN9R*L7+_+y@q0u_{@#<6Gc# zszcmHBH@>7)EkiC?c~XeDT1KWk5_e^s#0cqCcD{>+*ff80ix=z=H&3cwzlqqaxc5cwrJRIB0^e_VKaWA;*Cbb72{lM+ z=QZEi&4Fak$R8JDm(aYQ{YlzRga1Xa)7m^bF z9UFt4A%)>G$3tFwq;X*t^?Gp(QeQ;TE&ULMG-Xwz8{d^6orlVZ-Gy~GD8&1+YUWd%S$@p_Ng^(rmh+GBDx3fx|+LWe(A(Tn?+JJ@$`koT-uv0=g zd6#;V&K^U&Usr31#o8iBcG)0w4tNJCae*vC9Eig@H0I1ri00s{XD(eZ?}xNSWozLi zc}Q>Pc|#Fl1R22|ZT?7~mdQ{1&QN*-GUrHN@l4-_EW^?gaaKXdS_XsBTEulxxYA8l zjA~i@NN}5C4n&2;7z7=$hd3qG$(|G6A+h=Lt*urjzzpA@xBH|ESg{MW${$gtXy65S zc{l}W`P@PBPf%t?Vd`5IS1-tjri`QHtc6T%dFyzC`;bNBU4mCU4q46AtPO^ikS#qO z+KQ(R*~7Zj(x-V4=Vr4&|8^=wJS-M`iMWL^{+J1l&jXO~++wh2uo04H)+oSc2(ZV_ zzi*sG%uDk=BRXT%^kIreQc zap*i0Gszxdqk4$$vuP?ZQs~|}C0WkVv;?VUF?Vwwe*}!N-nC{gZiwHOzW$T_DLT)- zt#;rNLnKAACY?Ic%b8L+ob*PXRCzJdxI@H)v+&oM4KqWz@}rzlk#pe5rEE)yCkNf< z6rv?+YM|kPkLdY^I;glD`&k6d9g2f}+1 z`M{_7mX_7xb?|IiB>ACU4c+-Gw(0c;&`7iKRDSp*RE%jKe#@nS5-R^8orTxPFG!BR zdw}u=IW5jl>Y!&$Bq!0ZbUvgM6J(T!p-hmp*NLx*vmmxqK}90m1ftXuuj$@RLHqrx zRx!lk31#NK^GLM`0+}tpu^ zZOYRHi=kwCOA_xi3lz}0coFFtK(;_jetxqqq&p78X;PqBtL-C)H**q@wEswdiJTbX zrl;0LhR|$J>xeJ!bQ;?4Yjfv&)IsQH?wnn`HVE?5+*^8i3H*B2=nV5qz&ln)?bbXW z^rGvGD|a6>I|o?}9>_s8ExA+twF)TxvBl?RB@cy%^6%-9uRdooTz6G?9(^D5rm_zo zfz-4C&FHy$NWs14z~Yq+3D|wPv)XA8vwk>8es%;R2cDi}fAlmOs^Plr=bt_WQk_ z!t1VRzrP+QDI5Y>p&BFSvm+s$(X+!)1+l+GLnRn~6hqQHL%fd4Cd93WNktVRmVDsC zYZ($N#Bsd9A1_7#VNAKjxs0|DOsa2>sX7b(Qs;bc{zvWqN6-IT)BBslYkF~kKyPcF zKyPTCzyJ;Qet($$-8sE(yX2zN9hTs&Rut)Z>;w28NnU$%DHVb)S=r1x+d|0Rh90fk z1qc(?Z#$KUg>XB@q=55CGZD3OfsPpUx+zK9h3DHKDsD?7o&e>}de|z};z&Tuvx^EZ zV?-hLipxY+xHt0U-Nc?+Ks{i>PsQ}`8i?o5dGAY%I5+tpa5q;;!TYDVffkJq_$NKO zYWVF81a;>f4bjdfZwSVOvh)4_r~HV=ru+xnHn z`5eUWiXI;G=75B(-KU03b%?Jk(Q#hpIr#b6CfRyigCOP;r--U9KqwbCVUOV$gq4^) zo3B3x5ocdW;>XHCq{h`(TEt@LPVto4ali*LKu0=>f>6&rfHHT?y&!gkdQ?_#9pc&w z6e%uzfcT8BE=^DLAi-YYI$jUziJ{dkCht8Yey`v=&Ug%b-?XbGPfkH#|Bn&bhcgh$ z-9r>Ei*%FkFCVOq-9pb0iuKa*L5N&rJ!GjbfM`M7CKKuchzWA$>0Ysi*!BRm8Y?@9 z`xvuz-cTIkf1WYh$l`@WoJx(k(HD^TjcD#`%?U`FXy&UUdk@L^LQOgi^?)&x40tg5 z971|2vN^|*r)eTo)$DW?L=d;X!nJEzxehbN(A9gDm# z>6$MV?ZEHXv?o)D4Ei3_G~9PO4k6#8g*xc-A?y(&%LzCM;kNYDZk=5a5xG{hN!gEP zd6HWzUs3jSY+vA3CILjdtG<~xAw`_lrGxzY#SqJ?U2po2pI0wiJUqdOYX5?t`&)8| ze=uhI9S7yvW(#nMC^5Pntc$9v&Eh%icXefJ6J2jfhn=}DO(s+4%;k@#nbt`Y6+W~GFf z;*{&+(OTsFW+yGAy4=0?IKGHZuG39yePwqm@M%4njt0#$ZdB*Y@2h164f%qt>ni}#%V$Pfrk`c>mnrl68>=^JppNK z2XT~Lb|7iIYFqw_FeK+mGT-x>LYW~infcZ!Af&^+>+xee2pj7xAo$V%5rvng_)DE2 z%18me|00H%GbLQsj!27~S|OB7^8jUO`uSZO}Un@gq z>~0-y3n!!vH(s!nNWKdaB>ylfIir1Wi!WTotPSlPgX-c)Smz*BV#TdXj2}|8&%4Q-Yk(wswF|n| z5fC3SGa&zq2x5;h#&f*fL%T$r>Cc+}5K*d^t$nbEzPDwAV-`B0=axkn^T94OxkU^0 z`42#qV;4sV((9G(%P`@hoo3OtiLm>Z&yW|CB4T^6JPs*mcpvyK8X|pUUbj=13B+IPP*mcl zg_xpSOs^=AKHi3mkg{_dBJ4aLPAF+Z-}Bc*#ZsowVxRC}OiBrA6+E4mF>;7mmNhTy zbpWNOsH0s5YEZWIbjS{3ljq5V+HcRhK{i|JmS6$mBYUsy&bc8r%cFalLhn%zE|V^J zTR{&nchs*{B;0~T?ir>prXdjLa$6$m^fQQ|I%3CgR01M*P7-0OkHP@{wsO{or_egO zLGj~LH`HS;I}&WjLY2x|K#q(dl)bWe%Ko_=@tRlJJ7hjX!FGfS9v>Uzx?5L_=_2-4 zQi>9x{b$IySZi+d%>dGH?%OLoVgxL03)d;kJ4pV-JUaOd%>?&)^;FRAI!=lHp;zy1 zi2n6(F!Wu;pW6SAp8qdOH;$CL(m(h6k54`$lwx^CXsW18X!*P0M?ahX^*Z|W@9E|7 z{TOh|8O>^f0|bvfzlt(5ye0b3jnB&OUYthE$`q+}hnYacw353k-J3Evwq=6A-9 ze?a^5i_?WK)sUCMe~(r5CqMYL{gC;7A{jy+B#CgyS3%e`g(V@C2Sl{>j+}Xi=Dg;% z_dni#1=0JY=CU~X5Ub(*P4qqi#O41u!!L`0_=WqHO5Dznh|kNQx}X9{7nbToa&;h? zMq{i`%@QzYrmgwQvjMZO7<7P(&I7}-Kx4TENEv-p$fpws0Y`Ox7j*^D{=$jENzV+z z)h+S!GepszA`rLP0kOw^Jk*t$ZbX_8d1ZBN85OFIreZSiVqUc#Ed^ArX7FBuC*wPb-HM;+#wQv#5xA{ZU zAE4Y`D7v>zrwWO_x4~)UAtW^(Q8)XP3(50IFR6(T3w%%c_T<1TNO9Sgcc#4wDF@a= zi-cr=HP3GA+$jL;g7nFO32_KD%O#^9zXIVS7O}owbP)OF0r59n)IW{?vUVttM|T(1 zaAh+z`@PM}F;t-o2@UK^$w6oadQQwqM5KOE+&+h0I+Aa z2al|g12*~jwuUkeVysO2hu+qK)Rd;8?qPCBqtKW0&gq26TSR5c z)+V@Tq#<7C#lb$mDI{`y_F6vs1KsEODMrv81mp0C_0G}@^yhlIMTdi)HMi0U#2(55 zcFAM3@9-m<#jeGWlE*T1nh< z|J45Pp8sEkkEZ-MI75H&`2M-$`leCsyBD$UVb_9quu@6^0|#n}S3*B{_c9GL(+S(aKW#aXa_ zA+XH7Qwa__BMB#N&Vi$HH3_G<4LI?eANca5gEQ4uMr6Z#aQ=jUGrp=9T#8i1ZX)lF zUcOs%UR*O6uTF>zcq@U~`5UFtl|^8_s<1CXx($|IECwMX17Nkkt&?}S0yZ+Iu>I=p zNGmJCvm5CScC|JUI67uvKTJg|@E{5tCR`|(z8Zq#uu0{4j!1B7IBoWfy%n4jXWoCgOlSS z?Ki}&b2fQMWr{pPE^@!LMK-m-^}L;GWRM%UIxo$I+1v!fCJW=iicv5Xy={Wo83%J! z$5ERu5wK(resQSz5UfUKd*bJtz(y{#J-ks6Y-@gTU0*~RU4pA0wR)a`1HVG|MCT1~ z)IY#)7Ze32cf}f+TV~)Kn5=l8s1#g$Bj^|83S`r%bj|F?P<?6PzxPF1^l^1ZQcbdkr5SfQwy%%&_PTxQf&+k0d+=*TI*H&pV%jn?$gB z<9i)&>oouHpj{T+@0b&ZVtT-WC(}7pJK-vq0;w}Fiy`JAcEIM|WBOi8<)0QT+m zqGue4!11{_7*lqm=iJKOZsr%@qAa)dWbz)ksvbBp(T;)JG1?~qVw2#OCcFMBn+)9V z5@R%s@xZ+|?=2<|aiZnN@3}gnJfq1uRUCRD@KmG~I=ig+r}qE!{J)(%|E_)UfB5_V zdL0$~jsNZo{Ev=Yzt*jjy%`hCswn$U46nTuALJUfq#44vgdP6aOn%OcRJLEIn z+lo6dg&b<{hLmPa$SfRBxpSlz@popDl06VhYRh4^NEzwF?1R&8EH0+Z@#M9`QVHq6Rr{m&OCLrqGUw^jn4ZN62`5dZKmlKGK+YS~^La z1NP^`Tx+EgNXaIA-0F$4FgI_=ld&L==ZK6*iVHi$uQKbdF%m)CwHm&xyAPnpgQxXd zfi&X7;yRG9wnDY$er(Vq2`I|J z%@x%klV01{{hm9diyH40KJtRpN_@j65A^$xb{S>hM63&PNss79(U7!TbD^%A8~r_` z=Iox7KwO}68i_Z5La(Vwb?e0%lz|;b5$h@pHSt3>^)t*+aZ2G(V`LCYNtxI+M+Bg# zab9~u@eSmw3E^i_^*~M<;n3T!Rgm?}{D~FO2xORQnD+7@Jz)FEZF(X+NEMsw&lEtr zNu0nZqWgS+DYP>-muH2f=%RtE#%T6eME<>kff4#%b4Fl<09t8>OHd+HILQFYME^!YNU4WBK)l#5B)gC|O(nyU-T^s!?d($~!jOf`6>Ud; zLWbugp}6fHq^*~@*&m>NfOk&A$VnBzKD0|3dR00x`y(@Y2PfhBV_og-TpfNM+r= ze3kLQ~UqX^Z!=%Ns;RPv**XjHzLIGH6k=6(kJ`}ukZJV>F?M7u04M+ zZPg3;1e9%MNVBKB5(`ljHiv|yb!hKI`tmW-OoZoPOOEhDiplnaD9TpEw8AnrH?AP|gKT{4?l(wf8;;~+Z9w_xRW3WU zDUf#6{}*HZ8l3n+hb^QzHm_@UC_~z|pHPh21cW{b^{?GVyj}BN-mmEH5q-+t z<+DZx#7a&5U>6*RcqzeR_DXN$Z*2S3Am)d9`kf)F*$04;FaD5a9|0-P-$~!UaIQ&F;N#sjNco<998CC&FQmSiD zqp1ONv*kYbD9ZD7ypKPNyk1x-S`ESrrI32Q@YZ{6#B3YA8J!@Aa+$pIj*LgDBW-Yb zrQJP##7R7UXf!el8G2XpLn{R#V>dxt?^8Hrx^=PBOnE{q)osE7lt&O>S{Bmd=>r4v~uuD`Z>rJu}gnMcU|-aS`{0 z|J44UbN{c(|A(&ccWwV~t^ICgKbAT#HE0aLC83M@0aeG3Qn`?(L+L`G@h5{#DCX#T z{E}%H@|_;NJbOb3a$H)9U$vP-)&(gxyR8(+pq9$%<3gHBqnsgTxdWubKho)}--~Lo zIYjWL8DJRR3R>K+ge01YCNZ23kRa~3AXL*2aXCb-X9>?j_Z%L1u9+`1$?n}x)Uk!C z?A24_f;v$4GHF{$HWZ3;Ns}rxksm;AIljV65pwyv@~uRiA*(y3IuWt2Ga7o;3X+f} zegR4_3Q;;IVX&@CW_FrA^hw4*H0noCwcYFzB7YUJ~L>A~NtV zr$J9}yoQ9xJ!rmu*k5oI&Dy*!^M86_1m#!u^dH;{gA&QJ)9yoqP-tKE+2iwFwUAO!{zwe@3Nc($ z68Ma04kDOv%mC+3GxU1h#8fVA(y5zI-`mSvfk@{<7WwmjD6RBgKTSM};Mp)=N3nWotY3e>jLf?X%717)_w4UkgGjPE| zT}9-G#?O0Dxv{t6B;ku@ZFZ#>@0me~1->TEDq@LAa4@Hy97GvdafP+7h9T$Y6`TTc zBgonml=HoK8#386=G~3_Aw8+rO+Y{&()hA5bQh!`6_;?Zx=tQamRD&A@MZxsNR>Gr zb^-=krLTl#EJ9nZ)Y5e(A82$`Dx>x)fEvBfiHW1NP;qFVc=kdql-ZpK9vwru$nHwl z?gw3kqOsYpH7Ws6aBVk5_fQIQKYkO|IztZG+jgprV@LXzU z6lP_N$c+ZOPS28KX(Qllb7g$9NCw=*;yb_tJ|5I-xJ%`-C|+_$kVF4e%JpId=s73*Y-1V}3zEd5EQS&u0is z(TEqOFoYn>H9wMNMhNB_Td_Cwf#BJ#OS^d}tIEFG^)%u~T4!<*ozNHoM_ea<-;oM% zRj<3k?EV$y3VC7IzPN*@!Cezq#3%B;L=r2)+6zAQQVAvZG2q9_K>n$S18J683dn!P zLjY!Tg_@fg0t<3NI*RT?5Eie0l1&(b9a+{_n?6AZ|HBoNIZ_Du#!YQRh5Upf&HNh* zHDGttDBL;V2slgC5-}Wo4Jh91Xjb0OK7`sZ z{5-FXIQXMt?%yfZQO2fxB^|nBICUBa_18ZEH;>O*3wF1`;|qtP`K~5-U(VULM*4l< z8-rKOou$D4^p)7N$ZrwQdH&hhNDc(aFZ%EVqg`lo3eAh}LJ)d9u&C7NJkngxT&TiN zMp_zG5~okG5SA2mQT`j+4HMI{l%5hm8K#?L;zGI*-n|*sA-RTfgVHs(Pk#Y#6UO+G zQ#ZkP+3e#9;fD~w&75O?Bn5&L)5t5{AqI<-(xo<5GsI>5$aQOd2(i{UTu5e9A)Mt) zvlyB|hELq>d_G==_80e9csWi&BvJI84+JPHH1z3R?K@~@OE8^r`uq{J=hz`-`g9SZ zrf#-5M7;b{`+v>){wn-`&iXdQ|5f|{81Vh;>*D|Z^go^rf7{v*4WA;(v#)?Cns_^> z9c7ebdLvT_Wnv~iuNKIPnn3!!$`48vXl_f^!&I_~SQ-+BH(E#}AWdI$_^uXup6b4P zq5DY%GQ_%ao;*Q(9m;Pm%0kPG!oT7`Q4aaw z3)T>Z2i~ z_ANedn(c$MH9w9o$9_WkX5>v5lADk*O{v{Zjkth?rc1YvAuX-prD@U!osfNY!%FP1 z7kN)_)CGkj{tVAa`csVrkW>7#)P}wR!Xqe+J(-dBhxnRvSwSnr?D+KHa@ zT~a^&4U+KR3LUl4h7<|mb*0Z}AH8;}@5gKuq-k$mS>M%$^crQH_IHTM{h4*ZW40GD ztiyt0uUv+#1Gby~GZ!HHooLSk0>t>tS`CkvK;GYHkB{O?e1N>8Hs3`8YauV1EBo<* zAmmf-d?fbBLE4=06|3Q!kaY9$5qu#(NJ&vvm$mdpe85$=55pypeyQyv zpz||}O!dr`kTGN{9x!wn)I*L#Sz?Ef8{~RY_ILRqHjB9iCx0w4@DBEKfl2E}Dxv`gOV z*qK1P%&;6m8 zS7$J-8nHq0*CTo+=b+>qXYXkI0_t1ZFI&@2LrHg_sp$bJl#+jKqw?wgQ~SSr{=bSG z)iciT+W)Uv-(Qc1fAvQ&KJW5OXT%Tj!O{1KprIp z<8CXOoph&bzUAeGG|Qff`)t9G(n}R$J~jqPx3%262DuH`r| zMn>~2NG~5D-Qf(C1dwe zd7-=ezK;FYS7_9$k}Mz}f=W)sx2&P(5GU4fZR>$G>ap*hDNE{rY`7zM@Um0wQD z%RNH-^tbB~xJ`h$Y=g&N)&L2@iyOb}#Ub|SlB~m48ARRkap!(41mS}$)NNnvAhf!i zKKcn21oh9{_kaHj=@$={^sXd8PmDj|>1-ls!m9g?VAP?CO(~(VL=#FCB>3sk-%sK7 zFLy}J(?X8Xndrzz7|3YMH|D#wj-G+?y;nkIAVuBB@%#Tr-g}2*;lJle4f;M`tIzv;9N*vX|JVEDNQb)r zaJX;R{k)#nb)Jv&^Gz~`ITZ7q_!bk7M7y-^R6*RCgQnR`L=!X|_x||yAVg+Krt$dP zMYwfcV$)4!gfLfI)|1T5+t(`&pD{X**1DW6*^QlO$kK}O9aKhj#N7PZ;Y?I;z24^> zt50%&9o`9Xu0;M>7xfsISI7|$H>Tr$h|Ek&hMjqFNc&*obzf8m$;yJ-4JWrDfvf4( zW;HRyTGzxWAD0qXpZSRHj>IU-6`HUBEC60pNeTCVntZrZ}%tf_3xf(LC4@aP>Q7n``;% z5Gr{1GfSr&c`eU4L>AW|yt#gX`}7S&*z;ah&+|j%s!;c>aU@eK=okM9ML9&RO>q_@ ze!(cOQ|zlMn&GUgHpoo!TRj?*16Hr*hqrWD>z+!&vombJrs%{9e~o3O##UVfviLpG zY)nE>iyi&uvz-W0luOr&$wp}1JvI42TZC^smPWPa2O{YBF2_ZS*WTYiS;>6q?&!*=k#_f=)bRYUl# zWcYOTn-BcIv2o_Um_*>M+h1PFJ0RGm%yZM)69}c|si?4-LYRl(X0fj+2%na(ujnKC z`Q*zF9;_#93>h!>=KF+odH2m6Yw9(k8(@E`a4doN9R)conKvWa@XbP%geu&bBJ$4~U9l&YYVhwZ}7b*!sByqHDHg z#>uxLMrvx6^m9RY_Po7Y8%8oZo%6j-Q_jKfE%S_)Egb^6b~CPAH;rI%w@TY|l5f1D zo=LLa7Ga;~JE_RI9-+CMpmn?jkvtyTCc_&LIrCmal;Sm_@;Rfo^#l{obc2d-@*2df zNMh`w&qhq9cD11q(H2PwFr6(cLu}8wLzR-&ByZSqa9dFf(H5_8ic>j*;DZZHjMpC^ zH27(}`T=2t*L3f{6-9E3$8(Vv^&*$`9^y6GJbHad z4w~|DPwFcXNE8`4*M9gqlGX$aZeGQLq(>`vOk3z8IdOQ;kpM2FsKtM?jkpP)_r^l% zBI5{*DM?Y=& z;sTus3sT0lw_cSggWsw{uRlMLCAk86tu9*Z2-7|;ysKa%B1YN*8#OBsrA;65i8G(f z!?OoP^feK?%H=To+*ia&yKkn&XT)oJl)qVh4+*A`GvkDJmw0tS`0xtCFx5M@{PR^m zk`)v_bY5E~dxGfGP177md0$nq%Fhm|7B82Y|V&CTvz1~3lcY-?Sk8EH-!kNX(aqIh#Xzyj! zmaT{+uQxk*A_zyxGtvIw<+n(&dZ9FTSsSUE?217dnn)9DDd{ljK-yO`1>aSo2$t{F zxG}N^;l?}_;;kDI8RZi9o$oB7mFOJ4x?3UUnZ4ZEUM12;1gC!NzJ>Uk`!!V0jFLM_ zmFq#0shM>AR;L>0btJp2deh-bytGNz%^n^j9@-+6uu*}BM8B^VwwYxJ>6tI1o1Rx7 zcV%r?B%C7g)fY_7ac=L}-zKO9{o?6bJK7s)f*b|K-y{8+9N z@#uUic#)~F8_8CJD|Zs@R?0J~t*NR#NGz5lES>Fzi6UvxYqJO`!^33BI<@!`&i z)ZBE!pqZP$r$9VllqM`a@mgdSTwpf0+)C~kEW`Qt+ke;o@1Fl}O)ojj|H;_?udV&w z-6D|%w%LSJ+cP*$dfYlU#wqE$g{V>rH;|h8igK!8N%?Gf6fG&g%$}@3{xdtN)zu`c zK}%_U-&z`E%S;*#KO*_M<_#4>?kkYqvhl+)+l@$FVZU^UrT|G%*_T3p>L9_$(zrsk zkm$FPO>F2$W@i5DmW+h!=&fN}j=pM+=5bYLhOA?#XFK8KD3*b$!S%C8-d{rbd)1v6 ztnZ-s)@$EUpWl|w+S)n?7Mhhv1=PDGut|Ey()oo0g9r0gwtYZ*~K-|U+G9$-d z2Ln=xHfT6DhUV84Mfz2@R;y9gt5eEuG_ z61i`$`H`3Q_7QT6Uf5}jijbY@;<{BenaG^rw{=w=K!%3t$k>KPq`v(qo%Mq-MXs%x z3hUgCM564E3nKc=1HQxiPh7#Blot;NMkLYJ{D!<|N2BTUt()Zq`9$08^~m668mfjv zZ@AHBpyEn>lKbvgC}mjvae2cn6j7Q=Ietq-zD;>Rsc zhzX5jIE{=w61SfH;y~*4?W?5+CCKYx;KH|$_zneRH(S5y!N9r5H_Bi~$KDfF5tYff zY1i6MS;CJ71zZ1tE83`O9a{=|M|2K51;2HtJSO`N|EDKe*P`T3nF+r>nHzm?FglXD zoA7&Y747egAxzWib7>*w$WAu3W8iH@=7RO%GfXSVZqg~}j0WKpom{-_al;$Q@14tI zZk_pE`~T7N|D)Xz{fEyVm%jExGx;n^U+X~L6X?IZ`LpYfSWa5|uV!|6fBOFG!sV=50nrl*{asK)`slA+3C9(LJ_IupXo!q5K$`ovhL?eBU(UfyS`a1 zqJL`sVhHO)Oq=)j3+l6f?B|b_3XwgzAbr`H{O5?fAGYPfNs{?tm%R4QG2+jsr?{)} zwhsw8q6b65&EXRgfb$m|$em*N+7b5@1izW+?bykRu*_e&Tb>afzdP5d42Cy|49jDn z6ec~T&$aCdHX?|=+$M0gQw%ZtDYeBGBM?i?x!<>PKVmyBlzdVlzPf9Qe*01b5x24ADnwqS9@Vpd(7q++e#P1}`jPO?Tc_93- zo%N1-Iz$Xzv>YRv;mC<+=>dGx#7iU{P}fn8=yr>~b8Lo)NshD*UwIO-#*g3AFq$Kd z-=D#T>nX|m_#;hBqZvk^Aqy3F%jXnMip4Kss!GEfSq<x~RV@HiZQv&4hQ(`&CraS&$5mC2b7mgD5-{t{EnMRJEVZ|3o* z5jKhV6Y=hqt%##B7^D=FMciOO;A36lX$}kdKDR(TFh{h0Tx`lh!hEhH<5uGDOFNdK zv(2A)sie-P(O*HJ$qF@U3Tooxdse&BstMuk6afczk~_<8!J%S-CPZDNS?E7b`VXh` z^#UHGcX#hypj9HhyPar|h&b^KpBJ9J!aIw28TPDERnkkZD>R)ICtBc#7SH*|31cJv zCR=&WLnNuEj;Oz{NAfDy@=uYCNUj($Z{dwVnBo&}R_>jM$j^U1mKuhrH4}G&`qL4u zp4qd`E0kom=qP-@F^|{`%exyJlMz?G`oMbG3&blCX9XF0-Y$(77c zlB|!MWK|(Kjw+9sytxk}W&6t@h3B3~nSLpo-RDaBkwcS5**7BX5`Usd8q@FE|EK5w zr||!S-C?6|WVlDn-0Z?`OKGzUhs^XHgiUOT*N6V!p3PwzUtYS?n} z6wyvM!Jfk*Gd0+RXjrx9R*t-eqv7SeK=PV6^)0D9;y(-*28-u@HaT!T(%lO;L-OZ_ zk8KIr(b=i3u-@bWk9~VyJnCYDM}0SQ^T%Fz3eBV|`E|iFUF`lY=Q?=NelQXgw1)ZC zz^EC8Be15sB6`2Y8MYQfH2zOaVQ+S6V5i<@IPg9zX3YKq$J&H>hpHtwvsO@wS4_jj z)Yegj#|N%iF}BR#UE$XKYez=QBHW*u8g1#}g@<)&!~3LEczlR%*D&^grwM0@+^f^@ zeA+B@x4{5jD&6wBm)5}IyryO0d=9Lm@~U(-NLJVDCho~(ci6vVQaLS7w99F)7lfk1 z;Ux4ndy*v+&dJO{J4z$q@_u09>HJxe^)>SDqP-j3HKkM>uP?zvDm0%aUKk#&vMbA# z_~9w{Fe7jdRT#TR37hZS|*Y*U5YIgL78lWMzn&h{A`xp+b}gk|6~F|~Lk*p6tGr@ZELrU+kG{_cT=61ZPD z(`cio2#>S2^h)1f!{Z07fBZ4z)ADP>@@~ixQNB`cSX#>b*?ZW$;%b) zk->AU{*CYm^PqD~m4fF{$K!>O^6*?5AL9*hhgV@EQ$f@Tc%OJU?H>CU-m}JrhI*m! z@i*TY);t2=Z5k07`xW6^Rr(foRk z`zJ;l)Z2t{O1xA@wZ4t-S^>gNxZZc{R-y_@i{_+*wu_^veWy>hBH`Xx?A6nmBw38! zYhOI?K8lEB5{rivTqscETq~<_NZDh~<4>OVt@h1AUu^6g9YCo*u--}9_cA-I;%_s|v6W0@% zM{z{Ez{zKOQMhYnLp|RT@B^dpGQpAsv0MfTi2s#ZkCU%TQXAHzY^be_8TQ8 zjyojNi$8)kN)YKr3$q89N03^-^|?3KE5ggWS>NwGj#dNlR{PV((XjMr=vHktYN~s- z{fH<)<+OOQfw3vd+a2=66HlO|aNUiXtOF<#>^FVN^#uj2A$oDrcaf)i?33!?N#Yf` zY$C1jo#@*Ve98;(mzOzw5eS}I>sHiU8?K6PSFQ# zJd#i8CSuTZ#Q0EJ6a~o$VNbl3`VmzVKU|nH`B2fZ$Y9DCfU+Y$gUh)CQJlYU^}?4R zvSV95&eO$({2Z!JWA6z|mDckmZ#)&z@A5-)U?~)D zJyO;qum*(}J1OHNFOWNGwZgOZbmX~n}z=wrx$*o_8P zOU@IYxE`wuKa~RMC7Tplg)fnwy=s@5cMB5pSHD?E-Gih=;dDWHZX~-VzwrwtY-Fun zF1-hfk;-+j%9BqJsjt88%@_zqTJDa4k3#WCKkFbga^Mz%Mp`{R25AsMr}ung74gxr zpWDFU!i4CDiPF*&MB}R-oy4?<^mBrt&dP20vL)|YeqIr>0#uBt@hA=!m)((|ErbC+26`hb-q7_{&F1zc8 zA$a!JgGm~apX1DZX}u0JqCDUDd1<{vj0Dx{E4ywYw(|Xf&#$}@PZj;>rH4NfWLGXL z<;fuN%9LKw>Nf602EX)$4OK(~R5TDi8cw))d!#Q}KHEg*@%=tiLXQyhfOoBx2ruH) zX^NgR6Sj2z!%x|@6i9fn_snMjGDo3aGkMpT8Od9&nm1||AcdLl({g1NQt5oy7QD=m z`h`(GOWT6@2{}G6e~dzULGB{c!%N7JkqqHFrjLx2Et@i?NfwrMin!N{VMN8hEMSo) zxro*kc^$(y5x4pH21#7DSVyiXxHiErDz(}4tN0>+lp0OhZfpqpO7f&w zju*sCZb4Sqj+x9%q77cUx7F#G-tXG~eeVCG=Kmjd$A4|@_lD7%?KR(ymh~%B(}>dwH&N@7JQps9x^TvG$eIiEeS*Gw`IHi7>m!7r(4#3}h3nRRhd zjPbnNO!nLkc1R zG?MrIM)>udB}MabL=(kwN_5JCXmte5UR4tBbH=G>{Tl^ial2*q*7txHXxqQFO>5O* zG&!%zE7#wJx^;Aw44+=2noD1*W2GM|*R6<3uuDeS_~-{l<=rSbE+_bNpAL!yvSNQq z8lvF5squ5Q4l;w(GSbW2L%cm0a0D4I5Em>_5R zphL`NVPxNrnOIRu`m#||c2~OLU^|I= zq$g+ZQ$tOE1`khQE~;Jy+ZtEOqEa`~QI3-q6oGOPU~#<9fc3Iq9fHh zR`~SvdYT!ofvfxl?0FlPg$XVt5MqF9?Ce-#!%V*+D$X$}!WIFTf@2{{F+#1;mp&6Uq@A3D5O5 zqk_q4@RIiqT+X@-Z>@6_ZmBEaqrQ45vh@yp#fP@q(1yTo#mMwHWyxY@k%h^n#I)WtW}9{X6#Ch@ePIB zg^C4!=RL%8+xn6HrwzPTr;7LX=1WE1;o?~XPgP;x$x1fUX~!qV=&bioKx%36*KJqi0IV^@Z( z4{%z_-m8?|0=J?=G#hIQi6@p*@#InRJckRM6{d@T_hO(orcZjg8GM7Hz!d?`uGhbhxgzj38k_J?s0c@Cmc)j~f4*$M>hMk39Yd z!1E7A_dnx6wSPOaD^k<3dvyCn#I0S|_rd=il4v*`?mM?4HNatXiEz&|PKk#FsDDIe z!|Tutju>QpA3Bv-b%*%E;Mg%Ngj|j=l{gDia?eb6QZ*o+*5{%l^#jq!PrfH1Hd=!M zg}r^Fd!0})R?_%XAqs^i?+ZL0eMjN6k8F`Y*&Fb!s>=A*fVjItN-94Dko1s`JC108 z)AUfY z-yZ!$o;~%4FlCZSeYNT67sHPzII8;cyDFJSY<#q9c%q2hgS~wOLP(~madCu|njMP1 z1+Iv;U`Da4-{8+3QHXVP*SvbQkn8|gCFCk;AdOqpZDE@#GG1@|X0J-}0K=DBHROqo zj=m_*P5dNsuiX9YV(E&!9QIAJFG)^bb81S?z9keiUp?Q=(T>6#^VQN(d&#*+d2Kg6 z@!E=*uqIQI{KJu$J6zS?D6x_=zuCVTB|j9VmQ93^cs-nqc9@W+*tX1>M;I6q^t0BH z*@UezF}(lN9^?#ae3Mm3BJ&I?zt!Bl$nSoz!PYOA{Csojr*(=^BvZ(E{g0eOCDy$< z_lTBPR!O+*SP04Pm~u_m%R=e%slpi55R^rIy&TSDg7Upr@;_TBqr8`*OM!)o@Q4fh`sxPp50;K3 z4y-;&@(Z@+mBk#;M#VPeDg%2*RHR;sk9|LjN`}KtE^>6SD1v#u~`*Sfqqm; zSxd^$h@mP_-pH=z;P2Z1r|18x`2Tm*eou3U?My!vZoIV_9aPpu?M4aDgZ;-*k>9}B z(@TkBtDNnj8=R3ZkoYA)KNQ*HYJndciANS2JwEvpE|0LG@K;wKvd5oWD4h*Od_Yi; za?&*6zpyvG_}Pi5$|Dt250enlVy@3|*A-#R;`;&;IuXozC(v?S3%yeHPAuA+aWg%) zF+;ZzwW$Xj?2OlB#g`(pGx0cNJIMi zm7mQI6Ml}i{HiY96mlPT(i0%smDuoK%28E>V}EeGvh#@mA`fXiv=f{{`06K&CgZOW z{Pkx-W4Hx+@8>$1juQWvUqf@&r9#wcNerZI{)Wm*pnzGA0|4D7?QXo^W8}Oa z+x-^O%ch@9yG`#yGCqTf6PkAs=J~BKMtZW(*FKUkt}=*>uh)htwTF>9`*B}S<2@vW zNeR7aoI`vO#|_(wwTKm1XZdCOeMJ2d;O4x$pK$)WFM8rR!k!$uWV>@7xBC~H+4-5! z+Rwhaf|dgfkL)JW8FW$If8>%TnTu3hUJPe8zD@Fo)f$gwaU%b_|HY980pyH5F|g&l ziY(2`w`&vQ$R0nJn88($a(i;$k$`+8Ryf?>*zf@Hlx>1}J0=iQE}~7lSsPK=U#|Ct z-$KMAAL;4y4Ct3WWKW%5i?%PeJ1GfMyQzPdhkQ&bYTY|zx-=(IIaPU-H6a>hE*G>; zWox5IN0sxC;Rll0`NR0g`5NRLZZLC8nkGHcn-Xq-Lfw1ZWgx63c*Pmua{2?ue z)82j|+A}d}Ym{Fzx#c|6|7rUT#uHfClTqfU9E&Jg)TZ zM)Xz$NzLt_StJ_Kty@kxUVBFTZf+jN2OCJQIi?bJ@&iJx-R}SV76JPY3L1$~ac~Zm zUlF-b4|fyueEyFn@Vxlr?OEe-cunyLSnZ61kHV3Q+s|{rH}ra@w}d(TTJF5JH#UI( zSQ9T>4$0P@ePt%Vz8irb${1EtA4bs2Q(qiUk!+LRm>Kbm7Q@XEvFs1+Z>VzD0~ku-eAY@)MxN6zdLj`I}*Ok`kmY{ z9`L)uyO-Pl9Q^Yf4~4k<*6l2z;9`y5apk1TE;D*h^Q9;7=F!pGgi!$jjvh z`U!EuK1~^s8dXPFMqOyjh64yU+ghKJLw1#HVs-b3cgTawY4Giv1bD5q7^+mxB0j>L z=c>A0@KyBW!FmSxH5*zBSm`5xZHm@7&;fy_hB+U3-4K-5%Shu@PG=!Bi8C)COhAViyQ)FWX=$=kUbF9WQ|Bqudr9GEr_Bn;WZcCiYQ+KfJ!_^J|ng6JDQz_L{4t(CK#y{ZaZ9AI|^9^CMsW zJ8R#!E=VBwwmgE`KUnr<+(Otu%GE@{NJMgQZ9TeSKcaH)jvSTbL=1IFO{m-e(Mzwr z-|@%%+3}mb?BX!uV@8_8?x-OleHFz6>O)9OqWrm;Nt0w!9=5VCj6$+;Vf8+vJHS?%ctR=DZ>ohMW{R)tu97I?B-)z|jej8CT9_qDlyLNtUwsaA zS3+Vn+m*a?DoDCsIoan&=HRtq=}JrvNXgn+6?xz}QoW8neIxS?X{YlZZzaC}bdKT^ z%vTR0&{jl*itqx$sA~24Y!VUi{k()(I3J=KItpa!Xc2R~>8b<^@t(F%v|e3GMLgRJ zzO(Os5sebP;>b@QBqp3z+!C3Kq#N4SJU>E_Jh(c7zS|5b_w1P&=*E$Hd(HOKzmqLQ{ZdP$O;g>%N4=^sTA z`{Ub2eY4kycQ zYg-Y!tUTR!Iv?>pkNH+Vpg^KSywTfqq6OMWb;@=3X{5vm?)*Y$g0xk$EQV%;DPnL? z`|uSpWSp^}49J@yebD|5nfnPtHr(Po$2&6LXIB)_x~ht-0@f#Mq_~m2i>HR&VFStV zy1ROg!{>MH|L*z!EdKu;wcks~wenM$XqGz>b#eR?>Wba(yw-Y-DpzHTj^*ztcgdH! zKB0 zd)wGuMpTG5hN@l%O4N1@?MfibFs`(h?h{nVi=!>NHNk=$yNjkLjBJpV zD`PLTAd#v~bai6a!iF|pC zKJ&=4@dq5xO5Me`r!yA~T(aVt{9~xTA(?8XxDFNf=q70jhzHfg(t6D&;#K8b=a>JE zFf$x$`rag8MDD?e)%l9&kgaDG!2ObVjc=;IKeCea;>W+;niDvRv<=}Fr(Pr>x%-TH zo4_~{>yE2W<>VlK%e$(-gUQU|q>xQn|}x%30>)CO_a8R((yDSz5Ks!3dz z3@=V^C_$aU?tvK9RjB5@^!SohFeo)oR|vDTlg;BxEK(gdNu4)sMe?bviL*~_ zFyPTf6HVq2B$c_Nd^8O=hbv||H^`x(Wbkx}=rU?WwSG#w+o39{nYmi&2`XZQLoDJ+ zX33NF^DO7@qnL48oQs0YJ8C1k42oVNFV~67N6!U0!*a`lWR{pMKIHUBf#?a^#ZL9P zyher%-($b_J4o{{dFQ`B`*-dCN6-J~ERPKGKdk?Y+4bkF@2|%x{?znd{%aOk@%Q8Z z+H>ENVlhYzu|rS%$?tZA%EB(o&!&j@>~cx` zT{j{lE>UKL5q}^zMY^5$VMJBA<@Prb?Xz@8=s0%(@dEjbNA^1*Mq2pjkYf>Is#bkS z;4OytLD>Z{uW0xwS*|myG(bR?XY-!Rwg{SfD^EGTjF67bSc5$zkI*WFT1br_;otA; za+cjeMD=ybAwHtD*8OO4O@Me)Da1>SKa*_hM3IKw_j8Emnoq~iC3aQ}fY z`Q8rKszV6>6ncyDL>D63S&Uf1NtU>4v{;M$J46YK*&Jq6M$|)Li4_LyBy0Q_tCb@0 z32w0Ly~Ra*d|3%+k3C32tmql$xwsvOZRESE@m8Iz931K>g4hx8Ro-F#>v05YefEFp zKr&fe5?(e+)e^7qEBSr3D-n^UNnhMxiAd*9E*4wU5v5e1_X@8Oy*BGxi=!RkIXliN z{xU#}-5#l*d?a^~o$bw34;AT?7MoNzT}PZg=OfY2G>H37y^y_@>pg;&;4Z{4$DSza%c9z=^@k0eaFh-;y5m1C))zoPks^chhyP>RQ84=*{gdTUexzE z!VQndz79switxNNF~o9g4?N$CR!IL$ftT5NuWG{O@p{cV_WFY-ymhH!HJ;1CdoaM! z<@QckNpu=Uf7u7yAcK>t8gFrpt3S%!odS+W1vceHe}psl@dkyJ#c=7?AN#~P1vmMq zyE|P2;GU|Z%XO4!NiEA{DmkCPlV(|su5TKi2?4w>rI_Kx$sSmxMYNvTp~)7h+u+R+ zc5XenyLczmJlkTt9zN@=;8^Dct4Y4k`}zVw;-Z(*#>H?gt$D#q!xxUtkGf@-R>L`N z@@Ix5(Xz_s-RxLNc8*PqBI6II;6Z0L;z)HK9+jJeCP{DYsq)^fVxAM8&*S}a9QxpO zNu+bs%{Lb|37`@1EEP z2ZQlcy3@Ddvv9Dg9CVXL$uSeqi z;VH2kA(QVyvP8L^)wM6cE2xliUE~jV^W79~;v;j4mZ8lbzh{#j=*s@cX&3lBeVKXP zg3L<{-k~vG8@|(iiD|!L;1F?0_;TH0I88m%+0$|rt`xnIvO`{Qo82@&$?*do=M+tT zy)c8Pn1^hSN(4NA3b571t%lcix%r{WGw`<3OP1v>fDgycxZ)Sz;nSU;$0Bhc4A(;r)XE!R*q0BseJ!{Z)6vytnZXbIM zg=*?=B5x5+tmR{pnv;m!QO{n{my4_pJHx z!R>31)wO-y$k$qAl7(L1bCMr7`E|Tbh3wE6%Z8F<+R=Ak$e{dH7g~KD@^ajXM&mJR zW<$arucf`+v9?nIRf^}2cittuyrU1O9Ve$z8ocP4%*Bslwi6bU^udH%+!S4(O|&Cd zWQyv|Nhb7X&KIXIFA;vRfZT@s8D#q|=+gdthAj8=EoOas$c*GQV%$u4`SpRPBJTaf z?K@knG&$FzO=WYWD)l0ol6FUNl`Wu7*lMzSfyXWhd6#!xP`<$Q5c z0nrv8cr>78hN9rxD-J97qM)^alZH(2^Tm%GP4GF2+!6bmD#}U7$u_NiDyE6-(AOF5 zpF5Bhm6ZVu2uUH8H)Zxd4k48Txj=}&zw2tj~mq^*@hiyXjqfGv|qCXH48>a z8?ixE^$s$MPq(?Db~TODJ*$hT-g$GCh2kJ8Q&hf3{%SylmXuyg!Dp1Y zpO>m98nTj9`m(^xSrpCl>ILP#L!qN<^Y`6xDBzlkO8TLKy!Rh`c2|8s?!914M}_{b z{r~9s|Izf)m$&@M>9x#mU1KTHxyDkabBzf(@#$Z4lD~<^_wUEam;cULpa1=|nN6Og zw@s?Lns=M*;#eDlAH@;&L+mEnp3gQUv!T>6Q(hI(uOqYLNM=LKMCk3Pk#NLzrqM)G z5Z_pw-8*~2MUB_icwns;f`m;`Nw0DOiI09h&Dy9JiI?v43k?!2D3#PLi#wD^N}11H zY4n)n)K}?MT>Fdwd&}mM^Q#d`AKb$kmO!)@f}&ff$`ILUXHO~g4bdxp*c4@_AclG4 z^n(QA(_@(9`S{WtvEL4qy>%5rTz{DO(iyT#idiul^_~j}s%yL^sIwuseLmFdY;L!5%m;0xa-#Iw#YL@kqS?N^N|Bk{pV z$YZRRQWQa=cJYcu>1?9C?cB(8jr`ojx+JZPaCemzvcIX2Jidt?hoy~J5Y&HsX8BP% z!Y224>ldsZz~%$Lup# z^WX`j_=;~m=OTzubJW&KlDk`wUtR`91fsS!yTvlNAlg=TUg>2tVhWdAH>!jZf8@q& z3-vvS>#E#VQ#OG3Iwd*HY4Uu>ujD^D%uBchx;>-%MB6R7e($v%G9-7XGnY>SB1 zFw8x)hdj^jep@x;BM=`)x&B-a@dqYV)5;~1*>0r%Xt=B-lAN3i=}kk3#(1d6k9Q0y zLV97N$&DnJ$Ye-8unnmEx4G~W22-?jhy-2Wd< z?|*&m_ZG9APCqS*7OiZhfSb=yzi{&F(DFR0=h-hll^P-1$vk}-`C6i>J=@9iga#$@ zuHzctIZ;HTzfM%{DhkBXri*Ne(Qd@;&SDIKqr_ygOFdfto-%9hr|h zsC>aQefens%D;aK6Y;G_DYKN=7tKf%4KSz~61{%G%$7|xpKlR9e6i|u5&8ZLG@<$_ zTanYA{-Q1EF0!i+FWcx74QcCHsgB2Pgtay8csO7cGFjJ1oKmeq-`hm>H_n&Qnn`i& zn$$NmhU{TgvS3B+DaE~XQAbb}n-b)f3Ux>&6KYW{rZZ_PP|fR&vnjszC?|i6jRN{@3hgN`z)<= z`&ZPSq+T<(UmDe{zBAjpNFQkWt@#Kw;Tq6qKIR*bMd{1doeDa8QM{CLi{;rW6lp6? zsCcNO;O+OP{M&kvpR1uEk*-ac+#%oPqbrc>_-MnaBSOdttY$c>?14dZ-J+sEMs!{Y zYYFSzfYyx)-C4eTxW4<+ywUU1sDE{#SBG*t+4tLvI5bnEI%|UY4axth+ClYv&Xb(` zFGbd^&1+(;j%U1B)82dTs!?}iauz=UGd!cn`cS>$|;8J zaf>O$Gn?6NCwCp5ZZ+$rzTSc7FG@!rzbo*vem=9A%-Xz0jpN)oDG8fvcJG2{HcaXG z{2cWYU^(+c_+@DsY({swm6tw+y`A%g`&9?w&_m1Zu243*n+kZWd>^&)XBRwbCx#}?;^3+EtbWW#0-moWORiD$~_dG}Q zu;J6}er=djzoUa?7yvc6{H|ZSx0irGPI1ogAYaP)xtE{PEHGT@O z{T_*z63OehZ97T0nS%Gr?o*eG?ckHN^WEW?2KdT*&F#5h1>Z+Q*2d({e&-(rV|+{n7=G5Lb4#OGD@tlG@*&+F?13G_8;ItA&puqdmu6a^4qN zB4Z{j~d$?fW;$_}* zD0m`675kl>kM{X2w&D$h_tIc)PIVAP-YfSj#Eg@9IMc_0%UX!Pwsb#3kp;;yUn~!L zbrPO^@{;n}0Ft-1PPE#88d*h2N3Cd>kp1hXW{d*kK?}V~L`zr^HS8@1UsQzVaudt0)#wO}gm18_^3s z)5}_=NT|r<>Hflvl%c>>H5bB`I#4b1%#nDJrmC9vmj{y_p1-Y{x(sq^Z!A1bRY2~W zTX%QW)FW^Ct0}z^Ip4mO8L5wNBtLKFmguB86y|1ySMMb`KIaPM?|wT;?!#BVmlOvP zPHxyaO~RkYpeA)X{^0gc5h z4w60U6T7QE61neb7aA(|5}oCF4*xA&L_;hs8I!||!sBDAQ!9p1B0r z)|PuUasxROW24c;7m~O0X!N@^M2pQ_B6vq_nCKbT2|KRwL2>Yt1C+yr*||>m^D6OU zD6`n4U9j*3<)s5cw;4=PVO%q8usn#0nYA7|gojvpF?&)nie$}*5U|iIWJ3gZkj+v z)6cCOQY4EaZS&b;nWxA<_dWJxvleo;NPMW17(k|zu3P!m7NnILm+$8EMKbetpBZ^E zBrty1b+^I-u^!H!C%8zSx}qJ0tSti~&mN?jTkntXlc{1{=kyVx6LR{E>q+$Pe`0p< zTP<#u+TFVrL^3JL&dn51s-aT2&7CFH0VVoH)5@Y$D4=||*}vEUIfX(kW|gYQ{Bc}1 zN=O;$(cGhSTQ4Gc`p)e&=kk#-z~uXh>jC2Ud{?aPB0IKmDo=$@5kyYPy}t8AgJ^2i zB2Gt{A@uNm?N(iL^gc0V$shWO=Gd3_PtadO-Q^eCcc?X@vfJFSo5~QShSO8xF?=YP zx+7&(M}=Gq&i&6oa(4x>&lVxPgLjp+|bzK-YZ!^MXX zQ&HBP9Y8qpSq+Zd_goS2u)%M#ns5Pq#5vlOLeN*j?UPt@3@s0GJoY+7qy9>CfYJcz zX)~mw=W+?F>(OmZ$p>3d#C>O-~7yIj3Jtc8*t=C3)&r%4u>_HaTg1#+cm zo`viXL{{YiEABH4MAy+?q`Z!>zT=lakBeSIlJ}l4CX(M5Ke`;N{cI;7jM;W`ueyY~O1=l`wg{X3iE4=DfMza>9^ zczN_4$byf2aFEY`HM7gA;L!HV?0m4Ro4dIuA{!2$@80-&n*z>N5$rrB({N*p%x9|$ zf(LIk#jrf-rTLHPeNAtH*H%N8N6Jj_T4eI0rW=EIpZ=@fBrEttblSU5$iVlQ+=ncx zE%05sNq;ElBk}Q#<(<4%1pgzVHSZKQ!T*_v9#8c&tPdliPiqABdnLH3R`kR1D$Q%U zW@ESr?KC`fN)~QuiG3dLCE#JIl~~c72~W$}{*Ve?)#K!Ea_?>#A%u;Clv zj}*&={kQ)^-g(FM{I_qvVU$W!M$s-&p(G`a5*clyiIApHY45%F-h1!8wNx}H%1CA< zO0rrA_v`u&*LB~&$K(G0`@8-?^vB1iI^VDJb)LuZJPzA-n=!#raQ1Q=rxBc|{EdR- z*23*V@VPz~!o#^o4{A~)9;3N0GJ^;QXSmj`OXoAZTDr4Wa4o<)iK)z(jS)WP=Bouh zx50Op=NCaaHu%n*&{K65B>F*j2WO>^@K+3+E>(zy|Lab}pPlbvooML7_2DV(x7M{FG}+<}6$VRygyxlGi;k^^|v-8XgYO=JH{?;Thx^cA+v6Ucolko;nggpX)(w zZmJ;oT(I1b3Za_u*U%QI_^1Q92~ZNA?X_B5I7OsDl2J`vtek6+;zL(=2F zG57M6rzCd=Ry&1Aq7V2ow#9vV0=};!&!{aQfnVQRPF~`F@Xy)yIiqqF0&JLq#mFo% zQ0A-clzIdL7o5#k_<10xu+Y({r4Y{dXL9$c5l%C;eaQQ?yYTR&E6?gCcZ#vjaSCoCy*ej!@wq~31JI)pe{a@Kgr5JuS4I6svt!srAJT$1SfUHgCc{C^gH9fi@q zYgri zn|J+ik{-d$Y+m`5G6=tJ{K zTOl<+FZ`|wGtw+1Pnvu0gMaV+lVL+I5pv^^dxK6X!e^WPIoIhCP3;G&-7K3CeWF0- zAmb;(ls+x|L6vAtdDR9!MGYhV(gN-0OX^675uc;!CtBXhlR9B+wn%Dosb_vqatmAi z??u;mA*F)TfxV;`sjbxj22CUil!fY*Xp!WK)EhD~`r7&m*FR zE5>Up$u|hNwNRAs8Zp8dhIX1Xh|Qae@V`fNiF8AC$5wR^cF5cA@xtfCL*>H0>!LW4 z8hzPrdXxG6uwDWGdj_ODdunlS{3KGJT#nw+yf-^X6kB6X6+S%&Bt(<#L3sg}u3*Dqvk;!`?gtPWI( zQ>H&{M7>Wq zFt`m#-|3~E8W3iOw*$55s0>oS-mg?Swu7)il6hB+*CG8;){AGd>yTkTcfj#oH!@iU zKHL3#fz0fQ4eM7BsKbtWtp$>el2t97;Psy955@V}<)`-kuKnLV{~yKw-%;?{xUC>Z}bz1BZ}+|nZAhs z65uoWqX=<(C%pHmZAVYv5Iv8bE?POi3u~yxpw8I(@Cw_0vd67r`O319>~HBl)@e1N zIN|<+a1Lll%JSvzNox zZ$rv}anWhJK_q3r9?ha`Ktic+aQ%P{;--#obK7PQen~;3w^qKlA~VX=Wy-*#dhvueGZSOS_l`Uxwpi*3l3ms4KH1jwU(siv zl+o~I4sCrk^efd3(QtkFjpYx*%$T@#De_qsDxTX46_XsgvQrzPZV8Q}=I)hIBnD@#zWj?9oio7_?eJ z^6Zmln9qtL5uXpfwva=AN1cEdJkkEHc)Xgf0Zn@2e5ZAkQ5*F2@QeN@s5(_8*|>Ty z%9rf!C6$Mx^w3kC_hCOtE}>G{Io(v`-{tb`U?Z%sGOJ*Vg?VH@4p<0h5=E9wo=dJp zF40x+YF5afL%M7K_KVx>2(x>m-unP&B!~7lO`cH0-Kd4uC|iBpw7%iT_96i-)NdEU z4bGr`+_0NVq69UU8>W3r=ukPj*4vYI5#^72c-IG8qSRbv-q7hHib{vt*GODNf#!o* z(?<`Gr~KR|p+}uC+)@upPCY}`TaWkVeW#J>7*iWEO!AR;ZZU}vAiDn*A#9v)>VMb% zfAsu+t9!!#^5_52^Z)UWfBN@--wFQm_y1$heU}f;yJ{~D!sB~)=%VT!cpvHO+bJLi zU%oS2=`U--uVFXchVp3yY+P`Q-a&j*7Al#;*b${Rk;@z~Ax~!vy2uXkEp&nJZHZSa7 z8XAY^aW`jm8ZCGSIe$44PuLI!7R$}4e(+n69L^njf&lF>gLn;J1jgAlUb}P`K~0^v zbGjZPxc5BW20KB7^w4j%≦z!&PIigSQcuvibC}b4&=A$&WjI{SDEEO0rasoJPdT z60!JlIYbzGYO2MG!R_^Wik|XDcsANy71(j?@2#Q*}CdTRxf?M4pntPWKGD1e`8`=^2%EGvB zITT@|7C**C$-e&59gA+u=VZRnNN4-%3nC6}p$##*gNPE_`G7WkM6zyIF?i4ePk*5X zhWdRZ6XfM+9^nJ~4&J#@?9&1NK#NVLNdL7X{{EhiE2bNywOZ$RXg zbxN(L2zU6g^Au<8BlrYGUN~g@34VT=`wX~k$y~mwQTWa@0zd3sAGGp4g0Hl#JxQ3T zA@}l|^`8bHjEkcA*=wTd7Fm!xX_!Ye#%W%(0W*luh{|jh&?R{euTB=$(<1WRawgY7 z;stwtrE3@UB%*ZHqLeqSC4J;Q;gR_(h*q?_qC3v}yY~O?`Ts6_a--k*S8ZG1C5_2# z!tL2GPUA=lor&{L&F?>+-C^Y}xJ^cj8@4iwk6yPBohwTUckiewob)dXNWEu;i>C1C zwNhWW(P@2s&AA%xN`?jT2cE+HXHWCTpar5Yyls?wNz_{ zSK@jtvBH&qft_Gam(a!waCn|>w)jR6&fHoPyWe%dl|^Oe5)1M6_Fb(yp+oMaCHw1d zFSWwsQm{PJM{@sWh?8wot$=4qX+qbOZ%=u?O$?|ayN;!TYQdEaf9@~XDM`<1q8 zV`esd^xx4`^GL#HfgKPhM zE2SS3+!bb8WYSl|gCWeXkcaShZ#|yovL^4_TK~kcHH7E0LD4^B?{;`qnZDX9_k%pc z_dj}ch6>)pm1Q*&WFBxPc;%AMe)zmFQdsrzJK2r3u&7TTgYDZ>YTUmH;LxeO_L>SS zoF&EU5;6ZTM|0z4u@KhM?@4ewRTmEu~r;3ezd z!{kV~Nx#aI_mnfjyFs?LSg!#-W?lt7;c4(?tIxigy92)UWp3KHHo#A_|K~lQV)%WO z?*EY64}b5Fw7YBXAYh%I<9zh=@7n*}^Z!-+{|&X@liQdrXGHSgjxF@C8WCRKWW>z@ z!vR!{=gZ~KH={zS4?qG@fULhsI- zN0xHq(8i!RV?nri^^w#cw?sZbwe2SxWyWPx-1YZ);#P^W!FQD_o|D&~QR9{)dcVR{ zY8n&pBEMelh2ZlY?&oF^I3E;b5t<&MZm zeVioUN$!V3y`w_l{nICo(a0##fO5L!**fMpCf+_)=&`-PHv)0d$Mp3(XiT4giG)e zUS40dULFPMfs_4dzI{dJiFPMX|{w@b8Ft<%*&4`IVkA2YikCIME86ZtQ0_jnc%{~ z&^O3?ZNlY}&Vtb>BH`MyBiDtvmX8a7UMJ z?9eM!bfkzGbJY`G->mSxGi0Arf9!o<$Yd?a0@n;Z@%=TbR`Sy7=Fp)+qh800_Xx_S z_}I%g5I#??7em+1P82o2w0ZuZ5{2s~Dnt|xB0uw)O9j6%$?rQTE>*J{xw|f0H9K$w zIRaO%l}iz=(au-mgDTt@Fg*Ko;<+?B&r5w&B<$BVno8BSGspBz0j!LQ(D@}E^QQp?I&1Zi)%FaEZefhB(CEg?TibS(iH2y1p z=^Du*wd9KMch*4xZ$DLkU^epR3`!%onaR$Q{oF{_zdgMF(ewYU?E5=&>yMuQuYde& z&QDJNfA9avhyT!7pBjsbvKK1?3#8ZswRRz7cH{gx7s9PQ+%sdMP580rT%iA036Uqn zm}YuOcG7l66+R;)M182aY_akfqO(Jj2B{2*uRn>i!HsZzrzMR|(kT$@`gPY(@iOA* z#Tc&m*B~x(a8Q&v4)Lr-&t5-rKz!H*e$%Qh_&!TgT$dDzAci9wu2mEe-ov_2b*~n-J4<{NS=cCdsPsdE&{GkJ!Z(=S^bO3CHNCn=PXl*?pSq(*AafXi`@- z#f=c{?I!!mVa7@LPo*bT=R^=qHTNlvB{RaH*rCq)HVzT1WsfI@64nIA-mi-X-XV(d zBb%GU2SmMJBfm$6XkY7kR=quN9x>N@-kDF!A(pS&#ha%Vu{}PiW74*WGin)M2-<$VbnklYtuIq@AT7zR6@K{c>iut#upyKEdCzSmbj+0X(GiZaQ4-d15T3(|vo{~r(IP3i zv)1Ru1~T`kXcA<5^FPo1zlxlk`+wK|A0FPn`T~kScYOalYQLwYB78*H46S~2mz;a+ zQ7>vOrK>H3Y6%KA>AGjA$V$??M{AF=tjn*L8P=ls<@;9^)!8U4_m$QgTZ8s^Sj~|@%)6BtG}--foNg^t$xuqoJUsb zb#CM1{>bb}xB9+j4KiuipB37NqmRPFHd#mwZA}KBK2WEl;da^RwU-*GiA}k2_ge`n zUx?k-J~Ksl@NY^O1iztldO_LZxGsvLShs(=cLs&I*UNbwD^RdHYrg_NVM%2fT4qwS zA=f;}ux{%G#MySZ zKSmRmUexX@VW{oMdJ$YBimG?bD_%+j5N-4O{j2#2H~I9d0Y`Q=luDd)WD{u8oi{;# zB%)>4Y(=i$hc7y**N~Ii-dTN`4+Bx*tof_XqBCm5JU;Oz+71{EAK^$vQ{5zH1z`oVKUK-YaNj9m-ub%w{yR1|KI2Sp1(D{<$p1` zjuLK)^ zPdRB*>_GPKY5S_zkHD@o)}Jf$KCw z4i>|0jW5`EaD7Fy`nS5>u>LOV#`wh(wrwT_vrmj+uj%H$F@Vekx()bMPs_uJrM;|? zMH zzkj7S9CMzO*h%xk>D&1&S=;WwW#TF6kdc?{{lC>e^7sD; zJ8G+(p_jU_A9dI%qAmtUe-HOB5Bg1fp}EDd)HJY<$P z&9iNVCrhaEZH+~EK3Z8)NVy2Fzt=ytmuZh}uw z{{b}?9-@EfuWe!=bBCOvj7tXZf7kwBv%WtIU-XZ2otymrFYV61_WQfURQCSA`~81B zuP<`{)e-qoUBn4(x+{KE1xYGp-`%$LkUaGme$x{q*JfS&)aChO$n<;Od3~CAL54Rl zOcxd)`{(UjLX9MkhEC?2gT{X3{wPhZ2`WY2!?eY)eB!Z5lzA*%HHU)Z$G36J`=j9P z#LQtzXB66flgjCsCcIh!qo22D5Vbz&!q$-ml2Q3)Mw_w{$vo4R;lu?A% zg3MwW-r*4H@1LyZ%tL?W~@<^+555l5EWs8t$*ZZ{G9mT*bkJ& zbRp$pzuHndFVe#+1FZ>@D|6rYyxjatWHqmU*Dx!GoOR0$@?-kQRo$v6V_QTx^b75W z63P3$bZ>9}Q^Msv+M)KeYYBzy7vfmc_o8sX=o2STA&P7}qpuapqnP3I=jBIVQ5>7S za9`XWv3{@IJNVL&bo{=7qBaB4e5*7R)4wC5pqWo9%?()>Bwwoc+9CVhwBzIRlE_tO zy_33A9(j@8l^Wt-2(Knr--zrC3z{llJMldynq`%W&x8w5X;$p(MJz z_rj-Itc_3-c=pLexd%!&imHdLmqX&r&fKqVnItFom*(Lw7s=kek3hKBBWp5Zl1ZBb zIRzay9J@&$p^)W3zl&&k8(b^P1glXAp%wYgT)X3TTtuO&Ystdu3KT8o+3&2nh!Us!&RpFeQ93psb2nxS%9$5u zznvj{NY>oXQp*xlZ0+1TcB&2)86iPAy~3zuv=;jk`W2Pe9jR80l%n!(RL{Iv3#xdT zHeVQ`_+9&d&-(r>{{N2JzukR=SLMiiH2-`Nu9&k0HQTzngH90NTDO4GZn@VesvDp< zx9y+D7fwO86tm3EV&a2K{zNlWLV?spyXDRtqNy>CnHjk3NbX%TbPo$iM&v`S z89~N_h)P%Qub*^7M0HtMycyxqt(x3s_MK!-Zc;6sA3l#Bxrb#E`xkJdvgM0cX%TAb zVLnbcfE6+U&r9(%AQ-oJTGwh)I9+3*OYwjKjAzoEEW{qb$2&EfZb5?0D zdY-jUH&$*#OKPP5xebY^y`Cpq5mt(dVWF0#h+>p@(nq{rD~^Iy8l#_o^^&{9LR7hi zH!=q|e+yrhM|!B&Plpw2NXB*d%8*(QBnn#68wHYk?-k8#isi?|bKEc)yoY3W(q!pV z8m&YGDA#37S0GHgm?mH*5WVG_3|Zu@(E5_|1K*jusI%?dYZyrG8l~{jiK!Yg<^vEMKn+b zfNdZURX-Z<3vU}G{zW^^C+-a-3uJtu&C3Y+4ywjqfA}KjviBJKK38PE`I2A4O>%w) zw68O;A3-X;g5yo)^GN))_~TG_F3}cqDbU+0A(l}`kwt@Wa@VvCz4}UcgbFL?RM%a= z9d&yvnc%hPVBRHAw=)h+bd(1^Hac-tM@c zFua?i)_d^~U*H-hKC5A2!fCLs`8xIz>5q-AQ|MBVdj4p0dICF=+^i{hpPC~6+q3Cl zZ;~Z`^JKr!rZGg{o@@$P_4Ie`|3}aNmwcC^JoV4at^e1{!<0Vye?1$>U;df1zN?qn zW$(K(z^c?^zUu)8Y^?lzc+=BicWc@1$+#69M&e&@6ljD~jU$^wu@_v-TI0t)6vB;S zBlQ)XD{$ZMEyKa{5$+#+_dj{{86I&Od!1aF;3@gh_3I)hJo_2#9e60o&QEUg!65OM zy;^B1QzQZJ3l_h!)z9LZ^^Ji$KH|8(@q!Ewr8I0FJspwWZx8#Ot>b+6Q{Z^WPG;uz zS~v^N>9Q;I!DX!e*@XEvxOq^$T~(|CcMm(~FqaF2BlEp{DThC zOIOQaKOpk;?7dVtzUA*!?8t!gJ%=b>nF_eZTobl%5GUD}$s%>Xa!F5}(=@px0FRU> z0~(HGU#{{f^Be43H`X*f+YX1v=d@4#xCy5vrR@s&`{45K{t9TM!mX*1`mEm# zl5r|i^25pq9$pESsRxbVc_^+@@c{AheJ^8dwXuO${X;L(Ic{i!UPJ^fA_+W<@w$H}y4l ze^!M%qujz#p(S`w%tcq8B)-K7c?G|>)$nYR-~Ekc6}6FJfHpA<<1NFXh&*3f0-|6bw3m@LI(LWx`67Bfr z;Jdy&8!odxZ>yUr)50SWPXt#P_xmP`6JPsR=he@?T;i^`eOH2wMY&>Bw~J( zyl!-4pG%z!QUg!tg+~ODd^#=5m_m{Xww0T+XQv+0BV6fH?h%btrteqktUU;q``IX1 zPPEKg>z5=VByv>gPO7{oxdgAj z9}prOWPfIwQsT)?$u0PS?s=qEM^tpv6Af|o^sTHQFCzWcd&8Z)ACMs_ zls4m|g|KtOQv0vGK*Z7=)ziG++8}vcWE{6 zy8IlO?%LYh%84J5Z8PJN$rEH{aJk4Blp|Ycdq#Z{*#YN{3dBxy{66=8_xyjW_K3FU ze|zosC<|!?*lb42xo43DXAYzGezi+npbILCf?F@02uIntlA=8A4itUvd1RfLf&90V z8`6)rA=i$f>0k5k>}&k1n{@Uev-+1?^<9#Yut(|e=zZeB?QhfnP(V+(ta*JO#ww9y zu>S1LOeG|EGKma3RwAyia?k8j4fG67yc)|OIlRKJ27kO>PkP=dDTm%lR7qNVpZwH< zaMSv?M0d5l2JhyzVI;G1|^e-&pOzG!h)^!zO6FIr@z*+y>AP0UZ}9? zd(0twRcwd!Wy0pTa*VZp_AD}1Qm^#ApNq8M_(zxV4k;(r?6|P?8-$=-ZEb)LBuSvIRzS)1HK#GenI zlJ)Qg34bI%dazD`a*W*b*Xg+Y(nNo6dXHiGN3_rL4hqPRpvf}lvcV5`)Mm#Ymv!HZ zs%t;y?4Uu|ZF~pBeb=JYJ8s_tSrHV4DJF#VQlnt~jVrklB4pkpV`3)JkDP7i4p92N zA~`#IDvFbjB9m8eeZfK~?3T?17pvizcqg%c!Ds9^6_si3+N{VXq}9QQGMvu_27iG3cJN zE4`gSLH*RTXIFKQS215lb>|au-ib9Z93DZo*6tuBIa_4TSWv9r+|(lhcMhqU`kSOz=KR)G z#_I*I)21nB5+d; zJL6ji1Ws@Uvwcb-=UKmJR>CZTwUs1y&F)3W$~#usw%ZU=d_*=`d6r}WUtC<6(Rt!a zi~MkY>lbqbI7OXuT@jDK(+`HvzF2`EUQYdwYe^Q!#FCxe&ASLr-B!+fgc%{K?)(*I z!$gbvbmx8+DugD!^$|YG3+E8_{H=O@aBpn%taK@XXZ~jCO)7@)hVd!myNBVET;s_^ z`cVf*YzO0ucKA<3oub*Pj(~d(wt|_G2rS(X>GqchaupRD`W1&@1#h)e z;^z_kt6rqj)e#|8^EX5|G7)-Vn@_T9Gsy?qK%MKk7p~lWZ%qA27KoPM7E#MX@Y;D# zB>qDryjyjTOv$c>@5b?(MI~qWsk#m8Dv{hfqsZEf&B+KbIk#tFifD{=;*x~=M-jA7 z+^l_g0KvpH$tptrd@_^vKDHkr$zcTvAKwtqj{15UTPuXovfgGU{Z?2_ELZwXb#iC& z&npsCgr{G;U^UqVdXug0Y+Mn1S|nGwcFMqyg~wM;;UfGG58k98Gu(g+L%&YR(<9L2 z*00RL&j`}r#z+5!c(RYAdkHMYBZS{~(0J7b@^!X0G5^|!(DsH3`OtoZd7m5$4QD_& zH?5Y`R1Lx%ABF8aNA6;*H-`$vH^969j2?9bKYUMVmZf%d!LLc<^_^`bYlV8Rdf5`m z-xHr;)Spa4kZPLAeYfoh*7=rSG46v9E#I{hGRg=&CRfHMa0X$!WVM+0auH4S?7sP( z`-nH}XxU9CON5WIrl%eux&;*cY)q&_M8=bIEk6@~pZkCJ{C^bw)E_;4_|HFU|5#ab z;^#++2fmpPh2H!4^Z?V`^dFyB|LfWCkL~%bSNL*m?Yam173ud^3$ntAnoG1v%M&iv zLVTNeHo?uUUEQ;ljc6+vP6_bIzSGE{XbN8*h}@{RgKxqr7C(|dYaP&gBDy3QqTv2R`Qu!&Th$u7WV(#BQFvuKfBkJgA>s zt3G7{k0G8l!Tt&G41YfmLE#H8q{uJ{k~zkNSBan;(eAp9o*jrGTpU&vdEKu+;FI?8 z``(S*@DTv9QC-E+S zl8ie1iR2?W$<#zn%E9A8+(6Y&J9vs0*7A*z{G2Z$_B|%HWLLIn(++hj2ElJ{*i)0~(C_dUrmoe#)vaJQ0RjWazwH_pX;rWt_eyZ*q(^E4zc=+hgs z%v^YzaG0*;N`%k4y5xYPgwxYlD_ku%1Yd2%Ag_&Q;QQec`?bgRguUMTlc)eH2ApuuJxnViCPsFVn?hvIRKhd+rZVSt;#u~) zXu?O>EZ%y;nlJL_$vwZx`g6oO_`IaiHo3_-#f2pWSL{V+#Z{v|Nw4;(|bB8~P`E|Lx`Z-Shu_>Qhksudn@{@?nlsjiqSS z7vAwgO%L_dw|EL8hfz%(GFowP4i(o1Jc zaZ{pYO$|f)M-+bJ(c5z<7X=$J9Y}oJdEQhZQtu{^E2R5$+MC?#=8KuttfI-TYV@b8 zj2JTKDRwqzYJ+59-+e7W{C+2vZT$uxq4(p-JDryOW;GgT`G@#!j-WR9-d-8Sd#I{#+*v&I5Ea=rf_D~_P|j+zB{tHLXlXxw&UL$r zqPXxY<8ECj7#XnaD>p>GF7LauHwnLRjp2aP6FcO*?2{8qCYs)do9<+buR+$t)Z(K# z!ZD;}%7`S|q&p^GHI*aN(NSuU#CmKyZm=nBHm)Q;2i9sAWkc*xV|sqh`l&0b_C!xJ z&}O2-*F=1I<|xWV)-OI4EJrEF!g&8GWx~h%`rwwS7Ybty1H@=~QLr_j!$ZIVdE+nc zpAZ;9Zd=`o`{zWE({ONyCuJ70Z!b@esZwIVVb!KpZ!V$JmaFh__7k*m7sZ@^5{M>s z)xzu|lF_l!$uxD(FltgOHJ-4XMAc2r#aVYLR318Ms2f~~id9F}$jhxk*@vI0G67lS z|C9B#LhcHR-7|6@dy1imm79`c-8K~TwsVBe5)F8UaMir%C*+0ON{<~{_50lakDmVz zWgj`rxyi5pFRxE!nC2hN?(kvXJ|llC8UdSM(~NchApW$i4sVq85bC~ZyKj&w!VhpQ zoH`+oh>dnPHt>?HtkLGj*{X!K6~rdk>Jv#cz7I^FJ3K{H+u*h{)I{T}%t0yHcZ6td zm3Q3pAz2Jc39+XsHX)|{Q}TeT4q_!(vr;$bAvP^xs>31`KCu?XwZ?}CY zf~eO$j|w%i5p7jlA@q}EN-ak4X+Ef369RcM3@jh_pIvYR4oIH{9$*fWUmsft>@rdcaNteYvgpcWvMx$3pGdRoQdCmmliJzPXJ;nToR8UtePUd z>ei^?(gH-(9(^X=W{pTEO_jTS%!t}?oZ^EtnUy^V)8m>9N3=!3-VjD9#8BBsgmNb# zCfKatgg(*uGNv|e3nn|y;CC$V9nK35Z~h_D}hxy$=8BGor_X=F?xYTX94t)C@{#y77{OJX&muNS=A zKTL}l7R522S9cJTzd7)RAj!noV@v;iRTpAg^UawgxDi5mZaDTdu~iMD4*7zt8=@)%^bjwcm61YM5w(AzDi!^_9J~QLoct zy+HEjt1p4`IpNM!jL4@(eAtI_y0eK%;jcB@-wVc^D}`^zr%GS1jF0Pn~y)ldiBrkz_;(&xkG(#+FFr>Q!&{|BDN6Y1ns) z%)(k-=C_``b2^;s@gZ^e%6jopzb*|JqyT^V|PP+3hylYp%m20 zu?w>jFLU*kzHi&luS4ac^MlA$s;Fpxkn-01G|HQTyA=qhxUBN$(o6FBQo+;uN6AdG z_|}7xn&Z1r6v}eS?-C6Pt?D!-BQK-CVnS(rhw|^*|M$5s^@paH4D1`=Qzrae}M~^v_;7X2V6!5B^8Dl;OZ%E?qk3Rw@qO>k|BdIT;8VQlm!0(l)!5xx;#cUGYJEJJ|I6Snn{m06SIh`Fame*q7QKRMd%s z!;Eo*?zRhXqTeOzr|e6#u2+KIs*`>E*Aul&!6R_#AEKB%yc(`SqdT&RPtk2}^cOQ@ zbGQw^Or>w7gt3vV`7~i|nLj$w=r_L_7X3bJ1GAK2HQi6oY)$fthVmmb?t8&DXzxvW zqhqk&c%!4zi3Sedlzwveb>P^i@-5zl%q&0CvO3G`hx4+8b@=mJaCyJEG^TVOuHF5b z{0r%D3z}=7eLG7uuv`ZY>Quu0T1t(CxFJk$O5}D`Y2n&NQ`5wWp4k^2IMv<^}f%CAoLu%W$8STyaf<%q#uf9f+LH4D$R!JI}k*@V}8nAK#} zU84JVD>TyB0PB@Co0Vi3T5LD?#IKf$LwObhAgk$P~F(2Wgan;qv%>-`Js#!<- z55nDf-n?cTEj*4dzuo`#J3LplOcV(a{zGN>o5)v+@KW1<+O=~9@s1z*R31s@PUjyq zIXWrA`!S0LRY5U)4%0=S6W#@%LbG6FBg)^k|JSVV&%!7Ee3Hk<^j9v=&P`Wo^BWCm zcdohmXYF7Av-Z{h>3MvSr+$t^YDFUMkj#}MO$tc5Cd)`cD~q&sUp*Viw>Lxh!=S4y z$+}E2+MiBUjI8N9br&CmB8T0N`joIg;i`^p512oSy!C>G0}mp|`9HXcU7PH{YM7c8 zx~)-QSaVC$_Xi4BjBJ=SPe)<&WqKw1<0xXMmCkKVBfaaQ<+d+=#OKz`#uvek0r~za>PPz1NN!NzmF;hcmUpEBblZ;*t*e+~l)*<7?UP(myv>24HoD??{w;`h zxMlh4{%Rzs{yKW7jn z@!lK|DrAyFaaG05uZtFl&5G4NPjc*&F5ftPREZU7MWM7jmjX$q=cjxz_Zx(Zyl`*A z-xfL4lx)XIPGGLd>DH08#mFmav05cZgZzP~e$FWa#8X|DvzlfYg`ITWH+P>zQR3<0 zOF}PEtXDUg^x_&y)>QDY=-xz0zM-2^qbEwG^sAg1o*;?tM$3v6qL1v}c6y>a9GSHG z_jf<4Mb`2W0k0JDeQx_0B;Qvh(rm67Bn zX~}*NKY|iNRSSP*qPaa%8@XLs1Z8Xo4pk5qYuVj(Ig9NJDBq{QzBW}C|FoKR@O_iJt<7R4-<6^dIAqa-eEi}s@& zl1*B;2})Kd=dPEN=Sd^;80M;d$3;=Gcem5M7P76VI9}JH$caiW`?xa`vZ(ZGCx}-b z;?3IRoGf?&RpJj?oB8+suKmAz{=ZKB|BBkb-94HtloCxc8LSG$7yVEpa$`F0qBY8I z>)*^<+lZnYQm;(?2&2kvvA=ywHL~}2cj$#hAtPJHFvh1DX{$F}(Ail-a&ESHUxZhwP_+r0aG1icWxX~Z*0E&?G6jG0+KiKbWH&driK z05_`5Cm&UPKuzQ6;)xrKsE~c@NR_IEVo!BZ#g_BP-`))B2eNln&+T+5(Ny0 zf9-F$jhsZ!^$+)vnc?H(<^43ugiq@rw6O98QcN^XERYP)MCo_4R|`mPjYl5a5WNy& zwstGM5BP|vEhlTmUz;Q1^npghlbK}a_4BL&R|L`Yeo*STD1_EmZUvJEyHV$$t*^ko z50w?(^>67(4SoN4yV;l>g&Ma@1-qz`+YnwksFQ`P$Gc~!!eo%)lbX7dRYXxuXaTGk1=qR+_^R!t)4VNrBprocg~?q zEaYP1dp;CxQp~=zwFG&6GGQ~)em;RZ_^`AMs-lV~2 zddBmwmY;&@ug{YY|Cv4i6?s}y`KT4JTnH(?b1E3tsTvP02Z*+Hw4`2#buH{4EEQR{ z$G|aFOO9^eIXKJ5=Bzggb$Vv<|4kW{A&9nNOLuqiXR-U8^%O#nd#=X>DFX2{&2&x!Gxch}h zF^)MBe_Vo=P8Z?ZboYfw3=?gwZs5l8BEr>q-Q83^><2H?0p3l*a)dWqu(Eo&9oMQy z7q7+Nht>D*Pqd^dU=w>_Ue!z2ruWVgZEddurA8;5N%?a!H07;6rW(ZI@-_hY7eYed{k`PLHvqQv0SILLdn`0sKFCI*-9qTJ2WyPr}uCAyA*_ zM0StP?&DFL$?lbvDukkw?8wqHB(Bdaz(?ro$pPm@TtDt4P_gSiY}wa-lfB^n%R>S2O!%ESZy7f$dydHP~e@&}SL{PlCC zd^zC<{|t-$9z}F1+-AKmzyGfNzkB{a3jhDE?f;?eiU0HSe?J@kv9<3vk=*jkzz!j2 zTxBbXz(m0MN1+YLW(l7_uhN&z4u-b*-B+xKcUyn%DPn@BRSwyE-F48zfIOtJwI?XDO1F5=9vk}_eLC3s(Vy}5aKCmrga2~7IZ}6xyJEEB-Cc+8VnGf*@=L+ z!GnY$J$CQPxKbAa=-O9)Vvj~pmesxyE=AH;R?pdV>KZw>v+ROpZ4sXNNZ!A~hH!G* zy=B-ki00Jpp-^21qWu;_%*oFeQ&hp^8n*_q&3i5l(Gxvm>+ZC=(-#q6Pm}q}+K=S& zOveu%W=G<2+at;)i%85@OuHZY2|lmtC(5V;5qRT6U2o?h1lzHgu9?U}sPZ7ixoNTk zvr_wg*7{3`@VSyE&M`~4#LWG^Y3+zU!*!)PZyYgxPrs)6svx#nDJMynl;A^!KGDmM z5dXO$?ap`^62_`e*oHhtq9Jygu~QQ-Qi?1ShTUYwDXYLguz-NX%fgGw#M_#)F<_>i z9iak>>Nl$-5Keh^j*_rHBPtWOX)mxN>Rjg5?hhk~Zj-*9xLifp7w-hpr9Y78_gIBs z{%3NXKl95EDw=%wUs5e@d()qULC(T5<!8OD5{rq{foy~ipAu@~;O z#_b?1ZLRdio!7(=nd~jJ?;giW3mnlGfI z(wpsr!tL)UU5cb~y1xcRKQnn)RZ>t8y!F11CfNZNbZ^b)vPPD{o@%x+A7tzpeRxkj zA8Gr7IR9Xcrnp+3H9g^jWEyk*quDY@tYTKM6P!i@dwAgL1vBCy;)fv}Bg8O-`aib~ zMSJ_D!zWI!NB!LPpS?njs4`coxJbyo$KmU`S1upSNvH3r#IwYsfH@};xm%XmrMQ=o)ycNK=F59zwD4vbYb7Cl zD}y>L`rkTo2n*0i@sDv_Mkenr&O z6kN8ii4#&KKK14%-IX}VK};XNbCp?HKB z!#~1%b#KuzV-a{)?`&4oi=`i#e|j#lo#KE;D3t6WseJm3d=E;qiF% zSLcgws)(}?trhdTZHr>3HZ*7 zFR@WxhF@5pr1P8uY>KW3?)qR0M~Q>Q^qvxMW_)+8j&Sf@;uc=aziWroU{hP~?}DB#Ibz}oB13(p1Vafjt#cy;-VGfIyUj<6mlzY{lnl-5UlOSuVO z>LC&Ji+1oWpAWbkI|#pP=Q>YoZG_)a)V*iNKEh5+W>VsNCmcJC3l-M(z&WBXbDrHD zuA46~hsdsg+pR0oucr0k9uT)~{TpWD@v&dG<>XU%hKb)kEiVHv+o7B1w8M#}x%jO4 z#0jEl)*0)x;epQwdWwT@RuN6}FaP&nQ{bndcy0T=4e(q1Tsyw|EBupfVs?KbHQQn* zL!qP{oW2*Vb{DmTOU!YrBSGikwr=+AjS-SNE2^@|&1=HL#=O|bw;!Iq3Nxk0yW!=f zu5dApu-?o%iM`q2AJ==JW4#xAcXDp{ntc$yQ(ofDl^5Vw78Mpn?w`Nm@!%h4zawD# zMV)nOJqYNrYdZgu!v-j}P6cD-oC=R|#T3OFH$m2+g^#9CUfr^{3pkBHIgF{ zP?Kn`6Gi$=(ht^MVACPH%Z!&c9I;2xiY<}JJp#XD|L>gtKY@Rlgfj)j`M*n|zHVlEZ->#Uzq^`*j* zZuw##s}US$>4xg6UE$Q^^>aq-C8@ji$5r%@x?T8qekm=fXStao*W*-RAh(6XrL-@coLhlts( ze77l>Jz)OQnW+LR^ZgW&^G2}MsL6I!(1(319m9wG4mj$sd~^L_4xE(2R@dYbO)c-z z$LQUbaQ+yuen4~-E)kobyPDU-Rq|LP`$P>~2a6`ZMCg(FmYIjL!U1jz0mdq$%5Zm~ zn3!f>4G&6g8~!v^csRTAedzxN^DlKgA}^1^T0{QSoE|IecH4b9u!#*0Ui;>cHC!cH zW|hKi+}Ge#BF3)wki0jzD_t3EIRuxJ-lk2HL`%IW?X2r32-md5cn-=fa67SAJuk`& zZm(Ph@0q8;-H^ihW8D(m7u=kj z4yot$k4|+;J%IbBeX+u^go{%YDYD{OAv{jq<-HO~c6NuXl}~?fhNq5dqfIN>2bqir zHr+E0+d1p>Z5;-12>fvLe)VSJ<;vCKI)4;Si!&WTp>jmK{MCIp_zYYI4l!}tRl_yU zp`}B)8gAz29sEvM!+l>C-)LC|+&{0=FfufSN8~#GtWRq2l$w>l?Q$EQuZP_=bO`&~ z#-ch3J`P4EBu*neEY|CTFA8MRuId&gxbP(f{S zxi(n_rRx~ObLbTbAC~d$wxd5$AoK8o()c6f+R=+ZKpWY|EuM#E>kuugNp=crKGMfL zufC~xP5R(Yt6B*=BGqJ^MNrriDF(lWAF^hV`tE!Y>tz`v6|dJ{`N{x^-`t(oFvg=* zvdis|F41yw3Kwi#_XrjD*t#4NR-iP|rHh5~2Z|Q=xSyKnMIq};YP$o^kf(gQgnQv6 zayHQP4}Xh6mar1fsX%^Y#OzPg(XIvAoGWoYxCd!;vqcSONnN*sJ0y)ygYW{y&fKQh zj$|>zJ0(J_NV+@oNR~qvt=}gN1Z;;;m(AI@*R&Cp$&|9o4?dzykA;!pR~PBGue?3B zMHqz-j!Z4zXGXr$$^5Xh6UgN`Uak2;j%b_jS4BpOBGYFolh?lk8QXS-NB0RK?ZY#< zZEMVt+7ah6aYKU42$PmDMC#G64`^mR&mej0!o{;T_t7>X9=n%n9`z=f56-FF!~L1} zu16)`qCD(QQLa5LO70J_jgor0C_gav-R3$Javd3OP`ZM=2mQ$n)vJ(GQ2w>=RSB}D z_?53jJ?3NLw;i8oWzpXj9Em>&x4by2@|BCDR%y{3${Xc^T-? zyJ1-KDHRRH=YJT)1mXcFF53Br1*U>KHD zs_Cy%^qj0Ty52z0yU-H2<*RDe3rml9_ zn^TA!@!If~_$g#f2JIfIA%21_oTKri_R6SmzI!53^LOn3Bj^8H(|i6eK7VHRi?su{ zhu3CCzgX+_pN!zoKMeov-W;wSS{Lc>5^t)8gQtY6TH#attb$w79sX2OO{XU~5HNSX z!>N~eOmy1{=resskbes2Vf7sdJ|LKW`%W5y`_#+*?pY(`*6K%B3x*xX~PY|tC!RF|M}pMuOV2~_Uz~PWM(x-|BO2H z2Jx`kBXz5e@M##{(6)jep~30WuX)ZPj5hD}Gf{Tp4ft+%%5x%OMgX z74~o={^HM=U&1S7UdlhD3BGD;Xat0b*F~~5d&ff!1fB@AHH-E^5Jy2>mS`{0G^Z@F z_Ddny^M$iHy*@(tw~fc_vOq{R*YdiZ>xk#WLte+0a)dq(ZO`|SM3_vz9JT9d!ttGx zRHYmu*Uf+M*pDTINAIUH* zY~^{jr{fL661b+i%nuX3t)kHtrb9&AYw?vX&JE#<9PX><*x^OJ%s4R-44mO{B)LE);!8e!B4u(bR6vc=DQfQRG<+>xo$rPwDfks+TTZK$frS)*4!J{xj^7 zY!xpxDOp^=P0l*n113?+4^xVxrVD^hGY0 z&cgu;GWS0Hq^YC26q(Jv{G#?xkzxL_euvgH(iO!5tm2B0M$W+C%p9T}5er~wCEVaR z)tvDy-;n&)-qkKp7j4X|ua4AYl3p9FXl|@1?yp>)TsUBYvi^0k^1&ZbvP0b7?PxfP z4!kX3EFv0KZ`rFq-o+sA5dU|}Zc3tU>Ckxa;5M>qyZV?K?jiFA#s2tQUu1|%xO{v| zG`)P>`%^E}AWeLD)30?Kk*cm4`*qYjc1D3YuPA=hCsuvr*>N6K*OWff z*H7Wzng+LBLAEH}um3Gjfp}7hG-jN6J%Yk4mpau$-N=8R&S0l|6}iLPFFqkW{ha-y zO({DGFSu!>zoXEf%;B$o*;o{X3~kHV&PP{~euqgqUx@7ayS4Sk=a=EUytmD=!F-Z_2l)D%vO8a8krU~w`pn( zkYUf)nt7AFPGZzd_NWp~Z+STFsvo{+x-aB#z=C*_>8!gKbtoHE69R7@Cr+Uvzt8>+ z*@rB@(8gbZe3Uwwo0N%6qlA{hc}4bG6!ptV9_A@WVfO&P!XZ%Nr4VP9p3ARL57zvBUoKlR)-3aoGRhl56k% z35O8wwn!sgXp1!;ta{r9z1UQN;w)1bW=pfv&P&3$iQBv@oM>yaR-5;nkb?Qu`4T>g zAFvoXILJ+Z1y<)=r}g3jVI8_faTm#*Z7TE@DQ^$Jw(gd2l3@z$3KY+Ny3`AM5Aj{k zsMo+ju8wE@CPO$Z1i5#yIl=KvoR_fu1KjrSzpw1j0fWKCBMa4;FlrAhSgTnDlZoq! zHwnwrY{;qXCD903#L93y8?A!eGjL%}}M$5a!1U_YQkC6Mx`OA!WCEVZBkEjh#FnHuvoZZ;o`s?r?2g59wjr z$Avz>zQhQJ7e887lo0K(6JM1e1?dy4?%$bX_KN5{_L#;LwZUm*?wqwP+5MJ(VV_K` z59hSnxJ2Pln7p{_Z}0O4=C=<%JDT_!mL1Q{Uu?I7&6?Ws$9x>HW3B(!*b_$?Els4`!n$WFHZ0AQzuURN$UNF4=?>+ z4DWw!><6o!`eK^!2oa~e_ZYPk=9bx&7i=g;HqGT#V$Ca%ou{@VlhYbGM{H*jd%2O*ti8|`?SxQ!^Tzu`R~L0L zg}Z6>9mM%+Z)2XMLt@7LlKkO*Bws8&X-*i@DbHLbdCwCbj7W#8iRnY6>+XN8Voa{v zePxWMFX8mMjEkwfIg2cvikV&8$B`}0buCiL4B3Qkb!d5EW_^*2xLwKtJQb!bCo zQ4raIc{t#)P=t&^^?~qBBgpK{zV4k&W}ibjNwMnhko|bu){84Ek(1y(yCayKdm7YS zZJtMvN6)d^P`nm-d7r9AB(sr!c+M@T!-vfJoH)cB$>X*+9d&aUK=S&voM zII+0H3;AdGGFhW;p@6k*>#nLX6g;ioz*x?WLMdUB;3x+adY6w?su9l7X17{(Rif9t z`*}mGkTl5$C}ka0cOf(KEs;~`AzN?o8Aaz?<1$GoSpCg?=Iv_~ z@)#^r7QIJd* zK)6uj>~y}BzhnRJod5T!|KB}6!s!0r8T%~{XNK=f6%$Qw<&yK<3X}=4uzp)2EajHR zbN7nRBd132%LR4ft>m;$>0S|GWJ}F91jfq}_K|B+dRs2hlD?=prBAqVHmo_9sWcF2 zWAw@CNh-p|8m}xXpGHWzyOH47CIq#G(WlkFMj+RX!Vyvj`^m}27Eo0aO>fBDwSGII z>8%(S+ji+5K1m;gS8jFXR~_^y0~{m-jIu_{xU{RpLPrnB4d2 z6Qd0LrPg(Q4=0cRD(tqsBt6meKFFYzzm0P9bCO9L_LE$4e;U7{De}CIof9u0%x^l| z=ZBf6krvZGd3}2hk_R8Gui3bR%!w&WtM^kPPB;3dJQF9PC9g+BXeJ`U?a0t(MH_?( zI#uTBkX`3(f>kt@#OFsqr81X&Cd0fXnH%gx;jRo zxOn|#m`WmlX@51gksMl)XG@jKW~5iqn%7iolNze)ru@ceB-tr$Z%j8t0`2l5qm?XT zD$|V<;y)wuO%K(GsWQTK6)q=`_H3x^)pUU?EeO8#wQHib9D#MxqxM%;5lwGzAjhgs zqUqHyG_ar|tSpaxji04ax^l~-Ou{NJOx4L@Pba-Il_zHoEjA$2ZEboCE+WnHbdcZd z3&KV4jk00=g~XQy8Z1S^xh}pttLnlV|G;CGHLN!|uzQ^WtSo$zR1+#DJ z2u36LW`HuqD`BGP4NM!!=q8%pRHb))+fhXyqfV!L7-enLW2HmxD3)mW($7d3-+Q_n zZWFe74o7*ZPTWUibUdZI)tpEZ8i8(3!_8&F*ga9sB>t`Tth;{LSmjlzU8Lxv85b^LY!6 zJ2~X(Z~n>Z{F~$ZuRZtmX+B++btAmkKHdwTto31P(I&hlh!y6AEt~WXU4>-^WB$p# zJh0aN^^V~X18mp*tQ|IEhMk|oQsMGA>}Q@Hb5JC?#jGq!HG;i4;Gk+tR+45*$;(=|xI_|QR)?XAf$9az&m zIxq|iMhVk}GQz8+^*4L{i5AxViJ4piZ(wWpVEfe|IoMNG`p52Mg@bwe7rOpUaMXV5 z@9v@uCz|*H9ZkX)N)0VnlYRzgaemt;Ic{*SKgDMMh=F)z9%pFPC)(3`POiEq8*$fa z_&Z1TB^VF5dYk6T!A!rh<8xT*5&DYO9efAuVk1x&|39lqvqcELs4dn4Qbg6AMCD)rC!o77-9xnS@zH$l? z-9%L()9pP{gfpvT`*i&q7=CL0`J*-*Ca=|A*tLz4+?R^7H=z)g>Bqj#Og)2j_6pPM z#82MVjs57hNhR1ziF~r5ql3ec!Ol=JqjM~H*(9?|3{KjovyK&s!I|mkynq5H@f8ks zXeM(57v=2r??(LLGB)cd*qaPjOCy%Bkc)6#F1b0$uLcv|?Kh4GxWjC`UBY>d7%Xqb zH7%MSCmxxi_B~n`sE= zD1*g4xn$<3z@@q)k<0>rC9?J&cOioxi|IgT8`)BNLB|-nMW_Nf@ z*p)rd{SH5!^L;iPg9v! z)J!|ZVsa2sKGi8V&1n&xJkX~vaGJc&_8Q)AB3#wvqecuwvl8c9X)e)Yi+Ej86P;NO zBuFl~J}I_B;wr}grzJ9nt>+JXoVgzU%%5GhHJ(P$-eXPql!OIBGazmHU<9Fg(vx~y zDG|O?U}W2odUBrDqBl?gk&oviRbLSv|BpuplBKo~o~r_*R?`dO?Qw7D;`_jib`wDScbB_StKK1@m3l?*9!@06KTGI#b|TDtW?UKJq_6@Ht<}-Xi)O9t{AJ++wKVnB6_!baT z#Us13%oVYn1ABk;2P3Z6m#b-hC*s?-$nVX2h=j5$H`pf^ka()R_-dgE5=&A`3PeuA zXS7xLXv3rFVA`zk(==7CJ7j zLx@)9`Y~YGgqR49P0KwDL`(cylb$jUaUW)c6};34ufI+psp=!yhy1dvVy}fnGb0wh z0w1#La_U3sA#)^M%AjT0IgWtbYm;;SGYH;pl`z&uc78T2|s_)1by#8qMv#4 zr&V-FVzCm}&m$f$QNQG?y$z7ey!y2i@li_lQERgvv_XjL^D${YDBK9`^{xP_JA=G`+fAmQ-rckA*1#fiyZuJ2_7@_nxIk{-gDGU}c71iwUL9$hHNH@h-HwzCyPuuV zon;ax0iT-<|Cg%bH;Vm6nZ2SxfQDTZza59g9?dl=MiI} z&6;_Kyl19vm}{k5fi~T}&lP76kvW6z4(Dxz)9c;QT(?aNrOR3j!RNlCXu*SlulhO) zLYckYi-eH7JF~R;rZizs*in7@Nqm9$)qLQ7n#>Udl~Zv8DIUAMyks0m-LBHFBtT-M zhIRYq%cDr(9_UP_;71(gS}XBTvVXAoxKyzACZg$0Q`pe^j%a$Fq7QdfqRL{Iu9(~` z%Co!QulW{kPrsR7U`HV2XwtFfc{|T>`dXk*hLGTXIHXEyog3l5E_nco|z~>|Fajy|s__}tw z?|i!tew-oSk}vp^KKV$-<^^{+_!<>nAN&QUJXxC5bDeNGu!1wQ?=@UKyKRg!Ci@VfZAg}pNX-Yf%wY6|Lvf#sc0p*Ig7 zXM?HEE2i)j2swFYIU2t0G+YjMKEhAiEaS|z&4d%o#<63UDIB@YSM#-!T4iBJMnlYV zxH#S8QCX;f>ywis>dakmo7<_fEVvgQ6a~ZfJ%m?06&%OiItkA{*Ecx_#^9BCRMqEP zF1!sg{Ce&!!bjj$WmuRB+0{9}zT?z1e7(8ozgJShPguC)!p0)_bxL)el9htpdi9M| zoZ@gS4`kyORfMzM`p-wcj1!$Am0s&DqGdkO{r)sH(M1|iwM7ZDz{BePxJdhMc;21m zdvGBaUP|L@Vhwh|TWDvtoMtDyf2cg=+r1w?m7ZISzdOL!czyf^R-$?3S(SF8n(Sb!Y+M*yGZ@&l6k`G zz0~5ySaF$ff(wjfCM5~4cbMsU!3beq2FCC@tRUCRO?BY$X80_IQ1<^+fp4?nuRE`3 z;pa|aD)CYr{t!#xN#=$Bw-=RH>IkDNK7CkPjxa~BY;`!jix;jGGY9Y8AR1Wh&XMgZDz>}(6Z@s7-ymsytZccK5w|Kny#*^f}iX9iBJb4wqeDPgg5vK54A9py? zQ44;brF={~XW{=~IP640F9N)#^WLN$Kp%HM$8~dj2UYB*uU%)a}Ok<#WiR`LAb2!g%7WO;Vdp0PJ!jVI3 zDa}HSaB8P_q;;=?Q&0ah@oeIO82IXHoX{4yNFDeTxTrulDbFL$mJn^JdH$KR)OX;v z=JGU8wk+HNXxH{F$H1K-H^C5YaQB}Vwzzr;9#md0Z%clK+4^BIb(({)Ts&KG>s=9S ze$ln{om>HXBc}5-Y{ctPn(>sfXE~e%^baqVlgIy=5+P0!Q0EHUV`VlM;G#n>#<+*< zjMCH>+8A}iHMK0LGx|N;#N4CLRj!6x!>na}jVIh?^C$04l*0WH`{oy04ilbhqeK>S zAq~rhL|D!5g~BDBQk_nDC0tJ%rSS&W!F7~1r{0QOzg#QRoBn(%qo@d;`Uk=@*~t%k1_d*PvY*Kp9_wx^dCdvKBd9&f&&3{|n@rozY$m=-!uxMb+Xj%`?D*+V*D7HD zqWV(nH-9(=ytg-}lY~>?&|A%?t#GyyrLZj{eUdYA_Og?VaAl<8_jXW)YsckhC&tIg z%FP6QiYX@AbQYVIQ zle*ru?2LQcD!3n$IWm<;_|HH3>-2t_z$0U&SmuNrJkM@A$8=W)o?m&MTzg*$FYlh8 zZfjlO&7Ey^6@=4UI@&gL+5C6x|IYdU6a4?oDi`;SXP3*t|lLha3kbF~{nQ9+Y+ zGk875%sv&A$i?>mRodCsOs8#0kfy=hJ75mBV zwFg^Kc(9@KAiFp64_*1O+WQBY1H88Hxo`~G71clS{1CEEA1_`V){M-xlO7w%u5S9L z4IfYM>m=OZzGi*0cbNLEcH@oaHR!UJU->F{mS}nxshju5qmGZ6 zk6zwiGd_y{jQ0OA-+%HKzyDu*?z`5laNK)K2%be6C$9>s!zY28it1qt{Jw2;2#P$4 zfLD{{#`^pSY?4n^U(16aM_0ESVIBw;*j+~zKxQ?~0gj10(+E+zBa?Pv0wF`~riprX z2)%q_!^kaTg!Zg)yPtCcVaI-qIw}x;Y~gcJD(w-3vmOPlxj5Von+Q*9k#m6ZO27Go z$MAVq+gVM&75+jm1_iIMB5?hBd}q6cz<0Y2IbI>2o(aeDl89dpY&X?XVzT8mBgeIqhPDzYpEbwaH@$JWw6B?HK`t(DhMC&VMHS z*r!*xjB*idwY^X_cPsG`_Ww1gwG$!P70-$^39olwxKTnN4MNM4)lf5vu)P#TOa18x z%LrNE^?gLNwTyMy*Q^lk%0kb!%nOfI=j5g4cEbC@o5)|6`rtdgmr{msivxI%%)O-a zMWCzX5t`~Y1gZGU+=|(VV3xgyxZl~6`_Ab8;jAe_ZU(yb>}^EI0@vAVjwuNBh!A$- zBD^44&9&QF#}VcoCG(5qL*c9Fug-8eA>73_oi?cgypJeeD8Ng`0lRcwVB5do>MxqQ0RdOo*aF}L?%Q; z9C;mkE&;w*A70itqzwP269@&SatfI;hMMn?e##<+Ft+N#%F}cRD=(5cXqSs{xo_b|=~ECs5U&+npN0qx?z-jy zSwy@atgzqHjYy5zs-g3j5jpg^LipDI?ZYeaUrq1-`q+Qm(0P+20A zg|AMf6EI;EqocNY-4vxBk}* zTGjGK+V)bT?nKpQ=k94#GGDQ(O`Jv<&03M+w|*!#7`^_;uM34?RPh@rs*!*7`r%dV z>q(Di>3HEfb7Wu0VYTbMgv_?d@O^&u$gn#AVVM@Bt9EYZ7ru%#mE03Ao{N&6k5tLT z%@(AjhPNW`IG(n627=F zZhNvzbJ*k(&vYgV0;;&BuI)kIkrAr^veT4vwU^x_l4wL9vZ_X;kpF=lJH6!ET z3I+q6cSzs8gYt1O(L!PCndlw;NY(CJw|?C;`8kyJb{lUldW)n>>^=8u`zsD#QpZcb{NP*&gX3v(Lh_*TR`hL%&MAJK(t;Ra;iQLb|EPc{} z$T@6x{ly)2WVO}q*3Xwlrnm9(hoMDe7_>8f^yfsnQOZ^!Yr^UE3mP+&-$b4l^Bwza z+0hZrKmGHZHyS>A4!V@c<3UjVklLXdR4mbDn4GIc*<$=@4Wj!j>E)^z6wyVokjeNP z!qzN&q2(){R)+%rP1Mb6TakC)ab?Yv5_0+5X(C?oAiMQwQ)`4RvO>LN6%O|ip5gnr z7kaTo3+3sat}%l2lpiJ&PYwPKN>DkcZ?4nYLq9M`eZHJ~&*f(;|lCc5>qj64&!@H4x zz3yF99Ut;m(rk+gK8u{kv!lJWbjU6|#QoLgGO`NhwglXN|2yaZ@40{AKbl_B=il?! zetss6EwxI8J9Q?TEp;S0dcyYL1~tQiY1mE>Q!TAw*aaU+ zy2aHAdtUxkIy@(EYxnTR!uoB{RrZh74h8P^H#PWN{R*QA?}?8aAHk$*Y@6ih8kk*q zNiA`y8|J;gIDCZEV7aRe;r^De(m2=6%0;-jmP%iRN{wM-dOhWJ&Jb)>e90(8ecDCv+-%do#k$cw0Oz_9o-Li=VDGn-zxN~WxxQ8DBk!?->`!T zwV&L{#W zE*O4ZC6P{8-X_BOI?iYFU?x2$yt;r?g|IBhmHp%NkNE%Rne z*xZFvyF)xn&r=xB$u{lOmWSD`4Yk!Vn_-c!&pw^t0;~4Mxez4J* zTmEYI5TPx7r+Aw&*_NRc|QT5luWnpL`qNe=Y?Xk0}z*JkCdEW@t$u`Tw$v?B7Un z5pFH-v%!_ol7w>Q6yC9?0 z_h!&3qGA5p`rM>Xh19dDhWYBNk^Q0CFtEH6In})z>o$uZ*M9Q4Tv90Vc88R;{PaU! z`+Lhy;zgQ&Ro||*;VWW9I*spqUnH!p=C?)0kCDtBV&gJNc72$O>Fyj|jkK47jn@m7 zkzq9zByg@P%cpu=uHC_JnBuJDEv3S+ihZJDG-vY@Q|%tH>Ox)r{i*%FQP;&4va zc`9TUul}r={~g&LY7SKcnaCAojZ6q2JKUAtTpiz)P_V6hMD>Ua3io=lobe!^o4r5W zeb0s>d1mSn4^b2~`B<9{enGJ)!_kznd=y9IQaX9gpm<7Q+5hS!N@NwYkFihxj{U!T zeSZf3{~xj6^2qgKYDzX9tUT=W;kq!&c2CIlXw9LpZN+r~vv(uBjsgjh<+TTy!rcpBW{I9)Fgi2kGx4+%?iuA#OhO{X#^y0o!jHR_bm19!Ekw!7 zx2R|dlKN6$nCgu^Lbc_~rP<37eAj8RcYgr!7@v!=4J7_E+M=$z(k$@!_0iXZt}LiY zcHWTqis&0GZ3Z6gKS(@DvTRod+(%vjeY`ylc@JY;UcvV+lk5?!I3ec$o$LWRz1nu< zH4+mo;1wu?lI6WP`%vXG6iBh9UNrjFtB4f&nfs4Emh>~l~VR6q`%;l z)Gf}bL$pFymU!((5p3^neD_Qi0tYS+2fBu#?bSz#m_6H2_qK*(C}0wmR4Fx?dNU}c z%o_XgrU8YSH@U)$r^sGF@+PlD;=}BpY9uRnop`UM^iZ6Ai4=;!JvWlFh-OHOg8fGy z;-w#b-npV3F%s$Vs+{(S3@@MhdPWK1^05{t2j>xb()8V+1ld7$`aHCT`y)Co%3!>> z5e-Z!{0gf@P{p(>xJ4%mWl#8p4;|$~v6PO9e8fc*NOE|LXfz;a=Oo=I3l%bkJ~18= zAf6`z?Xp=~vZRJ%ol(i-L*k-&x~~P{2WEfXCYkJon0C3XOO`W;Iu$QipWKUxO%(@z zvDc73K?Q|;j_&W+|3}XMA9W95a{Z&;H!;&RF*VjOy}A3$0gBV)_aDrz$$vYaU-!i} zt;6l!Fk*XlEPM1AOcJD9DQQ>0taf;vy_r2MlBEpRiLw$84!5%}e*T2@Th)LB*H^Gr zm~#`NBr~aOec8iJ{ILHr`=fj+mUuMWs(89j5{?OWQ>mp!aN_H)tu{}BQ|8i_C(4)L z%%hRyE?@xX$cN9lLXDu;$+GUG`BNC#YO}qPy9tv8A;DE0{xIk2?TIx3ELYKgVPLui ztNX$#rci;+nf_6|Rrg{0(49B1{Ro*w9;2UIkq!rguVc6U3kXMc!>>TGZE*Z36!wky z20Iy9W}z3P;Af zA`}L$a4ePNSYz@TPN&s;`OY4P(@=WE%#jUnzTr+~lRNk=HZ7p*+M?ShlSP8DIo0&$v9cqO-+_$s>?q*th`!)4<-yCcN+ z#wBvzf2%z4s$doK(p*mu<129*GTAgRTQzI{Ei#_eQhHX?JGzMX$j*L`gCAgH%bZ^P z-UD{$-6pMwFM$KqWpBr!HE_H@+7$OT!ikBw$CBa;oH{-_PrT?O`h@eguj+&d^G*H7 zy;mG?X=rQW@0Ww?ki;C*B?8SKz-r_C0yaorO-gz%Tpd zM-P#62%_41-SG$yg5NUyVj!^|>fHO|SIjWNe)hdKenSKD7Q80tyRnDP!lZcQql< z?~$GyYg%iNp}*V*cf$NTL!SO`JQ8 zBy*>IkV{@Cg>~bVK=I+kj8;+D6l5W1Q4UY@f#%vL1DQba7GX83B7dhH zX*5RlPYC<&*5wa#VTg=L@7 z^jvJjF;4)s0tSnMyZdq1ky+RH@H~o-lw5eV(2oLh-AJ{zi^zE~5HL|ii_EG%W8=3= zkuKpBp{i4fw4FPuuUno&szx5=b)^KkCritgUwnxqhw&lNpPP{o^<8PtriX~LRLmRw z&W0GD0Iu;kDMU4vJPFxqh-Rl6#xoj|sN15uiGHUh$}cC07%8No`0K$pZZ}B&?FPNE zxF@@j`(s{R@1_E>>iU9MSV+Dc4^7z6LJ-ovXJ)ZJAv%H`86r=el8H`zUR0c9ktcH> zMBexgBzC+%bZzNF#7C{ljJ@ZK*r?ruk65lE`q5|BPc3KBV&t*7f^cf;dKm6K3z9%Z zVEj4{Gai)Emep_yxua;wRg-Nt6yzr~u*fAwBIlsYo0-dm(c!SPUO1_d%vtK6x85ZG zA1AKyaTk!>;fOtLT~~aNvXz0!>cbu+wL5HyOW#B0Hk1;`5HIX1yYTc8bSmIxZnR@Or)~yWRjbdw*J$Wiz2-{rGLyadHPt zENEt#^FuN7#Z(EuC* zXU?wXsdJhVe}A&!>{qPg{p;Lc_xJ0h1^Ab0dbxHeki3a`3}Zc*|&%X6g}b z?UIgyA&!3d?3#@9~xmG6MtFn?+o#R2X=e(rwP#}AKr`O^k%#_)W#eVf}i z8hDj@9PzGM32%pkhH?E#@HrsPR@eRjK4Zs=(jWB^ZSg~aRbMW^Po!s1LaZBp9rnlM zuJ^)UNB&_(BnO$3&zdiKj=@QKWn|oUH@I9+JSaSQ9ImgKR8AA$o;$bjr~BJ#;UU?Z z@LFX8o|24|so=Bl+I`;0Sa%5Cw4%{Nt(W25syb5XK}Ymsp>xl|ec*fav$PE1_4|&$ z9CYt0g5MoorwuHd;V)oX7;!|MXkJSuyC&IS&+Ey&*kA}}p&Owewno6^?fsTCH=lt2+i<{Z4fB5ZS&sFqDidxl=ef1(YF*&};P`nlAEJTPvOKw>pZMk4j6Ih) zeS^!%19WC%GH~sW%P_n^n5#$DMyqZ+0uPIHlK>DtauDm2gtAC@h4IiGf8q{r54N!_ z_C4^?V|1Cm+za1>^15Zt_3&HzROsn@k~{El{XJJ37Wmtr`{F(-g8%{RFQyIQ2J)=I!i}HzV+*-yUru>i{p20-e-8KX{)x z9lfKu8a{G2=wDUN!B^@;*ZfPO72kC%Te8^#{&eo#wzc8#?~#~DyfJ}*WcQr{r9}wT zabkJEN%+8=<8PwE$;Y1Cdz(JKK+y3ER(o65|E~Ridj3Ca{IA+R_jhe)eCnsq7$?6+ z`swpXp|5lL`}Kc2ukZHEsU*6)Ik4GU#WqMd`1WEQ&%bMaf#cmRnob79n`$t->LvYF zxLiWyo`R5g7x5W%#qjGBt*7kOuvq7>aAOp8uMge`w`%8>2KFAfUo9SY zSB!@H&!2qF(V9d{ym%^Br4*i9{g2*>(ST>_u|3zQ6NIrH_1=k|XiO8?snJ5@UJu9K zh=a1QUA1l1hvEh}ES)EXcrskQmTnn-=SeuZ z1D|ZJauMCVwvD6Y2Dqo5aewMVo=s9xb{7X(~>!O znYtWf9wjw2HS{aaH5pd7Z@0L0*unOjOUtIud2o38>!EVD1Dx4eefGHBhRe?AWx5CJ z;JPj%?)z7gIr&z;j8-TVZdsKQ3MclGtVvDF1)NWiFanuwZxwhv%y&fbQX3hfI zp91jgdq&ssf*xLaCUz&nE8+FA@KIL8MR=R+mdx-IBp&4vhNj_6*gxv6x+r}JPKKX< zW!N8u^9$xzhe-ai%fJ`4d5d#!ZLB!UefB!s689OuxWPeq%o+!b9LM0nCAM0+ooKY4 zIqcs$N?7JLPre>FLRjW(rc4xR38|A6)^yeWOR-oCyMpOYn<444Vy`!#!Q z+(`@g+Qw@io{7d5XVl|98*-XYv1WonP{QzV@3+#}Ar&a-#Nn;S3AWzn3$q zTOK$5i4x||J4>WpT3qO`-U-ClYUO@-u~Rjsf48keHpD$g`U61ewkxxw0# z1t?4OJuAAs55^-?af)=iFD zJB}bDv~HjB8hNCj)E_!&F97Pc&8sY_^d!S1;cMIp8c=ux_GVsXN2*-)67^T1XfrX~ zq%^FG`(@Kkk#0*+&3nV{r4Qlt`N$`)y-u{v&YaTK5|>dd|HG1+O^d>$tE`VxXHjrU zQQlI`6nRR`ld4^02X{NT_25mCz1>CKGH)SHcuguB59k*lV;8sBk_R(LU!l^rX4gKV zG2TPJ^^*h9TyG#w!vS=-R=#l?EW<-b1u!=K3+$mmyEL_|*Q;dE~S?G;^PN zh3sp2CeKz3A#2OKkU6P7WX!d@T)0lMUEbR;S{|?cUHkvh^Z%piomT&w*H=VXeOcsp z%B7Xjf1LYNfj^%OLjQJNpZjg=O)IOZ@K!WEY;SZHenNr@kp+?nxUCdoyZ$HcC`}$Y zv*iYCKz#1q>+GZBh|k~X<%*UMga`BO@;%0{YzVdWKeH&&g3vjd zfxSIIn8E7;8FrF=*uxg8OLE`BCDmj(M8XiBCe`+IiuBYU6PLsmk>_-(_p8=`Hu#yY zG)Qr=M?jtmQ}L1&xD$A&xxlv*K@s79aZ>W;jd8VF-u=r_b+gaxV!pIJfpwTp~;M{YGD+@R5DhK|gZI(D8qk79U4 zPj{DoZ-ozgoukfiYxq5vd~uX-6X6sa{<1edhdZyY&X;^Uh@kql!?abi2(~G=ZF3@i z_w7qBYTxfb$h{2Juc0RqdRls-iFyQ~?e&9e-aSK@Y?OjW>@S2p80|W=oqSzUUzK-C zClH=;h;>P3Kk?=JI&QP(glGCEYG~{#_>5MZ6MyCjf2-)xU#GGV7~9kEB6Asn4pbjY z>HJD&u;O{VG{Fe2(^uGc(i|a%91)>Bn-NNvw}-uc0iogUqwVR92-|u)L(GiKXyb>R zgylOCzUk#aF1H23{UwydH472`BU>=+#Xdyn)q2!y34wP2?a8g52}eMif1}s54+83s z?(k=^!<|NH=giV02znezx6FP7!NI*2jCQBUOwjeJFGmeRKA+!};Od6ZkhL@pkNpwG z{an8COasCSg<_Yn{UYyIcjKq-7K9h8jJPC=lPu1G-s(0rL?i~M=$cG8t_nin-XpV_#Tf|V+%vgn*cZX8-rBNEd_(Z?cZD1}4TPjkh#k7~7@?{{UR9r% z5Jsm~cT&$5VX3;aA0x@mPU4&B+jF%DZ(LlkXN*C_`N+!F;W9*Y@mxOk+6j>t7^kLs z^AXu1VG)btzia>Zx&J?!-v9Hp-_#u}aOJBpYU>T&*?HVXxruE8my{Vw z94xKuX6#Y;IY(D*mo^G^HSX;mC)~FfUMY_2H<5jt=Ooi^!ig(_43{q9upg)@Zz@Uy zbCpn*pa*XG4HSIpOJm_(tWK} z9?1N(O6sN)Bhkiek8YnJJi*BJk)~dfQ5E}vJ!m~)j#aQ|3EZwFe0=Wr$J3XgE%7$T z(z6`6|H03Ap1L2^3G>`(+@7dd<&-+%ZGtjJj{Oa)F(`f$8|$Y>QD6&Ecu~nTq0_9U)n&@+h2Hufex%00kcsuPsIrjSr&|bI0`_eN%; zal~I8jpcoP0g2%jXOhGXkyJ|&pF07OQ8o10~(yPtT5p(yItr$Ig`QN&14EfQQnd zNr)QDh|o77xp7ZUy%6BjMuOIQ4Pm0EPf}=k*3GaC$)~3?_bh}Wh3jBYuE%Ml42TMA z=6oe=%X%$Y`%9qEn~SJOz5&HzkXtF2@LHZGDBO+{K^n)A{`yQ8q-pHAKA5YIK-K-0 z$}Ca{#mha3^hXf!vEyL0d=_Gk1~x4$79d`1_xVs)3KIL?T3%x#yDAo|cvf@bOWY9g zX*cCN$)HvYuhug~YKg@Ww~-g1TpZ5Q?*=HNJGrD(MUkd7Lwj7BO;XSyR$=A_u8gl+ng5JT%;;nzPn#&$pw=z3*qr8!Dg~fY- zBOJ+E*_$A}zHyZo8BTKB(?t&>v(@L+b7i8-pWIV* zZ15toCmt?0q)?Gl7yg-_(FwU0N!xsNmm+UX?Q6w@Pmp&fH@;X}fn=Gt@O%5>+kjtk?1QGY5evrlFA6yN1_tR9?X5kKGsOt7w6pfgY1^tYowSv z$^G`2zu=IJ7uofkZ20_~oOc`YxxDDkf}*!)wdZp3F>{B%UcMRe?RghBSVbcE`_j)w zA*4r)(B;`PEQqL{coDN*XAxV^scpX^3<(#m=Ex4EA?b`FtxuyNlBK*AwtM6tg@y8k z>6HRf9_7qOm zD+=AWHRB86{BV1#KO@h8{jYb(xO_qIhMUdi$s0+J>=!+)PlKohi<{TY2z$&Z=s-tj zGZLL!vTsM9K{8v?T|O0Oq{!dNGha>a>lg3Po@gopW&b)>PKhW`z6Y(^7a)$b@CDyv zJFbGt`(aa5d=987dE4gvh=*onqP5M&A*5@@Z#hf$?CI$Tgm(E5f2EL*+{i}}M4P*= zHnskQc%4XpT1qsM9JfSXJ=saVzsl@uPkcbC`*yEj${2ZHET=8%hmqDNRjBb;7}V-7 zl!7#Nqz7eg4ih7MUFo%&XA(7$(f5W%n97Sx<>wB;*T`=6!4Yn{7wgHro0hg@E+1KX ztO6a}EJ$EUsHt0LMlxK#F&C=xk)Inb4fin8uk8|2>g@XpYJYT$o68MkXf5>^(s3ny zW@}+0nKftizAtMJ@MDGWZ55vc@na!eexPtHb+R!rG{-(bXe5E#Fm_)~&FI^@;w8Cpuj)|1=}!A$kUl zIZVaT@SI0jdB?@P`Vu5jd+4yA8x zfpyCs&nY7%+}6@LYjideO`>VD>`Q>E(fJ)So(EB)woCd_^9=I(hh@Ik`5;5Y2std| zoK>`6w5|*y8R9q0dV;ng+DNZw>3v3o)wB%X3~5F%t<|@~go*7p?7o%1GXkDpPOMn4 zEr9C_?+tXU%i%a5*C;q91sn6LR+k(SaeF+N;U}*Xn%=Su$`g-L_3*YFt*~5_vaY+u z=s|=01^r8*@g&<~ql6vX8q&Y4zH1b@@gb7v6icj^!ZS8z1_ z5?L}!^`E?vmi2~km8ud?7s~O&&EfP~b;D3N)2eAD5759?VLfw2_B1RKI^4^}9-z6s zD^Mmj0=2@;u21WGP_`l5dBesc6x2`m_=&|JOW}3yR9z|3Xb;^gPZ>qhkDbMAg!vI0 zJS*KGpNt3(L*Fsp<7EDjrPv(GM!eTzEBdyaBOJzX_83V!xL;stDWI%`b73`8s@gEI z@yHu4JgkGIU&k8`p0#KxwOl@Mi_BW3?CHKXrjfhO#^e58#whf1y>rj~0J5EaxwcDA zAnj8{1&bG%(U!>x@0hDbT!V$+-iXhL)Nzf}IWI;y$hFJ*&v@rbP-#6I$ zgu%r034?(Y`S{1I&;I2f&B2m$f3rNvr~aM${g8y1`}?{^5E=e`N-mO(=)}~;-?AAX z(Wc_?HyUjuYb-2;#Sr~`r@4U~@$pl32s+2C+D|kbA}X}G+d$QLFUO~1h;+rAs0a2h zkRi|!=X4?+8MABn{4SnGCZ%BXG1Ft>{dg=>zSft_fwv3kRFnIcGV=?=pD#)NdaU8s zjc*ZUSaQBRnsD_}kMT?>69%Ke*P)MNJBU_?F4rJ~XhqI%xwV6z9h9`sMYJ!;&tl&e zzxsWPpnlwUVT54}>7(E7c5=3oe4bYE+2@atc}G8!e&1GPo!G=($P6f6-$rG2z3F6iAirLbF#mAw-QO4E^q#fj6SCFuQd|kiOVost+e|KcX{pugcWZW||PjZg4Sj;Xj zogv=prR(}z*iy)O=ou#PD;hcRg2xXxA40AiU-!49bIAQ@^_c14Pvkiyv>Q&PBUUe4 zm^C#UNh~(+4Y>1=a^%*qxmXn_!ZjhAB+8LCpXx6#OGSD_`pC0m3gqiO-l8MegDlOv zW0zfaBRk}&#rKLn!pexs@n(LCTc zCn>vVUv~gS8KKW_>ouU5&d$p2Kp2XZWd%+s{N?5OqxS#a@BdZ!gu_c}o0AmvpX`nU zdkMbdPiTkkUjUE4^!)#DeE+qzuf1N#;bvP947RM?B->B`Bb~5I_Evo`S%2HX@%BoX z)`qjOD_Ou?vWwp0+9h7y z*d+L`jS9XDTa{BYdp9k@j^+ci(avSCi;=HA)5r|_oz)vp^1O%k$5lULozBAG{q3_q z$6|1E|Iy-~bG|Tf3Q_5fy8ttx&;IIc6qw(oeS1!{1s0oj+%lFLg5@Qx6RVkt-@qd1 z)XIc+u(lpGtKKjV$M3*5{W#Bz6b4^>5wz(yjxG zj^tkXd*thGkYVaz+z;zRkxb{ci9X`w3B?nKWMF&1Qe^6$DD2knr)I@7!mfv7K4x|! z(Jd^#l-}752R_C7Ru!>usJ^>Cq&@ z0`uv%PT#{1Vd1m?S?_=-EPw8cjwiZ!YuR|Mul)9~aggzGGP?lVU^AD)-I|0yD0A)1 zfp@UKIr#BjzBU{Vad#~)-i5=Q@H_2_U^pgi=g~Po3nz)Kl817Ha3e^O&1j81On9Ez zq+FFxEzi~Y_H-01w?0tae!3r4k2Yjga?-%&)Xo8+hoi8iQg@{pt{}O| znU*z6SHWRr?b-G_)^J?ELcn>;S~$@<=Y4#-m*n-NbKLW6f%Exyi6N0B&pC%)Pc^O| z&WlSPZku<28T+}kok7oV`zF5z$M%D;jMWph;1q#%^1!aim(#Fy`dTL1KLUI4k4g3x zf^cY!-1}Izmt@9Q7CJ01hqFv|wMqSVIKOr~#BP!W7n4^j9-k$8luyyRxAKYZ-@vQ> z{>*x~c5=->iJ*a-n7OuP&^X+JF0DSg_sjp=^Z!2e{~Kz*@zD_BTCt#-ZqJC7UlB?L zy!ZHpT5jqPmw zVq%P-CTFJ%?OFu-wy!vAL3;iH{ko^r3e+Te#;=p$LYc+1n=Co+3;Po5?U~LXH;6ty z^FuQ-H+dC$vuhwNZPlaU$jjuOf99}q=T9V2-}Jk$lJ3Y}n^<^&*pXspHov=wUez|bIBtcA$nRx2O3nzgNZTp;3J3`;fAGfaJc1_kgAZDr zCqBOQdOvz{h>uUX(L~dT`1q!36c#R^Wcj_MhRqEq$l?;%;qd@DdLO^Hhm*c4>KBDu zuZA!{yc)#61tN8|Gn;K(Es{RV8Ro|qBSC%sliE!s#9sKE;@O#usA46f{kCI>(C1Hf z_;ea!IwNDv9bE`X>+l`R2;1+b<{2`K=+7iDRo<>6J zX}&^@O^BT|s?oENCU>-^3j-sB4=vuiE5A~JaHKLNQ$DEtuKnM0e$9RVsC&*S{Ke@l z-`YnX)z?d3F4{+blN|E@{4)9bb@Jc;&YnN)kq`a1SuMnzIDc=+=r1IE*n2q6(E!Qz zwN)01AxM>xovio~2g=CX?6%EoK)t{k`R?#)q$gOgzF)o!8ILn##}tkr^V#U&(B%wd zJvgkatD24MSeIe>;7sIbh#kH0WsK~iGFJrMc#hoc&tWHptC4qbNW*=06UnDtnyKC; zhgkk!R0}(D*XRj<5%?qs$zQ!A2aAf3+I00zS_$#%Uk@obQcAQT!&}xYT=5{!g4Y>M zLo>+K8gfBN8nVoGlvNvkL$c|ruaezhoeBi~;Jb#ATMK4hmJ=20Y`t=tWd;uFYj zFHfhzQ}1O1@h-7)v)*YI^ zUW=kj>4hyVBomxZPg>c4>_V$|3_BheMdsGeE5(i-LbmLa6ZM6H$UQr=_^jLr`5ag2 z`1?Ijpd)*WC&(9t+-1hxE;A_XqRhp9A4iczEWbc4@nNiB*zH)Uief)ywz238lq}8h zj!3+Y60@$OvzfIh>2|BqySp5v`%D+y`;Y#v{eSlRe^ov?^~rty|9b5=w(Pyb@@prm zS&TPzoVtTj>7IS&>b)p<{Zs#S2s_z}a<{S3lATcFSL^5%JD(*Q z*ThG9Zke8Wwz*--IVQ7YSsoQGc;A{9fCVY!Jl zy`KeXyq8s%T9Mi$ir$i&gg>vYd08U;GUB=VyBr9MBZgf~HCAz+WO%IRqh%-A%x4Z= zd;e<@p;t`&(xgQYoVjnpnP`-P_^*{WkC&n8O@^wQ>PplUd7L%mc!07%7L&B3b`*YL z`p}$ckK8ADqVMkAMW$x78c(V%(&qBL_THRA>Jfp??*0BqUP@(h`O=33McKV#PY)ng z$Tsi0?mk4hgeu&vphd*K=Q$TPq#;b`5kqheId@Gq&TiG>LeQuk!>iU;XfD@z8Pzg? z+Hb6)Zx7kxZn*Q&NAtwL|2$~@v`Z86<^3jWLdm{7?TaM?G*m_$UV;*VjqkRTSz$q!oY7O_6UceY zuBpvPSXVTCDqBddZrZ|=@mc;tqLvW&C6I@p44> zSexv*qJ+o@5#Ah*bc9F83>6-Y0(D!%BD(*HXOm2(!f7K;psUL8QIX$gZR(vsh}`-kH-c zk$gX+|7Kc0lDL8leyT?y-u&8!y9ng@_i{X5Tn`|8nFhKwn~N#CZo;>9O; zw6DsS-SZ9JFXaj)WqRNjb4YU2ieCuaU_#@1RtQ16>%}!&dJxRteDO;2N`!2%P+sFk zyr-jIUa;AcY|UitbrZsoB>%0oQEHAbEBm>{q*=)RT5~LNxkLxTJ9SuD>>3bpc=?y2 zmKZqh6yVriP5j;~%G=LvA$o9!QNi?YJn)HN8Z6oC1%K_B^ozIa5ICWKH9aI1K{2o(yky?E_6O1NeeAO@=0Nx~ z?J3&$W2E==%&^`^^j=Q~&3v`B;Ji)Y?iJ4@xUV_*)YxtVy!`Fz2QvoYTcnt{)kzQm z0XJ@$svSen`nQ7N73A3=wYnvhiyI;5m)gH8u|VjFPod}7(P>=two+y zK9`O%+X|(?KZql(d$|zqxXSG@W2`05%wWcs4NM3*7d&TQdXar-^5;){-h8VEQLFqFx6V!?D&Pv^xoKgN?_<61 z`juIDIGVjlxX%tBeQM{+1LqL1qWGj-PbFb>3aC80L-Yp~bA1h^ePoV)%fUa0A0fJdHy`b;w3Z>p zIbZa|f!l~>=-_WVSVFQ|)*5(h4@SVc48KRpH!OZ$^Ca7`QH=;gC3djk>oY5no2 z6WoY@SX0svPkfy2eEtJ{-;uayYV2ZV84@36ZhxUl^eH>v<$W!5|6Tik&-(r<{Bcsw z$^$6S05R{+}lcbbSPF{EV_GRLbCFQxRi^dM7xP+Knz=NOWG!0{N5(kre+3o@EICfrK0 zIcYmLy{AE#ZdTYz*LR5GiY#m2at5*XyN)F@u#;RJm9eRBVI=eN7o(0UnSXbbu;JJ7nIk zd0oI)pJZCTv^#LAmiXUkpNO?|&mzUNNJ5yOe7|d`S}ZS(K^e>3L&xTbv@fCO_925Z8RV-wHV zZ$5|I<+p6&e94``a|1(#=_VvDy#E%-`HFCuu8DD5(}HqvG~n`+wV-Yj{A?X8L-;)1 zhvF^Ek!kiwO@SpAS+CbK{$SjJoP8G4UA{tB4x^wuMDRYJJ_@&u&&;e}M4?Wz%yi4t@7n*T=l^}`{}sZp3^&sc+<&gUF+<(lKpB&&@WqRZpr0!KUIDE-H5+@UlST+lPwqwdno&^cfSp zI9qF~_~(f)Ys@c*mIh55CfTR02p?9eW?W*;6O`_*9-393LctxEE79bclrq-XZ7!m-23`-Aa9pI249ABuN&M*@dBa7g$AvY+!*UI^eI8lr~HRQ27UaP@{p3f@KX*##bBlG7YN(xt&f zp1IM}Ed50kGV2XIFv>NjfzZ?wKgP-KHF#&qv0LmU7l^6SSNwtqVWM-{hi2UWUHkvh z^Z&WuCpZ3oSe(~%jc!?;GrDT5yF^bAjS+0G-ILNx?&qra#b10SwY2o+U7<0ewe@(LH}<>;k)sO+ zITSLt6-ZUxx{72{Y6ixYiNqn+$$Hz?l5R4yvpYp%J`o>y=Nj+5CL}n&GVBf|=eM5D zS(=skq<{JHtfFBANnRV@_v$`}?@h}BkJ${|p^6XfbSIvb#Wm+`D_> zsm|klK+eZCgL~X%3P$Y*pjzVn>n(cSEi&Ba)_!df$?s)L;L{u|cvE3@V}MADpo{{%A6GCGfEQh26E^_Hc7D$?mme8{zeM)SyY4yNEq)1*mneg10 zXuS7)5nIB8R5SnWlez0ap*izHGu(m9Zl!kgJTyfb!>KZPN&nxq|4+~VXWiKVz&;P#+Z}O>sXU~7VQr3U}iDfX+X84u!audwjyC3pC4Z-b~id`>MHDQ_Q zoTo3q0&5wi+Q#l-!rBI5iU<|?(%nifg7n??Kd7GdV&X6m>D*j z!+dvwPvdq$Scsjh4GU3&)$(18B9rZ~&PiNQ_TLHHy>>wfkHrc5yC6z(gzSCa3N>z! zT7=_v#RHFzal+}$5bsXnX>k^2F-i{MgY(@(TZVSOgo~(Qb}K^#$@^PZp1vUht{g$T z^%AHs`l867)UXMrO3$6zwlu)Jq+OT8@F*kuKY}+ps~sWw7Kj*f!2u z+(}wO_RX^W=2r=?Fz|`WS^04|KBL;CeJm#Wxy=N%rdHLhbH7pvi$uE2>t|ayTptSQcQiJ^4gBXXa6E-+wCwXUSDxTD5C4T4dqI)` z-ViKhFbZo+2iv$hR@f?A%c^7_f<3GLkxrL>INW=^lJ3j`oKBctZA+1cv%1E|r=u(3 zvWBLI`<uN>ga!nC}z+{f>|}^-FO5;GC=)cmZzqx85^*YQue*(z1ewjBxh~Fb$1= z0gLb*vTsjU!D?o(YH}_cw%jxMFShL?D-}tas;9r;$eEC4;9Lf$PfHTECXzjVm(`{< ze9ds7R%uUDkHYn4eX#TwZMf~(KCSzKOQah=gu7qFDUBtBryv;A={&ay9<5h= zbg0|md3EmMyFKdgoLVf%qnG+!`@ehse^h<{1-0L#z#rAwOp6+$<+|Zt&Y^6muY=oA z7)5tI1JAP;5N&Ba|M5L{k+Zf(K)}n7?3gYk4=;5FHFD-`Cj&1iAxdI<4$vUw#ahnm zykC&4ZYknPvKEupMl4mVC%nG)cL91)&4{g*4ZKXzFC^ zJFqqswfik^l^4+BuFFWXe7OaRrCzdrGHFGDRky-~#!2Li415&fh)3q5iL?9aNDnT3 z?oQX?(@5K!JU)JcWK0=aS#(@aMDlR%$a8gF;zjw%C;iDC2}O#vd=!!y9n{$vyu1i8 zY5O9ZEoTt*&ay~_b~~D#i$T}cNixCu{jA!0QGUfG<3?rMqB z(j8xWdb|c%O*6GOJV_RrkkFM)KZ8JBmi)NF;xH(Czf;2;h%Z0#+m&h)qDR~}mYedL z5sBmesyDpIxmEmrERf8#V@r>6+-0&s^xG3=5l!r9xfxRGc2*j7eH+)TyL1#4Az{ql zJ|9Kt^3NhX3WPCDM=kC$7e{`2o=e-(17vr}^L8^+7&5)Sw%CupLAsQ)=1m?Rq@7Qh zetX`AWPZdXdlNrp3SZ0Mrtkbn>K{KW@v|F=I;Sh%MW`VDbj?}2(=24J5F+f6;fmId zI6GGxSJeN|H|G#HN2Sw4ojt^7QC4E=(@5Tbv5RUz5@Qewcl#dkzD)G-`TXJ+5_1Rx z{O$ZPMlocbavX4JiblHNz&Ta{^hpvmN;>=Qww?$mZ#!@FW)qaiz8}8&eW%t9!3T05Z_Dkj47qce0)>A z7R8&>)iYOVlDpWiip=GYk+&euE+TA>9GNWxdB%On{ATuEqB#cXUutMMr0#(#{KHJi zk1)HE!wz^#d_$@P^OZ0a(tpe*zA1W^Quh%>Hb~w%s{}c#c;QxB2%YAw^BIR8N~|d**D~pNJtTKjibO zL2)E2aK!7lliqf;I_veL0i>9JI{az{`S{&Nx2%>4sWyG;e6^lP{p4BYb5;#OGVcdp zjuQ=%NkRMK0@1>(UL5_Vy9ZHnbCW@H{D_gG{XyIQ2yt8ZIU*iDKzze0*M^xqB%Zh2 z7(aFvNmoOn?;Y7fJU;yLmdb~bT-3?F;;0N#cfylG%vQ1td$ATh-A?1-69f#=u=m?n6oModp7kXZ&j#Nj80#wlUBmS(o=EJUxB8 zrE57--6D8R3zR_Nw<<1aeF92ribh%o@fqqQXRzfFuHfg%A||OOP#xwo>r!7JYST}K zH7SCK$@`xC!-WCyEQU#HdTWpi7E&TY8VzmkBGuy5 zT*dx4&!u_qqRP<^Q?g|36>*O;_@y6*JzV#_uY1!^sV}yUcXTp7$|| z-Y|+Mx7wj#-=)R!m5n6h!7*=F8S$35Fc0n?%tiX)seeBDV|Q!tO1I-=3m$OtAv7=k=v|Ug{G4{D$$j7w6FQO5hl` z{t?t(R2_akO!nKE>Z;Fabx?eZ>#7h3(HCZ=t{W2xNA4xQ9EH~VklWVH?o74q-zG;ctj zJ;QSz`;|m^Ei&E(vxM)I3W`Md2_ zlV?&c@#uFTQT)@`*^BoPf2zmujUgA=aaGtp6>LC@!=-IsM0`>AAyXwtD+d*IuCxXm zVkkY-wB)U>3W`v6;^dblC}8QTy|gkGIZv5)KjbAd*ljnDT_e2u^w+$fXv!AIzFlP~ za23(YsMJz=3JxM={21qhZn77leC~a3Oz!)xy8Eh2A)4;uM-o5T_V=OCytlAnhUg3xW+Y>ll1wy1 z>+d#S`H{7RXUp*8Q%IjxUH`N}0#t#Jj|WL!6D4V5)s06qWKXc_f!2LTq%3oL;;}{n zN#oWzZyxy~u~Yljt8`Jc-%p8CS(}UpS-D$`#pO}sz%#$r#vB!fX4{tR+K$r2Tl<;6 z2BFxNsiJLr4+`DPRD5K`kl!of_=0^Sa)pfL@3ZwH>!WwgJ4-QSd=n7+nZ<~7Ng3zL z2Wd#VM|kC(11X#Zq_4OmU=$y8B+36h!ysLDhLn#9m;)jA|n&yc<+jn zM7G~v=Lolq)LXuO{qRt|@k-NP6P}}iqSEg@;eA>wf2UgseEha^kXs3S^FoDe_cz0@ z}rB3)mR*bE~mI&NmIH-6v1%X3#N3UuL;Et`QQ0OZwSf*SZx&E4D zXwiHK*I2jUgBcTyY274VQ5~UwQ+cJe(@tB^mIl^e%A8QiRX0^6UFs z(&1}Z@!`v1s#^Qauu_Wip^8YQJn5h>DSC@R%~=8_^JDkM}y1I_b1&+|ObX&$9nbD9-O zMW~cnisW}a``J9tZ@uq&*Zc4Oeyz1vmaKhu-?#I=&g(pn<8vqmz0cJ%hUG8n=vB%+ zuvhWw=qeL|lh;tUKrRMM_?g-*i)*U}X_C0aV z43AQ)5zIiv-B`AkWTd=MX;E=Na9d|fOrJ>KuErp#B*a|lq|{LQX`6@dZ0yo|i|T_8dO1vctT*&vLj=-}$_SqQV}xK)$gi?Ao(47p+3G9UPbcTlU24aA6w8xivF3tyhXyOxK3d$zEuxt#~T$?oJ*0u z>70CEfDqFA`9`wtd?r~=j5|JlB^(*XdpcoTe-NIWtgj1eKeFg~KkMACLeP1NcZUQ| zk@sSP^VvZ=MAgT|x1S*Q(t{EQSH^fEaTiT}YKAM4d?+?=*cgE1j{LHVYe}Z{tbg#M z?m(n|X!M*4WIZnYqv7T-tUbOQe&R zRf1@83tCSrw8bLWpHBIHsWc*}dwNE7ZXx-y()Vck7%7hO$ zpETJ)_Uj?sYj*RzLpGe}x`&bUwk*lVxDY9NqY?_2o*=ctT*2SZgRnD9xzs;jK?cQ=pim4IGJf0(S5_n$ z(<3ia543MXRz^rr<0$d>>8uz|>}5sH3hC<|XAdAJd_}V0(<0<<>KhO)5=CUgN<$~n zFvRWslD?Q8kHq+S{_|^gA=whsf%$1j<$1h$-Y4{B|PqtT+2R zft$#((KW2#%0{+J&$feZBx}ud``2r1N06&nm6y$~Pc+pPd&IQYlB~`oMqmCB+&d@5 zdG&BO?!9WO^ogKHVy!{$)g>_`FTAwLIr9){^aeEQOBzW3qNAA`se;VX)ATFEsF8hA zD{%Y1pU7zq)Bl!Fj}uVf9H|sZ8;P9FHJ<#x6p`MsCD6Fj4=Ltb`qs`^A+htI z@2yq-h!f|GQD96USrxC6?x>6*+>Sd>ES-U9HqBFwj^0C1M2O;~BFVlu#zK{6bCB@a z#tsNG^T2cXho*h9D%ylNJ?(El!2>s+IdAuiD7Cfq|0))Sg3miR_7Arp=dl@6mpjq2 z8lH*VDB^~cUvHGlwBwK@;~h=mH;;J6cro`Mw1__bEp{PWlw>^nJ*we~MdJ$6t1JNNqA$UG8xkBgO#)E;vm_v<1uPpG3koY#c}-mi39(y|bv$n{2z z=;|X^ev+)yJ%=z+{n$wdDg<}G^g1%K34xXBdXnF};P>dQ-G-k;?-2j+(pGa0v`2DM zIk-lkHu-wB#2_8Y`Q=X2Y~6yQvzzzM7E$BgK8=9aPs)&GbXc-g^8~r$gih@8q(XAn z`fa*|4VHL`ht0Yx9I-{mjkX*jTmd=bJfTuPgrECf-tzV|Li+bP=tV6dD7lh(xQ879 zW&u;b2y@$ali>rXdZVM7Z-1rZ6za;?zHKr(f{K*25j&pkKyl!YjmLQjD~SZ_g1Hs|aI-eNiZqlDb~*`$7B&AJ-W?Etny%Lxq6g0?9Dp7Kmne zMl`%8{USEuy$IRx^U>-LeF$RUTXwsu27h~*j`nUgbZwCp&gwNr{ebKAehGb4In1T* zYtkS(kK|~YS!41(xQ(qTf6J>quKMCKbXKTP-nHU7;Zhg8n@B`_wibIDxB@ud<-*_?W zDMCL{9NKJ7G@l6rVS>v3B4ngc0antVm5lr*ACi1-^f~Qxq z+@Cp4W>x(`19}Dsbr5azCSI8^v1=D}iV3rE#!`8K(FWlm5$E5trz1kd(redzBqDk$ zBIO6@rL2=rw~QMwc`k3UPfg+mZ&}S0-oie z1}R%a2s>@Y?~|i7{O7iF50H6GVBMj`Z5?a~dMgu^nNECn%Q+X)wpts?P`;43mfz*n;qUCC#luI*4#!Qt4&hjY!#%!rgo>WOf)nn_F}MQPuRc{&b9p zzL_wTOf+0EZ0${73m?GGSxvH#<_H2MH!HbF93u=8k5eY{5u^)tq4xzH@=Oi7rU>9#|9v}BI>mm ziyC4)j-JvhW=HIa#iu{rP7&RS&^asi$B1iR&HVK3?4R2I-Shud{FNZH0p45AnpgV9mV5M~Z!%lLK6+D_@dW4Gu2@cwgH{o0!@_obY>U1Rtlrq!?aSK$Tc-VA4&M0zd#a5I6Wfg8(5uOIV=c)YtmVj@&1WNX z31gEb$qG0tOq3=)tAX>c{ksp2K7vc0*B3>a3vfLx8N0hf7p`AK_FsN#2si&N^t;}S z!+nRMsKEzjxEF5e{>q{R%lxcUZGm~P*)z{f8|wl)6Nc8QcndhV4=i1^+YZMXPG`%` zJ#fmZKK;{*WNUkl?^mrO`+RL)zUlsNaNYG%Yh(RhxXuWka_}#JTUs}b6n8w_HSKbn zwv%iR>Z0&rk!SF@$93#0Lo7ViL^Ydv?ch1PW4h{T)t}n`)ARp*>i=)3{WjamZ|{0` zqh{Q&&|irKnOSe!Wep#2i!`Sk_w zJWN2Whq`>Z6b;&`tmS&voz zAhm3z(G(le!C%zf94*UCbn3xe6SIX#;wVd9p|cZ-JhuvaR%#*ME9G|lv^zSoTy^8J zl~BJuc;eB{J*X1T4slW5jnc;QYOQCchU{G$Ky2m<%1n^-1iut z=sZJscw5;bn}dkQmpQno=?2nX`c7DlZ9uAZ)QE3|G}&vL^Iq-^Me>HnwJNu@$lT_L z@XlsRqKU3@wUz#fu8mn$-KPf8a7vS_XZ`@HWsiwY`ck4iKqF%3U=B)dKlyY%R|`eF zuSSzat5I-$*h`mA1bK_sj_jDwLr$Do)y5KcWJT9K6tR&;#)zKR4zqsZ^`84=JwP*#pCCHCyBgffPUv29Zsgd=Ni;5}Mwgt9My+t)vb}{a zs`d`GZoHg>vRE?^F6Xs^kVcWy>5xU{HX|+iH)*{7)c$|< z{J;16YJc3n|gbxH9W9pMOYjQ*545Q3nm>sz>X z63%^ajEB+`@z*m1z4`bJ2tWTk!_uS{5nk)}ZDdJBWPF}#q#EJMCT3Ysl-eRX*s8sA zodaUb!z8#aDUcp(u*Ws32XR|c7KFZkMcl;NUik@<(Hy&QK%j$~?8g~aN;Ve5yWi}w zAK|?Q($Sa&)ICS=<{Ny;)bR-E+y3g%ybr>TClmDhYlO!M*G2szJ>?@K@%sEZ9KKOIG!rMP0KLJH!KsGV@7)Hi@Lm4X2)66A+-r%E1DPpAuDt+h9A#Ue$FVUMs zkMQIAm5%~0h;L1)Q1Z1xf*<|Hy|m@TM^^K3B90G!sjdk}vYsGF$dEOe;yUrmU60X< zCceO)LmsEUMUm|4sK&5~Ux-NhEVSy_F+@IlarWxzw}|?s5>zL4h3GDn+ie?mB9=MR zb{$VS$pXz-Tpdro&W%%0yz)hSM?|u|Y%>xPI>fr365gLk^1|dYVS`0z6*{blLEx?N zQw{d(3Dd)QaZYCgLX9evHpG%U%i31E3$G3$!u#%q7&c)M2pfC;1u@)+s(p=p*_PHm{@|Tr*@=NmHIRD}|(O-E+)) zNDg3Z;OS2rT8IuI;+6V+vitNr?4GRRi{x)rDzA8Q|J44UbN@g4{r~Z`-{ybP^NU3( zYS*L+$*F6gJn_BSbAc!nhiy>5wnYvFUk8uOM!MtPp7M>Gw(UZWia@`6D9K=4>D$tC zW*ixu8lBOf9w5yl(C*fC!r$fjStk?qFKx*#qpX%4NE#PCY^rKU_PQ183oa5Z3`6$@ zod65OyZKYoLjmnHU}c$X{F3 zTkf_Qxmzjku6#r|bq&*A6e|Rg(JRKOxsq^c`8S&WxGzHbk3oCy6Lv^(j>~pFUxsA; zBk?*NK+=s97OK6(gX3P{r0kH01S4*R(9)e~Z)cRVecXULt7|VGJ{Upe-U53GIv148 zR_;rl=10-a`I9+b6=de+q*QgS7I_jZwoO%iMBn#*8i5Kt$Xm`s%A`<^r) zEo|>-RO$<)DhYfmA0a=-PXEM^Go480P}!AhC4wX&`!N3<%t$;-weOSvWpvcxnw@q8 z>Lo21Dl5r(XERD~7Ro}n_&+6vFKM8d`|6vCIS9b1r*LYkSw3a{mrNR^bbu_js7DZ2!op5-9(biQZv zW%{;Al3x>J*~o}4kuG{3EqyeY@k&lPO`$rVP|Wjf1j=6s9-X_Xgp&3=(Ul(tP~+DfKi^5AnHjW}6QLvG^lr7>O(Ovr0Nn7tn z?sjFto03h)wyY>E*xrK7U)#lc$-j?`+=EJ`3&dAy)>Q<#vm*rcBRIqAAxn<(1jB>rePP7!Yk)c z2S=tm?H4}H6J7ikNj>dNaF$xxTt_@AE&^+54#*V~o%S5dS)~)7zlJ^BY)c`o>CwwsG1zb`_J~&W2z}4wM z6)$fh+!QW3iR-U}+mETMXIJXOJ)XzJ&-Xh#_QrS=F%o`l$>ZS~Gh2A_AER`)Aw0ss zS#O1XLAYC(+}yi(0#<6?;o;Jyu=O?1(o(L2gT>n=@w?4%VvJi{^TiU*M|bTrDBDJ| zBbip*xVQzbYOU+`$%w;EIK9%F>;c>KN43MkNF*P(8DYcXwMR$D3ijF=_KO2I2;XjsJ!^Cu zoM~i^x7Ct7JQ=o6)8|NLrJjk@S=%JI=}UW`P@E^ai`jIZBOUPAvxB^b-tc(4`pMQZ zad<`*>~_skhS#Aso7x2ycs0Kr?Ii3fZv}^p+}2>2|5zv1v{wz*KfN{4$OFQ7q+i+=k^M5hmFwTMZ8RCQhln4*Aj?Qbh@0f1$oITnJBi>OX3p=_r4Zg8S*zOc2GPBY>+G3{e_eZ! zGH#6~5+Bmqq%Qjr{{Jc7mFugBCiisH;fy(=8;dlly-6NFJ23Iwdy+*FokOR{M)X{! zeA9M6jw16wHA54VAu?awJYVej8d(lSCLY`y$UWVVNqS)x*?uA_Tp9@oxp;W7;Tszw zety=x$3Zm9vOFRG@; zEsG<^PV1`NDWYM%BYW}yqcEcBd$rQmB_e*iT8JUhFC@M;pf>U#emDQDi2@BJq%uV= z51!kPG=op$0q>t9J;lhO?cPab)X+t6n-G5egDD9$kH>_IOz)UMN0?q_#fBaSD3Bw> zTeMT>IPuY6ZBgS2My?6V`q*wMJPWQ%JnGrE`~NB&6!lRXb| z4>O-vthYiQdlloc*9ypc{_xR~%>mpCe!0JqF&g?CCACpKPp|hUc zc?#rjh&Uk5P5k+rO=nDQUqwOO!I_tvt70sl?sr{#B3!DnlePv8+zw28@I6r-WXgT!9H z<7ZEzH2$ng&Xgt!H=j%n`BsVC{T2Gx?SGKo-k_N7_9>(qH?&E;%|+6KmrIK_(@0;Fa;?WMAyOi!dP=DRVS!VbIav(|kxsc)#T|+u>2EJoPx~Q&cKUHs+XQ?T z#;+>%r=V?6;bw|k$c88I;HN7K4Hyg1Ak&)lZ?juTY=x~kk3xwb3Rx5OQgHXCv=if0C z4buYitcbn}0(bWfIrwH19bVjytE2~QPn!vCc0GdHhue}p<0VjjW~%F?u?&hlMe^jv z4&q*7{_2T=F=S1JpWyud3hA;b^A{cwR_FP}SDAzDNL*l$V5@3D-217|>z^B@qNxtGZx$!o zs0$X!_b0_k)(CrGdJrE{W%{{Y%gvFr!1J);{&T_;@L&~p&_-;w^yu&vc0|?UdH?O5 zh}dtVSNcT&py_-nluxm*0H{r~FufA9Cz@@xM(_l*xW(HghZ(FW=^(OUoa zx&Qa~$sheQcYKc58)xV(72wigezJJm8Mt3>Ge5Z3AD&+KsUjWe;C)d$b|a$+e3t6J zsH-o*&*b{ynFYe|YBrwxmiP*RlpmLUZ2}M&vHrx7u2V$Inc(?mw;AE$iIj;ku_Ji* zgKoJLH3TQmluWJ+CmIi>2jOSQe%woqcC{~IW<77QEGkHbEAReD_1D30FWP)lk?(C9dmTY4daFFwe*W5kN!k%IztWi$v;ADc`i8sm@_-YWxFZ@|g>nNwBD zZMf!()4h7n4v&4NMiF^$;HB*Lr8vh5KHI1{QbP;iTgMntc)SPxha(c`dSnq0wR|k% z#7YF32*_mT8k6kEy{jhFuM-U`&!vj}+T?j3I;P9igy1~Mwd>ZC#}#N?Iub@Wb(#J- zRU}U~beo-Q#znFVjgeGV)ntO3&jqoUOe^5=&6fUcvmU(Zw77oGslsP;110wenF%_X zp9rH=Lcoe7mCGb2AW(SC;?c{5w>z>a^rJV~i(BhBZ*t^8@Y)CQ>_a3gG*L~jc~ zhmXh1UV9T7qN%;=wOIEQ{=d}fWn{=qRK`V7gy9PUmjXXiia8-D`%de1Peuf*daqg% zBRu5UPtrHsNyeGW!>PDEL}SI;qFr4tkI+O{(G`ce5w^=X{7T;v!m_?pYM+!M43B`D zkNxf7?Y55bjpP`7<9qdc-+B;^atY0*v41h*dRI4Szd_)y-~&Cg0tjjy)2rcmhG38G z+#qz6A?bg4^~%S{!{zEd;Y%)fBRn*UhsF`-bHz}i^6~XxypZjOa8|1&xU_&?VGyH z)u~**2J^GjEmJyruu?p;X(($EHifB1CqEa$uJK*<@TU|wgiT8*>3hO4uE%s_*C3p9 z%ovvETH(yxRH1l<KBzYpZaq=%Prl5+{nYybi&QI^b@U}~b{~MnSry&Y%DJ%SU%5lu zH4U~tr)}4>6~I1*O>gY*G8{!0sRy|a!HG%oQD!~y8w_rXo9!2XvmdsluUY|@!-wbA zOS-~koX2ESg%DirlynOnS>eVK_vxqp-Z3(yhP#lQjmcYExR-I>*gmrvca~iz zL&rE^dE&*);O=v$Y3u{=Z82+Ic5=xRifW+WzzdTrUq~{>-w18x@Vi)e7=@Cs-Y?J!J}a;YlCUZcVs1 zjo$P+=n4o3FkobB5y&RgNK`>0i1OgCI+uQ+R5+z;3EZ_c|@jNo>z>@&+%l8dx*4Xx~2 zGq|S@w%oU!hKEc6FW=D;c#Lv*HZ_F7(>QFWp_nvm6nEF2>M?}ftDX}(>doQEIi_X7 zBtzy(OJdU@#GijC_-k(-8+p7yW9QC+e z>G^-3`Vfm~G- z3Ri#mypw`3t<`4ZZu(~;=k7sabEPn339@GQYa1csxb8;AkNHR|<+x@$ri;`w4*NKc z5&ijg`XA4{Y>~X?^F#M6;_ut=u=-QbC=$i;?wtGnnDFR6getgQMLWkq4WqYHsGT_+ z=5sch_~+X$G;BVIl0(s1J}VN4rnAA~^krYX5kGx@xOg?ud`52$xF192{3Qaf#B56l zv(fHoe93CGcmG=b{Ny*(IaG6aN_{}(5$>fW?naa>J3O6Z)*$!8RM++FPACwc2vka^ zMxKG^o15c=OSc%`XSQ!U(d&0AmHIs+K6($wi*7EHrhu%-5+WvaA{#hqdG)B0q zFYY8hh=*BpyNL!?$58Q&=rj^t)=!+evKJjKw^_pvm!n?RjbCu4994cdqPTfqql|M4 zf8LR96pP!LC|6uUA=juBy^sL%S!{R7KJq}W^MR%7jTe!vyD4pYiUXOUOCdE*UdW&} zq&;LjN_dG5@gJ7dRQi`C~*Tja9Osznl26<2KHMadmVSN@TMz*&@haBck| zaSz3G<*Zld=uqhNsL#gqCV5{}11L-hTTG^X#l0qek!|C ziI1kp7(0FAMECxyFkUntLH?;f9(J8Xa7T)syCUh)$A9?T zez}9>^jaoToDfA=HA}($00V^k**|*tiR}H=7L?^;$ljgi@mQWIVK0?Ef3rtf4N+PK z2jg;*5cS>mvZc`zLyfbb>*4Tt=r|Jp-qF0CWJ zd)dug!2v{n@U@e{nO_T0aX*F)UMG-T-NIL*_4^S$Tr*P~JcgK?lOJyF9EaDLd)YRW z1Mn;9+BDN8kHByy-eekbS6CH!QHh^uSdTWHbTukPsHP}Q9s}VFpXqNp=9Z0cNqhTX z;@6L0b={*(?j8}NZ;H)B%@G;%{G9tk;z?3le|-I#eTe$epUSq<3(<+}oXw?rh>^^l zpEvn}m_f0=%llrzyYU%Sa;66Sho`2M{bLZsdS#?heHOvCscr+Qod_xG%QW?_BK$im zeZy5G*Zxt#v$Fjp>!NDa;;ChGlBK5bI(bDaBCp6%)%1Tw6wkWSeTyQ5ks|*Z8DIjO(aAecEp!e);U zLT(y<`;t%Y2uVIir-HX3tcrS^RXtBO^8{u~=_wV19MSAoo=ehnGLRX5uCqUe~W; zkE|3BeM^7kaotmhIez$2;(cAja;l`;gl$0V+vkafF3RU`oP1rNh~lqj zN|>05|LNlVqF_%9@|kzfmTCKw?8t!}B&Hgq3!Wr=dXX3*zRcaI zV{P5ry)BA(NupX^=KN6Nt*m^Wffo9EN9pN6<((cmD2kw>|kqDMi<#KCDo6UT)9v;TYmpXJ!rNvUiByO4 zo<1t0L~jsw&Cy5&J+WeSVjd@hJg zp1#Pps!>QEERF48B)PviD?<36P9ilS(sMw*0V($=&3A`=ZkL< z8qznfoCx;7gB7xo%1kw=xOCxYzswVqs>jDVANYXcZHI2PuXjhGQNvc+hYwM(&Y>n> zlLmQjGAqCIXCRj{y_&^|aDa90Hh4(hBN^OEgH%+6Q=HZ06TK=D>F!&o7#j_cX73_$ zU3d-2d9YqIl+Q=^?KR=WX?AG3p|*XDe;9S^HgKK~P{I8JySNylxKTcRSmU5^I7*#v zs5QNoGpzW6|2)YDl$Z)S_f;3! z-M8eYCHM&ENLaZ4p%gN8ZY@diXCOoM!|Y4#dw**GKj*&4|6l)p&xwEM_WWbdeS@cC z{;N|5VSJhYU9MgW%uWcsiR|;l-Epfnmi32V$+u_A)FBF3AAS}q8}tgc>?}V!27QR{ zd(%4>`*1kOQ-w4ueuSg?%6pUfH{tjp+U!S8Ke?9{@>FlV38&?6$Dck~fU{}iA|CUj}NF`0ktlG+mC2M0F|p51i&;OHkE81+U0PO^d3 z-QHtxn)!K+ld1*Ij-5f`Ob5xndVjNs5qUi4U=^G3MYw#tRi&rC2CiqCsRWKQ<912$ zHpZW4V7ANgc#3{B%(rIQ=cvrWvhAeErx_pE2p{IQ@mvkNYq}*jFFb&Qp2um+qcd=f z*?&^x)d-x7);`?c^8n8LJi2>@T;V*dMjvC)1D88;Au7TOaHX`{TkSkV^bglF&#(1` z>sS3B=OT{7O=sUab@hWVZ60MDlJ|l+wdON7yBJteygbVxoDG|*G3K$Waj+K?b`~QX z9mgYQ3eUL-!b$0i-Ppx?I7^zY57s35ip`AgeX7Ud^0I;N!;N0JMst^5ppS#wQO|4E zuWH~n)YA}npr7pN7vAeI4#9n7eKgmB7w|YfqsHoA3(MNP&1)<1UI z|DN^zyYQF(Vsh>Moy)`fgvR*FAdN8wY5uj}U;E(aU*A{zr`LYaV+z`*iYy4v%&ob~ zM)vy;lIUW)50jjkp28ApIwaQ3KGt_7`K$unaXxwEo_06tm8|^)Qe$t>R<4yL`E*7F zT0>Sy4{ex^+vA9g8|-_U?pGj_pMFro_%Je`3`lF0-y!GM)G*)7Va_JN0vpiv4YSU zWN%n~weZq+WM_t_MH*g14j)IpQT`Kze9(N*mj4}*IUCvT4DTU3s>v^U!2yIL-2Cin z@M^-};aKrwBnK%MC6ieLE09`}&pJ6wiL|L%(@iHzkiLuztuyzLvACf^%}^1UPkA@fED7&rv1`==d7XW$49}X{Ax-J>D!YP2q>mj7tF6{1 zIRh(cSU0&MD{e1SiH9Dt+n1eqPPrlHnbk~lIz4jx_=fIkR3fivFZJYRTe6$e;oBno z3i4|9A2K z@2LIOrnM3C%vVvp;QMGz!)=uAaF)L9%8i2ipU!A+p+Qc<{kQHzY{<~Dm3P?CkJJsi zios$@NU}MnV0hXC@%gJ)B@CJ&W|KM7v*bQRvN2uKrrC!uC&Rk?N1_p;aQN-=_cjD+ zzJ4B8VuAqmJWr>O0r1tj_0qO`8EsOxwj}Vl;6cvYiG6WbQJQb0_v3ID3Wf69CN}CK z_lg+zX^VBpT&2;<%tL00*@{c{+R7voOq8LpuM7#H*~4230}(T|=H%#nIU>6`b=N5n z?=G+I7OoIBGN-8C99?aNpvlK;^tpS!9rCW3o5()TLFStc7f(cLBh7gGj!iAUket1%F20K}sjpTJ z#BmZ9*e@4tHEAzIb*M_YIy@yzZ|N49I0=Lns*FyaG)HiXcngQ5D%orAxLcvG3V+w} zSkoh+XwPj(+%uw$+Q+G-pSDq;{D$+lH#CIRl|=X8_NWE!4fdUMoF@zpo}RlutV!mG zx3Jr*9b8CB?WGvwVnmW$qQW4nE#gDJ`o*QHBIa=DbncgaL~1)mRtmpI*h{0csXmbi zxgT^?a{Foo4PT*^Qzvs3!AQ*svm|s3rFBsu6m`STgsMudQSqs={#ZBZ@n^kv9v>r` z8K0R8lL{A+%eCoN#_l!bvu5IZ+9Sf<6E$trG9_B&!jQV%)R&PMzH)<(r8CKLxneYZ zpcTW{3O@yxN)QbK~)>tPki=3m%`qfRtZ`(tiCZb zCi)#!Uqat()VYq*ob1IHTMJRN{(G;$D?7sa*xZzBG>YtwGwr%`B-_PGV&$=Oek3!5 z?q~YeawJ=^){lqE6GkihBj=A?h^zL?J{uv0=%G`QWj~V^XHP8eN>k4?)?!o{(W zLukh++|<6w{JJ;`_hHYi>X!}Rxp&R2mfRYW-L#QaB!M5^PN_TF#vj4QePN6C-D&vR zX4*WUCcghGNA|cF_Q79@y+wpC3IS`nR?!I%X4T_lx}?j)2vk-%F}o%nff)@aTbvhR z=gQUD$VqzjP=8vT@5kZNDC0~kQ>J=6p3DS=EOo?Q!z=Bq_|TFM zyc^zBZEmrJPe+{Z$&gz3HnlzDt2+e0f=jtS`z7EXkmDY5hzbE$UUA;wNhLGPw6-_5 zRw2+zFHTi~?5T&Mtm)-IIlR=)$JSxS1$T0ual?YHnmIUdgo(!+*Vru zz48D&+eQ8T1gYRn^DcXK^_pRBu4{&Fk) zMmV-RTT#Kk*P8FBeEv{o6*S#Tdr`Tf`KeQblc}@58bhg7w;HeDv^KE1&cBP}oPai(rve)l- zB*1rRL$@@29{e|KURTwZih!LrEv4>zlI$}2pT}PvMxgJNz@Oiu5k&dUWQ^$TgUkeX z$xvh@vI0`5K;g8njMvN5Y%I-SyROR`|H= z5E4B_p6A%}Z_A0_;lGQuq*_B60b1cyl3EN1JnHH8_0kmtK04Wcbuy9YJJP(8<2?{G z^s%K-UJt=%DvEQ~5ms0a{Uhn%Dul=t#C_KrAl!#C*$>A#{?z{Op8v1H7a*NI1;yUq zK0dSavFQ_t*Z@YyXZ`t2Hg}0xX?#L?`5HVUrrRx;U&D_J_n@ z*5(ewai8ha*8_oY;+DR>BCm+l2t(?5x(GP87fDsmU+WEn;HV`%KFUHgI46%Ks(cWFv*6Z{=Q`v*y)YHC>dq#( zl)RSODB20vD^t=x{OI9E_geDf+z+^A&5yZM*1-Kpd*Dftbh!7Px0!I)hlke5;#-7E z=kY?OSorx7+$}TK=TM@6mD|@!bEY2HddIEpyts+%x3BHEQ%JM~ZxM>!NmR0@BPq5kKJjvE@54(7* z?l#fv@M?`+D%}N-^2Xy29=w9*(dG>=ww#3d!k%FDK?hj7hO1S7%!J*|`R%t@4B+tc zv#Mqr;rdf^mbb@6!|A8rGmX7^a315GWOQ(WOa3$E4;}gB{(GOlnxhwPyyg4>-^pj@ z@CwI8tqX9s7wJEeaULEVXR|3E48f!9NMdWE0^uA+`tfU?h3C+8+8aR^SSi%Ac$_na zt+}Lzi9Xru3%ZUkM1{ez<;zcl>QOii8&ix8a>2RB{F9{KZt{8?NS&TN0#~nZZfzV7 z;HDmHW8u^X_jTN9tal&6y`_@1tw9GKcinm`4ywX)i>r&oasxac+|%FuXt9grINf>d zsXylhH|-SxT9?RYqi8CRjra&WXtN)LY_WkyuWyLAcn3U#q8q<%wuG0`eWk4WVtD=3 z?x$d|fOmG|6S{pwPpW=WJiFi(e7@1Yy;ZaJPwoHd`G24K{|jorE!pBGHDOcK9=`P~ zS>!Itp9*YsUf+V^ff{AGgtsV^khk8@QHpy_To#!tb&&JE{B`R>GU46HkDFX2`{c*9 zbFuS7NS7-c+U!z@)VX&G8Sq6)?K?LkM&jcyo-U9$pN^zP6YAj3k4T&@+>@ZTh2*3z zJZzDYLOZ`g{K%Pus9W7o+d(JhZoi)g9 zIV+oYP5{~Fu?Md?_aM{X-9DVuYWk;5ymtbvNFHCo>eIiviO#@r?I)oC!p#+z?B<(9 zvf`EX3)#Prr2mdBZKoa*V>jlgNs6F-TxeIPWgO~4?tFr{Fe;6jQxvG>Nk((&EfsR# zEHYj`dcQn{Sm3eW^wkW*Hn7onm1@aU21je*(KptD&xtGt5Bm0-y z`sDK*gbQUdIjjDBTs7*edxDMej$mYQwIe z@G#}B$i92XubACmFuVnMW^r`W&x7Ix8!)gySX$%;hKbeWzrTUv)d-HLB)sv2! z;@?nS8f*S?Gy|oIw>;P)C{b)cS-g_jf@poWX$GD3M?tdQPKjwPPJ7Sy5Rkb zre(dm5B&E|y^3HrL!j<_SBp(6(W;(*Q)^2!qqnF#X*OpdL_R|H{TK^E8P8laQtd}* zqbt9_NG`&RwlQn#w<4TDbvfo}EW(p3)%UC-dh&hIU7E`|h^V4(GwY)!djH5NXC3nR zRg`kA*CpW*`$DzT$`C%mt$b0GBpWPma^|J~76isoLtbM9LB(u>CWi?lEPdhVhn3z4 zaayLlY-=F(yJl)ETqg%Pf{{;6Pu1Hz~GtSC;pg$V1qLwhYd z$n)-Kar-2RNFQdNKsiA~(F@*<&w38e5zT4+OC+1i+aRhZkMz#=M!H+ZwGsH!>QMFC zTSTLqZ{F`Ij}WSoz6e2*l{O*BbNkVEgyv{EC<>1v>{_46#qwE%vq`zjku-f5ghVMzQ-04?RGEt9^nv9I5F_I$?y(qEm`5J^>pENM0DI!^I zC+^>9+Jo?C_IXiq8%d_6P1^>BBI?|mpl?jxh?)|P@qT>? z(WW=fMbXY6V7uRARV76Pz88FLyMY?PyZRhPUoQ|{g`Sh&<4p)Xx{*8m!&!t0G<|in zK8tX=?fsJb(h)wqxH+G;8WFMAr}>_iAW}o>vF2%_r=Zzyz2~a}q6&Wu+g5TT`iziU z#;60LKiQ<+P?|@Kw{eLYiy>k;K14hy9{zux`~TVR|BtWzw%Q|KI&*VT>v@gF{A(d9 z1b-@WP|To2IDg*7VgiL}H_AhQ#S(6ftmZDoN64LA97xzo=GP^)xx9^L$edQ{-#*az zf56lpZ9SbuLGI7Pvt7yNGHNJI*p>DG1AAI zFsWy=3aQ^aBwSLBk-Bl`dh5zjq@3Z?@@}bU22vRH^0O_% z(XoPKzLbXe`s%iyd1`PI)$Qlr%SVf&JWBhC$hCBo3~wYgFoYdCJ89iu>5hV#!;gO( zTtQx>gS!`f403Ym`m>{(2uDaO_R4M2Onic5yrBHoN=qVaK7TDh^(V%uGi#vza6;!l1DX?A$N9m_3 z8B=JG=iKT{^M~aqQr}2%`=E&ek*^QV6OL`(p=}A&N6e99^HpeaM-Z}C=(h_BCnK|} z@LKm7lCKP(6_}K`jPwwyvGjvPFUYhSseCzu)E@5g0@+b?I!3u{W88+OM?3TM&+Fix z{Aw+J{Z3RB@0&PVFo^P;-#+TIJ5g$17pfxs5XIf*6?CexD6&g^bJuSR3YvZRw=}*) z-bYQ&X8+HG?_invvfCBeA6XWoKk6VW`wfS|3JGKeuvp&N*^UhVp(?riK1fgNinX7q zL|2%@tzz#jXbBsXNE*M22G~Epuzo#i!*{#xIw_5+^VD?1l7!(p8yh@8OM|klhdElf z2T_vvmF0yC;qdAPKI3;DL6Jt8Y5rzk6nxU-uTesT6`z=^2yKERa6@zYG zJ<7-qe=+FNs!7g^7tPsn{Qwq3xJDiwAyjw*b_V_~0ax401J2ZxYHo_ltQz)?S&F(aWFPWvOq z;&Z1-PhVB+_yp<6lcdBKL>`9jRQzb!iYXWtM*AH7b{xh%lznYpcVI?6cQd#)9OmEk zQ&)bVhUNYKQT+}^SYH%xye{BFdI1G^0z9|Cj+N=V#H0Z1QTZm@ivkD5M|-f$3`gmU zhO0^v;I!l77)N|9oW|DmJS6;JXGLXRk;WuAC;sx;{CPWW>21Hctxp?w361W^*{3k^ z3Ryg`KObiG*-Gbb|Axgq{mr89EMVnx>}Ax}8QAcRpBK;{fNgp{hvE7u*!^VJZn3a{ z!=W=QQ)+~(cRF_R-A{HnNqMyt?-7Rc26|sE!Vz?~Wvo^VCVBimHSyt3FX6&(K0HEu z5BhI9x4xmh4r4Kf+k6wsFs+W2zWek7`Mwz*c_+-nike;h+Jh2UzdB-59Xtn!9T{kMFFO%G;B`VCa~byW@hx3@cWEYW~tV`fDOf? zEspXWcIH6?o;{6(TiC{YcHs{HKvMmU15W3tL*Z;*biRmF4_%*SKegYP83D!Q{$`Ar6F zIeQi-kpg?G^3jRU|L7Y$`{^qxI+-9dN~GYML4 zOMjmGf9?AIHTeIN#rgm0<~Z~h%aa`a|2=bjAtK{qM=I7Ja;NSZ57HeKd*(EY^OqbX zOhqWO7#v6PhDmNSK0~Bh#)XDl?QUyAs?tjgqFWk|2g;>WSgMKcIpf8(); zk2xYgb00H#8bHos%(T(Mr;*q(Q{a@e6Umeuu_rU+zDzy&aTPu3fmc2LJZy{(=^po} zLe@1SBdVp_Vd*9^19yhlZPP&3og=^PwPlbkH2Ub*Y!Wf$~R8d*0*XBQ6=J^IY4nRsTxtKYcvIcD8n z;wxh7dGD-So2OE%6l$d5ZQlAZ_Ah&@Ege{`e!JB8G>M8C-k1QVKv_p$i_6tT>?&{DrgQR-JICBlX&=6$GnFEJd&$;6%gvjZhe zHf^rz$|x~&J$Ceo1xlVIo;@J?45eIK3T4#v|IGg1yS~4k`v2hb|9zkTkDdM2husmC zD$Te@MSG=|bQG5JKhEKZiAK@GAA3ztt0K2r|A*QINo3kx^9em(hqR-|-XBz~M{@j! zeihPro$#GW)9Nt?VsCwv*+f%|s9Q8AX}3xvyiam`4L|9DPiFeITVWM~%jK>1t5Xm} ztLw1RupIu|cD^|!>x#A$x9jih9LK%VVdDomWT#WDxLGZCE!inuj+dGaCai|D-*X;L zB8!_)r`Bd8t)sdzttAsFJFKb(pFKw6o7Q4__kP5QzLwN!pGP#0&XnJNa{qOcRAOy- zg)oUq0o8CZgq*Y=I{fMsf_%#8MT$vJ&)UHHJNeJh_D1c9*|;z6Csw^*I<^xP=EXeJ zC4?XFeDe7B)6&Ryi;^jNG)Fo}<7iFB$<8WtPMF7M2q{meA{ZrqAjw~Q+t87Fh`%_f zO9@j%%$^HEHy%_Y@-EZkP+BX5vnmN{a2-J?J$qti86$#mL**PzJp$DaTrV~`jrO97 zM>_Mfs2?&|-F$%yl~xZWEItpQr0`s=^!Lvw_@?n`;PwUN$Z?6cmXZ#T;@^`IJ#UcO z^+e`l$qbTBt#)a#`Xb?L1NH0XNW>b#DPt$xD`>Tl)D^00+MgB`Rc> zJBla`1I+b$rc^uu*#G-zvnO+{XYsBSG zGS{KJW%9VlVggDIe{KYN<58Pxluhns<}tV_$@|mQR``{crmI zk$-Ob$?X4~)%p9(|F2&MB$;oMj_&{V+3;`s+_%Z2W)xQ?9@$r?1sDmV(dFu;ecv4m z;X0G0CZ@a@?$T{O$KeAH!v!ZFFS47n_I{C5Ntnlm%D>B!Q{XLcb$s7MIw%}7UzazN zjO4p7D*5_PNcTj>`kfD0;H%^)x6rKz-=#$9Wkn(Q#m~?2{gi>f*s+kQB?H)A(mXS< zS_Dq#Mc(Z=SV^?BD&hC0LU7}v;>}~sfV;)?r^}QF@JLenN=10Po_Y4WIKuegl^j4t zZJYyd_c90JsT5EyE&bM}nTF5K;meJktKc*26B?D11K)^aG7C?y!%teU>bmDi_>CKN zU)ov=e~KTA@VQH{JOBNq10Ct&T3w@f?%Q*?yt|oR#u^K^JALI87sB#xc*gr|$2xdS z8IKukCmzzJiT<&@PvA8-B>OVwIJ^hMUdvh${k>%Gs=;c~mF3X1Sf=6*U+^)GL@*I8 zsl{62E6Js2m}*Lu zWMj`8RdNs(nE3M19g>dlysfQZs77>mTWbv~yKZ<}3SHPR{*CDVzr$TPf51ob)d^2) zHTW{+KDjmhm2{w3YYn_shF@5y|Cv)B@Rws&&kW9h|5As`#N6;(cdG~^p21|0ZZ09 z4N>dhqsL*M{A?P&avSgR+V6)S_w223!v6C6a;PIjPYM1tY`=}X#1LQ;y=Pj)6M+Ke z1wnqf2pqlA`{Yv)TrV9pbL%2Z?^RnaRxf>rhihc7%OjHg9SZztsdoV0I~kI<#cL7G zjxKeScRPHpZDp{nCH@9oyH4wfW%y~uq2j3;{1tQ`>&}o}@!>9hp7>)3WEAYZe{c^1 zhdwjJYLeaY#nF4(tu_d%5vmdNh$jAu9Pc%I$NoI`|335obMXH)+suKE|Mc!;ZMCOY zZ}p_l;Ri2AoS|Zq9M4`SiZ@0I}P_{9-Twfj_@#_e=N}`1<&m^Bdgq} z;d$>+V0Sp_{7_Zf$P!@;uMZ#FRF6r++cewEaCIlVze`NH=iP>->z)yDYCG6AW=C(a zYJ}tJJ9JzpD&VYcLGkk-o+G=}ClmTY;cClHV|02a+_W^F8l52d{6jNha+2zB|8l@R z!g~iiGIdh?t(8bF|9ZW}W76;T?IO?Ek8XGcjn7-Y-vaMlW8uRLQ}8a`q5R{iD=6YS z1D%}hNN)e;sUwwcu)Cg6tA0iRjzQ9EqxD|HIU2_A)-A)OmWtXed<|S{xgMRo*bKLn zD_<-(h7i8LPQvyv^18>EIv4YJ;W1Zxr8Vv@Jd=hVRVJmw>$LK|9LWiIy_aA}vLoC) zyC@Ocm;z83xzz`wSCL*IJBL>zXJDNXWxVQrE$sD^hY;%(0gvEOz$kHalO{a%XO1xzm=QhSYvRne zr|^0d>KkOl1#f+UgGub7psZF(=WY1{N?hjHt}CD5v;XNsi(@>nTf2N?@)r}~=KU_C zPyWXqgvQWBI36y8p&tdgSHpEdJ1#ty32qB-r>0InfcwZmfSDKW=7>66!^_t<&!^I>?`Jie#WWCF^~>As`>d*DNNpLLDpB78E2)-}ARC!dW| zQ7bophSQ}zQM#dvaM{YcOD@ut^eXIb{XS*{H;O`~WpO3kV-NRcSCiL^UURl$RvVt4 z_x*nOrol^(p~v+z1H8r8UZkH32ZdHk_ncxT={PBP*SggfKAv(nUzH`oSM2(lkVIbi zPJ|rZ928DI3(ZQ-kU= zT(pVg0UHgZpXZDGW=?pyyjJSRoypmBhi_l7g%?r;m)e+Y2{ZZX9QB!i14xQ#+`6&1 z5A8>EGU^Y!Cpo??Z+paLQI*2_SfeciW!{TtZwMYm@rZg{7va4Z{&*<0bUp_8TQ7=L zeL6z!(K5*^c)uWPaxP4UCjgljG<1}o^dbGLnQ2%H(X$&KXq*oroZO<4?IH5HNU7^F zO$jX{++d+am-UNC-n1OIqppPH_*SlWqRB-=l5aq~ryi>P=tNJBTa)~nf1kW~7D^(v zd@i?&L{XC9msixmD3IQ8xV-2Pa)){QYVOG+dtx;Bs~s=0r2OV*R}l{U3)TF!cQOgD zx59QgvXyZ1mG$Mm@*>q0*7r8p0R|%Ij zll(xolVX$%xf=xt$rJw4xz_MrCY?q~Db%cz{Z z5WJDq9OZ6nUUC0WC0q%HczInWl<*jN35c-Ae}f&&O`(d?j2$ z5w^?bQACe=IDF8f_ciGa9NB1nndDB-HOQSM9Uqxu{bwIa{BL~zBY*4rN`G;9|A)S> z%JMIL|3Bf(@4>lto3(2V>6ML|KI9w>Kfc`+_xD5~z@Pfjk5+lYX!`KUwQr7iL9P4N z@bV*gy3l8+7vQ5%ke#n2%&LRJ{CiIT+3v=Ci>VAdeI{@-KL%}o7U{6&l5nH z&Dh;t1*!;J&T(ZMCA>O&m-Ywy&LMnWBRAK!2@$&A&#qt4M#PJ?yxTYN!t-MKM2)^a zd{zuzwOzRjeuIwjLId;&Jg7B!-_Hj@p=P1(GQ?+KWb|d=qZ>j5pKyfalGp#hdP6#K z9YTHB&2Jd9BaACL+scub`1dJ$xo#dLeSAjVtJhj1yvqDTRiYLm1e_m7ZxTU7rV{_w zv(AXzY-5lSv4;5H=Dr66l6=`KpDpjt9ff~j@0oBJRRs3be{no$ji8aOy*^)vSM{Dg z*FpVN2yqRQ{=mbD&?DhTHT_7we{f*DRwx}|R^yWy8?GXpJ}oNx_!WeQ+Jx?xqbIt* zw^CL05a}k%s(2gs4UucYHj=OcA{_^(4Rp4FV%PiG*DDWxRu;#(7}yZ7u$lh+?|cLW zsEEd$2|=*a+a3D6rxBudJW_6*Dni+n6S~R?*B z74no8_K=K{#AV4_w_6Bb;rsd@uL%qFbf5UIu~|emr$uqP?p%umgdu4#lHo0)l5 z(>V_5zG>9KIqQ)Y^o{mI4&lQW3yuG9xq_6B;e%HTQ;~A$&RitNp?+bm2|c=PAJ{f!&^)8>BW2=CLCNI zD7@YJv19EMAxa#jd3^gyT?dh=A7zR zC4DfARc%+cgb~g!J1Y(CJW`D{Rz%#W!(%4#v6D;LXpH&&Z1n>})GE2GQQ)OV#bT|H z>K+TyQ*eP=I#mEAI}3&BTuMFO1;cRr6I&0B$@5jcX*P)fTfVXrs6 zrIV%?pT|9y9d=e{c~LdFt&cs+1LfnoGM(jyD6Ox_J-$^0C7Tz{@f)O}=)q8Gy2wcs zYHc;Z7qZ`{6lM2I-Y0sxgq8QhT;xR1tLazWMz&_{HiZ;DWSt4Q7lb%uDl}w2TFHQn z+r2cyEwtz=bm5+$wn9tEty8CI>(HQ;R_0(LirPVe-hy?*sEU2m?0E1rDkQ7b!=L{` znW0e?4T$gK8`rl6mHjAgaBALa@f1Zh;=WZQb|@?|-=F)DSDs2T+j0H#z8~}# z&yW1(-&5n$UZ;9%{?l#T;<&NB%8CNR+bja2lkPCy$Tr}*Zx|*`@`rA&%7&TLYcqKc zZkWe!9>@|)gvAT>y+$TiVL3A=U0UV_hq)zt{(QgA5J?j#Y21ibT{A$$B)6v#^T;qk9k^mPezJB~3I*0x3*@PFN z&oOr_EQKF;@0=-R-YE$aE-&?`7d&D5%Cv;#cQedyFZZrYyatQzYpIV_Q(?tscRp>~ z0IW~!Et!dogpJ(Z$3X{V3FlyZdq3gW+HJIDuGA8TUH{Lox7}(8|8HjJiJ4S5?B8t7 zY&!~v`$m2xm5k84;A`x#p9O~d?Cb6rio+O;t7;p?V0!3dlVedO%)VF)RZES+!tZv# zs~AyOF6;2f#wWpA+5JmieggVd0-o?`Zf*f1#P{jb3)ruW;Nz z*PL+~4&TElcOI-KdO4FwY4UM69aELlT{jDZh*P6RPk3R>C&nr?dLO2$aZNv1Q(>;` zA{+761eQCm>osiafmNGri@M-#@_mM-9ct?gm(^=uj zHu@$ol?P7rcb>*PUk9g=w4+|p&2YXT@nVia2F?YdJ!dc0!-Z<=xeM-hVagd7+-8sk zb5qHtTPmusOcG)Ffa9bOvfC`pLWSfUWY4~<@r1p2mGw+{D;&DFCn~+0gwx65DTSN0 zaF#QR+*soT=MR*VA=VgyS{%7{{Niq8#;zYR!WA~@9I$L>gs+2Gye7U*?;%h4{?nYJry>E zNQdX+V%h?TEg7l!&A9=I+N&#czxW{e!oi|WRb`~Ke>y3XycKENPL976=R&%ypVJ&i zJTfk`-c+!xMy8@r|0hmkWbJJ^(mBUXxGf=({({NKPEN4d7fo{WQnV`j-Vw%fPxa+` zQxW86<;_@^eXwzuO*xF9R#5|6F_wnu989}xdU$lS?lh;)o+ z`ziC2{uqY?mC?@okw(3K>+Lt9NWUifSR_v#8L^MwK3E-2{BsTsQd+e{_ddu+OSt#h z3C)#*-+7R8H*&833+WKw8#@s)_yoBf_v1fUR)*JTe(!PBv<*=6CS^tLfK}LfsVCaySHxu`Eom7ZTv>^`xAau zv8&BdU>nK5LX>oe%RjR#VhcqQ-E@LbNHN*V3@ZzrC7c4jJ}xURO{9NW8+z71n)nG6 zGpFxbAe-S+S<|^d!sk(RbFL)ko)8=A2dNs!%ZjtGIvk7q^pght>Z=#5vwNovZ0Yz7*y3IJ(p(tl=*Lkv!NRhnB=)KI1wCFD-2aE3`qqTX(`pd(} zYM)JNen-v^NkwW!A0mi2QfKz zD88JiqEt@K1J8BB5;#AjL|WvoW$PQ1WNit3suGLRl`G7*oyh3?Y|M!{y z&r_d@>hI_Jf9&kHwg#S7%}T?)m5sD?D;)ljVafKVT8pA5t7S^5Cz0EhIdSJ@2{Qcy z=z8O{kS2dk%Wd^HB$u|YIv^s9#9d)lk|etu8>2SnbC?rRab_eP_XQE_R>)MXoI+^- z+f(_G%LtzN7{Ps@1wqn5lx}kW^pDu#do|?&+N9-WSZRiFuj=`UliCI-uMfr+#S#=t zwLNTUPeERwc4eI}1G0|1pwU@qBwY9*#e(fsND;ZSZ-O%wIdb1TUG|M2b?#^nfc>1E!MvmHTgdFko`!wB%(sd1tp4sAoGai0bq za6esSMD~Cr*|9lh>}_~~;=#Gvg{o}ihmY?&#A%Iec46MRW9_6n!u!H$89}6s+eWWR zHbPRS*M^N(#t|Q&IPGJ}f|%={^4mUrL1eB_q7OMgg{zb;i+}uq(6e@$0($xgcC~Vx z)g}Fh!!q~pEbc&isjx@Bqao@)JZ5J*cMX+Jt`l8HE~BJMLn|Tr6ABroY8taL2$PeI zafyxS`yFhF`KwZpI=OO5FVg|Zfes#T8 zz%mCKgqbeHJ*=NWNZ29aQ8hmVP2bhBRKACfA-PXEdHQI0r>HGIoP_E%tRIKYhM=N1<4M{eAft|7elV_lCROU2r|d=Ha{QE zBOC!K-7D-PNcpy}a~S4o41VN*&%A2lpJ#sN<-flE z?|SC9I`c|vXp2^jLPZxlm*J-5@{r>S(c8uP zI|WY|!-JKEjwy+-p!;Pu{I(>zdx%3wk2yQMpu%r%aGzvkKXe5r3B%jbcez;i94H%< zXj{({R&omMu4P!jhhLNJ%GOy}jWpk4W4Z_XOX=gr8jf&!aDl-}@FQGKUv}?JIRsa8 z{&=Z77I3pSs^2j82JW{N=npDI5+>9cJN_1Tc+#_mn-VXsXG?mXjm>U&nT_wWr;v_i zwwbwwAA~zl-ESWlPj*_$)PkQ6(SkCvb;fui4^R^bLrVaNw+rrl!@0>d$_m{%m)dRtniZ!jrX_B!);FU zDYxq-(%oI@cb0UQdd%2$t+}5L&!>rRR+68uS8B4=J;LMl)*lo&@|Cf!{edhn?;FH<@Z+razm#;7A-+uPtcd6yzE7*PbtikH{1Ws24A8i?W1ecAg-YF&r z5&r#-#icFcq(}Zn+ZJ|PxC@tcy%;4qamH!+S)&|yJUiKUG>zo+DIW`RqF=!4$e`E! zWikT;VZjkKcZmn(hE+WunYlGeyxrMESC0{tjGdi<4|i3-VYYZ!^9B0R*zKYt#mCLH+f zLo$JK@T}w5zA2x)u7Pu9mz@y2S#qPSzBR$SxGtq3lnWHubyFh=#Dg@T-uuXg9zMD! zKA+{QgLQ>}Z1_<5&;j;BkE~_;xiHE-o|YV^++;wZLuJKa%(gDg!>W8-9R$ zhQx`zFG%m7bDHJYz)pC|77i^B)xwKb?CMhIdU#crch8WHaBuB(>`Li$psb`wo<2cz z^~AlU)`xiEBUrChM$QR7O>>vu8IXMlOE&v%lJ|3@a@;7f-W|@7FRr@%A|9g|I)UBH ztKr7RA6gKs0(Y)3MmxqYg!g|eW~`bI9{obv6ub9R)(W1jcj<0!o`hGd z$4`kzRq(zs$l7W23X~lZA5MHt0_DZ3jH>PS@NvE3k@IQ=eD`iWF?|0zeA~XY1tt5! zPbYNk8O{SF=fHVoH^UvceBE)zeak5E`>!sUrMnAvYqe|78W-UaTvdFtdJ{Y&=;D@y zJm5u<&YyA}CM*}V%&-&pK#_5O9Q-s1KAVQledPWOpVu5oCR@kh8=JRpT8MPIsN5C* z5mf^JRjibF!i4oN#?S5=J_KA+y*RQV`_JtEIrIPZ)c^ls_S;Gpmul8OM7{Q{w%m3V zRMAajoeaN>G6p-lUB0a-wlXaCmNP}+$xHs4sw6jN=e?Hpr8c=ozu4q`cp6zI6{#yc zNPcg;nEUzRCZtCNI(Ko99$J&PgD-mSAl2|??xoeFcioM#=k+%gBo`KFhih0OX@(*D z%W^5&CE}f~-S0pH`&<$$Q#h(B+*`iyszO=fhv+v`-6;NHH6`=3f%L6%F;gXrApb(m zyKi1R$Ys3RlK9OV+57#E^Ar<)UG({9EPYkTkVy9JCOvHFjKu@oc3MbXo?;v~Fd;nn z_cA*+Z6o*D@2m5UzeDn!>)QoMPhI<5Ek1ouLqqoK{=$hbs7}74Io)f4as$oduZVV3 zQbY))Y`Q3_bGl*aID!JFtX9!w3h8_GPL@xwCOx<#)%sDpkwy6(T2D_n{X5u1=O`DD z{x192kIT};gRCOF97wo(PeqGw7(YbHrz3|YCrFN;Lv@p}ecAh9bwLJCVxs zoJx1d5}k&eTgSI7p{Y3K`-I(f++)}AjAbKz$PU768|^-!+-zlldwdj1k5B5GIuMRP zP_LX(D$yH6Y%06P7*HT&xL1Vf4DysihaMfXKu+@n`R^O(a^N{WU^I#r+j7~ur4?x4DdNBOk^{AuhZ3Ld zkwg{q$FBf_u&X@+meiB=9Sf!Fe?un{h_qR3@et!x2cKab@r|8BS`$>dk#qdcckZjKG z7jU~Rh|qW=!Kz^clCuxu|808}Vb<*C_Al}g_PS%-;JgjOA;7ZulJIKtH(%7VIt6bP znpeVW3*ozd@>9a89{8UW>ke6=j6k*{E*mH@2vS>_V4*;RV1{i={28P_AUEPik@$Ip z;QZtRw{V2C(wwFA(~^9De0t$lD}>g^r;CKCA?z@Zot@PggvD?1|4C<#@Rj>&eF)dU3^Ycc zM6lqw&$FrB2!64|;F(Qw0tWkXHHLCYzng>8{FpLAExY>;n2{d9**Km^3&NY%UMSjW zIEb+B#?+XNVhBH0u;KD=l1EQexYuNs3r~$4Th_=3P<&-yFF3ctkE!d&QAvBkrkbg6 zBOctqIn7b)8@UK-x|Ou$6k%;y#-2K>H;9m}zCRWz5eO-Ew#Q1TV30q&#RxNWwtZSg{a7 zMe3S&a$H8}!`58cb%f`y;HPu>95=!`r3!SLh~MD!GrG$us}WvH@3i@D0wVZ4>8}e{ zAtJnUr59nS`RcIU%JFc4f5EcW;&(d)mVVaKwl+pky+xOGP#}T>1GWxWll_1~U3C#3 z=^FcG#K%}gd?m?;>*h;55O!?dBwb}1VUIOS;>ms|{Nm*{@eu=r4^Rtwex62z`j*ys zmwZHYzvSm%njt=eh@GEAln_~YInxYNe`f#BbN_E=&wtJSKQ+F;zE1U@H2ZA>GI8Nu zL8xz|8Qwx8g(@4dSnfBnDDx7Lt@mDq;@>K@awW4U5|Tc@G3gNDujUB7)ZK~PfE_mm zTc07jGa-}HzaLquru%3{o+5LD`}dovhU9tUWN}Jl1JYNfL`wvJCO$t(_{%G?NWEbw z(7HnrDFw+3o6kH$yUvKqI|%_aoV(lDcEAbMd=f?n%vPd&2a91q?Lm}y4sUv6ON*j{ zmM*57hfxs9Ba@cbgS@MQkF|@qkrQZ}x;0!5*=ziM8XO}1EA?*TCwm-`k&yj!cZM(0 zlcEOa0^1RcQ^B5tWkZLR2Mo*q6{joMTS*~XTk$l(gXAR)Q%=Qq*X8p76p^P$9(VOqiNUhc)N7Dd^4+8&-uge$A0X}@YuC5qa9dra)Th(cbz z)`6dH$lu3sX2T9Qd*C%d}!zSZ*g`q7#C^2M);0W^IMq$*eC#l0L3A+D{GsNS6O#Dt9l6>Ji|XB2*+ zbS`nW*QXaH3Uz6mvhgTd)_h2PL>h%JPF@zaoK61AjMDG&F zAvu0q_O#uC$a>+r_@bl9ET5zBHMj2Bn1qEv)Y&$@ptN>=W%^Drz!F_p)f zb;}DV+PU&&Qxtih)Si4aSe1$V>qarggQP!z|EjRs$1dcu8a}DyIf|T3ueElmzWFoz z|MlEI`nSISH;XeX=OTSp3i&_gBE9QB&I6_YA-ujD8d}%y3}rx1JluB!$$J@S-hVzc z#EZK>#eQA2O@PVP*6?4c?_lareb}XA1KGfW_+G@GyUNSZ?ZDw~vtnR%`gb zDSUYftB)_&ZkJqwb)nZyi~HYTqs<(lk4)IEI<(Ba6h?IDGVUXq=U~UK)hZa|3hjgU z8uVU2gWhkWs%ICXVaOF6^Zb$q$(37=9+N!?6VGCsN4Mi)MkOw}`u$p%tH{bS)ol8h|Nl(|6qFWA=O+;etEo{0G7~2}i*BzU8J?PMGeMZJ^LT zfmzmjUzUd_VL=l%tLv-YKr~BGt%qF`s3_| zVhz~#u-`fW8Q3{!@$pddNxwm_z}D{zuur>2eMk8@bZu9NQwjZo!7h5aD~U=lk`I{5 zV~v1``x337<1?5YNwYs-)j4NoW9eI>C-rXB}bB*R;neJ{R_bbBDr-KI7o%!#lpM9gZxiJ=^YfLqBA; z{GCKGjJUsEJmZ@VldPnz)SCxj7WBG-%d8U?=aeinok(B8M{CCmX!mP-ssA#|m7HvArvJU;Qs?`h7_u2~^uTFEh;1t*$ z^g8V5A`1Jmz>wKne8flao9)5opK#Jrdfin(@)swcA4-+Wf%D_s^R!;Ua5+<~A*=ft zF4d#PUeXqD-6<^?cSM{pfO-V}>!|2E@G;7_>s>x4RG6 z$sHi8k9V~;zbx?;#8n-2TqLi1jBf26A>{n*O%J>4irnB^V#SUs$lElsxAeIf!Wuja znye%covt^SFK&(a@rAsA{%Rx*?u?025J!st(uc1fi2l6cm&DN#()(jNEqH#%24oZl zlueG2Pqj`ON$T%~$m+bd|9kTrWS9A0=l$J|9QXU|MY1FVeO#jdL6i`3#|J&E8p27B z-nGuAvUtLopA};n8$`scuEZM#KEyuy{M02_5lIYA`Hvg$ zcRSLPJw*OuVMi0`4iDA()DVM0BPYpEQeRQD(LGbLh3NeHLAia$SWr~?kf}6|o%9q| zuQOauBJA~%Z-&)k$auAbRVJD2Pu@_}Igc?Rr~FQBL+mfYYIpEH*e{6u$rstyXEvko zVn@g00|O{hxb);$HsL7_Z{Vr$??AC01Wn&ap?LVT!wGi68B|i~Ka>%Tl5&H^3tm7e zi&sTljsQw^enjkQzwu}G|335odFuavF#D~opKssKdV_nbIu0Cr9fxv3YEPRwClqys zuKKpO7`dH$&y;=XB;F1e>D%WhNK?AMV$9YP$yGiEw70pCc%a=?hw$HG)3;sYW;lze z%+ahX(dLNQx~+#!RuiEgOfK|FlkVi7?@X6^-4UcTe$>j?mh4;2e6q5LzAjy1bg|?$ z?p4oj+etlu@`lgtr{6oG_~dP-Cw0}x3*ES_cCHOs$CleJshmdIsO7#f-9@B`-wqN! z{vAn-heCr&m=R}V_1^K)Nkrc|CP|-UiikF;0|7$h{^@!7v{b4VLIUqZ?&T(3-F@jd z543+oKvs=?8|l$)8ydfcBs<*CFp_F|cYt(Z{i=!=xrO34i4ON7wjw|J-3o=uI%Mz2 z>1L4Li}XUbPaDpXY|!*mV|{i#B;_CH(zwWk_!!GDCQEtJ4_9-O={4a5R#kmgk4Q!M z^}6Kak4R5}`a+@Z`fvmX)k)pi@Ed`@>yLSJ3lV+4@#mMbuBaaqgnv3SDqW7~adC{J zq(-UY*VqPm)XtbcM0Po{8_3gxicglZ|02U zCp~{N3$7#P{)lE%ziGXe3lZscD@=!8BFuHXbc;yo^%b8(YmDmT3G`LL%tc;>JKB1 zCh*t^iU6Wr)pF;<+7YQx$x&i)iS#Pgtabl(_RsA9w=@4gH~nPKAM{s7_aD2yqksM3 zKX!f0;g`v&K!Hl>uZ%DG&A+Fo*D|&0?4BOtJ>6@6O7Q0aIC?BF>M-1gb3*b3k6s

p+cNhg>_n9*B86zTOLORhA8%VkEo!mVTV-@FFPMotresxxwmaQvplaJJ|Vud2*Ec zG92Hc*8S2FVL&;Bd~YV*T2tM_dmb0Vb*f=&|7;W7dYA-mbhyJkbyGX7;%|7|)H|B~ z$rYY#ZZv)zC;H4cPnN%wcujT!;oF5Y59VD+`aU}s1_TW%6@g7h}pzZXb zhp=X1d7WrO&XW7=e0G@_!AbYz%Y^$x->0?A%HH(=u6%XU`v=6}#(zs?#Yw{NUvHL? zp+kD>N2@>f84}Ga=jwy5>9z2@9zJ(6sS#f5*3j(HC3<^t!o0wb74TN@9~g}zdizKp zW5V_gpqNVA=%rbK^3&o}+va}QXbF18PF*I@U|-gj)#o4iT2MyWP$h+n#24D4!JRKD?QH-BL}KBtVaEL_dKn&PULP6pV}U1^H@~&29!g{hoYmYuCwa(Rglw0u8xU}Q-BeF|guFur+(Q-dnwm zRlPl7o%3AT?8*mk?>fG(ggH)8diZtXInnxS^t0K`~Pic z-zxQ^;Du7+@z4-?&dyD^davh$%h*W2+@+v(+ovzV)#pX~7n1RG3#)v7?Gpv=uH4u5 zO{>8}gQxXXY8QDAEZQ(~4#M-*iKM0aX`;U>hh{Q6!utSqnTu5IwTH_WKbtwP>| zG@hqV%Yd?K@%9_1W>6BC*k4*%6ORjnh3ULIeCm>q4qp2T8;%dVcerhUgFf%bLSr7B zwVy1y93}U}hc$M*s%PN(O{c7h_A}|Vo6>kzqzm`C48ctt>F{{cd}sHsTkwodo{%aK zgqQkV`oXNV@Lsd5tiNR|yerPuP2cb)Jo<#dX1XR&=Ba|-tj;GhcPiF$HF@u|ZBexH zriZPA@rmQ=-f*-reJ(k(0Ox-GS0@6N;VQIwDkJd&+^+8znD^y`yWw}SwOq6C(2D-m z=uJ2QlEo)FRg=i~&9`Ri3(2#0{q8V$!UJ!wR~);{NM8R)d}^ikBq*<4x}CcP2vfxK z{g;k!@ZD^qXX;@J-#n_r4hm!s@M}-JmjfG|r7ER6c4xw+G5T{ri7wn2m-p-;UTAlr zcj3oKPk;vul<42N5SB;ZMY%xo*}f?(Rf^mVye59FD7KO(Tm;LlcKRxyn7m+b@|A(l z9^0&#GJg2H2-jo`s)Vlt&Ew9Eq448ys$kt?3cs4%RQ64!aIR>Xs2~|(SK-uTweu1r zw_mWO%Gw$3_dnU`EeXS8z_Nm=QjM@Y!emT8D8TE*g>b(R^6y()#;pZKaUUO z%++JP{ee32yx+&k_g5iDneKJ^1`A|4SFTU_WPyzD=0C1*Eh0U8UAF1#A4qds|0`Tu z2dR!#J(Za|kP;r|Cpv77QcVl?; z4Q1&<*TP#2QL;jt+K+*7*g3uZ_1_cz`VAw82eZ7$-S#O(N}u@4#Xr4C4qi|CUhQOW zUHX9xMN018av`L1p2wG(Lr7zhh!hzkdiIW?+w-y#NRf&5i+t#YWS30ySf(Dd&j&E= zCZ5`cJm&T&DMeIgShs(v=|s8lFU7tKfhegkcOG{rIU{_t^D$E2y=ByoSqEZ< zFxPD9B|d{QtHch8qk|AJDcn2JXhFBh&W`~#O9eLuKlY&_rshUXCD9ogqIsGG^iW*( zI6h>K+-rA@oy(DWjr@+e{rgDfDEEFSgMJs;TT|C9n4Tq^JNFMXT50vjJTlRK%(@gA z>z2nix@aSP)r5!RNlm0}k{&##O@1C??>1hDuR!Nrr~W+2G&GfI)>a=i#yu`8q3uqS zsB)W>4w8C;a$DKY-8?KPJyRugG3hsoqj&8$9OOpPap^-kY#AsxJteO$rGvbiizT7W zy~uf>)~B7n71@`rxVaP*BWsfbYxZ+@Wc(lU-aH)ZwQc_vB}qhRP>PBuQbbAZNTpDw z(mt1Vh-_QHJ@9+2bdLG+W8!ng2b#Z*p z^E~!r|Ln7Ls?7ebNc}2ioJ>E4R0_|uc8wl%I^aaQ^$RrFahkfhN}`^}%w1gLEvj$P zp|+(N6`QlZr|4`&X^Ga;2eoHW{7S~L)ZYa~s#d-D$&146p=Ub#7)UNK&x;4b>c~?J z4c`x~?lcD-cW#c4cuDh!7UU5WBAn+WkF#V~}p|I!s)P(;XB)A{bmUvM$1cWU?0hsWxznby5z zy{H=VXS}o$K5uHQyH8sXUjFq80V5d%Z0+qjw2v17txI+jK8py{eSBxjU3#K7Q{*# zA3Z^4lP`u?th0fKh4%3-??>=v)s6nOcRPHA*1p?I_WFJc;f0osH;50RBH!#CVLp9x z9bq;nI)9M`OJBCon7bl)i*TaqF1?P#@R*IXw#%ONen&g(&P?GJLSQ0$mSXqZ*Uf>)DR30i2|G*E$+?6(TKEg|q#x8uM1ZyaM(GeIGFOng&HP6o0+-J`AN1dc zployR(5aKeA2C1jtAykR3@2o5kt2N_Lq^kvn}ka^bFie{#}lFVwp5?pNuK8yFWw-L zWa1~NG~Hf7daKVDe{R}njqnrK=I<;0d$#BIy8l<@lRf|c_}Xt7JjU-%a?R?x4oR5) zAbBi~cX#ug*F#CbIiA4c9u%$FU#%Oy9R6P3en7Q>ZDhUOZK0@cCsF0UM zQDiEfg&fl_Ih95v2R5UiOPoI*nY(*uFCGp=`m5&!iS^@1tC~mTQ%9uMiqq{`NjN_J zY?t?()u^n$E?c^he==RR-cH zKKn>@%E^)Bx^Cy`iBUrSP@QnWlne3rD8#6UdLp}2yExOk8CjgEZ}O;~BBN#GUWx8i zq{l8^2$+;4eEbByjZ=gtl=;)SLRt$c&%azWJxS*MSc29BR8^sE$57%1Z3{Hy2Po01 z7o*xPyLV)MX$(T~GQQ^TDkVP$ z{n1F#P88Bz;*cmmhx}u>IcvCf$Iwh8 zWBy?H<#qhXpw!hI{z66O4i&;2NVZsK3rAE4(>gSDL~+kB$fMp)_c+Zs+W zfr>YlCtZ|HQRZoQH(Kd3N-7^zz2vh(@o6gi17n&fVs3uRT>J@zjLoa~S=dl;aQMJW z4?E-ybB(11^&;2Zq?}RG5;=Hx~S>iO2GmX;!AM5^+zxDkcTvd@69E5f1`Sse$|WUIZY3<5h{6|l^Fy4xd} z$Xvslh{p!!VSd0s{h5+JEcVA=ER_p{B}-m4^Wrir$3(xr;W`bgBufAB>JPA%rE5@5 zqJ{N4-Sd2jw_#)attc%t23m^ysUM5%fZn&4!NyXnVZ=O~qnxlBcQl)yUEd{&yUf?$ z+ABQ5z378iaw>gc`pe;@S_GM=5N{XM7_EebCg(98OD0(AcebgH?|{|Ss2=q*{;(EO zt8elA1?&0UcI(OgY% z9>Df(@Oh7%_ps;k@}i7<1P5+4zh^s0UO?WF?K`_R!jb24#Cw%>aEz>3mpo2-rW99X zcIdBz)3xm##m7FAK2m^&WkKlg+W)K9_h;b`Q2fE@mXB}!myge4XE$xetH-n!8@g%# znC$~UT?mipZQT8`8qqx)`-6C%Al|i}zND5PiQ0!nvdqsQ zY3P)Q&-@vr2sDi!{7Q0FZqREj-1|WCXROD4xXF62wL8IP*_m+b(jO^1vJhYShhOK| zf^)^+ebtfp`f^EoVIY##lnpr-OOR5MzLB#;4yo^*?oWDXA)VqRo1+yi(tipE z3VdQmMnB!os}tOWUl-*R<2FVf_grZ6DpBHVUmoiIPPj6mDIDs8UdUnFzoDeR8zD`H z_>wkGA~N$;jqLlci2b_GO`^&d39qM0&m3(;QsC-iKb-=Qvhnyf`5eL{GE-Y$a&kM; zin&@JJ|OE?SDn=dnF(Zciz(my*@Dapw@oJ0LCEsx@_jnI4cSuKZ28%N$o{gWbH>jX zIc|lGX}5Ke%c%HZQ2iXjW^USex9mrBTV~t&XZ}R9+^gT@CyK;{%uq=-DkN8anh}*M zMe5n0psOE~$m6~U*{VtW^gnxSL_Lg<$+5uv?%V>h_9k@}=aAQxO;a?uKL^?0oNZ6> z3n8bRPUhDhCgf@#%~|K*ggokJc{Mq4$P175wY1cRX{Btavrp? zsXlx|a+7{t=S=HIUdrM@l_S;2zq@?u%1$#BZ0zLa$^3=_{n0KiwhM%_!#3jTKCt; zzq(~`-@}I}I3M_Q*U)AZyuJK$;>86N>INHcqO(Wg+qBndL4>!cSSO*8KY^n1Hvun2 z33rg0`pJ0AD2jEQUtjPK{$2Zj@A>~)_5WAY{^J(P>HX}Fh`#@`_(KpE(f5zj2+F)9 z`u@uqYvL!*Zf^@%vyEgt1|5DQy#Fau6g-}t>83zprB<)<>Me*DNbaH}8CKEhOgGMV z??6Q6oRfxJ3&OUZ+P7UU8Nm~U_de_ClJlCdw5(tY0+i&aF7vL1Z`mc=ed{4Twb$^o#^{Zv7BKcMBh&ve4W&UGFwT3Q>+<8-=ExlP=67*QPI=ZU3W;0 zS#8bN%tva04#jak0VI8W^su1C3ki8=l*GT3AT}oR*a~|CMBSm)mi$0+_^NlYyiXB8 zs0OO032Qv~s=v8_)F1+bwfqLiTwefXjgf0T5Tcv{?9GbtWODpGoi_$U?Y;JDaEfyNFy<9euR3n9^y|3c<9WM zYxneZyIV?2h}=p!b%W#phGjVH(0w_K5Z9)>sI55&N|$*{wW$Yzl=~~4JM4+RzvrV` zssYjWukYb0T_XB^RMGM+Bb3l7?kOzVNA&&jc0Zms$hqTfe|CK~GU6Ye2qP@7)R52C zZ8Hu?-tg)9P2vMc_?4MV8*7I+1DO{4fHFjD9I@$Qtw%(YazDQ{;RRZ`zc@8~pZGf5 zb`E-MLr~GrMXKyEqVL}<6rXoR zVpb0%Nzwz6ZV$F?zgmrOMX_PKFQngc<)T~;i}vr@|97wN$X`GZg=045TVOUd7vNRs6gWa_f$s!dWIC!p|T)Srj9CNrhnUB7LQ)YG9-3`Cs>?7uo z{)&$1y~19(LyW{v{^`JlJ3er2&DIRxL~_*cw9&CjUm`m9FID%uGjLDwurrd9f`_1S z|GH>uc+|MO7rea$Px0GsY}<~(oXukPsoM)!>ow5$X=lT(T-`DzlxS^5v5(DMRN?rX z{JQ$>;q)|{{!FC<@zO@7HNDVn3dQp{2=(r$=nGbWx-kumqTU4#uDT+H-p7@qdco%`<{g!!af&;(x(Y-mn#ueeQg z?qfEuHKH)b z3wj01;~5Knk|e{7_Cv|h``K`yPkSdPK{Uq8hN~xupTNn&+jJ=27S86=tJrbT;+DSYiKX({S{RI&b*m;cv@o)x*df50BvBRa~B-1u90uG zQG!#v_^wX#Aviy3xG5u*TIE4+eQ+kiWIZ@b2tWh2={;P)H?jwKmy4RWAI|bn;V6#{5gvZHf-a4t0pX^LC|MtCfX7RwVA}`@cp6Se^{m+k&!r+6_K|3!bFb*k zqPzhMd3h@1W9MMg=jXEhaT8%@AYDJ?8yo{t(rbAs;nc8@D$Cvn=XN0(&yz3UQsXQw zUqP4-Ve$7AvIXFFL&}=9(g*HrJkRe;1;M>_cwI5IH$3hNf1lPT{9$IbE3f7q;F*)a z=@4%Muj5Tt4!$+;YIRh2tB?Xqfn%A%g0*DMeFaT;{bM*7CcS=7BLl}CWODIk!c)cClB#=-1``$K1 z!Y4A}!?&$CSd3(NwCMY&bP)f3{o{D`QHjQ$k?|Un- zN#~IBtWt~}d-r!_I2)zKG8dA$dinYL!-PkhLudO-oEa%@2ZGjl3nSU_dz}aGQzS)9 zR!WdPbmGHv<<|~TBavGDs0qtSqVFeBhh=Oe`o7-F>DSSys5#t0CFq2bwEZFvrzKHD zX*%;T@GuH?6t*YqQA4iA$KFDZYLcrLm>c0u&h;qoG!vLWdXBDg$op z>EhUYiV-Qy0jqPrkUZdB3XMGdQ%I88(`c7tibPkXtNU*U5Pg57|DZ02zHjzDM|?jj z({J${(oaRH38ne^uYTm5=xM4za&-!tR0gTdg^(9;CpkkT4LO2W&xEi?BTJ&_xsVBv z5!>k5qe*zhLd_lz(lU_xLzq_OgDX1`FF?|)H_me3&j{$Tk*-|MK|8O&0&QWzDkoQ*43-l5bw z`m&_@bE0=}?$hz2LSa;@)fG-b!pVEpvU;u=d1p^~*>N-@N5}f%hP$Ni&_ZS0vgs5u z)%aqwzZxK8%RQfXQ>f!;HPQDQjmtX- zM}c>Dtcc@YRBN~k4ZcV~1)IdN>x5faTDI~0Lux-153oo-k0Lp|*Hk}-0`I0>F ziMn$}moOpyw2tO^RqTWRWKC>$E)bALdw=7TjR=(FW637_gut$3_XlPB5v0r=+VON4 zK^=t`?{Cv3`RR3opY0M6oM&dal9l-OSxTFVedx$}Pbfw#LLDJ59MADSsfCM%){OqD zM{xhKVUt}w$@0od{2nW737<~yH5<3P!7tK6!Yb|w0+_a*+*|LDfO;=Ui7lK6R7>Zy z60SwyXNmFJq;Le8P(8`onuVax{joNb5(vI|Jg{-n8^K*?x9b}iAms4tlf1fI2#F~W zsQJ7XA&YVht2C+MN+Um7$9*3jx{p3-_BX+MRp{%&yPM(5AxqD;D*=Av?3X@7pGLsp zqBW6MjSw*Y;Gt4r6arm;Dllw$h#=PQl*Uwz2#S^1KlIoF!CR`HoNqNka6spydUZa8 zP=s$03$sIr-ut}T3(E-Uvi6JL{ScuCdgDfiSHX>@Hf_B3IXt<~4y~5bhj;8`JhM7^ z{LEtN&N2r0>wH{W^N#rFEgXXav}O^=J*cg`*Asz{#A@!kPax=Wztmc$aRiNQTzSTM z0>SEK^`EQh5d4a1KBOuXA+n;C9c6w9shFqtB(qVWI~Kj0AClYvmyYii2IOq?v^{5q z4#^Vpx%o7qR+_x-m4mCf+2MCz^wPUd(w`CGu{`=S4*}l`<3285LtrY``s8hu2s+6> zweubE2fTFM)l9nr!5RmP^vO(7@L1gw5qDLD+`RezW}_%VdY2Lh23{ak`Wj!eOEyAF z*d=Z?+aQds@pA1KLwJ4M8$LwxAbq(vYCZZwxB=TKC8T^R5MVg&WRf_Ez$0SC#yar` zoLckFi{?0jVsvDUaxWqH=uRQ4Tz>NVU8`ojLX*rfG}gR-{|O-@0(%n3tXQbJt}j=2 z215JybL{5Xg)sRF#V;O*5!P^Jb@&t`!uhvN1hl>XUHiX#eSc5({4c2emcf=wJc}Pu z*X{XY_B}r;oaULM))=ECaB~gve1=XIG zV@iU^%R9`U8OVqnt82?09Z|^2y{I+uoB^4<1&Se$`H(*JT5gi=2=S})_fwp3Kx$*s z^Arcdi5bq&x@F))bah1!>Qr7Ns}BBJ7`TO2PN~_D?Nx+T8M6>7k&mifD~&lOmr=T4 z9{Jt23B|HS@=i>LP^hst|3d0zQRJU`Qo?OW z_&u`BhRHV%l3ZZ}hM+ri$U4+MD|fMo=;>y|pK_v+J{&LdwSnmS;~YPP-JOv}9hG;O zP7SG|?_272w4gns;9Vq}I2z~H)(*zBp|(tPzIbE2U>@h6-IGKw5ME{e`4OitTpYSGl0bdOW20`>OFs-Jk4QL|&G z(gvzNRE$zFG)D)b%!e)P^VAEJ)C5)Z3cpA3nQ0%o8zfhE;mmEWStJT+)8KtyY~OR?jI2N zOW^;T$ESYN$V~H3MmKF3S>)FXeu`|V@d=}>3uY1l?o5Mncfw%bMQCM`x?6OVR1xvO3pUGY}upHPU;Ve~#oARrl zOr-vV);O~yqp&LU`W$`~EoQ^;!o2z#)^r$G?xB;H?u7}*c6OEV0^HTOx_D@r1@}A- zoK*BY2-AR4--m= zNkhwEm76Z^(Mi)Q(T>CPaM*ceYr+pW{giLBm^{pn_MNJ~KnaUYc`F8QPr_nYQbzLA z2rNS)zj^)G4y(h*e7H9G!>ZL(Q1kvZSS#p!l-WWD&AZAfjU1fN9TS@MOZ)TQJ>0+Z0Ut?PeD>$_3&#!Q5y?cXiz=Sm1OVXD(Z*~)(x0DR69O0z(s7*^+ zQWL*-^~DIT2xPC?lj&_qk8F=HXH{)7bL+La#A+=+BAl(MBpZDZ(_GxIOecYa6Y8z0 z-_4QubrY4dAT5%Oj$OPmsf?6IA!m;I+(qiI3+L>}tY|v(+y0=BV#r|dykR#@jf}}< z_eDK6WY$Qli_j5{&0Q&XYEaHJVv%E?Ky$zXyj1SQ>d!=BPX80K`!b3Mc3e3z@W$P~HXJrJBq_=#H`42=jU;Gko)kvZYnuT=~0d#sO~PVEim zbb83Of9Ju#^Lko5YS0~-habL<5O+Y9AI;khH~WzN;LV}dgFMLTcRZ^2{Ty;% zI!Ca5;z8bh#wPUwU*!85%za@|BtC>jexoCqD6pcp*rN9t1&^%iPVjOe>4u(+6>~XK z>ikT_T4s?p<=^#FtN|I{%L;nOt|F^r>0wy<8Ir|*M%+^PKJr#qv~YLrA#(#66UPZh zus~I~&_+TC1(RZp%TdI)Z`P>nDpHTasV&dv=|oXpMuEh#u zcb}CBN}EG^7?-vezov-b_CXhjV<2SSxAH24MZ#VxBKcPOfNF6X{V;j%g)TbSOL}b<0$)0y*IZ@6}CWkb&WRZCMSZeCSjEytn~Ll9I2Fzu1X{4dyy) zr|1yl)-FOpa%dvWq@IsotVURGd(Pzo!s!dObmx_fKu|ox`zfjwwnJ`Q0O;%2keT6$9sK8tkyhM0R$#dWo5PvAb>Go?(||Co|I_Vi_vDF?tM>Xg7OZOJMU(E?ML+enphR8dpXEw zj@!>0qJu2`4<--d$XTj)ZCS}T2_(-AiCJ?HJv;XJ%U9GZ5HD|YpXcdo#86yOUC~JR z{JdtN464%zD|ceq=^sE|2fZ}jP*aj`cO$c!@hJkCQZ;V~Qxkpv4TWhbnQ49}p&!IS zhf0Qw$j=KVD50;C`4nS=f+9h&0gcbdF;`uEzlvm^rpdi8@=-x*^sELitr3#hZc`lF zFN4HYA#fm>rE#{kBhqrqh&H_@w(AldB3?+=^~P;Pm@n0Rl}zGq@U|;lQTGf%57iG| z*dT-UOCDDqQ#7D)i;v^?W z#?MayNdM(~sL7SE;m%$BkkEFKuvqVC9ibv#v7I-PT7*~-w-B^yK>H11w%^@Up&^dQ zc#3jX!kP=eP3N5=Adk?yDY2J2H~y~u|J?KcW##+7t@~a7^jsd(u}Hrnl8b9~qO#)5 zyJN6*SQd36j7tZG^VJLiqHx^viv6hfOE|I9&`5f%g46t%q3#AZI5#}HXzMUYSjz7! z1)Xf+y35q^winT$no7$1jfl5Z_3Xv~j$yccx)*KL90_-`14b&}zQO&cwA*RxOn6vc zqdviH12fb2{#?;1uuA?|L|=LdwlB_VU)yyA4k8@eHh8GRQT~+M#?vd{bm}OBn6ERO zIqwE6=6rzjM<&Cjuy1flaheZIA)Z>r1A8^Tl5EeJVBTqXlrB}bJS>IziBD@)9&y3?XnPI&J|Wnt$gv&dBAouae1?_Z zEa2!3URME<>EzgdfO@AR$pA0RWiP)07v9@-r@VFGGQK86=Pk)A2+O7ki6(jVM<@EG z&Q8ItFSKP&bS2yk-nO$eK8E{ZOOW6gVPm?j8M@W&2T$4)@fO=(!@_OYkG_W*Hiz~| zoLG#7J%7TxRr%d;=)KC5!1V{e;9KWlGGT?ktzy{qiZHt$9G4q%Duqix%I>Uj;-{Cl zHK&!#AbNWfU2r^Mf98w^jPUfqT`p#&7vnX!kJFiyn0|+c6_@OR4#F>3o&3{RxCNd8 z9L)l9!?1eV_xV!I1ngL&x{q{|`2v0Cb-J|maEzPT5TAaMFv_zduZqvXIb{bk4Hx-+ zyNw(6Q5e8g#j8ACnip0)738^gy`{C{+#v`9}9v(bA_n+xXz@uebUsE#i zaNqpkShDyXo^v7@xvNNz&n@vQW$i5NCJLWzU!;J;bD3CI7EL(O2OR2f)Q0oEFYX&+ z#o=;bL~*@Y1zb6o*wVk%z-{HKQ}>f*;nrI>vgx5b+!LbNj!u(#g6kpu9Ycgqzb~$Y+A8$AOPDZVSf7kx+J^x>YPxkwh zxpn`V-T9C6{+c}U`StvNW^xt$(`(;iLy0z(VIORU_)hD#@sXONv?z{lgkxHKyJyfk zIQ6Iax&)DIj)|;@)s%H`>8rrKh7q`yQa8PQ{RnQhoxS#Nso^ftEA(`M=mq2VJlB-G zhlj6^-MZWB;knnIvo`V-JR5HmG4qYVONn91G*$^-uaCWY^PZfG3%6u!x?-+N~z~y8Bf6)*`~JXw#;dUj;7;2DZH6lkf`WxNu7>5#Bqh zMM|0tbc5TBYqQPZPPmuSl)IG6lZ=WTPghhE?k-D*mx3b$JS#O8S+vP4 z&4sT|4<)L?>yxzavHC3HXJ<27q0|Sf*)R3`*?C~Ug8K6`lHkZ0Q*Sf&oLp%Exg+F{_K9Z>umnmZ$e2}9kEo4#DnDVZf-!D zwvf!!FxNh3h$Hh1LUBHw%J3Sx+STKp2X8CYwY!W-W(C9GzJtUQV{2oSYvyzv4j$1@ z-hBy#6P@(ga;zqWfUeNh8G4)YVOrw+iE zohf|DI2sNMCSD64M&M-kxr&o`wVhuyT(9Zthbx1~nX*?q;l^ivhJV=&?uW)+8*!7_ zp?z6w7j_U933F@vC;obPPX7AxfG|Y78ZYv93KCu4D=mV)!~i}r>q3(UuEBSumBhA6 zlJ{GlvPMvL3VxagO9zy?;lD0xrJHNq@7n+Op8t38|BtWzmNM^B?b|OktBbhJc4Vo4-J&+oj*KAxr|L!J zNT)k=tt|cxQfqRxJ@nL(67GJ7PNfma!9Twq_T7V|?3lrynMzi04R}dELylwsC9hfv;TY7dHIv(ljJ(*}6Ah1%eoCvyZiE$S zYr}Nns5T*GrfbrK_BoOljzsi`c_4XnmcFQh29jh2_CC@*h}LN>CiWIbG?)+i-C27a z)ho6sDopC5Eb&#*dQ&?TKUNcR%D<1oTAr~=_bC+cy{IgCu8iE*Bi}YPYLT2jAL*P= z+Q`~ivUj~y2H^;FH;MXZAYHCeY|ZQ#(zq%IDT)}7%I+w|?4FL4{dvQTGR#Q6xTPyB z>Mh#uD8FN07DQute5^yFIcj-o*_iJ|qQZMjy4PG7rA}YWvb*9@tniC9klh=F$t&82 zTNhApwwPWgbrbSb-*H4(I+EOm<#&oNKO$>TllAnKC&)C>)K{czMuvd3x|T!;(iy%l zg_M+&*JZ8O>!+k|vh7e}fSxrvoxg4_VQ)i|(^37nwT7tYo8HfVQWVwtb>X508&R=~ zaewG(!c(ZTuoWWwD%#+Ne%v4&m|_wSt7u`joY-646?23e_=f58(MN<=FS%jUXAWD0#CSiJhTu%^3@*JZ#K%9P z`IC}xUxMG) z$)>NbP7$5m)l9ik0RbcVy5Y-(2(;Uh-R?~M^K^6dtMo4sze23wfdfAgyskCnqWF0P zJH1FAC9}H0Q!UIvYBUJBsvpK+OZ*M>`aaKO39pXhz+=xiG6QVK^KJ)&0=#(qU&&>s z!$+NCZ@^O{_(@F_5Apwi|3|@<0S>_kDDYJ=OXf%5mAo8@{htx|J!Zdcm_CBsskcau zZz7NT)hwZ27{NaM95o8f2wAz%-S{XLA?5-D4g+oo89l*riDaaP%C`NO%KnAWLV3?` z)+8IvepxiFod!Pn&6gcD1>hHRv-zwFHv;x33^&W=AmDS3<~lw~1SULqb8lq^f=)e1 zIF&9<<{2oio_ZNdSg+O3cB@4rctMxRa%dMqY(DuU%kd**{*qdHH0ie(>OLsB!i>!ihh6kF&?BZo>is%9D%gK*j@271xS5EV>HMriInAq?X!jv zNIB%$ra8JA$+nZx>+^lky1P(MTJ{U-$1|6?4m+TVkLUE&$qOi3#2EV14{JduTxl2p-4~sLgXGd6ui5}F!weS`RYZ#m^Z{DS4TK;F7Yz5>nbx@ zr81GFEOPT!GZiv7P6=ud7D~p7?V&034M?Yn+dHxD8q#>yymvB=Md~%R)pLZM)t)ma zRJlxn#)T0cx_2$8t$$}J9oCFW0ku`FrUobzEDV>Gt0TR?(hCJmyHIrd@=QbjE);Gv zyk^Bq_91H-A8aN({yb?JABDQp$f?;ljc~%tx!Gr$Hy??tgGZ7+$ZkX?r@fU84arpH z8`VFhLUNSOPbwKqb)vKL*5NDDlxTWxe*T0c;W)S^HJI{#K@DFbhpojOR7@+C4$0G! zImd&yk9prfNxNM40m@JmU$LAYYScy%&+4@&+llv!>v4z71(F*e9nX5p;T7`Nt+Q8r z>5kme($kwhc_YVZK+<|W=@l9ntjT>#^nDXHtIDz1f7Skx>nE=NUiZ%t3*Vo-zQ22Y za!2?Hrdv)?O7_1px(oi|`Tbt^o2=fP&SSR5;K=kkN)-fzrXIwU-z5(`x|AaT;fw6O+$zJ&b-L(hgZ}{=C&7rbPB-Oe1Jh!hBT>>=ZJn*5jFPGDxodCTQM#$iiT5;_nLTo5RsQo}B=O*!)AJWd zFWy|*HbK0gyTl}NeGVZ%Nu^^~x)uuehlQVFv_X+%vi_?BDJb6ZXsoI^0mTm)E;1dIGS1qx zIm(zS<>jQ9kizcVL6Jp)%tD5b+nBVF$49;PaDP4uULVOZ-YY|LU_VtkOU$BJ?e63J zxn7hUO*#4W2sx8{+Pp&NSsh9(gY&=o38D1kzLdywb|^b7Tm5xaD9VCdV|l-j+UZiN zGS*Z^IhB?^Z!jg9*?D^W#}ZJka8&P>s5CJzNK8@=zC|u|;^0(uKMEe-%nUZ!ilWFM zU!HB-P(pJ^d~dZXN_lrMIZUaeY`sMV{~m3WJ-+O>mQfhxs)GH7QH3afI^I^eWfm2O zc3jF(D@29Mqm!?$$fDw*zJZGJO;jv)uLf%s$v)X?agNFnmFIumEZMt+ylrDT$u}>e zaLD%hrzrBg_P%x{T;nA5>|VdCroa zY4xgvk4Ez{sCMHVP2sUe^;cbsjm?axIi+UH9sdF~etTloPV1niWjyPZf;?&#p08(p zbOp7$D;Fl}$?MivaoYN8Dam5X6<$u+fokfe;V;C4T{9u}vR@`0_l-V$+8AJqy4-m2 zczIT`MntT}(oL{fD_Z6uo{=Df&O94)BzmVRvzKF23{1qMJ-wMFf!`6A5rXalJ zWsLG7>)gZc+@UcHB5I~;InC3mZ<-ZJ{QHe;k9WlhOb=V|N zKoY)QrjE=C(eQn|oa8hA5Wc^TP|18GYzUhU7x^2+Lv;0Y0R>$YoHfhZZoiCx>)Uya zx9f}HK5_J87uPI2T{k)$j*llkG>SLuPNMLB8PQuzF$AB&4vP(kx#4?VAmK=!0DM2i zD)f$P!0(1PAN9@-_|qk-T3uOfLxzHQ? zb0Bzia@g0MD-pb(*5vJ>7{YW@oatG30k0K3d}9}N;G0pMms3BA0Fyx(uA_uy-sRW7 zwN3*;)1nbK*uNq8Ed!6Bkv>8)+MBf8>=7zs$hF2b458gN?|9$8L6~^oU`(np!eaV) zdsXft?0v~WzwA2*=cP38x{!zP8w0|ACdLT&!>bWTe}tFBOtSGQ{a*L~+VlTW{Qqm# z{RiQX0yKURF24~g&l*~8%vfc6#$=m{Xf`Pk!5syRvf^ zO0TSk@o`W7{T1}MZLE60dr1xtzR4c!95p2Cre%_beg$$3XI1lb*CSQ=7w@|49we}B zv172eN5pq_?qf=1ZoIs=U2#?j{t*V2{GNjFj8-c*Z6%)C*&C^kVu|PY%rl?s9V=l| z>eQJr>In0BDnT8E54g8wpyr*j2#mj|B-?L10sWY-!>Qd&XwsSFF^!laGovNN9*!x< z)79-_Q4>JgmLkfZsnbZPp4)bmVH{DEWgoAcNJdCRwp-}AKm>Sm9#NxxwCT12?gAFlcZEQ;|jxL+A?L{ z@n~8Qjkco|MY;Oj0{N1!$giZIA0hpUbe`$e-0nF@j5}(5HYEhn_W1!09Rnn1j+y&j zk}m?IRaUC78WOHzRP|I_4%~foWi=*U;cTZMHd8?K60se}J+kv)&A+GLo3j9BFFSW$ znVrU6>9yz63&{MQ;?`AdXXnuT;FI;$HW^d|YtY^<4MjokYJ-a6EMz=VuDu<07)igZ z@5!!yjM!&6n^Suv5#A@ov8+&q;B!07Zhe=4f9MmNY+6fr(TDK59F&4K3;TL_GzYDHYcz{4CA z(etl0jVU9Hm%W$UEk+r@BZ2A`+E=n zPucS)vm7vuJtTrm!P!_d#wp}&uzNtCL2_27@-lR`6CSEzsQ27ZA&Mhf7k5(%qr~ZB ze_<2JMHRD)8uoaM(yqnc;M@h2NsmMZU(!Wc);o*EIdPON=<%?yjHCScMa^qoZ&7X* z=h_+(fbuljkZKx!ly}?-EyN0>Sj%<~{$wF@a2XcHPaYuOGtX{LI~s*W!r$!z*ibC= zNf{AVu< zy+DPQ&cPp=q%YlhVq(`bZB%j{38<{3M5STjdp|8DRA&F$-b(UfDu*8Km3l^jDmsQZ zcTvKPJHSKn_*Wq5-rn5rU2@CrZD3SH+18HpDw4=xgU5?-e&UbRUIDm#|ga@(#M0J zJ+ry{(tp?f?_S@(DxXaCk=p*BUi%LUwFfE+ccHZEZMsMY$%--;`Mj-#cwn+NJL`R9 zMM74}RijxCL>bkb$i8lhQ1eXz^d#pdup#T#SvfWMK7U$0+gwU?^ykao+Zf>bI`Qt= zXU0UAuehQIH8>RCzR9gw4O_2JTShX|VWl|4nxLl!^XQ9O$CHSMgQ1}@ij5Iv$Gu+& zvt2>niIr4zq-@6B6ou0Ywxl}b5<>+t`O_vY_V_wE0k#g3x2|qT@B%mzPNrY=_Jt5a(lUl*j{q>F)Ns z^EttX^073Zbrw2A_Uk`XN`czJ?R|IH=b+3E&#`8Lv{5d1OG0^3W+&rP@m^CqNW*?` z4J`G86waW|W(VZEyE=M$rsopU^IgNLsd7SGn9O+CL34=mP5|cj*P#$+<@=4DK^ zp%7=R^W?@zJ4CfiONlX9KzQq$oo23RmN|Vr;M^tTN5ErN*mQau1Zfo*o0kB_rC1kUY#s|d2nC&Hx@|agU$q&^*=qj_5RzV`=562V=MWs z22~EDXDHb=gHHmIze@1(FEz-J^*-l1*b9{R0aLUBJwUZdEoEezfvj5Ni~c1DKOZZ2 z-gr(3a>gFxS<(^TyTQlKMiu$6`klU(e}4fPUkFOCE^;FOx~QiLC9zPTIP8}4$`T54 z{0=03sD#4pog615=>S)8f1dEY1_+y*Y(LVKfE<%kwJfN0(HJkY)3rvSQfb?bSC8Da(KgskuSX$_k3LbDWvBQ<+IvExc@v}-ufm3 z6oiOy>!fT!-?u+KWb_LZdNHThUHJ}$qe|SaXqTCEEFNPid;n4-;+9S=?SphtJD#4~ zT|gnG#e1OqAF7qubw4ZQU-z9w*>_z8att$5pCAk{HzB$Zd`FRol@orOtS970zq3i- zA`S(H;)%N^5U+lhxw`JB3s9Kvoc*Ri7K&u_>{#DULs4#qPpsMs6f>97ei3T|e4l;E z)({OK3$@-Hx>ksE1P-CbZoWw8e>OWmcntab`%jdAo`;-3M$>^OLy)^rS{^HC0Qqt( zCzN`*p+HA?zlIDq@&##Lm>#&(6#<@q?a$*$*gvB_XL!L z-({x{M83M;rktjH+<`2tft_|W0*bnq^6fJhfm%iCh`)>S4kt?dx_|US?$bmaVM}hv z56`-zmY@ZNjKhSL_68_2%@=g+7J}lKUzIVFRw&;u(V&ph3#DGagriO%|2+QI)$b$Y zQ08SH(AL`vWgU`HD`*xhr)O$(HZFnkvmRnUk*+RF`r%#H{S}b&joG;)tSg}doxh)hb&A%Te)S+B~*2R7OC0dBDA}Xb}#{=minOI)@I0IE_G>7x6CVtoc zU%b9Q3;+MFZQG?OdfP8k^vN(U1Skp5HsgWcH;V;&s{h zO0lZ;L5PpOqoBoC2ovWV{ZXxqIHq`LSsmi< zRFIP*w?V>n{&7hYHAt8=C63Mz0At|1qXX3nrZuH`ROu^Vxi9JaOfU|tS!OBd(_9z{dKQTgnrz6_8sSmz{jzXdSn>f=(#ivu%$?u^~88o-{r*Uxff z1hCo5syAAE0J~}zw`V60;7;35eUj~gyOS8j23#%#@8r#1SaE|;nZ1WJHu4eo_}*dL zq_fBm=IHXR$g>cWCG&X6?-aygXB(SycR_++OmXtnY_xBWZ)oPu0!&okHkQO~faT%$ zdKSDF>C9_m&$R^sj)`T9veF*FImzDRA3(VUt&6z@R<9v(=j#vaT&EzA*L^6+$^}B| z7!{-2-yqK~=gy~6))3h%<-TVG@zV^=gj=J6Auj#H$>IQWNVr(Y`fl5Iz{v0Blh#1} z*E%kH9(jag3rS~6HqjieW~|N`ObfW)^Cx%oARfgTn@anVHb~5^BYj@)f}|~MCgSX~ zkfh75I<0gWLSkc_L`IOum{dtZ$G%C3x*Mq<{}N%Ao_OuH96jWlkh0~FSPfv*EPZ)P zP676+;h{!kR)SMo_%fo8d=U2Ec5A#<0*OOS@80l)LX!8(V^>I-kj&7e=v=uAlEcTO z3J$omP6FEPa8> z{Hs8IAI~J%zZ+6SR@ljs<%p|6=?(p8r}Z6z1hnO`x9h;K;yd%V%kQB>DZQyv{SnmBx_EFbbV9`r`*WPG2chu$ z4+mxf;t2#TZ>n5eg-rk4Wn=d;NE@&_5*88%DWBA~9(&ylNh?)dr*|WbN=>fzeJt|U z*z)v|>8&A%_ID_Hvhz0L@mDMtxjlz_Zr*z-0uLallJ-d2?ldhT?5o-m9%64U_Tg$};gh;ssI}eYvV3gO29>T`n$2T`d%# zBicgp81dkWfezvjxfY3as6s-K^{?5_vk;T>t@xoR^6)BK>X2tu#42?e&HygAR- z#i_-;NNf5)E^JK*I!SWw4r;5&ujl1#;@cLejAxNgM}D{^E?kZZ3rmm}!^!z>6Y(Py zu=`ZzQEcez+}r!jn}K9^xFE(;=N$Kp*aLw-w0tcVe4(pwA=BU`Gc+dOor~6a2vx&J__u@y zLYbPZwpt_FgX^Tc6l4{I>8C*#L-?n5*WT~zE*F9?qo zd2G!e3-|6Q!^Wfj-?jfAJ^!Cr-@mgqo#O)-l-)xa&JjWx9R79V|M`dWe`j(2(|Ue< zJioyHMN=UEq;njn83ao49@#`)5>VFi>ZaS7JQfN?eV;gNtdXy6WlVYyj~A5q3H80V+6twT3&n>^mZ0>p-A|_s z7bugMdtKR|0cEyIQ>#~-fzbHi0QcieNEg~O*&b2(y-2sK7Va5$MvrzP8^{O<& z_)5-v9XJ_P52X=I(^KtbPJknv72)86BV^j(_G;CCd69$XN=`U4X2$cVD8GA|dzD2AB3y4Dz5&!B!XKmj$ zPoO4TC^hH{|3dz5E zPdq$}GM??RUydN}CaltPR_$Mi18YfRajJhFVpAhhRZRIIYV49N5oKvbc#li57z;or zovIu+AHv-YXSBa57C@!ew}i0QAyDGA*na_&5BYQktq7?`;swVCN~{0`PAfr1ceHP|Gbb@)Zvsw~?)68s$G{waEt>fHA;b&E_sZsm zLX76u;<)Db5ZQOGrVRN7bq<=--0t5F4H%yvo5(M(N=;Mv9mN;QcJa=d${Hf=uKSx7 zGYQC1FN>N&zIap)=l;BJ8jyigE0IWB2J&8(ZDkBBkW%H+^CayxB)^@vJP^nai9sya z0;+rg>-{u3lMoCE4FXP{8z~UyjJLkMh%`NYwcn(t4?)-33x|5Ne`&g5f-=x| zH%m-pK)K#}K$E!$6j66EihFk>k97ToBHLY%HO8>x=e`BVl%(4^?86HgG|_$~mGVHc z?&hq0;tDBdW`p^!`62m<*uD2|<&a1|Y1JX(3E2B`lFSY}0ke;dMtWLe}2LRxNz2B%af$k25Ek+D+`$mi1NB(DiVs?S5c0lH^E*mIB$A6wLhWU@`JiB_aK}-6H*svR)HK} z$H;f51L?(u_}J_1(0#eSc?I#MD2JD-9IL~DGWf#fP@@85IzFKd^I}3e_wem%m<*sg zH?z+g@dEWty4KRnAo2i_>U}7}3bBF;hqblQoP6--GsA#`kR)zX+bvfE_~#FdIkk-; zRiUL*%|;nWW|jRE@yC#ML!RhP$wj*TpFZ+lDUdN8K<~R>8z@wB{o!AiAXE3%N}9wp zWPV)F$G+_bs#nN!wPf`7>M>lu)3(K;u*w+*sC z)!Ue5VIaqznSA?p5ahg?=M#*ipqxU3b0J;2$Zz1p7}t?BG|N2HN;$~{Rb%u)habcYMVg5lt+(3xH=FSWIudlmV~rqv+Pae zhnTU%yr(w}`6Kwd(Rs@B1NGwv4t0M;$Ug1Y^y3@yOmY8l_R$M>$i>WU^D$9?JiLg| z#ZxF7E;MP=>0%`mnDBN-Zhs4f2iwb8t&o@53&LkNE=4Hv@=UU{aED@s+L*iJAqb-^ z{@~CV@VoZ^!utL!{Lz0^xZYnozCVn)|2h!<;&Q-ZF^zZ zm=B3JhpPJ&&O+jksoayQuaP(A9#x05Mo6Z?)UpXlL$Y(WnV-Kp1W}mZ2ebp)fwda? zc~w+>}%KKZ6Iw+Q$xN#CA#BOj=igjey5kAdK1Qt72^ z04WUl3XjvgAqC&RMWp!yq_WTGcIU?+Z_*9V9K&l6e`c9+r-VIVt`-N0xo#lORl2pr z0ZT}*4uq@G={u@#X3qA0@^ zEJpQ@4(((~Ib$%8;PUi%o;f%Y1!AG4+QI6+#-o~6|bFMikl-#!1IMgD)) z{=as7e|=8#`xy8iQ2QOCF1a&mc&IbTjwDIXKt<)pGs!QIr&Q;q2Nr%($a`8rX2M1a zvU(zp#@==S%H!feezsglYZ+fxxvL4OO~v`1-b;YL(a0Nmk_PGXBuD-8^#JqB&ygm} z6XL5bH!~SCBER%l)xL71>#ysdNOGEl&-A+Gie2uAm(l*Us|m6qI2V z_^K-Y4jxDq14d+}Q;@<^PIu{x8tN_I9Tw1*x1-h+6fZ6u;l1OAV#O+jaaM^zW zqTxwlvR_HBl(*Svs{|==n!3Qt{iv z8IT)v{WX{6F~|};iqF$xL|JK%2h?v2Lz>#^<7W*=Ak`J8@0k7t@UrZ_UB8TxPcDmG z#*8`A+vP*=^Oq1`8+WQ_G74fC56`m5ZGnhqCc~9ow$N=9vC+??4b7rMC&mvIKur{z zb$F;PRO}i~zd2n9#fu&&K+6pB^*LB+ufK)tIyP(JbQQ=%yg%&756IA8V$+x51X7~b z7t;gV&~r$*(TVmY$qf3M7Oo{|zj2%TE?EmOVh1>FJ~cty%!2<-Tq?*v;a7fa55-KXV2e1R1`(3|; zqdS9MspmBt#NOK}OG`BTUHkvh^Z(83EBh;(gMQGFfxg?3fhN(B!3muTES`U6cj*0h zF3&%$=f_FO(vJP20Di>F>ZYSS5DVijB_EB2w6ELx?6oB!7$2~ZM9-bAur%dO)sqi z^Qs@*&} z-3#+%Ap5Rpao6xchC?g!(@^0fCF%t}1AkX^4`qk2I$Uhw8bM~S$tAS~|2H>X`t$m4Z=+D;t` zbJOH}E~_EGzSu3Da36?153F;&&O-X-RW|V>b3ifWsrdCa88Y9qk!KexAWK_jbRi1m zASPhEhB&T5&V5Riw>m%McCHte1~5ZjQ`)Q)%B#swdj(gP1rbirewjpX2!-NekCyhd zAiZJPmcHwTP~?`-5pF1r_897==8GGU`lco4oM1Af9~IwbmBRv*hzP42M!`VUsEIBZ z?nL|o=FY(|U6y6ENv1<3*ZFWP6+U^Sm94%1tsdUnkgBf9z#I3cb5s%_p0DdE8FHl{2 zrK9Fhu7SCu)zyY)kb7ugju~T&PP^!yi8mMFd z1xBk!X78VeoCcbRt~E2Deh7Qaudf4?oSZKM;RBE!X9me96Ml-m}vs9f$gK3Aw<8oOhcv==mxbTCJs-GHj*bs16laDduoZ=hP1ag<;g|k^f0ZtE}!Z%P>P(rYqG9tCEnS&*66e;5^_D>#ctnAAuxw zlg(QSD}YP6dCh!U1o0iBxMpm5q03&`EijOP^leu5dh`rXJ$^vCViRRbw(m`7G-ZYo z8vEyi8cUG>>0`f;6`G?TN~w=)S0XL4QWQ-t@*?5vEm_Su1{s&+h4$U@LAiitGrJ2$ zA@!H^QLZ2rAk?twb(7Kjb{Ye+?cm<8}`W zr9&-2?*Jd_|0>VW&$N`(L79%r<7B3%P;`b*ETAS4@%n)=!UBz7f}jYCP$3M4)24yPyRhY3J;-L=T$~=9V=7_Yg+E1b|P-ik04c=J5V@1 zpG7u9nXezCc+9vYA;QycV?cQCHllLu!SCAt_q{LoH?L1xcaZ)MpI&FvA$r2bOL}LmA^JbC zJ{GxOwSNo+3ICnV@lU(=!(IffMx3vK7&~;wpgB7s;0lLa;~B)Qu$w<+=LLx>uDPMs z36M1Pl^j&1fb>wRf@WE%KxmPO?{g7D``sTm-8GtkcvL3#^6^|CX}0+nBCjs8R+x13 zFLp>f?lI}8n+xfzS-Mq44v;>!l|VYLf^gYY=LdQd5Z?ACLw36g#HwjdKN~~(vxS68 zbB*mtzs0cn^8p?bTeq{;2AV>$?FsAl!>0iMS-ItxBFa|0eoDz<>q$t>S#H|Lhq6G& z&b@m~3I)>7+dQ9j{n$a5MZ z1q|h~RqP=y;Yv|m3gXP*FMHaJW&$n~W1f9S5t95AB*Y95hgCXJfAxzr5ZapClwJHF zRdIgjiLMbKV)C_J(vZLKz$=*$?^{4#*GhJ+kcV{U6`^tN(~z39Owww6g@P-BH?u_HBC%>YzNvZlEt_Bhx>kS7oXrY?Dta$Z< zKM=foTAg@DAeHBAL`AZ8uc^qN3(Ec5A2=R$QzGYN53nwf|6q5Z_rUgCHF0FAbxY2&CszMuxT*uYHx!wON^Bl z7McMszURRqMQcbo+;#j;Knf6-A^j`;`)xevc@{g! z**!yj+Li2K5i8`~xzP5qZ^wCr+2JkL=v5E~YO&1i9|qLB<5@|~wm_XfwEi?83KAdg zQ8N^f1H3s-{zc(9qzv18FPrBBNpOp*vJ1-8)S~R?#UdPEEG_hWwH#0mEDb9n9|OuS zS8&s*gUo0B8?0C!peDbTn5w%DSr=})3mj#Dtd(v~UgQ~;jpMDAy~hkWf|?{4eFQnV z-5(#K{Nh}}sOd{U^Sk!{!utL!{Pq8Uu$CQ8x4XBdrh3`+uDc=*NF1 z))!E)<=Lo@I)s#cqbva%gpI{CEjNuqB&olMcpx5P*sjn_eQSWYE3Xo{kq#~4=wli6 zqltgaZ$oZH(tu^etyfvx2kh8B(wq(Num^s!Hm*#XO{a>KmE z9dHM6+h;BXKqBuUf_Y3jB+;L-{Z7z?q>0t3TiBD3TyR-YGQ$J#mi}Qk)24y2o1vml z$PNgFYurlT-67=^T{Q$PKp3}$Ww(qD@)cyv-+AsU#QeGuY@fXs5@_}hsU+LzUg5mCumODLfo~0p;B6{TBZfHxBrB(=B9>x zko0D48}KOSXWv%Qs7okk$1Bg_wHzdCN~whh3j&_F_hR9|Js=E?G&~)C3n^=LFYN^$ z1Cd!d;d195ATgz0+B|^npzkrXb@2rQ znzwQ;B?ockAm2Hw(M;Mch!e7{zJX@HBc$!xq&*rt2dM?Bk4{D(1ALR-@b?d>r<3yj z*vm=;?3dBiEbbUcSPj{6;Y$L<-9D`K`1VJLzC@~bXg!2@b^P*J_Z?6lZ+E8pxh_IA$G^|d`$Junj>jRBT&U{5!~4mk9Pv!u$zscaP$Ee6jD7zF=|ZaH z_&G}Q+DT>`A9Jn=&2_uu#afAsu+V|@vK`SSdSUmv>SS(N`q1oZytzC8(F zgcZF|c0^*g=FfPH1mItFO<$qn5N?c5P@L8RQUg1=_k1~|_0R{OoREi%E60<^7!b!- znw%QCih9ZQvpUUXeUMpq?!iEE3s7y}O*!Awg{+<9`fqQ$Ko&Ke&5QI1?X`ykKkfbu z*;Q`&mL`>uBPH}KxN!k6k?gW7vq@M+a|4zjlW#=jq$G zXl)QD=ST=$Y8t}kK9`-ITt&U#ONC+jJCH-E@^qn10j%SzF`)-4s2`y{sU8{wDS~4} zHw*_5J68s}XyPG_|C?g2E$Y<;M1>aA(Hwc;nkBu|WysvJ?7XEv7BXK@f1bS{1JpFL zuCZU6kfpCWk=&{a*|dsm{tQ63EIs@r#`AS^P0Y9X`b5ab@dR}p1=NM0ILY_KfzWg-G#jDENHF9qZQaS9%Z^^+xX20-iP*@+*dpgGK$SOeLud>nJ zxdNFg<88-}qyqKg&B`8W2ZTMY9=U(w9{Rp3U0;#sNe;_pXJ+m($QdoTsuj8ea#JEY zag)xFr$zJm#zF(iOxd{Kv!Vz2F~X~vw=$uC=b}fxp*4{7ZJpUx-$Hu)@%VYZ7NDFR zQ91C-0Wv8qBwvGbD8uwo;@B$}$mUQHcW#o0oTHykf8KD0+*9Mzyegj{Pj2Mog4A)y z=Q$O>{QM%q9vP_jy``X_?JGu;f``Ij>aAptV^Ac&FrC=;4T@gx(2g6MhhpCrBZGuP zzia>Zz5jP*qj~;+dhK^$>Z#t|@1fqcm6k^a>E!9#cy@o^3uR8J9ud-3P{bUtC(4}w zdC`8j?;?ee{VWZ)!-NH>?q14jQG06*ACp{PG49X&mX+wJA#^ zPbgbvpPKIHgQ6cA;o6I(kZ(9d43i9ooM;BZIi2T_rN(nz^XzHJG~>VYZWD2QI-S!! z4a6X=ODyI@w*ioh?N6rfl!sI$zG1(UIY2NdP4+@Bb8*5Xv-xro~*HoQ`&aS!p z@9*QFQRN~=&+-@YrKN_d5k5hAu`~BPb0w4#{fyZ{G@d+olOAo-c=biqSr$ z`l)$UJnCTuu3pi>Z-cH{0Y3HS`_NP?WY)cB7;38T%xVVEK}8ka!L|!lP_{LXgVpaH z`aLY1 zY6JPGto0mK3y8cAcb+m#gp{*h?>@{b0zSE%qW{zsdbkgk@SvUIgRgV4q%?K7j~~%z z1R6*c>pEZ-ic8n2~emvr`y+sur}g(Q?j2T0G2u^_g$AiLv#ue;#ieB79P^QXLrCH$70H`WE)%ow2-h%_G+dffX;g;7 zc2n*ijgOFj-sn~dJ1gW$9(vbAe+06P93EBzQbXKZ=01D?ujmpan zNdM-kP(g|Z@~0_P&j)*d*Z#lnefht!zAt}idH%znpFR-X{C|I*@K4w9hT!(MU;TLk zB4pyv-*UPE(O++_zpy1k{Gow8v1lKS*&)PyeFrOG^>fD>d>jB5Ycp{DD#B=r2A|2i z{s~EStmCIuVj;QG{b|pu6M!dQobtiM0l_m%R-B;=QdDEhcpeQQ-I+fcw#q+*(nMd}e#E=pYOzS?*=sloIMK<)5nu@A3kI2Iu5S!W6=f-~& z61Bry~efq*L1ovQ6%K-^so z-h#qtAndv}at>)+Q-nlVh#k)%RlGjniS<^6$#rZ~g&Dbd)_iezgE;B-s}ycC+@!Qz(*BG>^xq2D}E;= zYouKh{P-R4<+ldf51)q=I?INn#odsqT4wW6=`|2thK_BE5dcy&`#$@Ar1`=wSHb~WpY8_#h1LBL8Q`fZAfvn9CgkklDw0x!c(+W~u>2NYCvgnG-059}X1vj6kNuk-*#5-+6uiSgbGbhobfg%Q3k3v((@~B^^YZC{+>HqC)fz z+D%+}3dBax=ud5Bg!re5*v1}ZNLU8b`$rl8^LaYrT$CSRU(BEQA(sxg@+*Ai2iA|kU2+)&Psdq`^hxIRR9tXG8Z30`~AeP4>2+~_Civ1$C01s5!U87XqVda5b*4dVsT{DOsp>Qa+{@#_%P1!=ag@D%gZnNd^}h2B+$7*br^|yU(b=FQDLlX~2q`;M?^R79Y^<_Emz9M0?pF?MGHSC!_-Tty z`5}~DGSheDg_cJsJXC?rOdybl<(M4nmC zs%H4~Ah|<2|6<|`z(2yuzwTN9!UH~@u%iKxl4VyNaq1}I)te2(zKjRrSqa7-t?>fV&{T#d>qVrkt$7q>jyx%DE)c)`M{a#weazrBq_$ddvPtLzfzPg5BLnHlyT$n` z&O8BJjZk@xt`T6yE~w`)A&u!#-s#$r2I$Ou%o1CX4h>@s#nmw=i(Hv7FGe_OU`mL&2K{PH2}X((=f?&lya}yu81#p(m}?q$!>yr7 zMUb;50O`;qKE>8fnIi596VHyqB`6_mZ+ugK9L*f~UTh%ZE-BD8-#vn~EHSHcBI`uR zyr_}3+_4ohY#q*G3jx0|>^FW$ADx9ze?M&)a0Q(SiHAo3 zGrS+i;>Q5pQ+1sot20em|a0+&t~(bHKh<3(}1of~0`#n+wYaP;a+DBvIYJ_#Z~yljtGe2R7_@9a||j*CFrHPM0;T4_ixer)G^M-MVQEknB(+JM4*`9o@I zD)PPQ3RiOChD`o8!})DiXddMajXGEb)O{L(ryOU18q%Yi^>PU5?dR=3w=DwhT+;{U zeKL?VJ^Jb{ZzB-)>kwj8#vzq~fO9}umBcQo`|6)Vfozf=ZkmMV`WMG}KfXn}!un})S zJyqCUrv&MVz3*vP-#~`Hgv`44Bv3@|im)MFAEkYGZK5It&6%&pN;~Z#bNYw(gfYSe z?3H|c43!afB_@4wKQCk%GenwAqM73JwSbnTc(e<}e30u#d+vKDq7KpXKuXhAA(|4@ zyVnbf4Ob#9QDg|K+U06Um#?^@CCdsKX7g=JUkE_qK6T^bGY!PGPUo$$8idT-y(bqV zMv&K+-%Y8b?|@3WrOEsaofRSt43hL8AuI0-ektcsWhMu)bdqrG0q~JpLT!=*b(e1Rw|V zAv=p6X}EJUKaF2R->3CsrL2V>$h8;pJMOT&)eDqn;oQh)*jy^9Htg4RAeZi`!HHl|H zNk7G>KtZ*jqsTxre-+Ynu8kJfqMA`@SgJ-`%~a=m>kJwPfY8e%cl5S6;B^gnNk8VH zQ|Xdt_@+EGq*5!xrEH;^+qvat1k#;*)>*vxB>*K{`YjC=&!GU*dgpr73&a)X8lxbc zVRqW!`qo1tK<%xOogLwOw&-7^oWVk!ATRujosmR;3t|36&+_7-yH$sReB9O zKO&@LE*cztgR~%Ai^b9YanQLqwKd_fKQtD#baFj<2h|&X9cQiuLWSaTVd%u1VG}IA*d+paQ*#a4cv{Fw#H4#YK7?xNUHWQtG*Gvj2^CdjqBAechPWGLcpDRa z{S*x#EyAV-GlMus7@fzu%3YA!d)YbUb1|gIhC7;cDnr+-Z0qsm1!z9Z-*7PFAk>~J zv3oPffwaUNT?d>FA^m^Ix}^SfD0b%mzU!wa;_5D$+s?J23=*%ILygF1;9IS3XQn1( zDT*$XX1;^WTB4ZzZ~)@_%cm9~Kg0|ruG(v?ypU#jHu;bfE0FFre!e)3I9boBS+!$E z&~rW5nvv-Rv?!}aE-xZ}r=q+5jV~NfO_xbd)wPBSnJp4GCK8}*zsHxg>^>;^x-Cb+ zo&*Kj!BvGZA0dxTGNz%x65;O>2@QfhkcHt{XMRl&)Pp5b5fZO~GXCK63OB-n8?^VP z^mIYGLrdF}lYR&fFr*y!Sck{QhYOa9JE3(rzGwuq2o38$x2(^oK<(Xoga-ctluaU_ zx-|s@6$0E>10`Fa?27S3_q*dz+^8wsEZvK8d5ex^PVa?$S=GWHAs-;uEUhPvd;zk1 zu8r|N`2<-e^%@DWC=+JCvZDQqM4&8d4BzB&gpBzp4qNBTLtie1b`To5h%+0i;3&11{50B;wXO5qAe{gl;lxpAHYKEA3wFGE`9A9P>sW7h zAAzJN0wqN*dm-6fgH^5n61rcSU!{Dp13blGc^@VTf<|KADKu=N{N9zKo%<#r;=7i^ ztEycPU0(c8J@)qWM}Lae8!A zT_5QpPEjD|64s9jSTgZ#ASVHE`CYU&b!a!69i!~=X&sVqe4&xPh(qLxb?3c?^m=MU zQH`}lgav*p_%X^2gs#s+?7N&$MtOWTQ3+{v_n)(iz3C6(njg8F9$7%t=+@1wFTD`Q z*xa+3?*a+l8|znEW|2OVUqf>kWo@x#Ta>-=fJ8O^3z}pT#MPb)m5Ww}WEU3gxGx5P zcP=_lIeQflXY!T_2JI76``(+{*`V(+Oq{)g_#bao$!}&q0x@H&ISb-klP+_gy?US( zVy|+DOE2U=g3ZwhmMI3n(vkf{@)3R%m6`g6$bxi`uPB#(m_YJXF6#14TEM3Xs1C8v z0pUfTaU+ukq-<(PN1W3GB1i4za+5|N@jEc@<>3VKektD2v_VLtGnqceNrtqyy#@vbP1Z13iR2W{K`n&f3!utL!{2Qp8|NnPSx*NjS zeV2{aSs~IPDC9k37{sVaH_9AwgSe+95R6}Cp71({vZD>w6Js<{UhWwdiQelFGhu#NYyu1Mu6kURaUqZ}o#XzT zcQ0VpN>39Mkv9}gp>l>HKj6MOzZ(kvint>dFO{{7A*nda&L6#d$sTVN)w#_9FUOmg zf_icM%zFc?N47wS^{8YjFNYNI;BgKsBJ!(yHWDR|vPUB8X_wvPA!>a8)gbC~i0x?W z`yht=_+;A-6-h-ig79~5o;P%o6_9+b zMZ}#!5@CFH_2+jy1N_H(Oo!EEfRMGCz{$)9DHr?h-Z|g`Dc`!JL^V}VwzSy_u^iWLh=Rw|sy%3;$WQYcCKSzLtc}P$9*-cRg3j8}ax`+6JqTKc1{` zuBG!^Ako?h7N{NpQrAyh^>r5@2Zz@7wJ0JkwaTIk+djZ>v|0>}2?MsPd7|b*JtP{R z=8GaD>~wT_Fy4O(on`LuS(e;nF$eq0t{>7dhIAp7o;=uP|XCx*O?8+&+PJUEH3^;m(j;bU}iD$0Q`? z4~Z@+Al~?xyL2jz5MWO6?}*=ELcTfIJKdvDug4&^`7JogM6f}Ihvmh6f3>9K14kA2mgn>GykW0ZQnj2Bx7l!fn-QB zWhy!;Wyp{uqEaD4luVg2&*L()uq^XD%RDA?DJ5ktp(K(`EB_+W@r_|1V0RnWA1C(aiD1l z3d5)+b~;62XBV9Cqg8^e+}PU=`FQ6Mp@`VW6%A>eqIN!4tAVUlLQbs}f#jfWp9P@; zAoi(#70AZ(#f@zmKb&QdXP4Yejd{svzff^*4R4d41PA;K$=7l+}59R;r-@f{5`j>%YUV*ZQviiA^sVg_B*^3V zdh$Wre#lm&I&)H`2y=cjJLWZ!r~IUR_VlzVq|)fkE=gA7KC3V!wq*{Ib~umke~izd zgnp8QK5BlK)Fy^jkkO)5Zye~$1l_d@3IzHS&?0~4wl+6%`txOXht-KeC9R_H7a{|c zJ#+bxjJ-=G<|@wX?e(MJ4ibt4`EaiPH1|%zFUXiGX?+*Ojk(7{?w=;# zAeF!8fpphiNRgzuIQpCbNmhL~H+vyxa)F`xdkyyTl*}!+SI_=l`~Pv~|JRNG#@{o% zKeRsa|3&K)DQ@~_pZP;RbR3bZ#$20AWwnaRJBaG5wu-#A3NcNWdX7EIMjrj+F$0my zn0wk7#pg|fjD*=Kneh{l=ry{Lz03x=^YHT@J=B0>{;yNZHJWBIx~Hx zkC^Yiu(jF=`*qsqu4r_k2S((HJNSS@#N}4-mf6 z>)wUftRF%6$6zK^Htjz7@#EX9zLln+@qsE+l?Xe?2un56L?d`zk8YTP(T1 zW|v(*q@0ken4hEv@;(DoLltiz$2)!Blcfi#o7_h~zDj~rhbOAfk7D1== zq$^FbDS$|W^vJHG?GT;8$n2_04Y5&_3O5PL5PxcZr}SJtt|2(AXoHy+3D4jYyssh2 z^P9)DoZ5UgSHT@mLHaxCpa+L3sEkWZwt+y!x_hO&>?+96?gWZ&c! zPShON1viP*K+#Q}5qUVYrEn&W2O)VMHIeJtAG24|M=Vuan;WT70W&{`trbDcN zlC)}KD8yUu)*Zjo3`CDx9EeWEXV=J-S_D2r1Qf0w2NI+_$srMiCxBe^d3(hldWNO= z(a{prHusncS!2d3tz+26A7`!U=E7O$+MFSSitck-w+>{)OccHItAR{zWmbE=?U0!h z_bNrj4LeEI7a~3H{$BgP_x(S$zW*QAe%n*2!KbNxP{&4&HANmvMZ1m8`{o)bJ!P=w z7lIvGvu89T7HuIfyzc%wA9_)L*qA$ru|no}$=bqxdt^j?BV6-*0BKp-r+V!oAoX&@ z`7HwrK$bNRx+-x6NOxmLJKDu@o=d^~Q1lZdGHiSPJx3H02=7=oOY=kfMcJMAE zFfc*xNTd+G*ha`sJYn(#eGHkk6%0(RPWW^1|5Ym(2x*NAlW*to=iqls^s&4akniMu z@qLXyhsF0VD6u0lSu7}jE$AI2630hNYpS4={&oDhfEZ|W?>^aKSpro_pG7MYE1|s7 zuQBH55A3@=-@noBArx6A^@gzD#(n|)S^kXUkjuW2MY_5hvbS5_r9T%4nZ}bl6@T8w ze4NBm+Z`^Pf!;sc?}GCd*KMaQ@&3kb~r0Lw#!0&C_Qt1Z#-1*NH{gZNQV2&r{5`LQ$Sg- z3g13jbtt7jVr}8%fehG<>y_B!SMV@5ulkBPV*Y}IYEKk0JZ@aRIAB`0R2xhwMT33T0 z<+Ml%a|UErWw;+65`xfWscpBs=pj7yNVt8?c_74YF6p7YgLC_gU)1ip$m_YS%8PmQ z$aF3aiG*g%khs>pV8{G^-+JwA{W$i(zin-tqKD|w027Wq{NTP~_+|$w6};~ZTcP$&Z@%2B%mr1!J z12ShM_72wyL|)pTtd)z*E*i~?RUJ4-&R975g~$uhvODwgPE|m3HyY7;uR@GwnGxyo zE%4}W7#9qG0X_njww4Fv!S736L`Uyu2)r&Js-z4MOn7yrIcF9^31v$DKUN_8X5})Y z=4H$i@?D)x`v4Kf9~FNjutTKaL2)V*%r#EF_BoiE2vO0L^34619g(q6G&iM$=z%cO z(bLKhV;-m;Xps#uU$-Wo#Qq#Fj#r_^;}+m+uoC`gb^-#peQG^f4IwDL&tn@|4MJYr zmi4u`4f|+*ZELh?LhZA@w(I)X5JcPa z#x1ckp?6uo?dt_(sdt@ucOnPRGsZ0X!Gh1wH#bX_$IgbGr+4F)CI!L2OV7c6Uj_t) z==9;1fdf)VsP7M={R+t7r% zhsI1<_#(ty8oRV`314S_fb8ko0f-%2vh6%M1aX8zn?9Y^Lau^KZsOV^YIR3uz?%XB zpVu5?qudK2&-U~*a=1b`(ZWs<`wSvDHfben&VtAb%%tA6V-V%RW6zK0#ppyTZ@&#n z5R)r#;@$xg#O6HUWZ#H)yCmjna~d^>_wutlIQ;<2u^^_0_P-!Dt7A}&1?R>_B(dmvWGHQ`kQ@*fhWJML zmg74zAi?1ES$+=+@U~iyt8WSde{zlK(LPu76BgAvQXhoSuP;Kowxh32c}G6G>0XF% zJnzIzk&D_>pzPp_DP&-X=!p1jhUoBYZs}e1*cG;kxW5Cv#aeW+*Z#;P?Wt(~GWG!C zUK2I?`O#||57hlO$c&!r!Ef`}cZ_pF z*dV*4opve^nk^qKA2WuCAI~y#9BxC@j%Nn8q+ozRGiG4ft&##EALVV0u0bfUBNH{;pHL}wch_nIGNjYUeEFS1>Ngo40ioT7p z3=Rh z`GmtNW@v5H1Y|oQZp-<-eDUb7TVJ`wOPK=k<1crwm|cd1O5u$b#!rEG&w)MWlM*D# z*yL>tCE=Zk?EhZy76cw(7B+Ji`=b{*+^XCH2nP6V+j-cs>b$}`nr%|aU>$^8ufNXb$2mrDO;M1;0^WV92QKlE zA-doA+R#a5h}B+oRkvb>xW+;80DpN%*uG2suB0Lm4PSr$BBTq6u`&C?LscQE(Q)`p zWi})aIJI$giqxhYrid&e;_Ep25L?2sXL2RK>1I>5g}{T+VmL8S+z1De{jz}-jnAcN7f2DC-bg%d=tG9c8(bYBkh?Ye=xe?=GoGJrk3AxnfTi1}e zRmsG%@d*&8sb8Aq5+FXoEY0rWc8Cq26;-m3hUomKmlD`#A#$~sHe#Iu+S`1q--{_h z{gHQ8PR7UZ&T)rPf{6o4qazzQGf?YWPFwY8HG@0>eb3~EO2|Gq{;E4`Gi21LrxwT~ z7r5X;ZI5^=kl&F;-Gat})MRR}gtMij%~dPEdh;M5%g&s&7UvwU!`DSD*dQiUVNY}Z zbL`EDt76D8g^r4b$X49X8?qKm3a{>lO7aeAc@G(6m7jdFq39MAHx5a?oBxAV^+>Pv zxhHmYZ0enuJ2V5Kv9B_p0p?zU&)XdOg z)FFIn(i>`jah(mC$iR*c{aJ-^{5&3BqcpC{fRY}Is)RE%Q26NU#d`ZS$SXKwoN0@G zPX=+zh(7dtw)aPmmcNJe$HX26WV)s?civesj{>r{xN;-IW*{B!;NZ8wSzyDwrqe-g zNN{dCzHRz%o&P`1{D1lS{$g|F1ohG7MB*2*k1p_ECxU9zed%f+L~G4#5_&-mF;Cls!}8D{&f~sNmKg={dT}1KMAVP%Bs^X9G3)Ef zF8lHT-lJ{F8#ePAVt!7Wn<^6hcSp%*yX6{ywD~%3baE7s`qky-1nnUu@}pDPp&s;A zM^P`{9>xyK4eFIll0axF70c|7!8rgm3#aCFh)&S|Y1oLK*j@2Uem?FHcV|M-cNyp6 zxs&?MSDr&c*UXmD67;AHsARMsK%e+CY5M--QjpZNQu>Qe6p~XrD8Bdy0?9F9g5tdv zc8Nd!c%J_%kg0lly_freeD(X1qMjav%K2z3bhtu<yItGChTI_CyT67CuLHSC#~|zVSqNv?Rii=s9wL^k z9+~e(-oPsRQbn2udT@<$Ha4|Gob2YIs`_6z6E!-#?G6VdO!%DNy@I(smP6Ce6)^*Q zuRDU!dU%I_*ZV_d@vZ*u_YS?}uU>n+G-GK}4yy$i^eHAz|?$P}M?(emI& zGUob%mrJ#f8`OD5d4U6amc-o7PVl22!`M^M`vuN}%w})LKSeH*43Fip{j4~{)1nR7 z;0lRW83!azX+zQ&ZOqzC4UnX>?_O=id4`viSVkk}H!u4aaTUq~`SV=scLnSfbT2U| zek_Q2{;R=$v(F(d(0*AZ`{*CuE5hb6^kTc6P9y<(fIkPYJGx36guI&}CoMT<-FH9w z+CDVipI-9%~s;&;E6byGk|OFPN!fJhTJKc0HSuV%`hI9u+Kf zUaz2FTRzDy#~X6(ZfyR*sfAjOWc>kUL+sC)-uZsa7cy9vsVZLFhO{<=?MFV6Ae9`d zrSTOr8%ea+9K>ZH#dxLhi0KJPZdA|EZu$vHvWwQD?K9B97cszKNchf8X&B(kwcVfrNIDM?*yig3fDuX z&oLS$dhBjhS_`QT8in*j3TG!m)gVpKZ4+ZAJ_8hjE&5cBLr?k>=1fx`c%ZCVcVd1w z)c;5vf9i&v=7A}%-9KSJw11UiWWy^cSJ%_k<>$wq;1umb89)toaq9v8mr(eo!Rf9V za{LBW$C7!kLEhF(uU*F{AjdanG`UhAvbot6+YC$Wb32>^EHIk=vuAv+Thrq|l+J;t z7z3A~(suB^Ke|;6yBd67?IC@P9R&aC#1WGN3+VY73qSWT8G_c9wL^EDgb=$MHGFK$ z5Zd=qJ@6K4V7nSs-hPMy0>42fIU@=PwVgcETW~);#aFnc0O$Fgq29lKU>ByMm5yvF z&bOC^<})jo-vAv-3XC;YzJ>q-iFBB61L;qsKp&N;M!FugqfOV)iW$};9(McT{m0} zywz#jhm-e%Z=1$o97zTIzid>y(Iy6g^EW;lr96V*mQ$3Wp4AX)Z`-MWogCqe3r~5E zxdTCoaP54)AP`ooEWe>8` z{L#Novke*RrmN!1wGeUT{Ug0FCwv_ti)#);5T$5#wrigz&V+^cNq=#M=;e8C4`ivu zbU5YQQA2N6z^cj14;t7<@Hs7k#0GJns;NwE@f;IVK^gZ6x&C4~lti8XtB3dB3m-GQ z|6|4{`oDO3S^nvaZf_S3tC909;6FO_e39u41Yca!u3F}RFfDJ>&qZB8aQK+3OqmT4 znOSpr>R%wTks;U=y%|w0^>3Y~n<2WQvw+3nFvKL7T{HjYjhz#(-@Uu{$63|!;TxoFKog5lQbiUtZGQhZzD5Lf~qzFTL#%9|j-zAL1;V*rBZ`$ww` z_CeVDew%k{J*Y2J-QhZT0wR9RtZCHbqV{CWu(ffw0V~=@549A68k2h~2md2fP ztCJAKPK3jLizg6Qe#={Z^H+#>9G+|!yaEa0PEYE4aJD$PzR6{9FA#&hJPr>efGgt{yl1|4cZWPg95+hR_T-)9eD_PT>pb^&>F(z^6!w#P*+da+N-i04UyE;h5dr4 zttwBf*WW6IXvaIp7+EJECOTSeNplop$@X+YCdT2{S1gGv}{}_(Xmbrrq{eV6T-=-GU79hlZIX)mYpF+(dpbZN@TRQ5$#Vs9*20*?p@x3o{$i1E@J;a8Hg{s z2J3I5Uyw=LyLad#Bun=NOog3Ak6Cs#V-@-Vu4-$33oHe)@Py>-my1Bo)!ETtvkkLP zDsmxnm_G?*X3*o}{=M)2rS<)L@&Es^_S<5#zx(;rGocN+lQ@=>a?9aW!8j4wsSb70D76!~r`j3qqMbG5+={?y})R262 zl5YA(J!);k?LVVjAihla;m=|0PQLH0#(mx6Xb;5txs{$!#(k>8miX~x6Ld7^RB+PUL&HO3Hooq!Q28Kuk@nm;lr;<0 z^a+?k34?eh@h}hGd2Un5u?s=YqFN&Bee5H+{^1M{?I8Xf0@rpoRYIEa$xCgNbU^Mb zH$F9^3#7V&Z7QPQA?eU)dw>J#Gc!*dX&uxcZhUWawhVS>(CpmPA=L<72S%hexmH0l z#q<@MbwjAm_{>F5@P`V+xY{4@Q=!yRU|Y%MOei8b^@=%sgnTL4_J)`PkaNrVwtLnQ z$lCTPzH3b$GPY2m_tP7@$^1e~;24lw9VQQIAt$*l_STR2OOT}2u_-r55{Rrx){|iZ z$P;WdG@+@2?gfJKu6UaYfwcj}cJ6cPwj~*VIf-LLi>u=eHA;YD5Te0*Aq&2r#Qavn#RLP5E zxtIV*$!@Ygr<)GReBbV#4mt$H$q;=;z5gxCBmLKne;-PEe`R<@|2JRXKfRAHXfw}= z9@Ya8uDC1QtpGKr1lK0PWpjuUJLvkv1Us$5J!?pG$mqu4Sf!O=vN0&|`dS{Fs)<59f z;ga~XOU#%J-MXYed=Y|7F|xgE>;WL%TCve}41nMsfejyWF-xqza->~TlocAh&lA+t*j+-e$Dn@Sq=7uIKQ&U9U0RQA5JP<4KRfSKjX}mdMO~@(o{Iy zcpDN`{6D1p;)bMMlCB#rS3%Mnj>+@;F;`ETOfXa#2GV)k)9!-Nkg_4Ag;;n#%aHJK9-+0S&I{z))In5Ok9@CIaY3n{l!+v6g3C& za?fbJ^}j=cx#ykDW12wp%pwybEFm!{#+!cL8j^hZd)YJ$Alato_Kr9OAnCZcq_u2? zl*8c$G-jocvMzFTLsvI4J$-j3b`+XP=5e?-5>jr8SGI*4`OWA;lD zdA}?3+_QE@IHz9|Iqk{>#7xd5gFAJQIB5D_$$B{3UWHWks)vJ1=p!@JCAM1H;AdZ2rtz^fBv&5c)mWE?4w=_B zTiM*9;WG1~@0p@d*)={de=rWpcV96fnQp{fL0@(2QCBD&Wg2&mR)Kup*JjuDhaxwR zlEv604YK)F1*s0DGraTCr*_^DhH8$>v}RMyP;s(P)p!Yi4^?bNRX9^D zt}1nC2@rsS!L1e_-GU)6Ak$Dla0POb5^A;`1%*yK;Dox$^w?awA3%sOxEp!Mu3g>?D~GZ#JNDsT^Rs~$TDJ#B)Q z>lnM?ft9Af-t2s6P)?@ap1KCrZUEYtmh z%%%wt0ti4BzsDjR8S56OcxBY!_y$3!n}X|Z7BpDJ1Lo2 zn-9U6Ds?A$lp*A&KrY30O$d|PVBD5$j6SfO{X4v8fB;h)ay{2j6OusT$q6;TXNv5% zUqM8pfH$kLH$)2iovo?X1XqP!3`VMw;K^N(^O{fu-h}T7kMmu@H^YgRXKOzAyM}~| zk+4TkpzOYxw>Sh<^0*MC)ggp`PvTWuaR~L5{@gO24q-jDo#h&sd8J^!U5M-{LPYz4VRq)}j zDsskN89(`pPv1Opfq;ERoZde^LEy``FAkX|L-4JFvrawtA!Js~ke~e+gq?k&+CRGk z!qX3YtCp1mg3ZP)Ga}euK=+cD`{8En`XEL25743J*ZEPoxCA0o@&em4{Lmv59kX-L z9^5CA>yJHj2CoMbT6q!u;LFFinmuz0{1rQ^9(xZ$pm64aoxJ$Izg9}*?!yl6L@^VV z*yj+&J0Qw9l?dU9pORNIt^mR9&QB(sH$)sD6E7McgoxJBlk?Z{9CPVHJNGGn)cnq~ za7Cgg%DTOQ-LwXxK0UdlGSdiN+%FUL$6kRC&es}slE7b>&f6lR8ugF27np>GAy}(X z>nCcIp@NRXNlM5Pn_>R$(_s#T)8qT5%K#!6e|As#1Vcpk+kMXDmk{ZGlP#ldFGL*_ zK4VE^4N*NM=f!VS;wo1Qj5KJ1sTQCv`VF^EUI%da!kaXh*e`6eaIaxN3n_$P~loaEUTO~$ht zf8hJammubW-pO=jE$rMdo_#Jrhn-v@Oj`63n3H$c^Sto_;>RjK9xd30T8)=UCtDa0 z4<8dl-7?0R z0q?t4I@K+j-SED7#NxXM zUXlb6MlP0_1!r*0MxTB|(E?Eq6)Un0?9ijjlx%tHI>fvb^6+QI?ysJcVo?isk$1Fj z+Uv^<#0O3q@2$K53A*$}WrguT+$nr&{M7?wW^fEWnjeNl_r}ojQ5o=CwX>ak{{(`W zME3p&uZJ+ts;}#Zu%D=dqVcK#KBM>@4i|EwH~N;I%fh=9h$i_6?XkxkT;1WBv@y)? zc0_QTDV2h_HaAk568h`zx2q9YlQB0Z_ioD|YGQV0r2RbEAyJ-B(8~2IBvBsow7VDr zNmcC^CTOlh(7`v-DN1b+M#nv}OgjvOn%?m$7gvZpz0^=gz-L6o_ZF51n(;w2MyI&&&S%A<9)b7h0tzj;Y#B&Q)rviF2X&7?Tji8n z*jHo{b7lD`0sVa9n^f$afwUUG$TMq+ea<({LN`)DFgJXo&KRddO;iFwH-J}EWD6Vc;)QUYt#*XZap%;B^8oHmdQC;mS`yT)KD@ zVm}7)8Ajzo%zi%aG@}BD^7(vLdUzkS@BR?i?n(i5%7)t$A0aoW_Os)N{|hLkEj=r8 zCl(5KD@t#kv4C9XjQtO6k{~OctX=YhjGYV?{xh}6UA_AK9liWc^vXP5boX`vQqY%4 z0c3_HuBPAA;@JZURgLu~mv2K{p;P8z56rti`%zSfKEP-`X*bQ=0PW8%bS7TWf_j}( zk3-UT!Tp$d!UuI8C~e{Ax#_6{MTQ$bYd){UxqghA49=IcQ~JY-vSW}-&%ww`DF*2x zY+I;hqaf8LZQ<*uAxIe&srf_lzq%DNxoGB>KGVJd|vs*I1deh5{j}{G48U z$lWylaMkl1WZm06qgI^)8G2&R=nt_#nx}-mg(`Y?rXP4dRFMGEQqg#ZpB^Mxn!a2o z9020MScXD|B#7VFPseu=`v{b*my7~qpi97I14AJVG}B1_qC$>QbB#QpR&TH*oNRTDjc}j+50Wyw!^|~4m1Zg(I zj>jg(@cZb@(a70{*`db|9IxT`5i9*cockdV4HjiXFPz1-oVrk{t_$7YUU!per=Z2# zOdGb^LY;hhu-ThWP?>i>RdMefDEA^*ub=ORQl6xDN-UV|3cRXTzKGoYJETZa*VB;m zN?iYnFhEwqOph23Eo5X-8NpV}n9u%*`e0=Vsn<`tNS0m39-gyi(VuxC+0*^C=fWjO zJZ>hJy3G4~?f=J_|9@(I|F8MJi01ge`ugxO@z2ci1lA}XV1{Y*uY1&7A6bM5{q3(3 zWYIT2(<>z?69Li3zopSL8bQp>k0+zGF>~osSRu6wGp6?2!+990ApTONes3G{=Os#K zheQ{U#jU=6O9=gTZAbOE*05jpUUf#M@^(l%OgXvF%^Z>jLQf?%lt8j0-?2E=`w(QM z*0a>=1>skmMXm*=KtwF};I7~$h&muGIVArM85Qr2*B0ZN&DYpG`RWbCHlB7IzM24W zb%KjAjHVEub%PcJGaC6fspv(OCMZBy*uz}hSd%9 zdrJ&ON0ik;^3X)fXzLgRTS*U6sn-Kx%cTaP>Pm=Ms0*jlMOMYV$=;9advJf}koP)} z0kQN}(s71ds5RQ;=>1xPcy77vt;-6Kz@{+XK9Aj-U)l^D-{HR3IVVfWY6FQ;qsqdP zrjVqg6Q+0=dHsw(ckXk+*KKTlL3p|ZB(qxrsibZQr4l)=yl8`dh$(V^+Ea)OETUF? zf&B%nS2xajFF=e%>izKhw;(oEzTjlj4Tx*-rHOYu4DoGFiadc{kWlyS+X&@n%r?iX zZ{CZ~T+e4WIj!zNlD6=(e7xr-b8J+6TIPc9*Qfm2LNJgbH*?9q--%gglk8fvJrMrG zjrs5gLFDmXNTd850#Q}o7TSTIAx2tg$5giy#70Okg$-l2_YspYJv*M2KPij+`hw?~ z^{eIzwV0)*5KXIPqKCvUACjdf@%;`Fj3@SMp`Owz9`wK!8Qp1jPmvBo%6T&@!ZGZ^ zVlX_{bf^;bmv_-?EqfrcVq^F5uQ~X8ZnDt#o`6_3z24(41c>u>T#0*)jJILk7njUu z@!V89;PH?T5*2G&5AD1TNmmE63$)@O*-FcEM9l$6R^|e4@^c}@s35Qi?{Z|mOOHO- zNMnZk{Nq#F;m8$`8Eij}Il^0eRC^=rAoaOPGPC>j-)sN(zW;w~egCy zj~V-5lDQ^2FDNoYyZ6egurEKMUNzCJTmBK;|FCIk@+~8j8Q#37GKtmi40)OZs+W-y zTR?dEN)z(9O_DF?DM1e74Hqea`;eu5MUk}`^WekdGCVhM<`(0#ecr@}L$ z%qhsQBZTVg8-{chQpO3=F-U`!uUxMckmswAblWE!$WD?=tZe8rG*b&tdF>6Ia-y?Q z*rn0<%ZqFG0XnD_&>t>i_k#*;oyX@rXP~s>S7Nkk4-}6u{wO>04A~W(<@xs*Ag|P8 zP+<2r$mzJX)E#~kvUyFVB|lF=X1!+CG5=J^aNqrmeMJ(|jn^U!?yf~xOocf5SJSza`ojwy25a-wi0p$4d#Ohf=1Bu*fP2@(;pr<7`7ZJJPi$(YN8jP zeTM4qEd@c%K5&0UM1(Wf49YuwPpiI|g)-(T6Q6{SP?FPh(~c_wiheyZ|E9eg3YOWT zHn$c)zU(xW%f{=FThaUCD`ywv=$=|ukHbtaXYL_-q5@>DUwcQf8)u`xMy%ePaQnUX z|K9gSMgA1~{_5Py>2{&N`reN|=cwzywY~#?FuT+#&i>PxT`s~?dsl>~!6U<>ms__R zyjiQl6h9KdcVE}RRt&C5QYV=jaD-M&({faBmBpxPB;ZVUdGlUAgq0RriyMzprkLQv!x zC#q;22-&dNngZDxp_iG4)ch47EH#P3yHpXv`wy;1sVo7(dHwwKc}<9*-gc}C=L8YH zU1PU*?}tcAWB>jJ^oQAB(J_B|9`3n>$}V(tgJ%wdKj}yv_%KCJJbJbb{PyqFpWby4 z0w~UZNwa2x!21$SS8Z(}SS4ugd0!EPw2lfun$`{u&4daQ`CX zO(1+ebVI4x5F*_7)TK_(A&1UO`R)Is$==HA$Z_4;S>5k^cZ4W?gM30(dpGAawO`Ow~>$%)wEp zectv9d3UBQ5yB;?y+y}W*dtFdZ~S#uJo1-M*qfFO-h#*{0gs;DXKrz1UagTRE@S%+=45=p|bi=b=MGhYb zYsh5V^zH-@goi0!ZO7T@x9V%}Ph)nw)Um(p8u|#0-w~_5&_BVHNh^=%yr^PP9(}V~ zh*k=a57aJ1w%XeZhlK1Q=9+-Z`@=uM@6y{Tc79(7cxQ1fWs(Mh6^5OSI$6-asAq4( zask2v9JFhuHvyr^GWu9GevS&?zu1s9fqBk(jqi`NAj*8C^hsw2L`z?sJ9Rt&dxQ@j z@Le;9m`XdE+Uge&YnsnNHMj%fSPm;O_~Ut^SIOa-~mQR?C75rZNe`96J!+&Sd(Z@gW?|*t9pLgB1x!eQw5WrXEGN$<+g7ciNo`~KGVOh$&A32?X zkk(JRO63R<&&mf`=20*HNMG)BSOcOKe2=PI^+5Eb%9(fbftU|ZKJOF~hG)~>3!k?b zLENofuk~f{o+~WD#&hEp#81asKXN(>2~lBO@NFK5l4gFFEV#hOOw&5F$r1vZmiayC zsnOGUVX*Y)2MCw5uy(4o1;S$6*6|Hd5Xsl>ac)EqqE7Mb)k-2kw5DNp>;}xitH#vP z5n3Tu;@0ApSCyD$l{|7$0_X6Pub#^gkR6%7bCGQVb6Yo3B)Q(>onWUlPf1}65Swc~ zJms;M=SJtfM>6LjFy+VH;MX`e;4tUq4J!r$%g*+-<#~uOpKx&WQH02>!_4r49(8Ma z>a|~}7mWOjD(L%&ndPHd15&aO`^=W`B_DfltL+_*iIN~b;~yCBhj%I!qYckri`PMJ@~?DEbX?y-Z&CJnW;6yOR5!ed?zN#p@X z9`3KV6v^7?>i(O2Lrij z?hviQ1xOY5{W6hr9a2*_oS2rT`n~r5I`jX>;{UH-`)%>I3vYFmq1J22sh3az6&z7E zd&h>M#N*q@#bMO?j(p%=J^ci7ioV?Yyk7t^KR$hCOcH_g;`e)2`!KWlWYJ=%>n)Iv z6pypMAVM60OR4ib4@CmM8+A^!8sR~s+XhrcIQmwz||F+#>GGMmsV8m=+bwAmZl z_h^SkhK@s>isj~{N2O3vFYc0_hx2559* zc3u*GZq>scSd&Zcehay%xxmZq zwGcBJm+(y<*ult#5sz@Z=O!mlU?NkQkxD$~RDrlmn%Hg-*I`Jy6uJGIGM}2IOC)7Na<- z0XdNx&bsxJkR=;;Rz#53g7WG5*@sGOEL@(Ea@t>^&j~Ek0gJ^uvo#ck!fWM10;7*6sv}8XuE`ugCme`~P|7|JRlOZ#KvO>%RZA z=pWAO3(S4TbI!69!rEE~Iu+2juW`j8MIHCKk=xk?>U0n-vMr?i%sYrN$nlmt%K@<# zoa*}nF_(W`b&}YF92VtfuEvHoNZ6Me+wP3Bo{wVQYh&6#EaDa@wX1+clhT>z{1cGG zzKedS8P{ZeUv;0JCNiTk4_)@^hoH;5r?!X4LAc6y3t@j*hzQ!SvbhzrHruWCv6=Wm zv}H8ugSrRA5X0VR)uUg(K=jNJ6Ml%xejfe)YbWln!ykV=se=S7!>Yt9uYo8VbG6ca z0um{CzLzXIU|##^6We()NU|1wMl!gF+Lxgcn#;CbXQj|vdzd{#U@ z;2C|AayfOr4t<4pKlYdXfarG4>To$vi23oudBhxZ_>76wT(tTS&$K=6PUA+1Ul~_9 z8PEj@qY^WlWpIXAD&O`7b8?9;+=qn5%MT)FRLZVr|vrWz#BuURsMYiBRzg|&sr5SyN zjYkyo$ZqKG>#^9oCIKltCwXr-6+rmwy-d$@&NJFEl{*iPhWHD$GSpzmynjoPs8C)zeK(^>@{|9N?R3N@JuzBF6Am%>~sGacZgG2%APywoHNILmR*O}x8$r^*J zu{c*Dso&+=%AJE6rq-ihoMJ%UMs3uJa~raszNpF&E07m68=P(wL8|`Jy#TRyklIwO z&(r4md+q%a)i>y)Q99Q*+B8O zeJ{r~%OU@{%^2eo>^Rn{=HVn_c2wiK@7sAR$V?aJY3@7*8Pbb}R0n#hiPpfOZajDG)m-yM=$AcbsBDRn;-NPM2^ z%vW8Y1B@tE7ExoeFdOAlMNaOZ%%}#kBg+rFnNmp!K}lz1v8e66p7 zbF=)Admyx$^$m7p=yA&R9$<&er*w?!qFKnPODofxio>qzZ`~fbHJCThbt7y-Kb=1F zu6oG=AbUy5`aU*-6z8;++}EYhd7Srq)5ep~xYAL0C4(BO1sCkERZ3zHuK3aNX+9|J z*?C5YTM>#UTCe4gyobV#AFpgkya#zTn>JDuV7K)Xown@z^~kGD515f%hRlaU2c2GP zK!*RPQy1Hz6 z)Lm-TFVgZzj6kK-_|3rd0 zTZ%l+U^ku2X!1d(2S<9@a}~&n%ChI)e-tvWoPJ?<3VV~4H=Rh{umRH5WO=t*;|%VG zt0>LWHt6a7{Ppl;20Uvq54;I?CP6QsHCu6GO5af^2c=Xi7fzS zThsTkvZO;vVcEE#*e58a*}DALVgd?j%74z?`~vw(FT<4cK082;Eks$+HA6f1^FEHFMzO8!?yq%LDvQwbJj1Q3d~D z(?rGSH3&R6Q>beF6@rQ~On-O^K=9W+a)J#p5XyUc`lQh|2va)A$$PI6!u2i>5n3^a zCv=frP5dqpa`>)o%X$D2ob;{px!CU;sO+W5MGr2z2W;Ry7kEVKcbwJU4qh|u3nsak zrA2dGXQM6n6|KaNpF)1%8Dq+%`bG%s6ckVXvJAn3_WAj?LJ;C4JSz428iZDyu~^#8 z1z{th+~;Y$A^dBd*S!Qy^t*l8(YPDC9#`y)?6j{ygdLBJp;-{_?{;fX!}!59S5Pn& z_dQR(pEq>_V!_*atwm+x8Th&haco78tUp$Z%ig&U0iO$(`nB63$Wf6iJk1G$rwW=( zr15>rPW);h(4Z&h|B!d)(O9qT+gB+=DN<-qXi_R75pmE!kue#QAwx3HWXe3x^E_l8 zZ?}0C5tXP6%@WZlG%Dr2_OJHy+wX6^?^^G_&+}T#`mTH5m2K}%=XIUuaeR(zbf-^C z6EE?CX5(%$r!b$awLC#GE8OJk(>5nLwJdlJstGNr z2!s!}yg|sNO88lstkLq!K!7vdhc-KR1S$HJY-~0|$PzbgMvX{>CX5>-9VGm~wHbjX zN0uVOrI7Cx?M31{v~=l}D?wC%xMFv(BBHk(=KuL|8=@(~w1>!iq`&`&!8qC7*|!QGlDtS} zeltxxX+*c5b2K%tNDOiNK5pUY&qEw_B4vfq3HX=0=HDc1*dU(b#BZk}5pt1MTTuKp z!UBC)MI==tA~2I@Wz+(qgul%&A0qm}O_SUURrd))t+_UdhlQ}rnCjZ;o?`NubCJW`C43mQV);Fqq){ZQo# zf_@767JJ(x%!heCu>27s{WyD+8&gSULrSpZUPt0be-8 zDB|rNpV_d(5D9wgj~xC&DdA1V=kUI*)lrzA?q;p(|$UPMRK6gNIoM$B!V zma3Xc#Lj;4_7iF+T%V5i*0cS@V{|u^tAcQ6ehk?J5r${ti@fN9@dP9_>%JARdx_)_ z|MvEjdZZ|{uI=+TLMq*>s0|MBNUg0r_1q~HfjD$}!`Y_@)u@!ZVG)Ojf&A%j$D$B5 zTY+C>>OTrGR^|oKra_wKW|F1Lu-(8>R`u_C&!vECT?@CDu zXo`J>#vn7gZC-m(&2yZd?(H5>f-2IY_xqq!MwX#|%_zHP)z72|%gQ;rnas43cdx#!kx%^m{L3j%0!eO< z$pG^@Rl=+5z5H^N-x}Rq>7L^S?r2gvd2c4j4Aq??Up^jxPdG86j;mV3Q6`wnDEFOs zGh+6fHeVx;{1)zrl>=m-Z`GunFz$gI&w0-EG{2BFe5hBE%NQ9qH8Ou@vmp(V6OYN? zspKDr(}&jfB5}?mI@+oj2^;qIMQxEpoYir0tD$G;9y)#5Zs}e$pKEee+D3GJ88>S; zXy=l%wo*Vx&?w3s>r|tDW>V{ z+KcVTVqW&9O7#iRE}d&$ax4_78z<^keza8YPS}^Ew;#7^F39^e-zS>`a$uw{6{s z#@fbIJLbp%BqTm6=iAVa-hKUU6&8bNXVi zt5B419M?Fv-XtF05A-=aIwW7AcI~MuJ8LABCw<;7Dv6s5Vp|l&rf@B!U_(=M7MhgD zQ$iw$m$}(QCJ2_GNTrwm5!r`p84zBoy1Fg ztGV&Z*QLmPa4#eM>sMUm65U>ESdHvNx7c;w+{ij4QDOd+z!g zVzC&ct>Uog~}Mcn$z-24tN#EZZBvC-@e5@c2e zS(&jRQ7pOTcpRBEa!w0W9Jq_5kL;To?yf*`^DzVdm9NNdYx9olD~Y!Ezzx0q(alI5 z&CJi0aVB2VpL~jJl1SfC?#DT=iIDltWidX2h)m!yf4-Z1|EZtnqlC%cnDg1ryB;iv zGq1@UQXN2iyavsP9ASi9m8j24V<${#lRyUIQ%v&XW4z^k3CX%YuDhODB;WV7A0O>3 z$+?26%CoZtsl_2XZ+$E$Ug9?^*Y+$!I$fVi{#I3_m%Lgh)>@A+@8`Kfwq%!T!6WfG z<~!Nx$(QF_5*}}{z@S_D!Nmk3zA3gl7o=D?9ROhji6KS6fO0<9NKze(8_{}(? z>GD(fm9svPkp3RGycNzw=BI$%A$n%w9Y$Zw0P*_JR)V5(%GHW!@sW zN=XvAwU3BiGucLdoVJe@DN$>Cm+U`F`~o)qFILl&{XtRuk><^0w)mZGdk+;E0aNUX z5=6sg#{OnPCLCGZN|y#|x{(zkYAEZfh^!BqVd5))5Umws;x3)mf7Skf_x*p(`u^i< zzv~xs!c6HmH2Lwm4vRcRjl4Ls&Ga2qK3?`YSm`?|4i1TJeCUdjPwA|emg%F&aoc!q z4%v0tkNF?oU5vb&iMtPT7a`Xm;J}=CFs|<2)wi(CEnHU<<3iwBTL3+ zN+z5Y8H>*BmeS;W5Z5$eXXAzLV9jZT!3${C=olg{an#I1Qpz(PR2z$JCvNwEvPEyx zTsPsvjXfEANF};@1-VJ{5MdOG^`=HMQjzbwD#I@N1oD`Q_>R(A;p)w3XY0rW@c#Hs6PsxbVWvJ!)BHvLb!2U=Ax|3X|ynP;8o6p#>$*uV}RsPoYSmbYlMH1r+$mK4!f!fV>|I z-(2esk_-r0AsUk`T=m|j#(a(iIWDgS^ytW5IrN1f&DTT7s^P6yOSy^67yg~&!;jH( zEY3LO(>}B@^KJ(Ue=Kl}G0UJpcmH5(S32SM^0cYUyAhA-ZR^L)jJTSub7QXY1#dV2*+o~K)&y;Jx?mSsK~&$Dhj+1Y{GQ#JO1EzYQljPIoj z7(->$Q!n8WP4e&27G3+R31z`PFP6GqK#9ZMOL7lGQB-Cif53|{J+@0W`>GMf%1v9b zMxqwaOUSQp?oURpchaHjRTNwe_`-RI%x`jXBpuF0hvL>q(ATMp4CwIA+Nk0tgce8D z6?)E>(7+@#p6vvsH`{1n_C6}W>CLd?G0LQC z?@th>SBZbVjDpBx6fHVjx}PRMvfR=QP3iPW7Pog~)%79dD~7S(89a_Wd685#!`lC< zebwWq^^WQNtMe-={pI8#vpmJ$dj0>M&wonlZ;x-(pZCcx{VzSg)L*}ed`K-5`mYZu z`aiFk|2#SVJh%UO{(jI%{m=Kg{>QK5{qy>_eUL^<;LmHpKhJ}Io`?QCMgKg-{ydNT zc}o6yO8tHk*744-)1fAyJg78T!`+3dUE*#}-6v3;b0n!#Y%gkJ)<1kCvK6&^Yz_;( zx`^74>p#=ieGVxToW&BI;HbJKytPhC1#+p(Rm`sN>hqpu8GI-CQ`{S*z}AG^|IeH_SNq|y}hrJXrL$Rqh6`R-@S|a zJV_yQ!Ue3aD(l@%YOB6gXu)UJ4fWmZ*LMdmNB!+R@5h7S{X=KqWYbe zQjee|`|w8Bhbd@z`%ZAJ;zhJ_zTV8D?1EM)PRAPGA+&08T#xBnjaD-)^PWe3Xmw(9 zV>kSXRljahih+Eo9G?8fR3GuH5D7*phKzWCtFMqI?S)67cQ?whi5u-yI2Q0 z!mv}yvIZRqcDJn0kE0`fZqqs~eso+t=b^uT1|0=Y64zNTLr00*uPRGMbaH1pi0Sj7 zYrEy}gc#9#`1E(zMC;(jCG*LXyE)Obw5zO`Tt z6g{8Q4)=T-L+{FwMr)ND=-u=tWP3(CdUw6@SSz%EUV*;1^u%M;EAn}}Vx~KKB`Ta2 zHwd8jsP2Q~lH>oV{Xd=mugd@br1s0H9Jwatp2*s|BGl_a3Swy|H8yQ5LtyNtmYqyt z@Ra6~>OFi64l8Ki-#;$`bJhFt(<73&aAZYH{mFgMnU1Tj?Ys@ODb<5-zVJhNgRY22 z@iv@tiVu6e{3niQ+F18E>p^;T-kR-URyfiuO3hB}gJ{C45G8V!tr*n5At1qztQ+so zh&Pd0-jlh_Qf=E2RM)ESG3NlUhi*%xB)#Ft=k%KYY8Wh+%YK+YECZu}zzvMuUeKNE z^SCsv0d+r3=SkywsF*L~n9CA{e34+FsB;r!+$zlCSZN+ zAz!4(9he+Tu#}J41$}L`(bc*Z&}@&o&*4kOnd96)6oZyQvCC^HlaC#zw(p~@Sz(9c zpK=q}i_#$7b@2Uy@DfPIe@^^$sUDRok{gFhrjX;mqc)&wIT8*i_d4HMg3yJ{Y-!70 z!AI^;k^SydaH-O~DD<8iwjz|FH&=h*;*A9JycJtvXmtIa{q4s%xAkRAr1LwdZ@9i_ z&=>%fzOWB+UBysPRhpLJV#LW*Z|dkz;P}sbjK^7vag^8na74v((nqON`GV|3tJQI= zCno@juNb}?`xKD;qmh<%B9riwbA3RqVuRcB%{s->%CPSVV;cSt1xuRY(K4fpFn;&t zq_lh}4AzLA`24CAI#OlP%X@R6F8lqQ8)X)%32CuDY7S6}QZf+sG>5$4-Afe?laOut zq4Xybjg|cRJ zP`6fj8#~U6Gg;EkYxeJiinf0n$Bp5C)c(KvzMkOk&K|Y;H;1>d(U?JCqZI=))skV1 zv`l6R`fjW%N_)o^I#Mb@Newo57Ci&%R%h1Day+Qoe(r9j zyd}x!HKVyF_XO1^il|$U*rPhu=)KawD5`t-EJVLvMD@Es>+x0FP_ts0mQRm5YBO!pQExm z&Of|-9jZ>uxJ+MHK(*SAsf5{7)X@Jtxa#d%)LfZ;c_(ccHTSmVDG2nC^MP4IaKby( z@~5>7=}Vwi&e^@x;upzwXb3d5wn44=yqTPI`r`i1@G}s;Wo4X~1>5@@@z~Je`P4biqR)}-ok9zs{nEt*T8gH_9DCI^4ihfoy`mA`m1vUl6@I;D4mE<^Tst2`p}wJ3jPTe9t9^T$ z#~wMf(7ldnF6>9EgtFCR7DlwK*>{L4Sc|r_Z(6^%md{1I>1_9c(E{2n(~^XHcuD>rcg6Ac{b&n) zQ6PWY1J|PZKkZ!i79CIP_g4^hOXm&R_>qQKT)*hzeqvV|u8%nElr7;!*S5>-Dij8E zXkIVNK3FnUI0=M)xAyA+(|IZR#r+oo(Y|WN_l3_ z)i@h6<@||C`v1I1OQeS| z2fq^^Ag!0qkbwA;*3XM&CEed)TnQt?G31{I$xsYd=AR8 zW=BU>bwO@!f?Mf{7Gx;1_j@-_bLJro~f|JX1)nQthF4-5&4nwX>0qHKA zaPHy?u??LLQ185|@pPc{y2U85aAPl(8)erpopMLlBb1=U&4DsdaK2IakwO9si`?m!ETS` zUFD^%Fb~SQc3toejExxd?lcv^VChTLi@tj{&eXwsLuq>zWLMzHNHnDW3K$>_WY0gzMjzUzRyJ(`d_Th|C_Fl z@`vm5Z`=2C_Y5!Yns*RB--)iJNuB0?hWIh9=N<@FF{En1a*~iEE&S-7PxZY8h&_A}MB-DGCo}zZO@@M)`4* zmf~H#s5CNVR;(CC)v|7hfVwB$=BVZF zaFN{4idq%wORj)k)H*P-9bNtgwc)fClV9(lHq$pXT4apq)9r0_35&C~e%I?Ll2umQ z9x~`{v>&C8`%KMvccSuq)YrrG#;B?plT9UTiyD(-JqJFJb4r4V;LkPlsJoQsSFJIO zx}U2DCl<$0e<4f%5IYt1B_*%6xqd|bv!`Rz-jirpcFi`IpXBLoX&G|9yc`XJL-TK+ zA4kJcmb$XUn`lrNR^57RKN>VPq(9nK0%~r!GtQ6Vl+%q+g#?_wl zt7k;ebb8F-wSO0y_hw9AR(*ix9+u}K!3$^+9+u4GO zn5a1W6|GA?Ih>kUk5-O=bxZO~(7MNJdRG~lKZwXF{iU^Tm0mPEdo>hIMO*bg^{S(F zZu?RJ!Y*&GRpq_o(u`~A4~qED2B72EnYfx2Vd$vuSXh647M&}d#HX!h&?ytL-jA?9 zI&FSw@VLK1XXJ}7(FF|X%&#l6?J7rSJ*&x`TiodE68kpvjRu|l2WZ}?=Ad&#_NNW; z1a&^`Q~%*)`hV#Azbl{g{r~vdr+n%j;R{j1Req@$Uvf`QlHe(x%hE*T4@Obrxgnyv zx<#Q|`xKt6=i`e8(%~pS9bewZ4y%EH5FO!2m~9b7f6xWGW} z|Bm57Yu`Zm)(@q@vmYSuHM#Ne$CHqmJjmHA+Js}e1+pwRHls3WX~5RI*|<8L<*Rvn z0?DG*>JRNW5mh?#d}GZ{1e7pxGKW8hSKv*hnfGhpWFqh4?PmoWX~#f^lbyJ@d1s0Y z!%-NX*b+(m&H?96aouf+8iBg@$Fw~QN>E+CTx*YkI2019y~9I=ak4s>ThTfO$7dfL zxZ}nR>Y4Kkr^FYKd&g#W`28NDIZC)tb;1DAJ_&&-kwyp{fBeFM?+mV#w^4#bis9UU z>FD?QBG{huzvae11GDD>xtDAQaiLnI{?j92oG+NXtn-)|ni`*HH)MUrnVRblQhB~X zS&CEjL0UQFB|Z=89_xkd-XBdH*HXxAwYg=8xy;-Y;)CZ&EUbYrV(0q#$$HO_Z|j;gW}i-qQ~Chu2`-ZB$D#i(tUwxl*_J z7IYM+Zu#9Qh5B(}3S(b1R4+>|YueiZCEmMQbmxj7m+!~7`Bylq*G%*uC;t2b)&%K+ zzLQ8VSSERU?gY`;e7N{z{au7sw8x{?3clr8%{((=aL=RZd@hj>M^*WX)-Ye#h;!WC zJ<1O=>A0qmulg`zVahemTLS%K%ig}6-UICiD-^!1`wI0y!Gn%lUf_(tIG@K;Z74^5 zQ}s7{kLpothk#u+DAdmFJ4ZB6nXLA`H*FdFf=b8LhT27$VYvUFdd z`yqn+(w=l^P1Uz-D7y;va^odHwHs-_KE&dwJhZ1O=W!n{M*bqQvKb+{(9#DEAmMI?Xf)iW-ARK^;3PH#mP1 zvRRAD+(hY+3)P^~nQkm-tp!yf!EEH+PEfr@7?$SfkUp}{J?u~isI6TECnd~4z2|M$ zU-$~tvGeg8r1pXOuC@AI&;+QTlUFQBC;INPn{SGqEJYE69*;+DJK14v=hy0WC7Kqo z%vT}RsFc!;jHNJw%EZoITlEFhbX|W1+Fn%AZmtiNBK&p*44Us`L6vu1egESbR28(p zFIcUOs?Gvd*ZK}rJuvy+Xi0dpuhs^uvqq6~2M1eok1MKvx=QZ-_yl?Vo@>?3%TRLO z^{aA70xF6bK@k*1r4@%nC%-GGiQB2`h)1@{V&BIe(OOh5P5K-he;?IWYr3@Ft|pAJ zuF^hcO>!<+xNnrji<*txf}-=XWUf(CYQ#?F910DGOIjYI=Delj0NFj(n4gl$dYOqD zM{PqxlVvChOw$^o$wP&rh)fyz8Cp4}RXri%P454@-!}{IL3MlO={-aIsL7OAXa3|E zY9%(G^^~7QZTX4L3ylt_U379h$w;!i#J1nIKgNbS<7Kb)Q=(Ak*K}K;q7`*%{>oBh zPG47^b6>xu19i;>8~sIpq3%ZM_`Y6}xmu8X@99f7&TLrbK>o z#4$tEf8gl&dWD=D9?a5iup$~TuLojcL2Jm~LbmR+RuR!;X>au6@kCQZZ(dKgFq&Ed zjhe+v(Dd9akxi6jtbV_{k~Z)Zn%8U!doH&E&0BM#rfK%0na_KXw$_I1Wmr3VO9#+Y zDmYfxLiQ1K5&m@PacH}9Xk}u*J=!DE43|GUi)-|E>8@Gcz%_$)R5=&2cgVJVDZ!wN zYXiQGTV_gd?W0uPPC9#ZFbSOrc_4@mt`R@~mSl7Y@UC(?LP3Z4r#B~0l0C%$=kkhg#u%GTEg{YzJJP9?2?i9e|yPvYtQpSC|WEbqDVI4x^H~k#UO|=r4UDR~Z!n ztz$JOWK?6ICimc+h%uY${K`Iw;7|qsszh?`Uw3~hgi{Td*G+MBpPqvK?!9W=1?ONQikSDo#dEfRF-*EbYG|z;Q;wMDAtI+8_&Lx15Cs)k-c|O2*9h-Rb?F6`G z9qF#Z8>UW?yhjfJ~`w(blJroPu#o>jX;O`?k%ry zM%zT{(ZX3M^X-ueWTHUc^oOq>lO*BMRPV96$%+CdvyIG=gk`p9Hgtn^H}SO@-wpWO zg0P@0X_o+c_!;;#J);i8qoUxd_YOBWF}%&&v`z>%r8^Psa{^{HcO!QiJ%y2A*UDJ3 zbJgd~&Uo`79okBA*W*H4akgvR;Nz`BP?gsXeR%&hl=kxR)DxXwb(8Nc^CmYGs!4op zr}h%v!Tk74=^)_}d{_rdR>E@o-X^tY3j(Bf?EAG*0apxDf^x2Yf=il6c)fNx>_&{8 zE$ZID(oFB(>dq9HUI?7v@VWxS5An)eu!J7J#kX}govKCsC0&Cz-=2C%Kc+AVbP+$DsZVDOl$fYSKL{R3(w1=OLO_5 z|9bh!^s!^m-Py)6P1go33sv{m!r%T;`~N-X7yf^{???R)c8AoT1Hr$|em`rN;iZ>} z3i1+<-MH+_!EcQ2CIU`QDyJ+vDXr8g#P zghNP$U)H)ta(r{>yiVRS0A=m>@{d7spiH_s z-G9FYm0m3@k7wvmNi3W~MI^IR$|YU9nh#XBs#to5@1Pc(=I5&O0`*3D{_P0~P@gYR z{-*L2)H!djq~&C8Ky!ULzqT zgwgP|SU781-JHt_fo8LdD z>!T)Ff8V(lW|EyL8Z1Bnt%2%3k8(@Y+ID=q zIY8zSo;4D7(o0d!oxknLy;GsznG)?b$967p-=rP_t zF8jF1i278AuMWgF(7-9<)m&?j2KRB{vm}S9;kvrk6X{ws%_ETs@la!wIfz^Sij zZ!5iXuf_-MLxcB<=A_X+Irl>Nt1Q|-rA*E)4?_E|Z>isjU*j5`@2@D=jsK|qzvukF zEC2uDzW;Au`<1VS&-!TDBJaTXMzx0|S7yFPVg>PE$4Z)Ou6m+~P#)hfnMQKobKmLZ z$zTR|yMtS_kN$+?h8LyJ%CEutPUOCTmUXzOyFNCa?FbCNt~fR>MeyS3Oz2H%$coRz8-O$~VHx@#q{U{aQG?W?fK_ z>?3;*df(gPh1A7;udVE8Q@GPQ6CPrFnO#-#BP?HX{P6Kf9W4 z_TErZAoJ8kuVf;%brWAb42F+q|=(uVMN0_H1W_T1d$#l#`ZH`5ODu% z+%vw%xH6pb#b)Ft2&wb#+C^U2pIFzPWGe>C7r(}yhd07B@_pr_>=77_t^f3pUJ~b< zeM%Z~H$uxK8~f=^aaQgV|JWdz|FfOpy~bC8nlsK^uk18PzA?K+1<5?g`g*T_*VjoT zmKa9}pXtFM}46Gx?Y1ZRxd+p$bu?MK-^Wf|| z?1|#*+n%c|5U(-gl7uO71|&Pn-mpAXiWtwE?Oak`2z4x5X(wg?zwX#NeoJ0lS$d$` zf&DUEHw#34w>=F9hBY7ax0;YS(7`Ot@OWIh^@M5Y`W2X%`)@1MwZetv*wFRrIP^98 zsJi16vJZLuIlQU*AGQDAegDv(`+mkP#=qwLe|dO+|06Y``;n6}aQTbYNA3jwL(l(j z*Y`7zAD>ht46R&RVH4>u8YoDej9IYzgkqcM0ovGEl&16UeEZfG<>0*e#V8*Y4o_JJ z2v>!&Plc0ma6c$MvcHTa*g&~<^3pD$I#6b$bo7M?cZW6Li=WarRPLA7nwxJx<*a+CT5?THlMT3h&x^D<#6gnd;c`?LNtyi@ANUKNuyWDQ{=KZbA80 z@e?}a|6N7@!NEvRS>iEbWL%ORLGt?e&wlvmfJzOwWy3|0s7zkDd`eb|Fh-ZLs@0UB za{5V+S;aa~8J|aul07k%OGT$_QWjK!S$~%)GUJo@Mela52{~HYPv7?FpkUpJz}3gp zP(0&5U3vI6%I5W2Z&F)9*|oc>_HqtkhDVi%bOoWZJo5N=k(;3I>8R-*Jq&8#svSyS za|vgs^?Lb5HBcA%)EAT(P_^S@!Hr`ns5<6s63O@+Roc8`)&~g#)cp2}M%pY?xt66J z^IwWQxx?!_k1wEDJUM;`-EEXhwjbRnPPhftCp5V`UZV0%vcsO!e|dYZ9e+QRgDT(1 zC3ecRq(9G|I6)Yf)uyWl9)5R1b;Z^BI)1YEnB-%fdGrG{YiwU^KNN|Y{R;!39@eOl zRed2^PR<9~s>dKrk{UdnRh^gFUAaNC)o)JH*m z!Rw1>#r#lzQ;S)Ym*^Q@a%%5>T}piUyx;HW>5@J_d8fYi1IZt1*HYHIjoRmTGcA|p zpkYblHvNQ0Xsq1md)oXmnilmLzvLvN`PY@KcWr!VX%ie(@Uld!$`W_Coswv6ley~9 z`yOp;e-sWba-&W4qrmZdLum7pqN$kkMq6=u#gz-WXzRI|)~wuxwz2csQe6^g`?Phq zYQpy)wf}eD|6S&P-S_|0zQXTW;Gg$t{&Q-dD(h;?{k;(ROP`G@?OsKgMi-5D_mXVm z$~1;u0+R?Y+OWC$+XVcxQsN>wd~k)vIb+q#Yq%^A4vAX41G|%5l82&&U?Fby-k$8> zOg2k}W=HnIfP_N(Q>i$otm=})<^zrG%P(v*tj8I?yORApXQ0f^K`+QcG($@i)A=6X zL;jf4b)O+kWHdWTjA-Q`K5$#-#nAvnOfq@zYF&qbX!WG;y;NLjl$M^c>VoT@HQ|)z zSlAyJ-zRzMDlDt7CvRA*0#lW|t42KsVHn-JbyE5(&eN!`yJKCb-UVFWe? zxpAc#!8@na!7qLcm(%KZ@@=z&gD&gH*1TF+r~guQ;b_CfsZ9^tha7QX@?;?U$wcUL zi!#vO4S=@q(fG+eO{lNGeo_7W38-dIZ#$`2g6iAfqdROAQN-3DdCSxlSrf~oX0I(n z;`JVdC#zl{de;)#%{=M|7Tu`o&BXzq`>WYpgwx<2{QdR94`Vof)SaCRn}#hnXH`7m z+M09SKdMSy2jg2;ZL3IzmO)3`jgQZopsS^GC}33$G-J+&rUfm5+F<0@GUMH-dGOJQ zYE150E*CdwPX!a^O641tj~PhT*_t(g3$ zIs&sDL7jBlG+``HtzFb`f}ymu;ggp<(96@;Daup-NA3T2-xvAa_y1;d{O#Q@Ou0)x zO8PzXuixwrisJvy?)bOs`{^eR^#wPNBd4-+Ahd=K`Rt0T?MOc>9His0n@>aWj}2b^ zy(TElo~J~vyo<6IoO6}I3Md~GjPDP9ii!}^X={yTpfHTDVp_rhio~E3w}uiZ#s)u^ zy*&?#Z_e}-b>Zo8 zWUq-mvY*ZudG=32$MVR`Y4fUMid4b`U$t}1@rCUu*Z!t+rusD~%;rub73BMAzUQry z{Q#A4KQMje2P$(USJgC-`|`U_>2^nxK;2PvT4Tj9sLC^e4d<9awG-iP-dGN5*z#S* zDH@<=3HkOmPJ&9|{mgmlBCggRKiW5V9)(Kk9eY@ZQM!euVGjdgqwlx-!AtJ#l$&=2 z0%>hYE}xj4@HR5vFpqxflQ0JAr^KoSRW{-WFt}l^2vn8u7Q7!JdW$KZ`_;aL`?H?K z`|@Y9mk{;sK65;c+%4Aos|@}?wOP}dwOT`{zWh}>_<0hF3?{>tEr~+;{&Q`E>Z8Ov zJzI17aX6?&*>lX6#BcC=q9kan4b@}sy~Iyil6^z9>Cn9fGEY!0NWJq6wHa34`xdQ< zufzH0{yJ{d3Er*~*1dx|qw_6#LL8_IdHBRhq#JdG-!s2&JdC>b-iZ&I$5D6xc3)p3 z*$ZXS{L=VJgBlj=5Jui7sAGTW;h%Joc!^E#+bJcYaXBaJbapqIR-`|W+3td-+eylo z8(qp=&1k83$6f4TkCsOlFTUKsh?a#F z^ee}SzJT3Q?8I6+wC>~Q-kIw0kJ|sc@BglR()a)4YoD4VHY3i&iTuXc^-uU{kx4UA zWO$qf3G@vfVdr)sYWefpg6o7aQOTaU&1DhZ?Is<)HV(KvN1?yxssV@Et)Hqc-G&Y4 zY@d@x7R*d{al5pT36IQ(&8ZwO=o=Z+z8G+V_Q;NcHL|Qwhk-dQ>rbc_H9lRf?29T* z87=j@J5i7mRd?gc46-=Q74J%=BjJsxC^cpTQRTgB#0{+wR26cr@8vuAgsj!k6b^v9 zzyiA|(_J{$u*mf=`oZSiyIhVl_i(8x4a_=a=`C*MsfX@Y>9Ua9B8T&v^UnhsjW5zHn?W z3?tM}o{)Tr^TAXH_X|7MhU39)Jcb;UJvh`QB zzD2TZyECoKCd7DT&41eIgV4Z=H141B@ay?*IP+Q_Uia4=brKVWOL?ne43iG*FSM2o zn6$y_NzbUy&}un_7Jo#*6$srWnL*voHm!QkjEg;hMz z*XcORe(DGDfozH0mV6&|q6?RGl1SEjm(kLqLj`2^>Ns=x#tEd|ja}S%{}tjb&y^$_ zRU=9=?ZDu(^$4b0nzdoO2+{coh3RJ$!;4PhgIcf@TxOo8m#AC9L1p4c>Qj2ybj^ka z?PG<-%8kB^SLk5cXu>w9aui02RJEBIMshCwyt3k0_CIR>zx%%E@4i3q$EWw_%>Vm+ znzc0lW%l{UERY-p{yq2msR{h|y(9?FBzoY9o8<^{dxFoj-8zW^i>PMr>(@{e68HV= z6ETzsHNO^7FGA_%yJ^-R$Q<5z`GHzm(*H$X%38aVd+IIUr^nh$QE{YK#Hdmj6|qxC z^WEA}F`~hPETY|AK07h~{2(YBWAA-D90kgr*WLSnP9gn-O4=vmYUD)t?P7?(g1qr% zHS8*ND9o^VbysjJitpUE33lv9ssEEQmV>uY_MmoWtb72Dh@x~NJ#&C;Sz&I!eJ>xxFhQ4mevTB4YQ(ltjMU-y!{ z-}?er!guZ>Ux#UQASEBvis7?S+f7kb*u`hTYfiGdWIDWU=ZRi2P4-BcA!-~-3uPMU zQFF&?_`s`S)UFfhjF$g~S~+vafuu5`a~$iF)+P7(1Ovt|yqT!2Tox&_j`$_O>FP1Pv@cO5YtP$>E~#Qe;Idchd9v{+#991%%gczg3i?iEv^Y7 znv(7qrtPIDROpKEVzo!s&2;&eLnLQjaNlM7_lAgmaFxdCSTRD{_phW6I|@I=TmD2V z2QMMcwc_2`aFHlAQdD4q{mOdh6q3PZb%KqCg+*yNw>LPQBY*&N@2AkEX!~!jrJH>l_mDZ1)hq zKLQiG8<0{_6)RI{i`b5RY4?ggA-sbzEu$nA0kwS|B^rV74wG!MrwxRgE$_A>FGe_4 z*G0!Y;exGho`0RoLs)R~Zk1(7hAC}hZ)iI^E--Ve(vnwWr*p)c z-6UW)6w`pxBMi@^?`q&`{21@`9fWaW;Z>70&4Kt`@*i0G$=qZ4*A?C$3CAyON#sn< zG5GFaUZxQ31W(?JKj)Q>!G%H3DK_(MQ}B0-$GTasJWwI@FcXt8i6IqpToWj*@i|xy}AA>^E(Zp-rcxY2!f{a6fj1 zo?}F`y*U?)raVG7&zR&4d&6I=OoLH)8@yNaynS_j6mFj0KX3N!gOiKY+=gfKu!}#F zvd}vPYYw?xQSa+;NwLJ?2DvMkcs|g{FZ+rMR)IsemTvw>?f-Y*|C7i0cNS;+ay^E^ zVs(c0&*vG&|GMx0UvhZ=?e6<2J1nTzcI6=}g=TOA$%wj|XLd-~pX}TOqBq~3l|bRK zk@fkWLnwOt+gpc}`vU1gZl;t$AC92M%e6!ct`fEWb zcRRg!&5!u=y6gv|HQi9Y*bySUl@k^FCWkX0d7whIG@|;7F<~c_8Tzdtd1+U;_O4_m z?2E!(pZAUsjj0?PyZhPiD6%$aq4}{9B|9qD?j<{-QV(7(v$0bs3sJdLPPn|~I#X9; zDl1X`E4_$zw*V?U_S$+($D(3%xNTqKbCPA5w&PHQ0w}_C*@0vhNKxRq;MV(q?9ufk z&RTjSW3zeu$n~Shd2vAJQ%48#_by+$bcYBEr^ZjeFXKZAkNx}N39^5GeTpYDo*w0j zX9b(%2-C#GcJ@pJ4JcebtMz-sKyh?=C`^ABl)Ca0Yu_FP_fB)oqah1-s!Jt(aHah;1+93@eTO$U1$ zQ8sSJljH7&isrXPgAQ9jNf!FDGnec!_&)i*x9UM_#v)!bhWu1Ma@IM z8J4D%pfJ7l+V+{~H4oi%&a59sHPgvDb@nQfiK-X3TkZs5m|4e!Qfp8*JpWN>dNt~= z#&-x)excz+&0YiY^&7f6nU}MZ9W5ef@eSCyF{LXsIv&%MU zTKD>Ryqh|jgcBHdup|*S*lvmaVm1G${lEME@5(2A|3AL=t2Uh-X{1R(!TaM@4==@$ zjEnK~kx6DGU35BO6ds2dq3rovDtQQvx*=zNh7SH)ThG0q`GPCD`?;8OJti4&2RA{el~*(-wtioMa;~tz5Krx5LU~iY`0$$0W01M z+({yQSvI*-5wdG>`Noo*q0gt`C>YiCbMG41d^})Zdb1SfhOf+Y#kRpj>yx^Hku?mh zq#VxNCBE+JcYOMD8qgZH9^YptiR$}Ni9Oj-C|=d8AvbXoIYNiF$+PZ9O8C9y686K0 z9nRXKVWN!)&X(vWUqVS%)+JTiaWQ!R{CG6ot_1GVTU_50wzkuy_T)gKg|Ypr|L&cY z04z6LNIJSM8m9dm90LsUxKQr5(&Xc2=v&*}+ogOIIt>f$16prTGnG)m$d!T;vlV%E z7h`ahcVTt>AU#qS#aO0{t|0ESj@LrB8X{lboE=qWL{NgIHm8L>eEEh_&b|+Ur?A-# zlUd^LU(L69czzQ0+9JPHl%B)d*o(CUiK+>boWI7szqmD!s}`iU_B1QuE}A!4wzeR_TtaegDdF$#)bX6OZ9+&Q zt6ZxY3;Z5#ntUNNhAY87|Es&JfQo91+JgluK3ht{L;*n%MXXJSlv0B7q$H)Kkp@Mj zOG1!TYKEc1Mr^@Az(jb0h@hfkAmM*zn2Y0Fc&x|z-}=}4?^?vUvG@MY-us?=YVUW0 zdad9YWVz&F;v#VIs@|X8<_C@^b8F9Ve*;_YKh*pR-Dh3>b&h z7G6btlS=ks6M5A@AbFT=dDiq0vV;D}4PGbgG$OpAGNlvjrJ-XF&xAat#2c)B3?ux;aXi&_Tm#9E>IZ+?QaOHJpVI$m&GX}^y2 zqX)%(@aB!Su>{L|6(6^uc^ETm=IN|2*U|a@uXdl7n}-jz@qf3EuXoPK{(f}Zf3v@z zSo`X3wA5;pE8!j5?`;N|dVbE@?P-wxJXAC7D9SnA<9brUjSF&Pe2+{!W&?Sx&nx^L zRUrTM={KITC^uxuEYkFQdO*}v)Y;T+2gG^O~GY024qu5Fxax>%&PBE6sOs!+fiI*r_cVl)X~FzH^k21rwi%V%l}0_p4nt$trAC|q;%;HA7s zC=AQEx5QW*#gp$1z1hx>+LsHDMNZ!a^6DtEdL$YT*kU8n`Aim4(tb>>QA&o4XwMhf zn!{*)|NU~y=YfzDw7Dnvzz4`XC)M!58|8ZRXRcUzo*Rgr*1L~u+Cw2zQADZ7d?;)o z|8Vmn0$D!z0igVUANfut;&jH0Ms$7vMCrAkzR=0Bf1|BH0O8m^-F3V$4)Dp&6WW!pPKBs0*sfGKg7eR2scb znnO_Z;%A=2(n2U9?fc{$aRS8^$X@Z1>JL*-w- z*O`tKLY3+BMMsyLLe*`_Ti4pmp_$^K6e82xULu>=>g2!6%J7T3r7GziZ^ath^NP)F_VJAe;}*&2gy` z^+q^6L}-GngA(9xk}MXkG(2dX^pPFuf8xW&M9;q16L z)6-yh*PT87ggWf4U3r1yC+hFN{yI=_p}z}`!rH6OZwG1F%*m%9aEP+}|+{rM4;4P`vK-7Wz632$6J ziS|IIep;w8Qw@qey`Up^?NpR+@c8stZYzj{QYpVow7-F8g=$kq0|aI)Kd4f94t$vi z&K+FC;C}w7Nu%~5IP|{dwmMM+93)>ZYl_hWYtoSYt*nP&zSfm*CHZ=PD*;tSEAE?-)>bsvOX5a?<&TmU|gm3v}y z)`N%E%;ajn%1j~N-m@iwWiAx@DA|je+(qN^&)!X)y#>fK46>U( zoI&#eTKAjCNkHD9s^GwJ15%C+CZBzH8ZrV?-bAfF4cQkHwVJqo<}HWOTGO-d zR|t28LxJrHJet=2kbO~ zTqfBN>~sytEd!_J6J?-?Fm>~ykN2U7Q?=z`Y%>&z><{(Pb%wOp4%~^0YapvBwws`T z2XZ9XoTH>OAfIJ{ZtU8ZK-{B#VlA4-E)@CVzupD4_gedOly3*1?+NXOrM;+K-WN5i z4t>8AmHQBN!iAt{sKF+DuQC*G{EK}?P6HI1EZeYh8rlaLyt&Vb8SSe~-^!!99nGs( zTy)I|kcI4!_be~YvO#XXpv<6s7mA7QbY6U_8Ib3Pw=GV314Z)3y#`~9&^SWKlbfb! zeqf5uY4!SeC`pa0Ul*Sar5tLHByXYh1Rl>q!d{{n>(@Ftg^yfoac=9Z}sYqXjTL>Yxc2HF3UiM?9Ih35r`e7l9a&Pl( z+919l5yfBXIY;W51r>(d``_m0LZy&T{pDmd|9|gY#lq)(P_;Y6c7J&=R8_9AzP3aX zs%IwbX_$}N{hj8`Sz@St_YsY6b42q4XCIJm#n?ghEgx9E8pS(mf5+x8_ms}}N89}o z&sQV-zxVwT;mAJcr^ArL#hc175~?|AP5y>4vIGl zK>PVuJ@4bF1ivS-wr=m2fu|G85TQr_ocjj7+L#Z6Lv2F7fm0V8Wbbl2S5=1A`Rxf1 z&^-eto6Fn#uUNo-?KoADoku|5`^dcxg-R&-QSeqiY#mzH))~(keiqV`Y##(T--5*2 zKOVk|K>2=Ep9%N6U4<}%mIt9rQJ$cre4jiYPwKJdy4^u2!~8(eyiO_$ld z8XRMD`c2T-l#O%S`NPdy!9r#oOTQEeOvNr6pLw1HMoepqKDlSY-j4&X#K@na^zBJA zl5Pm(NwqL1_G?3iWx*4B6oV`2i^7lN`92W4sc{xBzZHbviN5%{RR)e;QY>&^s|x{v z&M&ueRe(3Yq0JAJ56^9Vq4E6rZs2sfeJJMsC$N*SJW*Zq0xYkn?Y!J=0|&fb89u6& z17jYZ4en=AoPk$HmOLmnc{$V78u8jQke}W`+~#=!GEEA@PN4n$r)-=>b-g7aZf~}6 zL8}i$s>2r7rFw8oqT`@_kT(QYo@Sk^w;X)=AN$7dGe!F=8=JWri@-SbItF}UJk*wI>9B5V&Fg1qV;)f z3wRk`X{?kA2Um&4%n0%CXrCv+a!%}PuoKGOwN5-2takWa%@tn^W?F4W`L5=Hi71Qo z!IQh7a_cvD3z-E#y2$nFfq^1qUkeQ1q0sn&?9d4q=&NoD2iC*Z=}`t9{!3E)`Dx{}}$ z0JZ~)?Dw=2z$!yt@UKtv!JOx5ZmWm@o$u4N`y*ky<_(YR-^aE4VcpdA{JldX*HLr- zAMNj}^02~Uen?|>)>|{o1R3AHI5c-iLbg+_upk0!6`9diu=pHr=-vTN0q+nI(YLsJP=_7+oZb+)mWlE2H18J@Mk<>#N@SVLjA%78TINwhCfqkr)iS4f%Bd?ht?6=Vos zIaJXj0NDnszY+uOA!kN&=mDl+)E6kTR7$!G`9o)Jo*QHWq8V?q+>=j0^1oxjiQ>u@ z8b5q5gtGdPSuQ5`?m_$i)QXPRiUy+fjNj@cEewH7YM7c+!3*U3k3=~>`k?Xu&-uQb zUQonz?st$zeXJki5>W@ul7XAj>SMYN9bYVsXFG$&d#_{~|!%?s^2 zFxb@}i1s?*ep=7u6if)n!%9Ceg@tIW+N=3{$ zl{V~y(!(#GcQ~{`X|+mOh@Lc*b}wy>3pfX53wTA&F@Hqg0UnP{25X^gXY;eK0jWSL z8&uQLwT2>lHV@VTU9>+^gV}A%FqD?xG!$354P~F~lm!gfp#1Zu2XkB3cj>EO( zP-*77mF1&9RK7X@ac+B&3UG(X2%dz%kRBZ zGye~$w)kqXPbUDXgTxM0pYEjd{n2(G3)uJn-uFwO$M=#xYWL-GIrC9JKAmHmK$rYo zNaSJlCRe|J=oa>lSfMizdi_~O;sQrFB35ntU9AcH)eeSCJMj^`whT6B8UwhbJFeVK z%m>FkL^G}Q6JWEDt7@R|Cz$v4uaLMo6HMMM`Y_d^77UNBef$>n-}eTju3y51_N2^l z)mWU{3%N4y?0HXQLi)|1#2aGqki=usYWl1jV$xGGzhC%_tFxLF>Jo)@@cgXoSX>-L=Bl#QnjNCv}nLd`B>SzqLC^ z`XCskC5S$*?gj%U!{S{j`cV4Q@ZLO<6xzGA)X`5f1u_zCoTF?aAX$5nVC0oRh>g&g z$@^&lB5ce`+C{gb{CLt!$@l6YkZ`I`Lt6lR2s7U*Ejt5lT>~DxWq-h-9evxiW?F;2 z0+$T*&H<~lrxH`2&(Pax$;m@CeMAdvLDCcl8*3!&`{KmLBH!AKy zW_*uFogB)ibH96&h5iGGtN7{LE%FycW?Yb}L-Bo%)xFtey!j9WZEbtVwgk<$Ob^r6 z-@6(-()kiAh)p7qS6qFio3Di4Wy{^4{ULgB8;D zb^W>J1T!RjahbU}rWs-kI~sp5UxsiWAIqqX1PD>?$`d5Ng~0HEFLxTy`o6|>!*b+M z@Dw_8%830fxLhzanwD}0oVG>oYutMh>^j!8i|Uqv^}*@AZMs2Vey8^Jb3L*cI^>iU z6bKs$gqf%Tr;O{tJzZ>CE;;giX3Dmu>Bb0vW z0!ORKoO&?Qq9nGM$RD+plx0=a<+ZeAm88`bvHL-Kv*?L5a@;JttKq*Y*k2qTQ z&p%tx_IB4;v?lUL9IdATceaycZjVW80)NEOdUCIu#L>BWOj;B8BaYTnmRo6GcCw5~ zi@|3Y{Si-V#Wc@?Z!Kfen!q3Nv^K~c+%RWgOj;B8Bc7J@Hie<67h}?zz#s9nb~5|x zxK)fvYXX17)7s6UF)(HMn6xJFM;xstl?u1q!B1n-qWL5CULXjMI5$?t(JE$--@5Rz z1|uzTbZF_w$ZDu-6Xqb#qO?0y|3v>|?;r(Dw9=jKIOJk-$l1x**^GeRFVB)^>1fIe zP%g8hAIkIE8gw_Ke;Mgf{EX6OV*I2v<)!JLfH3b%O1^iZHFxRKpC;^R|6UgI%JL(^ zKoNWN8l^ztK?Ok|XiKZhB7sI^;zM^)WMafHmP{0a7-mKcj50GmkHcW_`f|(@^UaJH zq)aYT*bv6TKzWx@F{sNK%4<@qlzQ)c^m{A})OX+8E&?U}59K>B!A&6m=TTj?c zDUYj%@h}**QXU%f6L4cqptuC!7uSpnB4;ThaX2v=g3j@8(*z;H&;4nB( z5`(IyBB2Y}$D1w!GA9az2{B+YsL`5PtUMM5dOb1PA`U~)I2c<0Ru5EdV=^$-6V8?x zZG&owe`8Aw7%7jQz<^N=mH!1>+Ca4>HAT&Jauhv?QZu=Ys|OkeOlDNCR4K>R1B1*If1uY3P7jl_ zr45D@Thdb19l343o2^-|7+Lf$_xI67_C6 znUH|=GU6~WU}U6^!!Wt`k~r0t^6sc88{WY#0Xc(}1M7Bhg62m+e273Fa_yav= z9EQo+k~r0t^fcua*8Ku95e7_ViY?L0I2HzanJE}32UZ6-43o2^-|7+Lfys!oCFT)`=)X#5fJ&mge8V0N$ku6cB#AF-`1HGOY z-vKxble49bR9m`>zL)B{sPa>OO@slHSq&kemvJl%^m@WDV0D1QFgaWLtsW^dQ4UN- zoGme2SEG$vVfW)O(E8Qbb;|wpm~j{;XG@!?wxlg9EjJ=Q_4l}XV8p=SA7f#lmzlAS za2O_MOPi>+WNT}0HyI2JV-90s_|29W>Hvpfa<(KvSd%uEv^le4AG zgq6v&uy-9Ljsm@mI9sCG26jJcAAYk%90mrA=zc1ParF?TzO`;+jvj7I;Me>LZhVQ6 zCdFPU2PPv915GAuY({Lo=z3vfTUZ%~fuT+&(gT+B8HXWvbdKmZ3>(ow9kW8p#>NOo z5d13dQBzjO&QbotnWKVJSe2`_7|+vqjE*tV9)e%IDeOi`Q3?}{)8lxjR_>R0c^W8$5 phZ&t0WtfLyn3s|F{{TE3j9>r& literal 0 HcmV?d00001 diff --git a/asic_flow/libs/saed32nm_1p9m_Cmin.tluplus b/asic_flow/libs/saed32nm_1p9m_Cmin.tluplus new file mode 100644 index 0000000000000000000000000000000000000000..f4420deb421b6425a032bb1533a53adb102e7586 GIT binary patch literal 1048062 zcmeFZcT`l%(msre2~kiHR19MlC1+54K$0j30wSV_WQGjGkTa4&vWVoI)69@X5DXwj z5Cfnh7%+i|h}myrx}M`bzxS+fz4woM@4MH6nd#kC^>nD}s@mOq&6+i9NJggSWLpD6 ziZPjNU}0)#V(Q4UhGh+D^3o>Tm})s0+Sp5zlpM(|kR(Y+Tv|+0T3D1MEGQ)O zy{5UNiMgq*s~ySN)R98Avy~<(@)5TM`Gth}v^0eHeyL|bRFNi02>+0wx>v)RO8HhQ zB=)^j-q70G)P!V6A#M1{nvd*cCanFfI*E@=q8OT*hzQ%-7zo)**%-*%kZt*i8YDiu ze|E>onrcso*)Z9*$yQI+G$UJ^O8;!^+JD*gy-jOLD%wilg#I&x1fri6wY23lHRW}+ zN%oF*#-DIK zOr9KW(hf4k$7n0-zbWMeDa$?i9_H6c0L zQyfTkW+aN8jVa06(2bbrU)%aW{rf5FU(;^BAN>FIqb+Y|@8(E0w{RkDH0I&`s{SM` zH(NVFtlcNinVoI_h;7vj#rs^M{|LpUh z9DYdoK29cNim|mJ*=BNTyAeZWKRH@II@*a)*FSUoN7GE~Oeb+VO?H(dnNXCkEC?K? zgvmB`q7nl$IToglP6Xr0*+QAb{LAqC#Ps8?*;fvPwmF)R>m7_(#7Hw@Kz?XH#2Z41V(ZNBdkXOuyDPb)9S!F)_(D_SR%##3rpLF_oy3hKeY) zHMXXj5cqk2jLlD8)?^#kF@;_qWNDVwkXHl~iogpqJEG$LD*iFQuf2Q#wMS6eY@ zr44`b`r002sx`56+EX3v?I@=Idab zPQ=>%71xiMM+_O+_#e{$)#l=R4`?gO@7S%bs;<0W=J)mbdmRN8MO8(4ZA}$95^7>Bl{X<@Tx58d|ZFNnOnx=y4pXdbn zg+)mF)KqrM2$QtFeQ0WE?U51W7ZLl(=ufhR|HR|($(H&P72)=XNq!Sed=>vD{p+{y z0E8v~832Xf`A7)=&n=hyO}e1OPw9eUKgCae{VreVpNjvT(ccj-@xLYhcSe6ly!ii? z_}>}*9r0rSTjGCb^moLI{%?u@ozdSBFY>=7{&z-yNBp0)RgnMx=gj||(ccmOXG8TT z@jujFQ0)J$cE7U``5%>DLtS!G4$@b&9YQ%x9FqN!gEF7ZuN^e2Gt?H3aJVZDDbx(b57Nf#0o z`lImg#$HE7j-<7BI{`(T5KmIlR9BNBersuK%4sW-wAE$4!qL>wnFK;yLL@m&MY+iz zLZYHSL{BoAtoN16cP8JOp)RBL4+=pc32{+k*mPus!~}o#!X)uz&;LsNbtDMk|D5=j zm1dHd_?sN-LDw`O!t=%kJ_#ZI-neHz!PI@liFiL#I zDEW6VO8f!iZ-jq|QQ{96|4jFn7$=>(Zx|)NVwC!cQAk|syD|99@_ciuzmZ9OC7Uey zYF58l$FC-zu&v*cYTrWVZz(RqXz$&vqD>Mq<@=h|(^S+l_*U?(uBolbACr#a#HFLC zsimU6o9UN}SzbI{9gaT_xq2& z(+z#E_vBl>Z@>QU|Nr&Ce`gQ;qWjwF8s8QF|8)Pqb29v2tN*Kd;1}IjB_c;nIn~Kk z|Nqwg|Efvwe~taG?15i&|EJGyAS66#0Dk)Xzdxs#+*iQFRPk@_^W%hu{(Ga$NMzPp zNPaR+NA7<1`OWQlC|F0MB`H^Ee5)u>x7AorHVS>XAofb{Nfgi$aa-aSj~?C=R;)K>hI?lrB9!qj#Vf zWwO^b-anaw@a2J?D^3ZOCTW603CXCm)DrbxU4yJu<0myoT9EhA zV&A>T%qY4)FjB;Q8>NF?V`~K}P_g`6-<|<4RE+J5D=JArWt3M{4W}t8KNj~yF!_SE zle^Q7B@{GYT6O@cRNeAiIEyb6HBK(_ zTpsDDElHgEa)~+WA{dSXqXwuKNLP!?_d|V-0pIEI6R4j!z@>S(2Mt0mhSy;#8uYGh ze|gUZ4KCp;5~?qvA>1>JG|IO$Bq4Jn{Ox=>EAkf$Dfs<9QS@&a?<4Z$pl6TdHN-DHdZMjsJ&qsDYP!mM z0Liq4)7pfDkS1OkamwBs8O$a|eq3G1C`r&-P`DnMs|(m|jtnBx_w9Q&-Zo^mj`{dM zEJNm)hOnmZLu7HBryd(?Ko+l|+pU#{ktM@&HbrXySvzL2Wk0AzmWFa(VZvKPb@=HN zS$#o*M9*5b`!-05=8F%sR6@$z_!hI%7Dx~F{StI(9WofNkNA$eAk*+xw%GCsWcJ1n z9&WgWtS$Lt^IEErd#CRk(LViDvJ!Kj3j9h_aef9lzFYX|%_vvGHix6bg@7gWBTNjxX z6U#&#?;z_?_r@7l)sQu0u5tX}ZDj9^l;+sUiR@_ktT@>x$UYr(y;w;X*$)ine75OB z_Q#QTqB$AJnWtbk|DhUkmfV`|E`9?!tI6rR+Jz7=maAaZVu+;nW;P>-6r>sC6h^gV zBV)Y&)}V4EveZgvgsrwi_Fh3}+N^Qpux`_{h%!S?(lpZt!<&%vDdmxX>?7nVvOf-7 zC5Bv=lvj5HiO*!^wrw+xA(vro%xbj+x#!l*Ivk*i+|F6^i!s_ z%rzb9siLAR>n_{`V-{LK3b;!FdwNiIr+Y;7g+Oa6) zR=lt_G#7R%!?SRL&2`n(Pt?`DB98`u-Z}-#SFfy7lWBm za#hFdkvS_$lR3z)d^1qSC*OXCa}Z?-EkmCrVo=sUb(-NpNtAP}3s#7}jB+WCtGk|+ zp8?n0Ia*iZhV``>;3e<=TdyzVze?+A=G-Gque z=St;=Ly@afT{`~6(O*2Zvj@9)Z^Ecdp?7-e2$wNyZ z5j%fqP3Ab7mqukJ&k;f;+?29=h&g<{bGwiD5K@=Oo=bF0LEND5Jl40#2&ay+vPftk zfOA@9$e1YHiyI&I_PvHyXHzJ zVh64L`Esq}kW-!+qr=Sw$rW%eqt8aOr?Zun^d(d>95v3GJx8APu&R5-b)-HWK8Gj+ z#JjI~cd{W35j%oj_^zNJp!`4@BaRmyCO1#i#7lv)glRB^-U7>lZRroR&%-EvMGa*4>?pw$0s}U(!l6`gs8;43{Qoi-14PUK&dLF z3oPY?RRE)*ZO(ZZONht$Si3>*mgn3~TU%&0AHNniCl5P?HqP?xUXAUVh7HR7%ODlE zjQi}7IXLaiJ%z)5E@(r{H=`s;D46+fII}7p8S_R{Y@W*?;a%$Ti4Vp&szn(^4jF;d zZv(msX`OH7Qxp`cmp+#jK!#l$+LjFA znAaoML4`^j{j`;HPg^Mh1KtaVabJMfgiW)3{T4Vqo_X(huMcblTK6o8oC*`8Y#;Mu z7jV#{o_w~y5?T*67j*Bh$F8>C#hLA|Aa9#^YU@N5ws4={d3)KNUv&Q$pI_vw&wus1 zPj6^s=WMEBZD?!Cr0~d>zKy+Cf$7(n;NN!LPwPA_r!!v~Il3O7osQ|EV9U*CrP_y4 zytB@)=m7(zjgH)xnj2A`%EhtSpYW-}o{xCUZbaqE!jELW1XL!}L`&)Uqw@7G^5-|2 zpve}Aj!Q2C&AVNS9M=I_WvSGcr~5$bE!&nk*A?_>jk6c-D*~PLGN@zuPGnec21wIh zA=l_(0?X<*DBLUbaJKkzlsNX9FP~e4vQc_3Wr=i=oaNRBa7`pk2hAo$a4_%##7=Z z`u?EziTdUoXhr6;R_{56R>&Kx*?4?d2}N&pLZvS8qHG;4QEr9{Dh|xDcsM}#==;v^ zF`T0h+Dfe@^L&ni*7)I6vg;Mlx8}EVI-CVP>-Ku^EChW#iaWb39}Fo{@5eoN!LYCA zI^h@#Mw*A(vW0uVXn)KY(Ubw>>7rZ5Rcesq!+Al8b1@1NBDs&R=|*Y!dU6+eDiKp~ zs&CyIgUXKVbz=ipK)W{d=K0?DgdB0-;oVd)cGSdi#j${KS)wt$>I&g|^WNYvZbwz@ z4v&?6DyZr?oW=Th9jX`IyXx-!1=Y$^Ik(UnCry4#B)rs9!XLhBb@XUI?lQ{t> zdwfu)+-@r>BYf1S@C$*yWr&g8=?6yY`Vao*(Wt6iOn-cHI;xLSX77AIgc@1RY@@S# zP;>QA*Ta;js8#IFeiG%0+Pqmwt95KpJHF6Pg=U62@t51A`Fv4lJ7dvWm076EDErtf z;e)#K(L8>~K7*#XcAJap5ioAw;odi~57i%K4mh){KyC9i?o~M^sONvf^q7tC<+WNl zbauAkq@2C@c{MgPPJJgeby_tVGu>nlId$pagQgyF2A|Z*Uv&S6&;L#F35C}9uKPx&)^;x6bl+Zv zWen`5-yRH;4NHt=iu4__;E&^lK1L^f1$TQ9q%gy zJh5$;Qs0Fmk59gy|40ylG_IQV!(;?$3G1(zdSMRSO-)6u@7KY{KE8HU z&L;RZA)~@;J^~J4_SWST9LZMf*taMMf%$Lm86DO|kekbj(ev>LCK*TMZ|OpCzKY4- zHFSh5BGDIZNk)jBka6`086kCQj~|p8BIHf?Xqb=-qn73)H1AO@lh+A^zJ71ewqYs4B&RG}%+`i5 z8|6c;_xKQY;-~v3sVF{B6?6gN{akv~)W?Xpp)AyDzY>vYXM5-(TX0lfp0$wv z3`Z|CWIbc#BTB}4wBC3WQ720I&(5VI>Pag90lv40UZj0$^SBhE1wU51-kgs>ok4$H z`Z|O}X7bFePeHiG!_kW1SVU$ViDh{wji^wGYO~m4L`Sk$T;Qukj8OQwoX>oSsZHi{ zFfT;x#wE_XG>j1&nC7cFI)T_uk%wKwa}l>dN7zuY6>-Y%4RrOg5J#1cmebvZxa75( zR?e#scT$(%neX8*y8qMX|DpW<@w(rv8ez4LuO2iVQ8Nc_OB6hP{b-;{30a#T^fVt} zAUT!WTdbo72_8$^>fOZ>{=+TByC zeh4?+B3=3YqM)jrUBCL_emK0FAu~Ht61GQj{G~IsLH;0r`eoZOG?&IMO}ca&w409@ zZkw1cC)E z7YIDOihxCp9v;{9;Zw3@v!|*E++EnXLL36&ysg!Px#d0_J2)Tp^ccc!c;3jal9#X& z4&jlPo`KWrlSB9Gvx4qzrnL4-6pHd!`ae0-fb8)0+-J_UNbS1O-%JJ)cdNYQZ}CKI z1b^0ZH7-PsNWJ9}JcSSkYp-ioA~>?_?|)JFH`e_5ISFn~C-AbW6@m@7Psf=2WjNlN z&5881q|2Lms5pMv;>BxUdBk1G%T&HuiRjtumu^3tgs|vD z!J)f1P>9VLt#N`iv+x!*(!1g>^X@=}e)<*Jm^~+c=Ug*!n2ySe zNv_Mwn?X~~lqV&&fmY-ec+cVsXz$z3)yWgS>DKi56G;Q0Q`oik-(3oN2F1&dh!^P{ z_dP2*mx2CV@-0ilUSzI$VKQq`1M*bgUKKl0jv_;Aed%N8P@y#{xdj z2cxEisW$#I7+=gKRx}9{zW5N!37!#DSyvx>>rzPM!3#|ItFED{$vl2vwj6SGTHF_x0Za-B*KaZV(d~I`5b`Zz`f{+OlgS zye+7Ttm@JAJAkUs6dsb&dsOSa)R+F8it2oawOe{KQT^B|LF-WmYLwA+<7`j$Iv+YoB-x>q4An#zJrJ-PElKAx@k*s>a)zy&AZ8H zvbQv;@MlBQRj1M^WG*zbzgTNxqK#&ebK2smBWTtt`Y3o^1I;!T7geWGf6@IPKL1z6 z|9|Z3QxjqO&)C-o^KH7HV>f8Pwj{6It^Oz+HI1%EYEz2Auje_g}ZQ);is9k#pN7Z%4`)jT*-U_a}^!qsy)Kw;y06UO}k zR1cXiOIOZBY7vP+!MxN!&;DxA$QOT2KkxLqzg!CV0Se zvu0$m5s~BaTxhA2Aq4M;o|v<5g5X1r-Lpj2625H91RKm&z;}Oi@NLbb@J+N`sljXk z-;QG5RSHe;oxq^Oi%R%$Ka&}d6@}jxU)J-=1#k=}@0*|G2j_kK%9k@k;1;qyD&hPU zcy9P?6gaCF-YVpK@#|&bJ9T*jR`9P)+s+3-p_@A-Op~8&zD31#aM=8nh4wyN1m*c zPKB4TY?raL27EOoWmgDCz^`nt;ta-Y_+NT^`{}E61k~$|u5<3e5zpuQwWk^(aCyP@ zEg7~5%w}Qi*pq^w1y+3@iRTAF_8dwF?^_VDnea8&iGBo6bh+ke{fqAZ^!dLk|6lLv{m;?;=6$8r%%X0fId``<4R@muJW86)+mIEd z?{mw97b#vMJ=@ym;g~J|O;59Bh@Jk%Xj)=CBB^sm!)$gV#FUhLj-QGnj`>l{b35Uu zKGIrkM2A=Gfy7Em2HYrITdYq6!V5O|vJ zP#a$gxE8*+<=n3bYLsJHQ|=5nJ`XwEf9VZQU-v(&;j<5n$lUG(@-vjUt$j#rUhZ9^rE1yPaAz|Zlv(bDB#8?kb4eb*~BPKcj-P6i}-NwYM7_j0uQYe zr?I{?VlBDBRyK7BTASxapFjQvHMvf=3M0BuadR}A;mU);v`a6Xl4OvhmYqFg(;zZj zEzTcO+K6N^e%Fizg*bL$3yXAdB;wRLPJiksL3F?e-WH|1h;UbCp5P|(2X$eW&$b*z z;BlLGf%9tMKjs~9z55M(>$_f1vvK$dgm{?|BKHr_TRC$Cxa@p`m-Q0*Ggt` z?^)JLa|mBF_+@Om(|yp^o{q@ut^_Uf z?SB1{W1!DS@-_2rj&-69wK~i{&}9vl6%2W zS7yHw{sEajFSaE+Ekj=OS%Hf9A`}f7=xXbP5YKO0nT7j!QDJJ|d+q%WRGN*RsdyC) zno!66HGVYEI@=NibWek>y(rFHbsgv@=k>WheF_G9yHTSJiSUi7!>f1euSNDES^t1*5-2cysXM6Bf|3*ZYjfZBqkQS^xs%LHOjy;0=e9{n}QJ-b+-ygMGn%Q_3) zo{gg1Vxt6EauX^oqPIHRc!1WPKVRuA59q!15AO*Q&kw5FjB`zxQDs8+n^?$!s?o%b ztgDMrZL)*vF#a6XEq)d+y{4ju-Nzv(cMvsu(mm~xQ&1CMynR-iU z0W`0_EH3@b3C$V@lo-m*Xr>Gry=lLI=6D*Zrlb$e?S#!+&Kit^~Fmp(uvrh>w(se{XL)@dc`-RaXKhhxLH?Pv%+ap{TZRp(r|K?E~qTY zBVvblU5iwF;q)Y8;J~6JP&raZ*PSGRDy?Y|P=5`EH8;kqh(Nr}-Rs`yP&$sM++8MWE1KXbNHxaI%g) zUlp?o)G5_-b9PJ+F$%xe0LKOq|tnNb>ZWPS`@qywpRhlhoiO zy+(Pe7Y)=+Rm(nD-3IkV6zd(695{zd+P8Cy!i81gqgR3}T&N$GpKo{ym&-@R=l43n zb#cey%?`?N)m>!JIp;oHBf9!lr9XpfoxA&0auqD|M!FSFh{2w9>y%-=VK{0ZZSi6} zgp;T@X9(>gsC5tJ1S(g;dA!9yF(3^tZ=&~<&EbRVc`GBAZIN(ujM}yB@?yAsxsfnu zzX05+!HfmUeQ>{V(S+m406chle1!J8!NcC>*7f8jc$AnrS6UXrqkqrg@q6xY)bZUG z`au~~Hv2PN9FO2)=n`<`_%*ogF^?V>9EbZe_QE+lL=3VeIkHsZEW9-GmV2(>25+(B zrAyef;5}Avd1_}Kd}4ElpVx=Lcg`o>I(a?#Dx_|GKk*vAPJ^!-L9F*_8Xi@vY~kB7 zlT>c{4!-wi@63Og|BLSb^!a}%|9`yhH|w1ee8%z&G@p;xIm?Vus53G=ak@hPQ%u}X?kC|`)%JxQuQni7DbPbQ+^=ptW?WSP7jpMK?W6nQbbR#9yU8&Zy%Le&uo=ybM&Z*}5zphN ze?FK|nTjHk4bPlQBgj@YO!ZC{K-OEhn9Mq=FZYL4005XbJPvbuZ~q7Eu|%z4F& zur-fvT_@t+p!rWK-hKW8|LdZMG{>FbD?Yuz^7S%!u1Oagklzj0<5|rHdbdC=P+hc9 zp%aviwaf2_9KdPGHz_=U(xB63&!b1ZLNU*r(-Z2Sk+W8h`A*+0q;HyDmk*adaI>vcTuZs+H-s!PjxbrR0Hp4IyD&uH369V8lV^Le3i0!%t()`w zGNNBvb@p$biHH|v(er3~5i&dzl&&@e7BSZ@UU>liZ%F3dXY1ihKO#kCGlf@5uhUK$ zbGRQoCr`JUg4XM8J4gMkP;0;vT-UtE zyj~Z{mW>j{zIQF<_O+0 zcDnl26&y)?8>@8I2L5_eLJcVr@U_;P%hjR#i|+s8^NWA=`76HX@pZ4hpH)y#toyW= zv(hL10kYzM#@?QcLfLlVx2DJ){_w74zzIbQhPwrvm{F=Z^wzayD$1XxZ8{pVnb=Q$ zcCMYMBq|#!?rB%}fu_e3PAxwO+AYS2hAiRhZ?k=soJa>fX7gN2K5Njs7fJPsv4SyI zXW@<=#51g|+PvPWkzkNlN1RW414dYT&~9RV%&hj>b)kzH`7a6o#LNCKnGv#Hq?fJc^(KxqAb1LDZ z$7W2~;^c*z3rg~jX5T=~r_oMo9kCZ@y@>jC8RMs8zb}K6{EN>X&CRKHXzO!wD_RoRYUV*>NUa^3Z!Uo++wdJDrMC z(OFN|w4qIW8k$qoH?Uqk zMXWV$F3eoSv*lY__Uq&If6@IPKL0nxCv-geulDx5|MraU$Mb)p>c8iHem&ttf%^w< z!BD>SPIw$AOp+r9wwj!PxuVm}1@~@&Y`{5CBoGU$+1s|AH|vJAUf<1_o(Hh8cv3p_ zb}DS;%AB^r6t*w@je4wDVdtZ5Vm7cAcH^_2sBKh-{bsvcHsOb1uWgs&%~t_?XRnTt zg~qUtdw94jXdMnzTauauuE2=p)8}_xb6{$7+gM?R1uWLAs1|c>hUJcZ(LF5>Vf8WJ zI?ZtgZ1(MS-(Pkfw$^Sg1zT)jr)E<4acdatr)=~>S0C(mNjgkRc7%P1;TQMmZLn|H zv!nAl;ln>#SpVReHyma+cuOi~z+s~=x8L1*9GYSu!r4Ul{^H`@NlRA1EcePOHzO~Q z$trKI1l7PQ#dF%&nj5e=w1#Vb3GqDSOuBThxB~1Rat({PU4XsWDFcPTc-Wsc+GL;g z2o4L=osD-Y!9nZA3XZfCIE1Eg=n{LJ9I7v79qhM)LtkE6ios<#d{Q@I{W2eiho1%U zTv`QFp7T%J^&?{MZNo5ZEO!W*p*Zf_;#J)t$A?a9F{r zCis9E4##haXS4F$fTA|5fY}`|=~Bym#>N17{>|&=mG-dCxF^W!dkS_Dm*({AJHURNaZ2$;ARM0M zwKERBgd;t!D$I8mC_3vm1S=+i(yM!Kjqo8jsqD_=s=WlKoF|PMWkl>Z>f=w>(*#wR z^d)zMh=s^(qUwvxK}~EnHxbSPwOP1gZ_og&(<^I|nHynm7gH+Za21Z*X0>_dB!bd3 zAvmTv3a2lL2VOXb!g;#J+1o`eaPF(AmC+x7%TapXsoHgLT@^dv?hpspR8qiIe`&Z) z7feVF)PbA6qSFOdd$<*t&el9#4!5EE=k0G7!krYb)ND%<+%;}74la20i|+sQ`F|+? zf4uHD9~jvh6m9}qK*`Go)=4NlT&C6H&x5R*Os>2$ACYpK`MIXuVjSyQKv%pxj#x@u z-N`xAaa32d!iI|}i;-#GR3^Ai zYpYr^iyKste37p4GsK*{VE*h870ss_FAioB{sIfzy@Gj%P_%jGMTzN3$lkwFhIL#U zse>|hBa|;lq_5Si)9Oc@@WWS6)*eSxPWJ^?+cbpP8TPB0>_?D6#VZa@Aq1>BsjNCe z?9U78Ek4j$0nhUrKT8I7z)i+t{eg}5;H)+fdeizCD3=qP7j4nNY3bPOq>uWbSC>}| z&!eJPbo@!kwru1GhvtV_` z-a13rn$x|BpJg*&fRV{8vqBfB| zG<24@5!S}{tGo8a5 z;FZ~SS75Uz+?9^LJjQnpE*74AD~Y^AOM}mf>Q66G^@(qx>_i~S9)3(}pIL)^wW@Qv zr5(gsBJ-3@LlsC3$Z@K!lEZODrFEl7m{oA%%UhB0{uh$=uOT8V2H{-y!~nj4C50s>$j~S^6vEV zLjO5n(9%X{>$xMdr+(2Pb8qB}8u7A4UO};){Ij>sG?cZbwU=EZ_VHJ*?iq2rhsu*1 zhER}u~nV*$Z^6aUR^f9A=E;g4={`SV7CNST&s_)K1ASepWg9a zX&BYI8sf5-sHnd9{+!S-J8F0@8x?y?pvF`9g%K++YEA{EQ1^49=1rncb6W>$HzjZ5 zDe^(B7H?L0Y6gl=2?mOoy+B1$fSJ1XG2;2j#_Lq(MPQuJE*TgUMD@J)0S)~9s1d$& z@J85F)Gl{2k2jcu+MeewdChXDqw-#Qx_=Mq#yb61=U1Wr;Q8?9+QeQS#x|GIH_@p7 zbcHRzKphQR*SWf`Bz%8rQzBh68V%VoEA4!nLHF2qdj9lCREwNhd}Gg1)Y>1A=Lk1N zy~-zp(uVhFXrDuD`>4Xnk9yuc;``C~=4`bf+jg94{ZuM?RuN4$O09-_D$(@ra|^%m z5j0D@lX9U~qnS+SNFmNsG{;S>yvm-B=GrxvD|~d(d^^ZAdJ~c7e;s$>eNfOZy8pxH z|EBnacC&x?`F}afGb?ej{QJwmD*oN~_3aICGcHYM#lig}&a*UM;P5l9Q4iHJ7%#Ps zJUVj^;S*}r`DasMCU{y>Ts5AE1;W`D1SxpeMky?=Cut3EH-l_ED7f;>Mv!lf z@=L4~hNYCb<+1IOunax6;ZA56EH7SAyYnCuR?Mk~_ACm6mFP7Ke@hwYoX$C^eU}sZ z85LDEU zWFjG9(Iy8=1wotQ^ifzgCUDNCT!huC&MW51#Q8R=+Oxw7j<9MlE@_px0ju%u@V-z! zSZ`F6&S-cA-E98x+nFn25N;~4-gOv8iIO|!niK8rwbX78@Pk>QV6E8QS+H1g_3Bnz zWss2_ve|%W5AQ;;BP`mmd>Z6?vFtsp0yCbbyr_cp^uF^&UInnW+a@T+xgXZ&w+wPh zIKXE9j!X7$++d@w*?HE|2{uQ1_sGdr5a->xxhZNhU}zxs)%H>+d$+g)OTm zrFL&9Y`p@S_{;iX+o!lySFaLweB4i1U(AJ_z5AIpYph{cR5-q72@?z#_w5$mzY!*} z{mIr6rZD$9$6pc35Awj}V|?svuSsgHW# zaHDKu)O8*q?_kJ%8(CPs-C)HgTLPP5=G?X#YuJmdU!S$70S=O@ubq|3fa5&-p3s~8 za6Hv^h&SH=6b*0HTDBNa+C7%~-;sn9e{yEaePaJcz_}K7k5)K!+>y0?WDDwSJ+HSM zZJ=&jBX7~f3#wJwj%fs6YMktVmRi#~oZS}E-XI5x29!>o>a#0ES#!H8diqwXEbXPu`Y)TyU%uNHB} zCUo#|Sf3;Otxs5x69Jzt(prPp#D2e8O6wXON4RQ>ukYzI1NF!Swxv3GpsX_XUi@+? znw#_=UpLwdI_u1;H@g<2NKk26EZ=iv8~9veI%Czl1>0rN znu@6QiM#GnVF)|6A%DZ*7X$^jeHpp#g8M7OnYpW`FW6>M_3yf}3&f}d~8`_#n1ksufSIE~xz zYjf$`z;XgU^N%>_J`#n8PKuyn=@htb%@GltcAAKLUzF60ufyrShwG&5c-;2;W2U}2cOJe^{ro+VE?Wm#;jdM}7Dr{?d z*aGDAULKP0dyGuBd*K&$$sxt@%_zA#9mf}@ZlAeP5b>G2M2Uu< zKXhOL!rczP?>=|}A$qSZy6BCyr=VJ3>K&BV?HokwXkz2hvCEh;t( zJZ@7lK_%~*vr_ACqHea=8lmHoWK{&cfs*Sr*8F-f6*GXTl8z z7ax=AN+B>b)(IG>T?51C)WQ6H~({N9T-U&ymp~_*(`1OP3sESW} zxWbUwKN!0zKhBbP=fvl5%XFLBC{=%a-;OsM6$hEfaXPiAtk1A@R~RMs*yvQ*O^pCO zeXmFgvHzd3bEZ{GzIn<^l|4{=u0;6_=_+x4!K&J_ya<(DrA#JI z+=z1##_#j5%>+Z+r}V^3!si#!v7g6Iy!YnO@}#W>ny7YOxw=4>h$)^KSh@#qM9r?U zmnGLsP?PL_^KySMYVNMP(XyBwwVeKx1j-m{cb+j4zb=PbN~Fyr=NBj*T)05$?kFnC z57D1k5&ir0lDhv|VxM7^XlYal@jPO4`U`t|;v9g+oyJeMYK1)48pGg13t&T|#w zT_<(NSh16^dG(7lHm|m_K)rW+@{!L9sJ~I(ME~TA22$FXm`4^G43rADmnjhM&skT% zsV0Sn^P+E={G33Ki#9e)e1mFr$u2|xC8#~>WUZ<22=$gSF&&A7&;M-Z+RscqXk2-U z=i?mU6t`Mmi+KuhM%P(Jao#;N#Rak5Hzo4;?2gZU{GqJA^rqB8{m^h_jCUauL_6Kia<`*KL z`@{@p_0+d9tUF=$r0F_)@)*n)#9Keud=FZ?FMn_pCu9HW>f_$3Bkov&l(&CpknIRe+n2IOEjSA^rIQRZl@OSf zCiJY2)r9#}zo$3&j=@|-8fzE(!2HN@-<2CvU|zjcUb1o==KY=7ZkFlLe!RNHIx7-- zEsXvz{fA)?wzJH;uMLKkGgZT0E5TUOc~#mpDVW$lsCv7AXfJu_8XH>|%!Fk(Z&o8> zg04)`(^OBG?_;^Xn^FPu*0L9Ay~|;-?Df8e!Bem>_o}{rqzo2$671nD3t`dI+RfT2 z5ArN-)$vqr>^tUUrfwAqeYaVanX9>BxXCVf*_HwrueN>EaheGx)kkR+hD%}k{%pSA zifEX9nWr(EjSBN?*R1GqM9k%>{!(r65|C%!YO!2AA7uB5?F$^bK<;5&tUtIHmYXIH zN1yG3rJenqr`1ZtJ4SrpE6yK-?S;GSBlo50QDQ%w(SDInqEyks?t^6D33_e(P?yAJ6f=@9#Li z-{X0|$9+E!{#eIed+)W^I@dn;bzYz0yqd&Dpfe(Dn+ySEyn6zTPhfy7-6f4OqPnyCMT%TG`*$|&+u;J+DeCR*l`Ej0@TN-Lg?7zQR z9Y%IfxE?zZHEJi0?QNX>Z+m!u zlmFkI`;F%-Y_i*k{xo0J`qXZAWErfR*~WMnDUGfC4EIcN<>S%gu4`!tPpi7eop-De ze(Au?FAG}{5|U;h8%vIWfILp0abEZ&x?9G)2!*HTQ=8W{s&FSc8|9eXMfBR-8p}Fh z412FVEqC`Rz^3%%_0rUKSe=!WIUxUz(EPb~B1)W5LPLKdMlK53d>mu6?bArrS^Hvq zd>yXN3Y@jmU5A+V^xI*(2+c2L7ZG216`=z%>vrttM_{gZ+Oz->SH3n|Bqz%UFWtI) z)$m5R-x1uR+$##>`JQ5P0!8@rw{B9BnJYLDY7OH21+i}kAE)9Zk3L!Z!)=Bj1Tc;_;hGoH~ zLzAJ3`6inBS;+=-2>p<*pR#^B6uBkjbUG~LNVn8#uB?|wQu$YTGNQ&g{)Wb<2lsCv zYJi>L-5a9Dii?6JdzBbMQnoTQZsA4Xwu3<}IkNEeVc8aVBm-Vi6KRSA)9~PI8V_@~8C3|H2+ONtT054ROP5 z$6S%3xe$GCMH8;(A8zlYQ9`Wcz|jGYHHfsAvrC|6MVNXyo9*CfTB48T{`PPOc9f1w-mx7joL7_;SSu~pH}I(v%^_T?z6$3LNq`3aFuM)N5wOm zs>>>DDB3{&dc{)@BHt78o@;6?(uP}Yio6?;ygAjdKVuSCg1R2u)w_vU?wD22h&WDU z?cM_aFEt4JDqUzVa2}y1>zp_Cen3$1+rDn^lkkr^l_V&)3_cT$V@ZY<@T!pUDBJWA z9(OO$@-LTJH102o^9%ma{A0gjd77HUm``~1Y0At|rvEYS%m1wH8Ke1o`|~4dYGnPa zKpZmUNHg+xa3R}Y_oh{zbO{fKtxSFFlZlQoS9bH;=2MG;(yubAKY2bwkHQ zREr&@L&;=c5P}qn@(Jgg`p7h0E5o{p(4fT)k-PVsqG0uH^d{d%;q#ILN0$#Mdb9TV z*&GQJSDb~;V@i~qj|odGlR(J}trW`OZj_$p*eFDjM$DUeNvJ+@623U?;_lSvQN|{8 zq~moXQFHS($g*$>WxjLI&pB(OEW3_(^{4?-Gd`%eFis2rDP=B>6_c>9*d*YV9X;>*9m3E6IT~bdZX+c-D}MS zVh$0UEKxG8f(lYON4~NuROpbr&mdinijqxVpM?q&@qLPkMw$Rr?zt*4c*FpeIyCQ0 zjigZ-OtQa?hsZC?Kdhy2B|O_29*Z5){z~L+bR8*n*c1Lj?r*#7_)vaCvgG;t3RGN3 zc+bbvkIHk$N*?*w5Iwu=guhNfWlEbVs$)Zr1T8#9_1Nu^cQ=U| z9-)Oo@sA#;aTv<7yt@lECDRHls3m)Ta{r|rs1wS)HMCIIl5f?v_2VFhWy;n($OD9oK%>1T>YW{EcT zMxu^irB?Z%`&l#w4@zG@@)(U3Zuu)8?LuSUTyu^^4jR9wNiuQK+N`|-c#`+xU1zjR4KRX~0?&b@rLF3CLvN+T)t9h|;6 zzhgRE*y91zbGwVq%=|u z_zm)pWO&V<*FpLHsT%=nhM=}rpr<(V9W-uE$K;-2h35MmGcPGhpjE?}{>CQ|+S)?t z6Kdz7{qDAHP(&5xL-yF0W zR`n;jWD|LSo#vyJQ_wlOuv0ib8#gSbd#kD3-0zp_hWNp)#ne; z+iU92;dT~!dcFE?%JR^Qunc~=a20yhckI;c9^stR&Yp+Ef>4g0F4$?)0=4@w&uaS( zpn0XjJbuM~oW9s1Vo{oT)@GnIVhP6j!2^E^8XFAqTPh;h@Ueq-WzuB%L> ziMh{K_4qwxuc2>Y#uH5^5B&<-i`RyNp+D~6$DcKh3p?hV+zE|*L8@kk(})wwdkXsB zPwGPbw5w6uYZ_>s%KvUmeiu5c19s0zmO|Hgm1F)|Q|P5Ba_ddqfqoRz9@zu+xS;g( zfeO7LF3jw$7~-mifeSIC3F(HxhkIOF)6Zcj`Slu40yhk!n)sqrh&f5mz)n7$Pq;`+ zS3Gn1I4+8|GVSASBtFkq%}i+kI!`a3=?d?L{)$4q_n{wfp+`&cjMO$5DvX|bHPL{J zVGr2Y0!?8Q`6?iH3nPp#zR%-%rUDbDghxk{i5jS)9TYm1$6>nXVAH^(ESSb_5PrZ# z^o5M;uDae^3p2b+?dnp1ndbwG*pQnrtNmn8@{wT?_y3IZ|0e&xJ@*^WcWtLVrb1}` zXWbr6LdY_V5eYtaobb)5uClOK$CdH-HQRFs5&dc5jXKL?gol4UTk)Ex=Z~L~>$Ye{ zKy)Up#%sbuF>iTF<3c4oFSj2~Y-5M}>O}DshCXm!KJ|H|Jq`9r-C3W`_`>F)f`6pT zL0Fk3)xDAQL}McfeK;8@q4{$z-Ar>w_Woy%Z4H}{s&_CdfN~I57mkbFqqaxPL#6HC z+TS4JTz>S4GlakINc24i?l}ZjJBkSu6vH>qT|Kd+o#+d%EQkyzKL1I?`{fvci;1Fv zYXMR3^}70_PLKv{r$29D2o->}!$83Cg(HOKANTxd??Y(*(W1Vw)yNU$9=9GcN17>VfF;C3-vI!M13PDK=NWU7dsnpoy_6)bE-pFW?BY+W~@`K`%yTnJB& z4EYaodsL8HW}`!X{~FS5Wc3BqmJ8x;s&mMtlbIGNdNxy^YOmpH#doX2 zw`39PA~h%5#D+*Od52UjRfJvS5z)ArgiCMFt+x>7M!-jrtkzfS;G2KR?82_c@cKTP z*z|r2Jn9;=(lRFCR{C5}tza6?wh!*cU+Z?T?oe^I7ABkP@QS=Fzg;J2x{>`bEXy)bJ=y1)7JHI#ftZYk3~ zl%7{I-9q&AmX@Rk>|RC80p{#ZWls?P@B(z1wmEeuGx++ZoaiMki)GRcI2w(zn%Pa) z=-QE5LH~4*FD0_LX6AYLOpxdE=17#n1mTtWt$jo&pYREqI6~!FiQ*5QhEZTf$&D$g zrbDeLHGQ;O#xDz{Uz6ewvY#f7e_Xz6H&OrI{J5^4meBTVwpm!TOrreE9i3XMTPXKb zof|s-9pxq2A96c;Q2sRO&?U=5$gs~^8JClSoSA)^@ul5m&CR$Zma*I)- zKIm1pcLm{#|H7U1-l^@{jyskuGnog@7jHPecv zTZnmnNvWX0`j2xcw?0OHbagi>D>zaVlUJZR>f_^h!R4skxFhWRvd^fK?&MPc#EkmW zv#ZEA@}q&tVkjY#=-s^)vt?K|0*$*ro@$#RN2C5_D%BMoXpDUl-OFf&#^#t4hi*Bd z@$DW9O)GOEzCU_YR8SF3J5Rq4v|8N1|6B9_BtF6W-?6@5IX>d~KU#PQuTGYqUY&pY z+Md&7FIUxyBtzCFk}+hZ3=}BVMSd-!htl(4rLwnrP~F^h)_xfk&c9s6%GAvO^%FPR z)9oFgVR+%pU59*Va%}4NlKKu!ANEfa>@Cpj9t&xH1&WRp@bRDR<9!O3}Vhj%JL!oKn**i$+7%hsmhbOJfpygTp zNh)+NwBDHHGj0ulwovXut|=q5-PU$V?GuA`CFiQUr4G=3d!XyXHgf3D+BPu8uYnF9 z&-U2K^^o1zsekxgFchwuCGlE}Kt)krHZS!F)Xob&YV7?6ja&V-hM$Q!&ogTSgW(ux zrH)_CC%1yOguR39%v)&R-o#(cevddFX{6M5Tj&Jse|aUZ4mw@IC3iNDLU-MYNJfTx z&^_kp5CB)`TJEt_(A)>zm=B!~9T=c+WT%CVm@rgYb*;1oM4=wG?^5WBJZL`dxA`Ot{6y^bZi-33YCRIkJhcO#orA2ItK?%^%Hp^hvTJtHCMqvoyKw90t*Z`Q(PlWKL*2! z5sP+FIb0N`tQAPo!^JGy{ftN7z-YzDhQJIi7%3#55|VU>QNn7~DOADe@g{Tgd;4Ha zb>Ut1_xVNR{-2uvH~IhVx!-vHd5ePtu}+I0YvY%!JY-$;d?nZ!ij?Lr_Vpc?aOKPE zOP5Gv5Itd#!gu2V!lP?=FH8v{Bz5)3^+6)epD2*D?BI9!lug}z*!vWo@wDOx3g+O> zxYPe$>QgvxFpvGxUI_crtR18wWUv{wjoz4f2v%O-#V>s&YA+kt8NA_nkCN5Pt@moL zMmGPu<2CC!kg6Xo@Nly=u8{_-UyM(Il>5RE<3X8 z)gAa&Y*%->_61%p1+p?7M4bO+2YcH@23$PFf=+EX4u?6i0QEL+*wF;(ECk!aIl0`8nxv#nkC3NVoY1#dmL#;%5K=ng(1;oUqSusAmUca&h8lGK&191 z0gC`a^A9%A_=qJU`20QbycO>7XK_E8IEjX}Bz%k{zB~wGCp3TRjd1y6gy#P+@SgoEax2Jdp1dn2*4PkwFF@2V zCDjHw9^aCU`1^HO?a_&-5#OQLd@m6$Bs?sCZw4U^Z_+n@FGQf|jwe~N9Pmw>-LTC+ z0AA%`pU><$50BFeli?jqa1EQfZ}@ctPJ;aTLY`Y;fBpl>s^bQP=C8<^ST~Jw^1NQt zrdZ_9-r;`P4rJ;*^;yw8f)oRT{+0d`xLR+`vnt>!V!grgyvPWVf!R}qU2F)m=-Y95 zCE?fmb-$cyH7Nq-BbHG|77?CVVjpxA7ty^zjn;{U34u!k_;9 zGJp4a{$!4b3m)ePU)Hc0b-@L8WOo@Yw;3eXIoUlYolAHm6<$1em8t3-ip*l24U`Cv z%tPb8X5Mos9;m1H93lKrA-pP?D=iJnml5mgjMW(N4I;B>U6bw13*;`d=r&gKCDu_{ z)0Cuh5k;%TiZfxzfDnS6H$9pV8T70iPV9Wg@=NT zk);uN=Wa(C@|xB>J>N&<7vet_YPgT0Xg=1UK7sJ{U%QueLCy&!@AZ8mhhk6~qry|q zErzn~&W8(~6;Kwj{Cf?TG|E0vczwJtM%3@`*lkKC^2bdq^tGc&|*UFKFVk6y*mab9)b;Mfu&k4i!wPs5sIzy3Sby6{${#%ZOZS#iTW}hdu); z4{olmjA}-u#n~u1${mD%zQp|J`4m(>$eEvwkwNZBi%V%bNyOZ0&eGlS_iqF7(!Xb2pV;d} z?q^!tas1T>levqGI8!E(F}W>(h`%@axq3T5RuXDaL|?a@!_h))Z^b#IaGARgdmzt! zV({X-ddS}{IiM!&00oKZ7L^oDDC8b-R@xH+MG~)N4AdW?c+AUtjyS%e2Yb{4Wjz#& zdPoCiTA|q2r{CP73-NDNC&Yb2agvO|oweHyQm@$Lt19wvR_E>O@=d!T`|t8^XW7fd=J<^I?ybpmC(j=FmxMoV&)yHmey8#k7jORgxc|A{72K(r2-l$1tgZWC!uL{>T4?BHzMz2IK){Z2`#yA#t*;gK`WPY z<+xv6PoB!}ZBlTQpU%c;kg__9E#NHZe_2Y(iqZAL-@B*}74q3G4Jz2#4 ze`x++$vh}We9DXkwQLl=nJ zo^QfCE~{xEde$v~VygtguUT-Fj?*Ee#P!^viwX!RbkdRQOoq>`cTQKWyy2M}-ruPn z33pCrlD6<`a2DZU2@qF;{j=qdPQROh?P_(~RZ~H*%9Wp}zdJ=}e);<7iFQKsziQpo zaSPc8&4QfTB9Us8v9M>?30$Lk@p+i91Tp<32Ctq4AwrAhyAjt)Twd;ec}Ggr<*1PcMuPvgl$bolPxmbGFEqF3axO%s2f?I+42{Vok zI8BYEY75R3gVxnw@!z}AZnUVB=y}Tgdd~xlgMyHNYCXL@())bP$`$`v?wQh>xJ!l zw^PBZ!z4=0MGGDm&hI5jazD8+oCzm02TtAKi1HV&!{4$Watm+idT?n9f6NI9cN@&pt%P*&7?iX(P;o zBxhqBu_nicz^KI5l?Y^>rC7*#1K&a3m`iq_;l0n#aZTSFczoV;GCp~n$p2W!*s*KF zd0_0Op^F5XpT=j0w-bJXuXrp3>WJEHW~FYAi?YZ*)pxOkSVt%A+f&1X_rsCQQ+wy_ zvHQ4EopPb-q9S6|JH0-wb2m|p19gC!QX!x7AK{$!&Ek#`^q zj(F)m17BS)w&@Q#@aAkI;pbq0=V8kmC(;*>`-?UIkA-+yhyneNIRF2&4)5Q-wkO$` zwoYXwBQi$PZmsM%L*%%$WI40eB0nu8UURKA3e&wM(vFp(sJLmeEq0XX^Y1yVXU0d= z^o(wrI4p*ef)6P{<=;`tWOBscbs0*n$OrUj2_O9G9h<3>2|t@JYqu4jB>b^G3j52#ad1lk6Nb#CgUg6P%>ucoNq7N$}*W%-od2V_X4CT5XZ6M<8rv+=+ zTCSs5!=Wy^@-|BNpO9WGt3%04fdej_7AU>QKejuJ@UZM%KVt1%P1L(Lef+SUsN;9> zsH2c1dTXm|r85hN?Z>Ud#Tzc5e5=l*ndx?vizDNeG_jT?cZ0mG$R}joB$XI?;DY>v z<%f=*>LY53^gYyWzeTaA@dqwt!e2n8c0$IQn6n5>+cnP@6SYHo&a!J0UY2&w1BWJ5 zQTE~anS)KIQGVjm-~pRJlt;fUtoJ5nQs7C}~%=svamn>DUn+**ljBPs?|Q z_Yr*vg0^1E``Z=owL0Lls|_&*oUdleKdE#A|+WVy!cU82M77r^(4xR{ado&wtJ{k*m!C z<@dE{@Hh{Z%RJAWrW!`&BrSg<3lZP1Rvoid-HB=ybLHwsR;cbT>dZZS2sNi!a@gdC zQIqjW-%UpxH4{?b`(CD@c0bJmR-ATCG(d75O zJ?zteThse<3GRR7`2KCV->CkwpM#00*9%VHG`40CSvDg#c+RayN@qaS^K@5ST~;Bu z>6I>GsJd&Mbheu5Zp%!aRSJCA@KdUqPO3iyoev@+c;M)>6^d6*Mk(C)If zTQ)ve1Lt6Jz0p`RIPBVVB-hmnwn|U8g}Yyd)uddw?yMUcZ>;-nCm4hhx^1%+4+zh& zBMmD|=S-1m-FlKqlLXh+u$$J0OPlz!67KcJgVr?htPkig&BXEKB+QukT z_dOB;A)ri5b}WH8r$Q5WSpKZ{1qr zgwZ6jVYg=T2b46*J#~xRj+`^A=^BKF2yf_DeUf#sH(PBX2HWs02BAUc(KJwYHC3q{rMcR-$R}8k+p=QZYS(+Dd*^y1_PsDloXrJ9Z^Wgifh5dNqt zh6f>MUeZZ_JA*)#?U8#4PcpyFq3-lA&ERdkWy6W2gTz{6_d*VCOM(0G$@_0~WZ)uq z^D)cAdZLd{{>AvyAym8z-xMQ!9Yt(GYp&coiEP#Myz7a!uZ8sr6(Ndo~ zji`05czjz;bQ8Q?iN%iU@4_>j?dovbrav^ljPz+`4RMV>$NfLze1H7^ACLRjD$joK z*-ET2Z5ne)dO#SND-WBe_N+wyul*Ij9yjy-_#>3@lF{${`I(z zIM~?_-WdF~|CM-@#|qYd4F7umZA(J>E4gX>@u7db?xRHV-A1o<82k15&%~4WpRUKm zulG$7CqtYOrhdMkkTpwxO9=eOBCv@2KVyA=5PymL|FPePr3?ShL0}R0f5q~CkNQjF z{(sK5VChQ#6A@U%{XaFo#?J}=pZR?y%3pqcUuP*V5g^h2Px<{N&HtbH6R6p_%AuWCGP)CzXeOD{hx@yBJTg0-~Y+%e{la- zEO1eNe~J75iQko_YyS5_U=jBhX@0&xCjCos{(rAqy>vB82>cTQi@5(=^Zy|JAKd?~ z>6hmF{{-36gCzw1Ef845{XaGT5ArW@|KH;NE?vYD0{;^Ni@3i?^Y8zm`Tr@__hZ*N zLJo+V7@Gbl{rOWD5Xb)6%d-^g`=4N5+P{RrUk-sq-2bilf3SRMzW&!2-|wLSm*+d!Pn(tQ74cT1Lzw1mL_69g7<|4+^TgV~F?|6gl+mbm}_ z@{vyqPV5zp}e-ZPgLoXrlS3qD9_kU~tAH-j(?fEO*ouzYFLg0T7 zfkoW^Q}h2I|59zw{~qW|M_xkUFMz-z?l02(0{^wP=f|$4+Md6_En7N;B?SH@2rT0M zZ_WRMo!o-Li&5(57U1Qv0Bk>)?RRNM2f z0C(vaO9=dh5m?0i-d2>f3lu!#G=HUAIdFV*(^ zUx2yvmL&xKMhGn8{-2uv2lf`&li`cv5 z+w*^p^3pq&5cumMu!#G=HUCeRFXi|DdN*t7jFu4i7b38T`+sWwpX6Wi=>8YNymY7~ z1paaeEaLuR&Cm0P=3nyd`ODp|r4w30;9rKoBJTfV-2cJ+CGP*rKwdh~5(0lg1Qv1s zALBmn5Au^-{os6-TsUAsBs`(6PPaXYX()~LYNL<$6vaSzTCP}&YWg3u@ zQ5R2Xy#?v3ZmJ|!#vwzEn=E|`88SBy&AziSMW&<5-r~y?$jsZSC)mCXnYZ~B3yO{- z^Qoxlw>2w}Ip|tzA$Aa%uO_ZNC_I47*D~U^$TGuPF^l!t}#oTTUUZozXy+@&q!i_eoMri{bhRQuAe-H;Us1CY*_y+jc}$)o+burSMvD^J;lTo-QbWj&e^T`L=`6CZJ+A83 z;Y4k~6ZeHY}&6=1203rN@CB4rFvyH}LFI zL{_fSsH4#y z$VIyAu$oGwE3z9dzoVgB>lM^V^pY0Vtnjl$bL=~a@#D7^dieTm*`6t+$8*Stf#zn#Ul#{2^DxXn^B zNs-ucl+8~%5wDZuJh6KYx%J=Gx9ZlSXn&8~%zZZ$zqym}ebp|MJPbMPJtK=!dAb=r zTLY9{ITp2cB?(Gz^e_iLUx(5jKYOWw11NpfcmHid5K7;<$&;zmqjW?dBTs|aKPtWc zREajRb$U_!gxEj2$!z%^e&Tr@{^SG^lzy<3+$Srzi2J`{eLso+Bfr09g7QSl{DSDJ zSxWQGla!)^Gn7_~e!t9rJ^#1meo$AO{BG6j2>Td3S}k=4kwU>#*CVA6<&ezC+AV}= zx-Vh_HD3_z;W7AfM<$}{wj8zYxQ6KdqSVgnc0_-CXSGb!1JPfnZr^#Lf#~^`2c0M7 z5JP&Z^W6T|h#|YWW1rwE#H zTf*-kmS(-~)~Wl5rDJ^cX!i-kt}l@dStXCyjjL+=ShWzlDKA$$bsVvqd&7J<>JZCR zaJFlCEkbuZ-EUo9frx3rf+@9RM0@5wy24tGm}jeks;RFcHl{guFgg!$YjRs8u9_lF zW+ce8#Tjwdp*H8Jy%6UYbYf7G*oK=$kR}fxE;eUs;@CXmu4tJEt@cFRwOX&9%Jqm# zI@>j+LA)>dA_ps%D&kVMZ+jgejIaX|anXsah0In zAB=wM6vu)Djp@5F=WZY&{meveKm!umc8=?>*@lDx-KZ@ecOc>Y7w-h?k4X6J_f(YB z1_@sb!#fXDB4PRoy_OUM5@yW4J&WN(!mRTRVTP4Rm}BOBEJNJyfLKb&#;e46`<1eW zc;kwHpK#*BBV5}oeR9Hv3W@7Ud1lU8ATd#paiZKEiSNctK69-^5|z@|Zp#`Z(aV&- zadtt{CTHU+nK&eE`Es=CKsu6`zeKr^#UN=L+sNJ<^2Bz~GV2r@lD3~T@Ui+tY;A4~ zk-k8JoXfY-sahmy2(F#lHHG9UHqDn-ok)3q;GqHcE~J{N>b6%lBDGTbdr<67qz+P_ zo!rQS)GrC#Y0tuuwoHJ-D=QUg6!A}34u3!zHNBm#Ll)9jeKE+SX+s*#uQ42efaS!D~s^vo>ukt%?SzSpJ>3ZlWthjoI$jt!`=I8C^ zNMq;gTsT{d@GiMz%F$YQ9cTGlw zAA|+jX1iK>!L98Z7`f+o>Q%2z}t?8NxgTggR+N+v9y zggJ_I58LOwSkW!gx{#$D31g(6cm5>DJcmfq^wN^ZmGCwmTOK&R2{!3{{Eyd>xZD-?C(WPdg-V|gqNed%2`&(VUtVzn|J9qwT1X8e>G^A_vY2lBYu1Yo(g zTg0QNN|DJ@=D~tKMUi1L>pa(aYLT8(DO`76EfcwIW8F=1g9jNOspO8+(jzL+Vy&{< zJbbA-;%nJbV5eM3BN<-@!!=JXO7(d_Wn`nsB%wpjkZ?zf+pGb^Th7eAR7_aH6$k$nNqBD_Nb^AdDFzYAtQoZrj!uqKTv)B(KF-PB4e9)fkbJb$OlZ7{fVGSK;tfr9exm89`{k+0FuRcdeD z5P84-oPIR*Zjru3jt4Ftt3}#pPYK=ooP!+hFdE6KLB#cvUf@5#hQKSE?q1OugY)k4 zTpK?Ym~uQ>y{FP0nu4PGQA2$=>y&@cefM36J*kt-;?u=`rE)FBPx09Krj%W2&K(TStk-xc{+U-`{rJPbMb|HB;G&^qeo{!;U?;ZmT&Y zL}<Wn+4{K$x&e0Eh+5?Suj4-GgDB1d99MI%&@t9{8QkxvkLOwW>A4)q}~K08cn^E>2? z^R|?S&?28Vtv2w%e&lOz6wiMwiF}V**RHp0$d8|zu#%@jem)JIT3kKyYab}TV30xn zt#4bhecm9yb1iRw*?Oe(z07}Q*F(%rEH^+<4%sttRgc>~A-DHVEaEePFBNyTN1=qbMRDZeqDNiz1=8Ig`^|DAKYfFO2R%kqghQ zW!KqI6xLZba``Tbl9j2Cro2H>-nQu%&u36nF8Z?b1@XGNy&6T!`cQP^ws+1$He5eq z-uZIPE#!^O-hQ$%g3$1x+M7jAqUd#q#m<_36en-F@zC%EO192jZ8B>?iOuj%**8=u zDG>~EHzW2B&cE)z(1X%tJ!A9Ncu=}Y=iT<$1(b4q@HovVj8b84+Xn*TD3w^Sm#L&h zsZ>%;jfD}R<*B}JQM!o&2VFWj9wijF)a5U%eTLHdXLsZ*GEq)$sCh!i8s#s(=JA0J z6}Eweb|$^3c<#ONLTC*tcVz@6?p}pTtydD36SSxd>8~(Q3x1y9`s3p4vd(mzCq_cg^0gQt`uZH-%{3>?ye0 zJB;&(T!OosUfSd0FL3wCICI>IAMSoWWp@m2Y9-du6tVjdnx?y;@r&w_{e*tsiPY+*yQt@gG7 zB^*noO6Ai@Z7`qmT7(joPkbPvhQ)GBgtKrIaMu(9i*2p~CNe86xo2T=mdjO&q>X z&y<2n0^xgktg|ej2EK_`7>*x#1mE0?6h^`<@GTlu)_Z&ezSZ6%8>mjh!%W^H?CfKB zKMDJsaVZ^sYd*C;de{wrFZ~IsbFBz)7T&6JVLt-d!kHL8DI+jti{Z0GYXnYTio8Wp zjGzPLIiZ+8Qpzo zGl!rjf=3EGnip~ZPtE^>=8L%hUp%`1UAUi?c%9c?OaoB`YNyYah#-*tw*14j4+u^< zjDNYS2|E(0XDpNIFz3l+zM|oa3q~CR!H4ajb^OWZD)wbi{^Ogwa<5Qw>rg^! z+uco{xQihvvN_XbbqvHPPm!u=%Ha@8^p{4OBJh7dytVyh5_mLjGQR37LVC%{E~(x- zh<;7RGyX_{xK~=i-up@6@vTzrq4+H9-A=4gdt?m@$*4%u`WYBftY`RES_N&FoKxeL zyP(=Unf_^2G34pZ>iAmW7`)@#+7$(`Y|UzD z<#dCQsJ`6Fv$N3M9Zvl~TovkP!^f-}+n~5oIkaKC3TLwe3McQY;FRRI_(c7$kl0h~ z&%1ILME&xw-8>u*!M>==fg-1|-;9HbM1KHT%U)yzJJ=xp?hxPkv)Q<;Ped z;BaSc6FRg!TLrTAf#6Fb$Vu?8?o7emz_QTfdB`!53~GkT8<2g)RLN1%S0&K9Pye{Rzd@}OyJ-JsAs*eKBreywAWGJva zb$tApF$&Vx(rpqTwr!=mjh7!o!MoHa`za3+nmo4Bl|vPURC7L+SD&JAZO{v`YC@}T zD7M`$+Je+fjmfW6gSg(mS#Lyr19GW%mum`SBJVrTjeD|bC=gn)CDn(}-sBBs&*Du` zc;?dOmn2tE=x)$$8pDOcjN}8{(}bqKai&n5jT41EhMHW7+fn$Y{p2n?VlFUQzH3B_ z4TTG2Z`fY4qliNOeu7*WidJu$ym&(z>0Z0u^eE6FhvZwb`>n6Y*QeQCt?>wjI7$0N zKof-{%P6ZkPoPL+jY2xT7K-vc4C!UPQ1piBU2Tgeir3Z{OMhO2;(d>u_)aLG_;?1l z&@y7Hx^GU|j0MGpJ&{~ToKS2N+I7`;2*qwx)K}tTQS5u;Y4M%S$l^=Ly6j|wg4NHD zdc2DwKIcATAVV#R14p#{`(C2tjP87{%tn-Se80-$^#-Nf>l7q}_oCEHes=d-EtDoZ zt*TP*MQN+g&es9qMBII~EqRn4N@w&fn#$u)M!iEpTS60M8?}uEXYEkNI(Ba#^90KF z=;o=(5OM#LH_S+fEm5McTwGk#6j5^C%T47$keN>$45G?wtt?agW!!qjh@wBaafM{mKU#Yh++?VfpoHmou=8 zpVg{LJ_{@Lq{?UZ9IzTtxtGrH0M-Jhty`z=!P>^uJH~1j)+yYb+w#R=-8{L~x?>#H z{bg!X92~IzTsqAz>j#@<4l}9d6tG#nc3G`{J!}{cQ8e~;!-gebbyfK^44bWYQx==S z{LBSmu`NQd)YJ=&^09=~^%#jkcV1XK`0P6Dq63@d_wQdd=!1=R_0>1?J7AMRqpU8y z95#>JdAB$+!e%aBOX$`#Y&TTjiXKscEq6k@(8Fi26?wJg&A~L-o-SD@=|u-y#r|R^ z(p|9C{2m%t%?{(8WRhi_>tK;bntrsaA67$hMs&67U~??Ud|Cc5Y&r9Dq>`#(+g#ec z;-v`exE}0$o9F>MyL&eM)Z1W}@1{-ZN)Ef80XL2O^{|_cJ!{GQ2==s!e7Xbvu-`E< zvfNe)_I%ew&UZ%;b33`K3k%AyKUsCdLFF^d&D{<%KG_ZHt_U~WtxTa1!-{N&0QmTQxr~0 zrSC~xi{WJJH~VmQ8crdHYZCK4;gl?0t{D>qr^5T%$;0$;s`KvOVLt_%-ARR9)G2T{ zBB7L=)&{4y!DTC7eSk}b@X9{bc(`_cuBWjMhFglJx!T}fxNnwqQa?=rciC|J?>mj) z?!-KDTv-I}@e<9wk4E5L9MYJ3Hv;ZATQ5fIUx9l!r_;A+VYt6Yc8;Rh5BCop9$GJm z*H7-R`Anqwh`)c<^cuWBNB#c*?x!{JXgA)cLiC#A0VJs-h`M8EFp-<`cvX+DUB$4U zWvveEWq{?bOIxNG&caCC>&4Mye9)c!rni@m6`D>=3{<7>pmJK!%ysNOm06|>tBYrvQhO6%!h6PM`f(-n-ASZIZ+?PS(fGPC zc1fHUr0;Ib8hsqvpz=><=nfD&2LE>Tsjk!V!j?9m0 zD9w{2^QZ`=yYmEMzi(CW4-P@dtNvn_-7@fw)){ak6NmGs%0{N_VA!xXv;}vn!R+-a z-RhORFi2Lse$ZPCIv2Jv*Eb)72IU^-^XijO-u8f*Upf!x!q{s>&GtZMc7tP^MjTEH zCEf5cq=Dp>i+$0Ls386n)u;HL5#w%1ONya463&ec=SXWJjPf+K&GJF`zD#J)N=k=2 zSHh8neh1jKmOHCul={B)YPLx7s$K;Oh>`!rO#i~~w!g)AWGwq^8FMwRy>3vsP7LZ8#EWY*g zV??9{T)J4%guv4EU9S76;I%=SKmW~cI7{VlBstH(CY)FJRr)iSKd6!zWDdi{f>Vxt zCS%aEw-IMP=>{#w4b7{9F5-MulRP7v92DF9U(8nSgItg8p{gb;$fPovzv+%k&~lqhODz~FTW#tTtbv%oUi&L5`*2yybf0Of0Q`Ew@;+|Z1CRdKM(5Ow;S^Rt zck1kC*c8>8HpvXYLRCs3gNp>lTLryr`2}!+Y`qkT)iLOhJUKu=mkNypsqB;&(onHD zCtpXs0{NXn`x4y zi8M-sL}(5XO7(m1=h@HR?cewJUBACSpWRw5>$$4yUXMDj>o|||bslfiy|Z!g501m| zMwnb;$^XUY|LY{)|2x}LuS#C^|Gnq;FZOL%B6sCb{e(~{e1{w}>7*086S`hq%hd$a!c0?@cMn0k^dK$BR--P|q$+U~W_P4q%RQ?Fk7 zK%4hfi}4g_b>*)omBT@2IKyNl(hvF`H#V6kd7!&+Z8`-#qTxB;UZ3O#J-=E{ zaz6v;w0Ta%?E}5TSdlNr7WAH$(HDH)p!YvLz-qw``p}M(qRR;LRF$sWmLP_@{K#^a zo@UZhT>MSz?ErnyF@)*RFVq)}Z3(v0M8hW9*Ffe4G$b77vtZ0e!=0V`gl@#5;aB2` zqiG&Q%a^P^vFJA%Rit9>2AZJJBFMASMjee_Jiq$g%h7mPa;0?F3N$998LUrtMPqvJ z^ufI@um@F=}RBl2G<0bZhd(&tstY#`*?v7TT z+D{&lvS@W_EGSIRM{B8d@RFCaXnhj9X8OoWv@R$K(;QvVwpt7Kb$ijaBPD4h={(xh zty=3+bOX&AI<-F;s2rOl^DLWC_S2I@e`S5@h64;&yRn3 zw#WXF^y?)@H^A9JI{x617P#?Oa{W#(g!|>xv+pE$;BoW&eQuwf@C-eZBf<3uo}W|s zHD7hWOZg;|(;jtrMT|+gE3SlBWy007>R#~bNqZ2g@ETrkhZNYmg5mW`ddrtuCU`F| zTQ9736W+paHVgXk!+X=Y(sI$wa7aqK87apN7o}?lj|NJ^ePto%Ds^sn++US2?)VU% z@21m_h#!PkVS8fmAq9AgEfw*(+a;G-(Djev4`jn@-pTeu#}`(bpB z86JmI=F+8Kz{{B7RglINcpn`Ij;$y6QP=O~OH+eSPqx?Bmjm#X3h4^hW`%E1N4Qn? zFZh-Z9w?J+f$#mNyipuh@SPm95bgGX-;${Cm3K)mwY-ZVLB9C*~8THQ-gDpRw<=2z*M)R)>9%f$wLJyr~n-@N=wvwD!j!{OY8x z9$d8mztMG-JeEc9U-pr0$mIw8H+|yruq}bV^0J;~>$>1?IQz)`+CBI?1QrKLAA`U5 z7VDBn@$e7nP>ztDfq!JpyGx3%;7a4`$v@`~Z#l|L`=TlMnVw@@VVDJff72TyHYX9V zd26og-ZTW110K}V0C5oR<4r> zyq3k)I@p20N1Fsb8?qsA*hkSjbQpo3H_%@%?L*+~Yp)o!O85lRjoi<%5?#6OvgF+m z1TClbIY`wbSoay9x3K_1#4{!ua?c>7edUMA-XesGtDAC9?nh`~@vPXE3ka>FO(k=@kva?=dfbru1pWX^API&XWCUp|b^hkjt) zRSW%N2lAO#orKmxwws)zI1aph9B15L1l6>#jAzD&Q8LW)lTrLCvOOPO6MJZn<1;$J zaaNxYV^&rzuqqvgwQdTPN}ff~%JJ@!EGJUv7cw5EA8KN`OIBt^rV;9&Idw$d${A|L1~of zGhTBp--+BcpRPwU^5TTdfZ?81%832qx6>hg3=x%68`gfijo@*|MPC96;aBgxj!Wh{ zJj8g)XK$Q^(`#z-yvRn_&#uhBKkfyq>0e7+#WG>0d*?&ht2y$0*ypI$bO-vC>Mp9K z9MFzxvw7hxiGzv0?t8V~qe8i1q;8)%@{T;N5$Ui(`mWouPwt;Xg65YA@su5;kCoo7 zKeruW#dB=N3z`UcwP?h&)ehblm>qs^zYf8{cFO|B0s87f$iQ>)ndR6kk@j zmRiy)r>xxSC(@Ev!XUQILG`gb z>fXpZ`wWhuQ2jBt6BWrSA1%`iQ2b-HIxlLBt-j#U7m9 z2sNWOF?Z#%^ zxu2+O8QiHTb^%oOk1RdcIzip;(U&KBi?B1#&vSIC5KX_@?nhl4sJ?GsD!;!3>XDGm z;wlF~jn9!!JGc+jw1Fa{pMpmKkBGbFVc)X0<1`_+h#fAgp>MW1N?p9~$G-v&WjpL$X3cN%EQ_WW;_JA-C+ zGX^vKlv6wl383 zDqp=lDT0cr(V;wr>!_QKIIvxHJ7|NFK5}kjs9$kGfMXyU_5JhgTfS$a!H#YB%B?(T zxYYf1fBt7QF4|zEB}jaHIZm;C+nv#9T{C{>+!8b%%Ab4r?I{{FR8RC=vPEOb-c!34 zZ$)E6t^O{RQZ#m0doSk*N8_Eb?Ioffpk7IE57zKQ{iiY$CHFxz{+^L8mzGAenQ24j zLjklXYTm!Yp@Wv8i#t8;iJ;Z6k8SdhD$)A152f?kXdPb>*mU9;+W3dUH=V6Oo8rd( zV{{R;S<^Hg*<3|iu-lr1&=RyIl^0*ia7No1Kb`^;7qpd!yNT=F`J?v#(fofG{vY%G zzu2C?ul^_7^FN;rqzCxd+~u(}p5nXpj1l%NV;t?$r$Fg+XoTZA z>e!aOBXFAivHW6u4xAqDUnrSH)d(Hq(T? zb+YztRd@i`sM7-@B{6W#@zP;?+yvLkQ!WS-4&=a?ka<0@vPCl#R-+ zu;Dh^?=t-m6o=g!ygcb}YUCkXTKnK4{*8^g_BC8ru()edqse_{;@%GN!EL2Y#hM>) z;ATJd!zW%GZrMF;9YQW3FB_$zN)NcbHaNPC`3u~>8)>c;x(auW^n8^gnQ#}be?vRF z0q&dM#XParg1aog?_G*4?5=KnlJ;r@jvu#CDliKdH`_XmvbS)Z*(&Be_Zx0Ax8!nu ziopH+(!u@b_rhc41HbsQ%i!U$IPC`id3cm%{*qkCfX5T_!_#Gk@c8aL>$Wu;o-3!h z#qPDhb8G(39ohTgskk7doxl%I9m$P1#>sVy%LA2`fR*o~l_@84s_)%V~OxD9<3gC2a0w+ZV!{p0_t&l>t604MiWNTEWNGI#26j1AI}m4WFy27u~Kuh0pL~M}~p(@cCs~By2MaU!LZsp~-IeZcub;T{aG1*;?u2?S^o@ zH}>YxnKAM?*7o&Zn4R|9)6`qw$(d*hQH2(TW>BK!N21|c5;mj0(fiN>7|hf zFzCMh{82Lkj+IF$8J|Nykva-@?&e*GI4j_`d$ z;3Ct86Afz-$e!QfdVuSX+W#-j|5s)IQTzXM4!}Slzkie3FYb-@I8J!!EV=QoT0-(j z@rVKcMt;Jbsj?j~yMXW~f%%)vKO=BiK^&`2HN2O-{3MjF1D9(N2RJ2ugQ6(C@|)8I z*j(qEyCM+|^D=k$A|X1AYyC3Tn!kZT(&+^52@B{leYJcZbqN}y6T8HhRzUq|jJMk! z;&qMb1?OoLAv?_K%Ghsbr1D%j@nT5@V#33ELiQEm@TnMwoPj3@vS%u1j;n$1T4$D$ zzNc{W-J2TEv;mH1-45*Plz}~yfQI`I~wy5Lx3auH?5k0Pb)l#naQ=P<@ybR&>Y=$dW4v@9@>1h8tr7!} zuJxdT@?#kiLbcDtB{SjJEossByi|lQ#Z&8gCZBfZ1#=MivI5~&R}_Jv$U7=KzzAo1J2N$U$$pI z*EUpdwG_&8*@^<4dv zn@A`E{5P^LaX&$Pmd2Amg?r&vVqvI6<%ZMgl4a+f>A}HCG9>k>BW!9myw$n!92SWk zJ1f;BU@8`-Dt@*SMmjmKl^zoBchddgGD{W|nhgzQS*%44=WD^*Z_jaZ!d8@bV78OuC2bcShjq zN~1=2Fv5vDGQF=*4h|M7BGwmJU@JY@a(Si+mR|kYGxAJ-)czlu|F4sH|NYsX!l3g9 z+f~1z%s(39VV_XxeSTt^@NCt4Z);mNiKE7L>TqUnJ!*w}-V8TW?)6(h5d>Kyl|xbP@!rcvQ5{tYjz_0ilThP15p}DC_}=-QcgmYipw6wB z-6)gnmQIz%9ye45b+h=s;mj+<#~+E6HY8u&;~(z-S^;Xr=g>!PCqPYoWmUfPBk|>v z&CXYlUDD!?Ps{HVfm$Oda(i(ws11y?^sU!W>^>vYIFXEs9{nOPV6aIssC=*XS#2e~$j`^dX_0Ml(c&t-bNXTgTG>v0H#TRcPB^cO;7aBicN) z`U9j66OF%WufKpU+6vjPYmJ(ttts~1&qyV-b;oX3r!1iDamUP#YchY-{y&=k@4_cD zynk|g{$YEXGfgLQr~4FFEdMuQdrU4YpN?bpgjMLMY(#DdY^Qvb?gmf8K2c8b#=)m> z*fACv{(=P#g_k#E4t2xf^GAog>5rhSFJHmYKvT(--F_ou)l2AEGUuhmrMy* zfO67N=835QDEX>6pPnd!QW3(O*S!)HdLAWE$PSdYEwz2l3NTHoJhrPv71mh+%LtVV zd%<^eE83IcAkUG_`GBy)A7+YF!}>v?Xna=T@d2fNv)NkW2T(rR^Rw&UhvUjhL5C(` zILdtGi)ZwKqxQ$@S(+If?JCulm88MZCquxDmCWG}opD>iLbP?Pf8j}~n{YgymA{K{ zX=X!LYdo30koSR3T7+aO92nOQED#Qnvh7Y`p=SmtUmnWbD*sIGGgcn$6A8x~TZ>W^ z1L4H9No(t&AvkRp&(-6j!O2LeY}KdZaPkiPIi(FaMa_#FHdeqX!?1_rz5|>Jl0TOW zn!%~k_Vj4<0G#U0RxR01_VakozEERb3wvwX7Y4UCfa0?Edi6{?9IHBx3a7n*Q>02K z|6yS`vktopo+I-Zj|h(IzlfJrmsnC#M1k}0Qh}n2YH(q3xc==5!+-QDT;6v|;IdDK znf<~jT=Z@ndhPKRF18O(Ijk8Vy_uAkd(s-X1pB_Gc$CA|Cw)BNzz`@`ed_T=adYy+{U4GGUqjlx$@aMqoQ`L2+$0KkT z^yav|C=l+7GeRQ{8gRE-hA^4eaQ8oRPT>Y&v18_XmFiO9eo9z+`m`x*BGy-gU$0{d!tPB^P{|>v+?aG{INo%Y;|T z2z+kaA4b#evN5OD_JJD0xfyviY4E zvLnk~@2ZL+b)9_8{z8AmBzJESVe`e|7T^7948IW+Z#QbaPzPVX#|ty}ufy%~1^1hu zok*X&d-6Gd66}q+V|A`Jz)CWlS()Ae(|19KCLcz@D52!|-A2OL^eW}-zw88^O>XjE zSxcdD_(r^`&=KB0@?P-9`w8qHO_3f}Yc<@s?Uo%WH z!fcagpTaOxw^HI~74*e=Y&s5gL0j&^@Z93PsL%{Ky79&mBLQt)F-+XpHow@p<(;7m&1lk>Eb>Uc@L` zSH9BkMx=QPoprnmVWHpX)>7dJTCLT_W;y`BPD=gNRx(>QSe14%Ko)M2x$7=HdIhH^ z2~h%Ka-ggpmy0i8gIygzLjQH?*%hRvK5LQIET}r|U)S~r;+2OsQ)(tak zd!0#kU^gY1HXkS4{mII_bmI5liktr7R)XTVWyd#uJb{WYYY!c~wG=gbzs!dBE+@Ur zMeg`AGEY$L`@!`z3RGH!2lxFxP#3&AMe6fF+ny{snt2p7i{$gO<7DSGq{Yj6!%EOn z#adicX`toT8Z7eW1g*N9Gx7-W|C_&txaX|^tz$=Aod@}P^CjETtqv#+5G#~Dx&qZ3 zn7cz--=MAvEJ0j^Rr+wZk>L>G_6r!yr(_C2=R5L}rsYcb{7uh1w|0VFtSqy)H3sy) z7r_e*t3jVEkIRS^LOt8${7je{>euw`>{PBty;Q9~>o0cH?@fBBtHz6Zb-u9R>#tC+ zJMhrV_%bT@tE?%0p+$C&b?M(#*MZI(a)c|4ur``ID`#(BKm$+B{b$8Qlb_wm#O6YB zbbc%Z92)RNqw*RH^DR+m49<%&?|+2GvsIOXg#u`7XISR3nj4J|=1*7e3`67C?)tRp zy=a^nO(@PtMAM=N9t-zxpo!zut{1b-piYh+Z?E-6gGBxLI^8TZDfuT_bP$d|&-gs= zfD&3_3)6DH$DmcBsa153CtCA<$v$3ZKzRIH9RUdzXxl!V-?qUGZH@{}5)Eo-OI~D} zJ4)D{^7(=KHkO$HgT zk?fb>ZTA*7ACeR7XxCutb@lnq2tn9(kAI{sih&)g$G&EL@;u$k`L;Kl8+HyHTZ8w$ zfn8+tvC(5YVV9**-a!~py9%9!`FC8fYk4m8aCr{wy4DNc9PA}?=Ps!bkwBQ^u;+Th zl3E!t&=2zmz(y`vA=}dewyq^FjVLm(lQ!QfHCh6@*4UM+diKDcD5kMRTk!*FnxKi~4>0~`WZn|M1tfJ3D5 zmn;@%I3y(P{3;y;hqQrA+pXR(6=65d66b(bM@W*({0-R2^}2~26oGx6@8l*s9XL3= zd!#kJ8xBwPB+m2LgR*&dCKvGzDNfy@+q6?aIg$H|x~Uly>bfs=xe}nS@TtJF8U??T4xl_)KJgRyAp72-~H@Z z(_7e|zK|Je@B)t4IhS$pUL|v#vP0cdad4G9YWsx!A>8h-(Q_i~`?i~(M{80jMpE`c7mt6`TtG1o1-oOG6dCvPxnj-Kp;Je0p>o`1| zw`9^7ncxwk!9J?p5099>@6Y7w{;2)`()@oF|Nn;CFTU?aPrQE#S@_g+@nIHHjy=82 zURaB0XJh{nsdG5QzEq8QC)u;#Z)JYgryJf8KUI%C-2+!S@dILUTS@Gv%D@*KXdvLg?CqiF#1%l32+%L{@fp31+g8TO< z(q{_Gvu?c!C&9JLELt*QAG0<0*K{JRQrhL4msG;+K(ty{{c;$MYKqnr%feub{*Y-=9=#g658{{Ths~QD&a#q9Cq^T=@<6G;B?9B0yF^ZACxgcHWpWF@Jza1@mX= zYy$|16bMciT}Nh4>o;&n`N7jHo#W)EpKw{Vh}IwR1{BFO1L3ppU~AK_y8H20SkTVC z|D9d}lOJY55A6@ba3N7_R=5EAN%H<19-M&A;?p*ht)8gR7V!Fgm>+p(x*tE$D@3~0 z``z`Iq>zv&OYIUW#j!6DSuZ7>5N>k0=m3ui0#8{5ur1pJUk+u8V67nBw;qjKKb{Jw z&I5a5Gd#$%BE_a}Wg=|C*6F^{TMY}PPvB8HEj(msTaL( zqc6hj+WWO?cUQvr-7T}G(YL5uV30_!4@9AJ+3vTQ$B-j6d}KGrJkohw_msN7MUu8w z1h-&1Vl1;p{SF*LWQMuq8TZc!qo1vP^i~l;CV}j8Q6cdAb!}|RV@7y&52`x`5+9pQ-hw5{k9*~hd(Q2j4VRUg)q;v^|PpTcq2)X zyNTLShPZ=@g#T8KR<^iJ{Q3le(b(0*hi|oTI{HxdCe7 zRH@?R9Z>68IhH;qtk0E)2SrnaNH(N+VCl&{pguS~TqXPz)Pa-JEFYy&oV%av$+kPF zWHH*~7JL;o=F6XRSCAgVwu0g|P4)nc%@^K1yANtdx~bZJchK0gFPo2iAuP{`$_foR z&^(s9T3lfUEkSomY!%`3&-F;13?$dJ6St0N!u;nP-8_qL;c@lmB9a~kS}hGg{a$)R5Q{^1PO0@N#ANw>@* z*LBL})V8Xi-drj4Z4}AEbXcY@zWW3!t-~w@$vlF(=e%=c_XW_!131sbEJeNbUV*Qk zGHBR9sZWlMM?;;B+^yq!Xk311$!_-|G#dAJ#DBes#-u3fqO-aV=cMQGwzcr`4Sj;6J22@iesL1U!J&M7S>`95lX z$}_@fGQM82g~bfb)LW{W`Hd#Q5~%a&Z4yJL2JFR^S-ZN(8eNNC+WNgZ5mNF z+Ihs+KfJVb>YEYTE_^Xo`EUts9ZZ59>e*;}CcD2^+8=G7M?3-s51^f4`4Wk1K4|9* zKKMKN?H{%OkLLfo@c)?a|HbzFef2-tp8xr5_?KmS^j+#FYvQ6I+GfyDeeyT|k#&@Bx+{+T%a>KZj*&$Z+QM23Stnd+ws^!%8@I z+xm46VWpV7aqXRXSect{7!B5emDf{y&b4{4if~yq(X9=um$4@4T*0p8GEkdpu8tL6+I$@s)?keplA~x9wyPZ&`m}qwX9mZ-rY#%3H$fjpP#M zsdRE5U0ZinDcFcSdL*uY2R4V-Fa4JD3^td1p7Ey-!sbiUs<^Weu;sHH@udgDR;D<* zRA?Bs+IKqb6OO~y-b^X=r44NTZ0o`TDq$N@S+}TIA4W2@_XoqxU@p)fz~Z+9R;wJW zdkckOJ(pLoqg@ELQsLPnA0NPWfzy`ZQ#VXvot`I<{3?Crf}f3FXMz0dj`OCGVpK3rAo7=tkEqusflJ??~k@}fjVUQL*~ zZ{#u(`2?%{!kcC(60jYPP6(5IPI{bFp15ApyKKr>cH$lBT?(Qz`OHS(Ff}_h=5`Jg zsq_$UlA%hmtZO~z#0$z1SH`)!9VCM}r)|>r7bsN*H??BFfzm#2%G?r4dXeLWc?+?i zJi5AC?~orTg93SyJJylE2PY?v!<=xCNIC5oN#-h3zN@VQ%iy%;RG=lpIXJy_^&H%i z2j`%Pic*rh>pZaPveE7xa9MjhD(Uw(xL8pnb&K=~Q^vQI>&0fcl$E=GZ$AGY{7Joa zdplg7sw^&voQBK$b)G-C9>8Uu;o{M!jBs6YxNmfk$se`ipkjzrnZd`K9-c?cnfc@AzR_^_xg4LE zQ5AwJ=@sF;qwsA%5<;sVhC5qoQ7p$#ILUv=U@|BD_l3~qRrhrMa5(Lk%-&K)B5!cCbwU3w&&*_34RjI{ZFamRIej{O z>es(aBJbQa|GkEtNVhw6Qd0UL5{d%UI|-+FY|gmc;p{NN-S)25&3%HvQU{AGW!CVO zS-fkDxe(lqnhnnDb;IfXb-L%ZD`Yoni(0jR0c^Vco4*bhkRD8A|G{^tmfbL9=p};!N2Rb#r)p&K9o=$`+ZicDZe(v@N9>w04W$!; zi1fM_G4~)Hp-n%#iX*a_<;8sQ288v077y4)n6JZ9$~>x%;lIA! z;jn!uym?t&V_XB_HgSMW{&^>ya}qw+t|htf&tJQ*zw;gT+0vW)N~>Yx;5?R{$oNO? z|DpN+I*IrHm+hhc+QRxDxjz50@BPABvD~awE|j&pbI59HqVm$@X!vvo*<~erGW+vT z(;TxlY^)!(VL`D+I86!D^Px7=seoiH)ikPrr&5?`_p@JCxIV1&rcL zQT1%|7q=dgGk-lzltn!nwcnfkZt9Zx!Hw>!O7>h(H;G&J-&hW+<*^!OkX_uPg&%lM zepDy7KC;9MF)vFH=5mqK~@Ry1JsIM6K-|Tuz7#)Tg z3xi@5myfli?_GsT!3X_6exshtLZKyT5cQkysxM{lLA~Og z-&ILw#Lw^g>@X&PdaD-d*O$d4AIBmlx2YHPzFXRM2E0P0-{Q#a8zMkekG-~gl;i;I zD7t=yeH8T`iZd&iZlFQtb48yJ+1_9B~B3rXxEdml|3*ElHuR7KPFnKh>k zO+e$A%a59pUxg##~ndEo0R7=JlSw(z)16jS=h&5>Kk{G3k zi<2GQAgzn6323vLh|!AiKwE0I{tkU>v^AW0lzCzW+8%aY)FF9&ZJ${8U+(NiJ7c%X zF3EVb^Dq=Ji%g?kERf4mvg421|3~xxUHGJ+SN#6Fw#zj)v6iclpL;g3Mv?3P{E+_7 zUnl?lugUXkZ~XDd{=!!n3>8LwVPu8zdPapLZWoxu=KM?u4uC18EWSk~2d2}Td1XW` zVP>Z7vcpRWX6N>nur(7;?OwEu&N5w?%@pv+KD`EW?z_SjO9f%RDeHwqF)PgXHg;Hz z?S#3eS&j+C3FfBycbpH~!`yyvzH+w~bWG1W3U&s<@Qmiyj32Qu{$}H#)#638D14MG zgJEW*?poB@3$sshvUi9VWo{baB1gO<^Ydc?a(myx{7Jd+W1UQx|8~V~nRHmJDdqAJ zj)%oA{<^SB$*|Boo<3Mw2@6Ygy6Mq#uyB=-KlA+yEc_qG*DjWZ9`9Fu+wLS7m8fsK zMe=V=#fo2B2ycd2m9^osTQM*%5z`MJ5+wKW3UMN}Z_z$yWy!x2mh2be?N@Aq<$>)% zZUN1(3_QC`$JPjzr>}gDdTjzrYK*k2Lk=vvp6!<#NQ7m-=(wa(5-dlxy_GqOVL8d^ zxjs1-`g11*6~?_`qWP}B-i6FtCO=G=k$eM-Tw6&Oxvm z)O&3(S_5nO^;1Qn3$PA&!+R`S_fg&6Sz}GcsI;lPlqW|Tw$fj!pqpF4ja*U^?RdJ zur*pZ`T4^L?A9AK-JYv}U31s^*S=(LaYdr($fBRH-xbAE&e-E4L>dbz?){wbq zl!we`E*-gjMxO%?H_jZR#HxW3HYDzvI}FP7?}~=*Avo%UXcwPRf@50h#XPHFcMTKqfD3(HGuOdM>gDB|&k1v-Sbfp1n6OJ)eUXbVs=&!~ zV5I!T^dGhVUz-1);{Ug={o)5s+OI|Uk+om`!%Y!aq$J6TsD6|{w9k`5q3T*35>1la zpmPv`=Fbjmcj>~rQcLqhK`&e#4NV14X@D|h$@eMpr* zmKpkt88HPyLU$Jh;qV*r)eEr!2x{QjW4N0F-`@V9&C3(uzQ({foR>moPcvUDkCB;O zBZYo^+8kCB85$27%VAc1wCfn#A{cARONky`1A~)HqF2dot!`z<=ab1Bq4_;DNQ3G| zH2-6N?)THkRX7y2ARLVoM-I&?b1ep$G9?8b=|`lAqkmF~I6`uayu9^~!ryJn`p%}k z@Jy>~X;yHBi~ghUYgdx*gY!L0g@kz6_C8oDn`j5iwVk=gN=QzOmv`ci`VtsKv5Mdd@z-tAI;N&As5`t?eC4JVS^1s?1ZSd5skLk!1VRwMFWE8U4f z8DW!r9tR$sM^I+P^KA{Q;IHUqB2IiH?*mmaj3KY!zMduMlLjxG@9OFs%(lXDd3NRH z9pu?_`})DJ3rAsdbE`t_zF&XT{vVqE?~`~%$qW78I;*qre!KjQZ_Om1{#i`pI%QN& zNNOm?Hlg}P9ox2IqWQmYv)@m>MDlqoy>^cbqfWN%*qu%ZvYRW~x4bD5bpuv~!nb5V zUCQ3|QHgNqtAeFRmBxv-KXbg1xdT+03klWXBriwN=!e@QqQ%vfwr)5RLUQNt-l;3K zMp40I&u`7UQ9e9vT^eMCYEBb7*&<=oENraIes>3TGNGa6ry9w>k$IlNdMERO_U*pn>sgYA!?4qA@XQqG%=3~WN66V{o$JS?7!^@{+^S{ylm@7r z46C(Ir-HWe!TO=RrQ|+a4(pW)f^O=v+Uw&z&@0z3$O#XEKE{y!qE7?$JX_x6mY1Pk z#^p5A8lw4imnMzhEJZzK)75YN8${UmJZC zl^3=!%omcKXJ?7@>}W&Kjh8OVvVVvA)T@mfmy;ZQi@xGVjd#)ThPUHu`3^Lyt?uJ$ zA3|e_i2Uob*NN6wJ$ua{nE3kri+0DaM$^*tfrE49Xj=2~XV(@hH0_}9#3Nk#pp z%F5el(sN*+N)tkprMAF@64LufvN?61Fh_&WPo^?@2AYnK8lB(XhvtuKIUYVBySq=# z#0T3)(Ha?4GyQ=HtzWmQ+NQrJ{yy`qg9=3JCx3s-H%Zu@i=S=MXPiGktP1T|oQx@v*SW6KLPBG%#0l4DANJ=6OfW|ET?cH2;4d!TouNw36%0k~Y!~KqQCHA);SA7<>8yZV0-Y&}-h4xM{olEEL zL3e|7N9r#-=s6@t9#6=JzCeUi)2}F!JrS(rW|;&1^wx&!$K9dd#I1GJI}iHzD}<wz*7~v*1_IiW3h5Rw5Gm|0l5U6Ucx%Nc zvQw<-)tA-GlnR}$;-|__+oAV_srifGI_RI8W8-e$4ui!e&DjsEV4yc<`f>X?7{ptg z&LeXHgPJccpAPiH;4VXXc)ThMMmEa6V>t$c8RK?YQ0) zMoA|AW3&7)%Ic)D$5UZ+;eMkghZ2mcSl@{)l7eOt|E_dJJ?Oh%Dc$Vr0YgiAmY44- z7-eh54=Q!Q*hNi$Zs!gdPvv^^dsV^2#;C7$95*Y;v`J&)9NN>D`7JK*9>u_lQzD9SWN9DQENSFI%1P!|F2~7P5Frs2 z&vX=UNcv-BgWxy<-M>B1x_%knJ&})<0?3YJ!j5Aar*{)hjK21#(ht}f_SJO0_ytS3 z;7z)5oiJ6nxHe^43PyYf-kWj`LI3!xHN1qG(Vkg+YEzj3G!n*3(!yj>@}W@Ryb>MR z=}h~o_uC>BpB#GfZ4guDbNiyG8xGIRFY58BN6-ygM(vpi_|9yc$w}VML4ub&W-Vz-w*2^ln_7TX_9I(d6sCKu|&m? z{QSI!^Am~0=ePWBS(MX>Too33)tkdO5qo#b#B3Ylw6r*F?kq>7yD7VuRRuz7HuO0ySVr(qh>=}g(;Jfk zxeg>Zr+ea4r08lG7)!ljZvXjlis#E1bL;h2b$DwBHd-|#@q80B-Fo} z(-8cKD0ZQ%)k7HwPvyqK$9M$ZHJU$I&Dki)qaPc8tn?d&L3z(T#uTEn%Y%Zqsk>NaZsx+slgjByxp7zDt(=9K5%;%T@iTkm=BsthhW zhWLP&a12pN9N4=Y4%{0<%*vM7SvCm${^}!LE=}`NN=+gi;C*aVm=5H3&XtBxh9M($_;d^{5V_DUTw*)!<#^Dj&E9b>owT{y}!%m0O=v-oLWD{JRrVt z)YWhvC(wi!hPROHXWEu2=3=EApvk38nAE-i4Lk2#%E~1fnhqtM%1=v;h=x9NPG3aNms;hen zgZ|~*&j3}jTPrCw5i^>JddtM|rI8y^e?&c0W1ltZv()asja!2H${Om+e6ow%K9x75 z-H7^Iaw7g2wWxoziZk>)9rZ5-#I@wNp=t?B+Fb?m{kz~PqW0x9=%=sf{YX_Lzfbs) z#MjGcC=zPazo&r4?J19v>|)V)s@6zlQ5MnFjgqz9VU{QwV@R5Y}0 z-FACxDVhc+_1;*nM2pJ&e!jOBXx;wdgn&sPTAw$sC`g_}o5A3Vl2scCuU{3!vGyq1 z-ZMNt$zhLn5tiIylEc`p-fyT?$b@#6{MBC_JJEj3;?SKT9kgdX^%rQ_j`s4yosnd( zqP_V5mFn^NkJ|t5_x@jH{@*#g|F8M}zfbKK_scIRvXJw0jTl&BrpbK&eDB2i;M6 z%bK+z?TW=PrLX-y^3m!4A@9B8ss8`};fQF6Xeu;lNP{M-yA`ra(x8m&y=PYT-h1!8 zNme2=Ldr-3p)`;vN&KGg)%E#)ukZE8_2>KdJ^yrb&fz#GkJt15cs%X{^4`CMBji5d z;);tVG;hv8`ZZPXv+XsIFg&`E@p}Q%rm~XvSgb%qM9GoX-AnM3U7B_O!Hm15F5)AX zBVkXGIMKP99p>X+pGsak!gyPN!B&@T(D4}Q-?vs6x66fJoU`eKDy!x)lSu@W9zLR? zQJ26~`#ffOp$kyhHl)k7NfeiE-WF{(rh**%Ga1RXQjiK47|vM}hm2bXp4>TIM`pjflb`@*hv!{beqPWCE-c``2QseA>NVpX}rcmgd8kHA<%*%Lfyy)T4RC=m|1e-Z;<|t)-`w}+gUK{7D z<6$;COE-6c4@QI58jjkP(E0AU_C&8cH2lk~pYW33gX?|zn~rhZT2JR^{(x|?dX>eF zZ`=Y!y#lTaYJMP$+i&g-7ja3d$x!IlH{_n>4Arndiv(M%*QJ<3=%HEV^tcP~8N52_ zosD&9QR03{0d!qG(!PrmzJs~T z%FsHZ7Z8l~uTr0YN>SNIs*M|=%=_-VjoUX|d#r40xJ1tVDe-{v)RW{4*;sniLkG!L zhSJ^KX^52DtG13yfaDW%oe&#%4X@BWTi=z1k-rDk=0oFxaJ=BsFuB1BHu@qXJ9ku& z+=VAW^}ePssmo7d9=C#_6w5Ap|4HcXTUaUGVF%4lqfUi_yTrpobkR6n5~}Tc)AhvI zajS*lZr7CfAGI&deM|V(?^9ofoaujYcBj|>mAmsFetonmBXIpIsQ^@3(;L?$vU(%pHW6umYejmVnYOP zZdY9+(;U#CSKz+28)40F35~7{VqLs0$B$?XeNvqPofn-GcPi_-u9%6`5|+Rs8wiy4%SZ20+Q z7B}%UX?D6kEJpCq#&h&)m-$h0<-4Q*GX|6djPIHrHTYfoe@*)SDE$9DweO_%e#ePN zIdEf@4`HV@fY+(p^Lc(+@a?_T(6sL`0#}W3C9fen7Ym^%F~;=>zW2!L>T^|u^d#G= zc_bsWo!)cyUMRv+E6s~<5d5HOsYKh^fCwss#llB{q>sNC>V9t(BG>faT+hmmNVA&9 zH&5CkvV$)Spa!>vtOFahjp5B^aKESS4E#De3sPcB5a|78TIJSG1g|)! zA~ThM5J{;+&pvS@)Sx%CcKbJk>0jH{_;?uM5@r!hboPi?x4oEgRS_aet{GqcaEbJf zl=Bi(Vu-BkzhYrzhbXQX-`OSduV-|+V$~hE1Z<4x6 zxzejEi+VdE3-zR?)0tkrE<}fKO7&(X86Zs&zmzOZ z;N_mYvHUvGGCi%E46fXSzya=^bTsY=4tKZN8%_Ffb~od0>tTesxjdwM7L4#377ymNaz{_ql$v z!^S1VJ8Vza{(2Mf-yBk_^@@?87B=~uWS<9l>u-Da%oCw2Yfp;va1;FbKF{~(3-Wie z&{LZbk7!lHMW1qN#IhJq2#fe5E;Gx^v9=EhG=*V|;}?<0YIQ_m>>Co(?cR?w^B{@& zs3b$J2a=ozS7*NXhNQuFd46Q}n|yGu`UQuhgg@A7J2&1en!bCPDhf*Cw&~S|Rso@Ox@4MSpwb>b7PN{Wg64T)po8^#w@(^6E zN)OiU`2okHA+$_FJ7Md0BR1Cf9h#n;4d1HVjmo5P0Q9OJ1obs_*Pz=T zhD@yRkuz73ywtM)voZ0QxK_3+(jf!UTh07FrT#$Jz+$m2LpOp|TrP3eRxs^Lne`bux{qE| zk2pn-5SsJ)-&BVYNO$~|V;n7f4k$1$oSBBls_L6sn}EB1i%+6BgW!}1`-#E5u$L=P z_3QbJ7Ul>wgEPFS)_CPq@T~`>nr*9BHvdF{<0^)6&!5OT66e`7p@vkS;hGkE1tk73 zY;7IwMXZR!$w9~Y;VkZKWuU8yt`C%?njGC!8NR280klm?!kDub&t zc-91S!P)fi;M?2BaHq7J$tp_;t=j^=pV=&cns@Z7d(_5I7MioQ^pPIXLQ!8^O>%6q zYm=6T>y44tziDE=KLg1OO!?N7>4;}rUH*RZ3Zl-6ub{cO24PTgTc=JuH@=?K*e?7H z{%Qda%oyh3)uxo!!b}gh56Nd{y({68({-LDxeAU?WX7K4)S&HT`TCzb74aba?lbdu zt|7Yk^GUOpUAHIe8{7J207}V zSQ+S(k@Nkd7OUwtD*dv>)sc3q{?D`qAaQ;0Ko;U{&nN}ic zE^D2cbTCqyx1Cm6kVM*TrIN9)pOM~G%DG2-3o;qsy%G|qAhoHlxrzBQvJY;P$Z63f zxk8^r!-Z6k(>^#yDL+77&m+S5fj;t>%GE^ZXpk49MmoJhk~dVMPBk@)NSS>8yKhAh zm)&@+yY2&$j;lSN{xyLVS<|#L)TF06%%p#6X%OjsI|pdZ6p$$=(6?zLd7lXt4L8i& zk=@Mwh^z7wdA%s3>*89-EqSGHMlw9|oGDrP)q9bDGG%m8FC6)O`$o4Wj-fy!kY4KC zCE|-uH#lm}h&Xqb5iP1oB$?(2`ZO9L<+Yr=Jm)c_um0fG-b>~oKSj-tmKr0gJWkp5 z^B8gjldZ3vrbKRH?R74W1IT+(o;Q1#5&2V!iBoNhC>UEKvnJlP-LmzvFG}O+Z}(K zj(1Hr@>2M{oLJRSVD4Lae-F`VdP^jn;v)PE5v7*hU&m4O!H-(#pb(0k*BUh%k{Kp7 zt9D4vU6k04ac{c42_H zC#_f8z@e5mKPIhb_8ubYyx}5Mlu5@KBO9VVW3dl0vGKZI6j~&M?R(P|pYjNl& zz&rM|^ya2+_|Q@4C0d)29GHR;r%saBGCOo#!b}Wz1k4Sc%H81Pd3MUCBo8ipkJSCx zBgy;TdM2yC4sM&{I2}z$p1k-3Yu%+c@VFWoa6w=_JS7s9JW|u(#kNpyP}K^rS8R`# zvN+)FW|pRLO%FcIv^07n7VwFioNeUHf-ln^OU-mvSc<;dv}G(GcI;;#DymDt@s9qT zY`O|K7wz+kddrBrM$P6+7kD)O8UG7@#>-pd1NwZ47Wa&)?KQ)@UZqfM7KK-o>S`*=;*27 zeRfDwc~3QbbPm0y-e+B0 z)wNOE5PZt^OcUWo1&1s+-kAwR@VoV;>0Gf0;pXFDjXL?e_Wzmk|339e&hG#1wcq3# z>2`Z_1}f$GX?uvDZ3&G|2sL{g@@l*fjgMp@^YFf28tXH zzY}Fz$-E5LYwOs0rbN(0{N%Tv+JMTR-%L1LxKQG)e(#AMAM!(j%3^K%jfRwIZ@rr5Kh z3I6=6_(qmj!n<7HOwNK8JaWe+?(csHw>2pgbi`MtIZ&VC<_Tp~^}SpvJV&ynPbw~5 z8Y6jvxf1Ci-CD?MU;lCc)>))Eu2~;sxgW_q$_8>;Vo2b4a-1rr711N2?iU&d5pk`1 z?b)4!2-Q?fc)*Z=pm*|vw2y`m;1wMH{pc3>jPUmC3QLA(rEc-Tb`QAy;wc+lk&YHc zMw4eZ7EnX+@tULUN0jX;VVNjWM`6^P^^LsI$k{?)m~%E1>2dZAoI00~a*%JR*2NQv zdQs{}xIZFRRn3ygyp_xs_%rMub|WmJJs`z?6@tHgFL&;YLtq6rZ(IQ#{3714vxP^) zTO=r*>1Z%KREnQ%H}^-Y{`1lsy7y6AGNNIAz>nkAe=^@f4W<^qrLY+%l_h3}KKFEnTeekitVN z*}5_UsjbA+$|O5NIi-y8x`L*HL1wHwCR$E@JHlq z(0_g4F_~vqhF4B+twFBbi&u#~uaWz_+QNQB0C|!%SDPbGBTTYaY5m&Qh#qHOTxIbM z2}NS=ZJ&1_X)3VhsWq9eH`D!aNE<|&M)l(^%3h@R1k$|q+m6i5Pxq*}UL!fSRUv0` zYmj~T*F}9pC*-VqF45^KiJY#t<2R+qeB0sOSjUlk7!I+83ucNIExshDoW!^IvkJRL)7r!2CM!HngdZl9G=igv^ z|7ig;vev&@r6Y3!*~h$meJ+t2J;^@uD~asTVrQOIs zt?E2(CV~9MV%cfl4JZ)yT1h!;k0_g+8a@2;NTB7L2|3Y7v-l2q*_q$_?8TA)>0HIj zqC^y~{E}qUe-4E%>pWGC&!KQ8;^QT1!r8szdWf?AGf^<7ZmDt*M$xiBr*}2U4-$3w zN?SlM{||*gN}31AczD#Q_uK@s#ggfN`P3peP~G802Q~5&gk0&A6;XI5-uDX0)+%DK z{MI)?JXD^n)*YK88KYJqY7q%iM8~N4MuTvTOCr;k5?LBiy5T`Zy*&j=9XMHJ@@7!_ zMtxlD63GWTS#m7p@M@H~@s8?J{K@J4bI11&=Kr(si5Dl)@Bg#o`=2mBxiqZ!$Jggy zi}|&CR)kbKK8I0~sqDbhgD@>``SIq=F<1zCw@8%P!OGswuA{&RHdg01E8hDFyOUu& zJ1P&uzV3(Bi6-J>%a;D_^_xdK)`s)8&8}?aZE&_> zi#xb~6wVzA^f5ZUM$e-VQtFnora0%C6^TS za+seqzW;_&8(oyV>>S`~BLt@+qm7im9q76v& z^PhoJF12Kmwj-R+c5xirwi3>@j_2w}KEQ=r-KH&0A1>k9M`A9AgIE<`47tFCyFyGV z%V#ZN*yqv3CH)+xb=n6gZgIe(!u-{4^HEse{PoOEc>%UHYC=t~iedj^lH;rBD$

  • >kT zNtYK`^tZuvMU**@zBXJHnzV%Gw!+lLwP8fc3KmUjJ8UM&{=V7v;nns}uyef0HMMIH zcV;Xzb)^;I#Q0vG>&Znp(?@Q0V%q`dFUI+!Ys%nKWy+Mu+(mkm+<_-Lr{KyEn=D5% z)?AY|`nj<9z-^ax(uY<(xP>Yl^fC;B+fSaC)#m5nu4w%^Wx-})MLNk$zwP3VedP5*1n(+50-`3*#k@9&QJ}^_Nt(9$gRb)j!tz{MrN$onAwO zU}iMO^r$B$u%YVPK~@_Ek~Mu}^!|by26AsesV*61CBI9nZq6Zum9E@@KOaF9fkMm_E8u@y z>EuJ{5%>tkeF$0208e*4p1qd_(Q><4Ur-+M;+P5fNj;Ogc6|8+q2!EG2SEh0c zp%)MDi|>d>@YaR(s@3@jJVK?%|CSAY^!JP9SUli;$k!$!*aNMO$+q=;ET|o^`l*pb zv^dp=`Gzi8qnMJe#f+Wcv&8l?!AfIf&h$!6Mdu?;k!9}-rb5ES3BLG~az7GTHry1? zNJgw8-!}fWa)@FyT)DVZg>dmUzXv(<2zkfAOZ#{eg8DDK`I$uWcGinstPZh&?@zbG zLV1#C8=;aOSG7i+cYzD@`$<&9tgGpmtR>msp;m&L#AiY1k^P4Y6#3-Bc_t{r$_gH<@Vg-{wIVx@NaI}cIn;i20aC-m21^?P} zKeV6Unnj=z(UF;VVv<^rU^%=;c$)N416Ml69~L5|Xn5~s;ay0(MA2)%XpZzc`d*3p&@x}I=~Z|`9lH)2ZNE-E!@^|?I^OlFX`u%K1EK6u7n5UGVvP} zVXXb;j6AM`?AKQgBd^u#yy5{W+9V+tU{Gvs;jB=`~bbIEXyYm3yXdnS<||?n9BB zMC~OSGB+rjZJEy{nV<|szv51QM6qi3)%3G#h#t|tw?l$lODv?mjkS{=?n1{f!!96u z@W7SJ+QG;>B%0H@>IVwWA3DZ=o_M_khZi3)4kFp4Ru7D|E~5BA8T-%`qHBDfaJ8s_ zaCJD!@wmx*^tndrS$)1$m7O9(cd4vR+FR3v2r zMegr&{~yf%SK)i-F(T?-3<_Jx9x8;$#*U$K`) zVo(9blJ&Gy`KMsA%wk&E+zK=2Gu!N#KfwHXx67rV@336SQgyJ44_0(94>^66fYpzP zwK*-)ux{hZT|LkV86;CXe17~2zx5mwsaTVaCWy}$7HTGy2By`p zj1YK4t#lPu8ISjM*|WRU0~ZYt+IBv4(xO{NIsAs zBt64d@zE!zVXt8!s^T&XjT?4r?mcLLuJa0dsc}0PE}s=i+cFOmyA{<|3jQ!V+q{Wi za4XE8$fqf6kAx-nd~IXy30N6)8V1-B%<9(LbaCx9*f^YYRd!o~?TtFG2a8p(V-p*3 zXRjeX!=E15u)Kl2w)5GmGc&NCkv#0T#uRt-jqJCxjzKH)@Y7TaO6b>p=c$cKfpJQc z(e_F@m}V7LI-T~0xfRx)Zw-ef6BC!gkw{p%Z1fA}REBk{>B=eU9N2uEdQxwv3EN5T zsBtc3*!A>NDOlITK9NU#qALM+t}JFIZ&bmZc?nK~;^%Piwi{Zo4uIpjtsfkte4sD= zVq@2}7#L?S1wI7H_?{cHymQ447BmMm*-vu8@@+!Mx<RVqCi1zi&+q<7JjU!a zj&a$Hh`~O>SdN42a_?j&Equ#qB$}V#-sbi?I9g@6CTNp9K^C4v8B%<3GQYMUYm^PA zdTSTS3Timh#_rXUISbPfI@yO-A7G(caqF}MKdcIA-b`8t!lr%TW#r@2B%9^?y?a~8 z`>=d)L`jnYj0~s;VlId3Kklc<{}VF7A5H9oB3;g}c4aQgwI!_+9(IG5?>%|G%U5n}Uotbtaah z(khEmu6dZ~z$a=G4|O7c|31nDOJ-zde%A9UOefw}cAAp>N01ab!28;70`XVrH=l45 zL$tQYUdO9MhqwRd!u6hEgqq~M8o4-tV2+;lw$>~J9@Sm@iXj`m%ZoFwG?(GMIfvzj zzB9?4VenA%^guJMCdZJ{4OH!xITv;P4odES)>wbp4h2-d_FC|7Ci~tsOAemTktS9h z5i*pD*4g+s~)$VT2FY;AhZ$E*yam zN^WUIGsBocFuQC!P1{I&Ya6zp0{(08nazxH5yk7sq z6yfX7`@}aZAta`y$YPNiK`xUMX0GB0FrXEfQ(FsP*~8*$+4=B_=;`j*TY(nc^{1%h zMo`1Ev}4Z*J<4w74rQMvnE%b^dvO;lkW?b^%1`1%eR5GbrlHCOgy-4;W+{uT2g&RBH_FGpk(`|Fwhb|k%%wewEVn`li-=sv-$Mb~8 z5WH(u|0bkG&J{A;JA>p}iurP?Xe4U+O*07TBKE6d^$#I7M3vqj{5X6D;nNDtyI-dx zG=$n>K0*M&9z(QSwM`LN_oyU@Pm=UN3v5fgB53+{HYZRzfR>MSx>+tJF2)gX2LmE~@yjYzh))vyo zBJN++y5(@Wv#mF6ZZ1<32JM9_Wa9mAD;w79uV_)(?=kRPj=bQyuv>YZw<&83`Ac z`yvEo5z9JW!7ek4MBk@R+lkIR`CiiLm5YK%O;~PR+{}w~Y1Y}}iT%iER=Myvj}cj$ zECW}~_adABVSs}AD&&AyB*f+-;eX4qum~I^+?~#g)mk5sm&|bdv3CIS1%2ycYqOC5 zq}r3NS{?;brsgNbvJo*}LM_)gg}4$`UI`8@Bq=j*Yu#**l)$H4U)E3{&3PbvfRX40 zc;9X9&e@5~8a_?O*e%Fr(s`!pLpUgc_B%u<9FQw@wczazW#pai9Tt7NjQrI}8cSXd z$Zrrke4kwV3bcHfIrNAp$dBB^N#`AjE^%J$L;nRt$DI$Zt-pgr?(=7dof(l_)php{ zuP{=7%GoEb^g;T3q4?_5SIDfI8ha=@gKWvWcic9tM^66R>Oqcb>j%90teLS)?ZK z!YD;HQtp5&jK_BzJik;7lY#nAMe3O_Ez~9ZRr0we$G|$#}9%_K>+`Y6dIH%h&eb6(-rt zC0}-4>Vbya?fV_{_0ZYZyH}6X3i`^j+}}=`!06;7-klR6Fn)9Obl*IA{iVT^R>P}c zy0ph9Yxy(GhV*(uduCvswON&?<^?R27^z2{gsL+_0Q1eft}=v+W3l1|x6(d>nY*o%@K^!DGgw}Dc^O~oM5rzh}EhKys$i)dG>*UEUfl55Ac0YfHl>z zjIW^*ux|O)X?w{PHa1lQ7SW!t-TeIeV(21lQ!Mg_C5mCU%Uws{;xX6-*WRsoMhnAe zv&}dC_QK>6y;}**QcV>sTMp!fEwrxbCsC-{<~s%>P&M|GC5azrFUGLU_8G%#%=Q@4WWP3vwOhr{?)6 zXhXQ54OZ=^qmg;Pby8Q}6{*!xn-+HMLQ-DIExJY;!s|cX`hsL%Mn`&D4Yj!-LTTka zrAKB6&0yc%coYa$HvFkNs*6CKA?C~Sf$+23C=~bfA-n@LhhAsAfamJ13C&tfXkH^X z$Dfgis(ntEGDnk8QfHVwP!f-Vjd#wUjgLi^>9E^4nK7l^sI4f;A4f88qxM&F9w*p_ z95Y%ygcvjK)PwELh}@>7ne)0-Oz|18Z`rcOf_Bl5@&OU?p?&3^i_HMN3r!_{U7ovu@kUmvr56ZOqgKMeY zkn{Pjy|1?;avuL=+ak9K8JdT8M%6?ibrnsol7kGA6iS%y+?PkZ+~7v(x;8|Y|M0Du z$v{Lg#Zwi_X9(Nfe77;F0KwDs7O*;rAi9A^xrwy!f4Wb4RqhS=e0%+*zlgwMZ%zIy zVWC{wvA{Kkd#tM1|tLNf{%H=aBbxO-6d=An_Hvb9I$_3exUdJUKqogXE#X zhjd>O2~NAK>hd58ae`}0-#Sepn!2S)@mC5WMC5B|i4S1tMCiv&dqfaCl=kgq9S!l` zHb~+ObwU7(4Wk+VRs)T=^ zOPeTl^5Z&Wo~(ZIwAzWxU?&BJ+5M25`Ih@k`TeXq)xq;zle?FPB z%MSi*QbA^chnk7d5VD>|@Bh`ShwP`bkzcyLBBzW&rn6ZVx%Sn}kJ$CL~{R_FWDf8czWDe zF5ilz;1y0|W+6y|lhZ>1b3o4UKJt2XnoRjCNdJ1^yIzPC;i~9W z9SeJ5jOZ!(kK4~_B2mq74eK;7lD`gY^tJUu8n5`e@cm9i6Wwn7z_kfkD_u1%ucASA z_@ezwVLjyhYMM~w9zY&%*tK!GCFEaZ(?9n7Fbc#Qj(ClcYZoIA18>_Gl3TRQBRE4a zb6d^wUIQ%@ZM~7<6Fh(-WlaGMb6q3~d*ug}5Unuh5oyI?YNS2NN(&ezn(HkKH6ls< zM5l0?!@lP`a#yCP_JwI8FJq!hI*;TBu^iZJ@Q4A0C#=`(|4d%5CA~^j)e1$D9y6b4 zI8jumnbyd;3&s0+OwVZ6pxE`rl%r(_iu>-#j~&-S$*%e4Ny1Z1U$u!+Y}*_%KX{$v zsjfqgH$_@`mn8Dun_Ec#BKb+wNqesrgrIOiyS4V)5{jN%k1@C?qc}=~slHqQCE|hG z-<%=7gzuc)a@0t^j~VYS58_)?I&C4iqeBX1Dp{`66D%le)X6;_){OF<)bp~kHN;ya zTUpGU<9F@yzd_{D*zVVd+yVRLwP3NM90>`txyye|zm;XYM^r zY!sjp%UaM+Fqe9g9u1}+LsKM3g>h*$w8I#BJ$92hcw)$lfD^l+Z*pY2i_K;juJKhU z3ATWd`6~zcurn~eZfnBB_Zr6UH+Wq#4uFYe|R zN|AjPrmxB$w{4NYjq4jX=dXMQ)l(D{!qU#TEl|*yadaCrCybpVE*C>bXt}C}PM+l7 z(-Y|Un!S9^2I*fNatmS_q3FD@H=gfV>NKe4*lP7%xCVk;_wA8m@ zdLgDXY|I;`1&#w6l9Vu8U7*0>wG(F7_Z+=qp-ns`)>rS(SPeDSd&W%03(z>ke&tE_ z6tv!yOi?WgLsz6a;qD9*^fg%AhaZwG3i17qI?tYh(d-Pr>$nt*E1V7%^ON_fvcx88 z=m3-NqBd6;bYW^Y!v5V{45l+zvR8!B5wD9QDb*&wU{;Z7KgxI==Ii#plx=kc<#CD-+r{CoQ34)3I*FwNB`LUT00|^B#sfuFcFJI{;%EzOQO3FJU|; z;oETP3rw;_8o!E+!t~k|H|@-Bn9eQ+cHH5FnWIej9Xz zTk>5Xygdtch5TPr{7_d2F=#0ifo6lL^6R2^(0P+%lzgWZ`uPz7LHAo=bVBgM4u>Z& zu1>3nn_5XcGVGnb-PB;3Y40?Y@BwC4199d;;V?hix|)W1p6r2!rz1|RhK25ag#&&~ zu=qTk_*G>)EY&BzzZ;2#Wk;T4{m0d?;>s?$c~lQNHSq(sL$WZ~(|Ik2ix38k_ z#{7Ss`u{6xzbTaaivr1Bu5>(Wb?6q!YddFcsglEwd{9+i-Oq{4hKrYCL&K2TENh;k zUW}ysPAlk)h_^?4`!}a}GOH>XSCRT*i3sb(%~v;1Bec=m=J35s2)?uRd(saLqQB2D zO1?n_zx(#hyWU8_`&lI0x{f?}%09d_U~&)5Yc(Tug}hM3Y1Du4>?BGaZ5ML9ZA#9S zbM<09fylC-oA=jPg*2`8-HL>Znk;(i722wh5a!ujSWGmWfz(%jlxh=QdA&Z=Ua(b4rZHs-@ki zUNfL;!!Snn!fvM$E1#oq*S0e+4ikNz)mqlN`?g3wTR8fzn4FK4wf_591CeOD={uPtf?*|x&p?Qck7Z6vUF=*+%Ikfz3=Kjd;Bs2*+k}-vR=TCBhl$S z_aS(jT7|VXXraY$2eUNC1ZvJ&O)9QjhcbPO8lfR!6i$9VYR^w_RR2Y8A^y9_F#Ggs z_sJNfZesG)-%W`mjnDHNnGFzcX00xGkRH*49vn&MOA*m8sotN!g)oVjjFyn~2-)`K z7yWfj1fAwL)6-2x!2X3Kn{(I5zQI}QCdsO5_0>4n3Lrku5$2eo2_e77cE&BrC$1xe>29L3(j(%B;3(O1wFUtmC3r3T2yNeHUR&>o zMqP@Gf#XUpRP@}LKjHfrB_rc&6KaV6vPYtRNZe86?sR%CLf=JnjG8%lwYQ0$^vq4c z;5|rg%wLtJPG+UKCupu)&kLH=K-n21;8O`lf`6iB?P z(q(gV1j(LLA-YjlkZN*sY(wcN(pmG5G7z8kj3`d&G#`257xVcxRl`bTujS)UYbiz! zo%>YMfj`VyXCkcbt*fcfF(er)O_tCR5qZQ}hT zgg31`K%aFJu@zqW;_hFNI5w{__3=4UwhK(Z++&Bdb?G*m9BfE`=(;j4?I1FRk`D9d z9za%jHeD#S8nWxzA{Pvqk<+$)wW1G*hIPMEy$;cSIL6O4k1bGu#>ADc+sP1>fWtVBl#aZ50oYSxaYdGmtn|#F&}9$*FpI7ZpR0Gh(T*6$9WX-PY0!)d5t1xTeAaF zZ^(|$%=P@T4pQXDMX+!kXL^+UqPR5JYaWnG9Vi%Jy zR-r)ou4w1tb>h$1&2TY{@b5m-h^GDAOT5dwPp#dx1x2Hd<*Nv1saTrw-2>`i!sU_O zwnl?wivFtQoHNWOSpDwJ3lE7V`dC@9{6HnLSPF)9J86;guxBaZ4(XZLV`*#DN%ks7 zXlx1O+!Gftv3IyK^w6`AXA z&F8DxsfIGIXq)B1gD9I^ex~iR0p$|h?xi&oD34XK3Ao1c>`D(fl<-`PaKB{w8=@P{M^+g9c!z$zGv%k+r67=U8pi=XFmI-p#oF!n*_J5=pkM^DxNtjG@3};!A2jp$4*An@K-;BG*{8-6x_cC+7RMN%7q-pTD(p1$U$&-~ ztEIzW`T78T^cNUWy1N%Bmci&9&u|8}CycMvoGg;cf^jMPHSdfCm{8ST58D8kNY%>X zHSv(1su0WkdKoI;`o1LZI<$xI0d;8QRRRYCELwL+96#pJ&%TgI;#= zPCq7R7#v8*UZ)WY!#kj^`AjlPa<*MvVfqHfH>zU&k2Jx!ht<1uk_jefKgnP4HiAiP zwL!nxYnaUNNN=Plhw0flfekW8h@Tz{rC6;Z)YFYuT_S$|nhHmrN_7=N`{6#Gu~7-= zPF6(Fu8oBLNMq>x-it6yuBN-PBZ&AWUX}J}WQTD(U6Ar=X_)94ZN65>50fAFqRqH2 z5}klkPHJ)=Og}QV?HXkyd5*Qd$DifGENApxjzK5Pem!#9Jk9`3Uh9o9Y$PLrgXZ;o z%`)`*<@(adT)+_lw*I_LBB_z<)0wxjAck?&73n`0T*7kxp#$R4JC!oSXJ<>R{X zeHBtWX1h2Q zbaWX>M&s_>_-r2)WZgY!Rh1`;G{a*Cr&ZaIEdAw1(s(x#(nU8V_w7VX(xiX;%2SBE zcz>Dm^izcS9-^FBc16hXy@7q-iV$@Cfb9C+Tj4+3;@e605Izg9T5cO(g_rtelc$U) z(44A#`BB{~RIhuvm7Q?|O06li;^lo&$iB{NC_M?;ce*IpO-qn|UgTBxLt3P)-SE-% z#vUZvE$>hp96)UMHp`sh&4^Mz`|YG`1Hy^9c`ni3gl^0n*0S4zpyhE-zYfBuKSlq3 z>FN;tu2?dqlJ%o^sz#-$G4bs&qEeP@&Or@2J6R@Iq0H#wfX;4`Eixm1VxRCvd&412Tq#J&ZhrPf)x{-6L!#*}+lq$m;Z`IA=j?IT3b2ydhi8$!hN&hlMGaR^h_ zpN#dWMaZ$_dA9s$1YIhzxJCR618#{jpLi(@Uk?f&&$eN-`X%e|5zmVU)JOQW-G)&< zX7g&(i*+dG&e%C{&kgx&U*;4s5#K}YiN}XusUodU{C4}Y2~t*!n7vjcpF_SU$L3qx z5a(HX+HA)gM9UR5`7S<3MDQ*hmvh1hRFJfa`o*~ z&taq~oJ=w(QAcv0|0P~=B_!5P%_kq6Lk#830udcXL>lj6eJVz>W{>;% z96Zp4P=Svd^b_Y0>=m}(Nx|IiSWs%FA_8fx96jH;HQ!&NLuN1I7zG2<#z<}^Xp!}krdVx7pI+V6ERF#$RAWshuGpCk9}o^;lP@5n2@QnF0= z_W3#wjwF;upo=MbFU*nW{r0iQTk~nf zRkldNu^FlOKjgi2RMu(N{teP11|o=92!f(0D2jcENC*a{qJVUVba!`mcXulwpoD;l zD2RZfgrb0o2!hD(oSA!k=3VPq>-~G~XVzjyz1EsbVt>zl?tL7eqb55T#obm1sV%!v z5_$uU=1F8{DEMMP_!3HWrUv|S`H8MR^zOx1&PY(^J9mSecc~c-sUN6L5+8wkYfG{V zvI5tyyUWvqoTy^a)a7-^(~Pxw8SaVvpN=et(DZ#{>2kD|Q2rSjQLDpYXf zCGIHgCz+sq(c#NS%Ev_`h5HjI_BC}KtR9YN_at>xdf|98*-cj1$^|8I}(KWhJfe)-4i z|MvU7{L6q3AIsj8|^2a(m$qyy56yifdQe= z6i7LIb)z%1TB7FzSBpZ2&uW%hYXZ7@0jVt0LeO*Dyu8(FADN4vy(FqnJi(V&9(&G~iIj8u0Yog!Q}hC~iT?Z;qOF zR~FP*`;KNX&O>9z6T?!%0MVT3Rc`&cAKIbil>%}%p!4fTa0A&l>z!RE7?G(0eJ$R7 zU+isQpc(aINahF(CG;ns6J4IsTGIqAMmiX^Q1c6LtH4;}+p|*5SQvlK_p2YWhsjNm zoQ7YcP>i{_{@u(usJ!Bp>RPsg`q?$F?{6b_u4{I>6&uT-eQ3ACk-S9c3?5x*lHrEl zm1q2#$DN>`W9g*n=?88^UF!<`g8?(*}MsgJG79&+K4&s$uf37 z?XJKSmI?;5}{Mdn-^S+j5C6{vz6NFRhwZxcbw&T&3;&DwjM2wvxY^hmq66XMp$ksio5!z8J&vs-1=*iK`@YboA0kg9^@TSuAYm98QFi9n~%Mpwi{&-U)I zU4h6^+V0qL3WO(31xJwcJG3x^w~FYhgO>BQtDBi4U~@+KX0KWJ#+e`1>^w<&elIGu zv0JF)w{A*Yy^iR(N9imK)yN)RYe$Fp<8({d{l8^lD^F zNlUZ25fAO!n7rA-bfmidNXycpL*n2&>dVA~6ldDry!GXCM28kWxm&1Ac)s>FhQGce ze9f67>G!1(Dn7nmYVi)yI4!&NQZvK9wQPsl>2IXxPd-j3{vHh?Drz&``lvD!rcWB6 zN9i}W*zBuvC>Ui(+`sNHa@;vq)V)w5jPe9e+rtY;vo05yEKx&p%$abLm8FCos*F99 z&k(abcgX2E9il8I-4td75OKCnq`vF}!nEYo;+3Kh;$hkS%6Afhg|uvoN2JgZZ0Q!T zLj{d=M-J)FWukg~Y{eSF+bb6`uXuhT48_d5K8SDKg1nor`}y;jkTo+Vdo$S_nor4W zb9cih1)?i4m_qakUu3B{MmXxtBK@5;hvfv(7b@M8{$2b3==uL7asDyK|6la{Mt`b${`%Ly zUe6zWRMp^^%q=9!zeBgFEK(a5M0fMPM*94>{Q=g&$ec=Hq4euUc9uufydDj5c^@?7 ztP>==H{(^aX`#r^nYx$aqJ@Ie6?6&59-=U|Zos6=7ezY9XrJu=gkp}Fw2jg?P+b4D z^k_#h(b4OkG%q4OW0$AcCKWZrTprbZc(NTyde3*6C=?;>!s<7%X0b$1Z#9;}{u)^U z?aAZo2zOuFnl-w0GjgBP+WgX8i~RjV6i4NHQJ`TG{h%Y3aD~~mLx_LA=*CWYiC!xd z3-}DxR}x0$L}P2$dVA7)Tc*99E+ct6A>R{r+(4<^K5NW&#zWOwzX41+L#A&!60un#)!OUoNM))h`#-B_JNicBtz4*+LqPn zGK##u259O(L9qj6m_$wrN))V(vpYOdx>EMC|9~T5SAOJCNg{f^f{vuQi+m`ZHs08F z4M^w-?mVcFgw#*Gx0sASA|ov16Yoi~UwE&l%ldQB>$3{B(D8BhlYG?45~YCmiUl9%2J@$SYJ^-`%wj zg*S|TNix1c@x#=qxJvSUa-lgg*JFY*`(H21`^s}@vn|8TiozfAOhw|(gz zkln09`=ah=;?-poyrCr7Ri5(uY$cgSVie9@X4(j|&>N9~*wjHH0R^yMY`t zYDlLa->cfA1zELqnkSa>pkT_u)NzaxSGQ+9NKBE$HRtLYrS6YViYaZrv*`^~EKWr% z4I4srqfC%qlpx7_bDQO)_JrCem&tg-Wm9)9G!rRyg$BjBu(DS^(6CT;EZsc{jedMx zSLzJSLn=*Um7>t}t~|uIB#aB5iykr0??F!5s$lDfDqL2Ryf;u34aJR88+~wp*3eI`^B)|L{DQ1ly9K?i7L z?N0lt83)bHYqxokEK*HxcjY66PIR2!s6BbcGaR}rv=T@&gnkEQdu#Y6o`b4Q1) z7c|_q=o(~whUS)UUh}*3pqUq_?p5RnEglCIX7yfZMcq80cv%2i^NETLK^f4NJ1r_9 zTLyX08P*?D+`I z6&H-1NS3FTVDPQ+uRhQ|lh*jW>@{?bn5@50dlR}FYy)0zFoEvCAZw4v5$J`Sxsu^S zGPw6=e-Tk8-teYPyyNC7FgUZ7a;4r^7}OX>XZM%Dkkh!3nR4&%bN_eG|5x$sK?x6b*UY#{?pmHfv}Ykt~ZE=GzSen{NaF=UZY*xi;x;r^wLu{6^Y-KhYwA0BhJ6d zO69dTqKjHn{I2vN5~DH~-)SR!pUq|~TSmjHr;Dd~KFYyxJUB_0)kNc)A zmZ8-#XgGUWNU!c3sw`hf&rykxe8HEF(NiNRn7Ta{OU|{Nz=?BN3#P~vuo%=;lSG5T2PUI8TRq?h}!{ZzB z3BzN*u;=%BqU~-!9VBoG85&GUL(Rxp!ML>IE0lXu~TklMko)LRP6OBbpCwl9D0rP{P`D{+F~S7`z|TR ziR>;bzRiAI{H9O*hdFj}tfc3c{vox!;TLjU_Dz>Ir6bFlIc>weOGsakwf=ff52^2N zZ1&DFL9)~{pJ=lLB*=QxC|Cv{w)r}j@S+@|eb+=ObDJYF+ClQ9avJd$EUls)y!pHK z|IzdRCxrX|f4{%9hvAR?|G#$5AG1P;rRQM`l4SRNAMc|@n%uyH3CnzBq*3(pD^(*a z%P2v4Yd3NXVZmM5h1`Wy?NoZgtGPZCy`4J|1)(X-y&Ob$mF87)_~1Ddg$o2yONgOZ zr->rT!=3EvYIg4WLb$gbB}_GhM_;<{F73#=b}}C>%e4-?k67Mo@}?Y7NH%M#;gd~+wP#VV-v3Vec2U9rwd^08 zZbp%=gmIkoOOhRGbY_N^2PMLGJG$K$P%=-qCH|T`N@cZ=wKW%_G)auccX|e;Z{E^r zHYFqOEqj0T`8kqFb$W2Bmv~fKpRNw>5I`1p4)0Eei^w@P|8-D`=;@gQx45_@A;0`> zz5<^N3U}z*b#5mde4Vi;f~!xW*!xnt?T<$&@rZe}+Oq+rfJi{J{%oC>{d@UgJg>3sZTecn~ zJJzNfg^W6cl^NyC-A%mFO72`D>O^DHyXvX&SEA+N`O-Umn&<#`ZCJ6GN%%GexAvr` zGNEky_(Z0_UX=O9^v8DZBU+mW=~bV6Q7*h%y!ul*%3U2S-|dM-no@$dO3_hr$1$~C zy2yl_Ly`QO)Se=*n0?uIOcVvfFOFxwUV)-McI{n5pHLFb8>^y5zJFl{hAeDI#;FJ@ zcLp$`Y%oFMsL>mg>!q%8ragl4QBHwW`^f!eZF1e$e@#!FIF(t}p zMk~yRno)7jsN2v^j6AC+87trCp=w8?*n?q9RNWFuDBn-!_$QyqucteR>dN9GlW9@J->yzw${M|CdR6QrbmrcMUC}M z_UZqj`ThKFul=^@$`xbhh^E(vBe6q|+@mCwcWaH3`_{EzgGmu)$Q_mro)T3>=6mk< zFZYTdZHTuo_Y)10Kc2nOo1%aO8kdD{mlP56C|fg>o3Jysj!hSocOm>`af`;796~1! zf6(0c6~QhWy{=Q0At1R@WSQj0`)yXB{Cve5?W?^HyG_1F-GRYqZRJi>JkGYBh6$=F+L_XwRP6?=0w# zdX}BG7t&)<>88-gPn|=aQN`<1bd`yB#$V0%lnAmlN*)}d_amN{a?TOqnm@8jOmcYT zk+ks@y|(iJ;tG?e&SZ5X`ssEVUwtORhnw-A<*Y~eWv>@0QDo*B9C0eTi16we6i>gq zHG_cbmEptBKH$Fj$_uVaThJgWsdAezP^;`xE$crUqx4rxNqANi3Vt$YzddsRISG3| zD4&-`CZ>%F@7N$M+C(Vr;!PyK4AoVg)j@)IP?nD|$*`7q!W7`3hp2i?iD;9Yn$QRC ze#;gJE7#dPbChJPt!L(%C;EpV*>uO`weIK$`#LWeo`=RY-TAIu*HQg7`fa`7YLuUT zyvImZ1;zVb73k-XoocW_g%{WiVfpPnwrwuzQ>Mm`6_6QbImopbgsdMz2iceIknBr(>E-9dS9c`O>dL9N$m3`Ur;~9( z-Vo&;m0F_p^;k8}fBYE=*4Vv&81xMV-ftcnMeCs8)8?Ho>0hAm%)Spjq)&)EJ?YBi zN%HAvbhalt?I$^F?iWh;ig4Qnmzkw1R>M57bQ?p|r0xA$c^il{ep zzhS38l`u6x_O zOc>p7Uv44!y5$GnHVkee?2dtzO5B=chCG!w;l`Lje4yvn>P#F#v0!0HZ}D-WP1at@ zSWU9d_v{_HcKaC0sXU~MPnDp&`Smsv*~h5R{B}4;=RPW?o3CC`?nb2^byVDwGE_dQ zvr+yahbo@_((T4os4}N@N-0^5s`8>R3;5%s^xr<~bE%$OSlpow&xo30gH{Ik7FnF&qA^CG#OG4QzKsYm_vV(_ zV~nuPpSDqY+(EeP(F->os*wBiB_~cB@*F**+1++D0#S6ouE@oZ^QJ8OqlDW*M2pO{ zD!e0l{RYm>QRP#J;i1j3EhV}$7t0FD1vkWW4x0rMy`XD-!Qp_oxAmY-^Pe3c8yRbl#kvqcsW^OrshpVvg>2(__|G%uox z6Z9Qdll_l!Y2L8qOTvsAJxu-C7BM%?zR0J=A*O$ZqQZ9qvBJW;=pPs(*0aG=xq1uS znc3_41M=YgXueeMu?D$^OPrj~_<`Vi?L3zKgtNZ?6J+3_?<*SY8)ZWo5nJ_lT;8++XVtM@4Oe%?Y<*hC)c3nc8`<-Xg z7OjY9?E8Fur!?YS$JqqfxDY?WUKV#istTR|Qh_B>TleHM?;^WsPE*~RLVZXx`>wcBkj$y7DpK|` zv?Fce)L`b2lFn`Ch+2x?H9_9ZR8&ME9OCl zKH

    u_G(ZaV&muryN6Sa90Dg3Dp=0a141$7&7joIbVy*E4b)Pmcw`wfi{p z*_)DZJH2go-KXtvYt)ynIQs*px&8-^)4hhJN)3;303U4lPTWsd=7yb7tyYML714Eh zYreGR28Gdt-i`GjD9_}6pKTn3lmF7rh-#vfJCf)`k$4E_=k2=%r$4~Ogx^7}M+&Yh z7>cC=yWr|=D$`~u2R8<8Nez>AaPt!rn2%aXxNZwZ?9_QMyWOQFy@n5#6HnD^ncl%B zdGGx|AV{UzWl9a z?_utLu}<2R?C%FA1!u)fNZx?P_hgk@u&@2mQr(#Y$C77{XwTS!Qj@v-Ba#hHUX7RZ zXr17EDwAtV%SAZ zzWKl!?#|kY(&nq+vFhivrf)DTOT6c~EpNgmbHfV@#z5E$bQ{XGX2VhSWA&Fg9#Aqo zO!KZ>gp=>(hnbN?1FR|9^2YTbTy`A9vrnOLc{SwAD#{2~zvUmRep10rY)&~t;VRq) zIv4$AWQZqA&1G6y2Oc!i{YC}p@CdIJl=>nJ&u!hp)dE_ujW$0}qgY9Fl3zPdOL~GL zsWAA(Rs>E8R1+*iLvWVfMZZOXFg*AzhOQhSndDSc!AXg(c>$ZxCLosiu4%6 zT~T0DS^Odnw{3Yjs< z`@`+)tMFU;#6LKklU9;*9$s#$HMh1C|G@VT4Fg8ABqt{=Z~kX1{OnKurW+u-?wG|o zul#EW$f8S~a3su$i~02LmUfZMNk6ZM5R&JD1;P0=K?LOoal z-c4ME(>AfRwre49-RP=yp>7l0wY;y~lwXGDY0U?6UiCO5Nj^1K>!EM<8HrL1hUv{K5FMlv`~pphJ~pSw8{0Z67kgsd4J8N8lWKP zXYe_<2BMA9eSLMEK4F&i;$({>VTQ7ge1AfCHLgSSa<3{p;lVOu>f}RsH;ZM)wL5g- zop@m4(y3wia=Nkfu*-g87Yh~(~-hv!_-p^&W2ho^Sy8Ip|dWjb4v?Fd?ZCnrCp z3_)&*4(_v>2&TF_7};7wGSYS1MxqFFePY8;Wv5)?qkA}R-*E#j6XJ4Gce>zy`O5xB`+LAsrViYUuVScF_Ext{V^6CvX<9Ofg(5PI*;z7GRL z1GN-wC-c<@VGe%djOBI~ZX42Nko;kETY=7_tGv>MO1m*Q_D z^<2WIE7OF+*g>eBkOz_1@nAO!k$z#p*tCC zg4R?AD}jto)ZW$Y*9ojg`O5jWj5fk*FjLUXR~A9x7E|?2Rr`=TR3UfaJSVbcS5zQE=`d8(O4|ThwQH&>%Hvu$tLy2`RVt z1SfqUz2()TYXpJ?k)$`9xj}3L5(7KT%I>U1LNG=Ab$2S-FRd#1JgtR>P2;;K{d-X< zd33A6FHV%+61=#Ho-o1(Gk0iZdZ6GZ_3o*d5#;e~lUvCng6zk|g$gtE$Q)<59G}pD z3|Y_kyBz|ARpDthk+u`5F&`f;32a0PWp(1{7s9%v+zZeeD?(DF_TiP{hDdDQD(W^* ze0+~LoHIU`iTka$P38w}QLRzSsQ2tQ$;8Mkx_OZbC0@gkUOG!C^3;u>OX?sRZ$ZaP zk)FsinOSpuS1WQ;^QE?X@z4ccJ9?C+Kr^||t+kvRFG16-|#fB>=!HPvYcXU9KUTDC86a6Qqum>*&yZ~Hygu!q$Un9JA3gtH$vl7g_jNN* z4%9y{msH5IUgi=Tm1MI1m%smSzvs899%;-uN7xN*B8Jz#M8K))%%N@X$X-||`qYq& z8CV@|?l`?-=~=&S-fORpQr>ytb=Ek2nK zt=h~b>_=u{zdXEy# zZdcpL318xWyZ)|!OPvCIr|(Rhks_?|%&X=BC-Xp=`&9ppg)qHSF5eZA;e;z~^7XMR z@^Cvgcw0mEDclwAsIl(<3XkLWHXK|gjE=2wHuFgw@SHiLbB(?kUd2u=4J!#NL)W%S zlj|pZSZ36Zdy)+6+Wl=#?_1!jc7b-jmgEJ`?yl7MAw&F*x{ql6Hj?+fm${dlcz=GJ z_>f*64i_J}@;T~oxQ;x&bTZ`)+!<9J!&?^N!Tl}Ta@Yf&o9jO)UgfVtz3{oDBHs1!4SZ>wKzD2kxy|nHf+XeT4+`~^??cq`ADO@bKAD-1a9y@h5 zlKka2KR9{Q;T_4P#dKYre6FeHIx-vJE6v2D^UMr>YhG}ATz>_>Rte+FVL^m5K&gx; zn~eaWGq+V(mJl$YR2k8$MzTo!Qm5k${?z_oJ^w!opX~Yn&z#nPTRz7*1U2)26hUW_WI7Uu)m)q_1<%mcy8D7 zZ;Dz&a?mbc(|TtEO1k2WvrXnC2hHtTSC|^%;TH=qatpwjiATF$A`i~CT`MbpR>Ar0 z+PP2H2%ql++d#y#a>Ca1x9l){fO|_vnK>d)!$S3JkHeX7gon>DC>wnmHZM${C+j=I z&Wr2Rh9Hvf_xnDTQ3aVp&-6OFijtodlJ&~#9>{|7P;J2Jyepg(PkxXj*%wZ&``x>T ziBIr|`tMglb8t>?OE{)D3>QYxg^odHxR}b(MFuUwrPm^R?Ta%ojTv*u^;v?&qlX)} z&7XqxG5=zb@_yJJ+<$IrpbvKM)?cu1w}!*DOH1$HrNJ@pR^i3qc~Fcc4p1$Vd3c#4 zdA_?EPR?S3Y4mPzTE2dr>e3*bO$T1wQdT9eJK)=OCu6vrJ#!=B`)|0E=InBh&LMYF zJKr%pON3eI^*xu%O84$fjbJ{U~e7xpqh&KC1=0#Esc);|aF?4D( z@y``ZHXmdthbw#Vq=aZYTph2R{6sv*7OGQmk)>x~HBYybcbpowTZ2x~@3e&7PrW8J z$4EFN+%$3D&I!u8x}BTcC5Sy|HveMlJ2)xZJz#&X4X2MrOi$&~;B21kLA5v!=S9P8 z!-M2?TD&X$K0OYX>6gk~x>a!1`sL>JyNvuS?PaF=%u0TyJ-aqSA-Q|zuimLDc*8cs zN$}+EG1!O41c`K8lRQC_=a&>tfns8rZtHOqPP^CUxU;3hso!n%M!p%GEu>1!u2I8< zf%TRBuLp36*KWA^#0Rdsrq)Qrk~1UsVn53$IkN;=w*)kjtkpD)Lu=UYz@0NmUilCk z-2G)P=|A1`r}qE!{Qo}n|2Ncr>+yaq>DVaLEFQkkaV!aC51!oH%65dXGb(X)a1#o* zpArB00L+jewH!V zq1@~>Tcq|@6wkQ?@1JKxVOG;pzm*~K>8j2iH28%ax8Da|@C_r&B_T}e;ReDEPaHqQ zJB@VnqjYbD_>p$}%KHVzgGk-~X?tTtBT}R}cB&eLAz7cptzV#pFhwHH4YQs{;=)lu zneKG7xmJIA#IzT6pF(f#*gK1gn}TWnCz4R|K)+?mh2-IB|7021t&Rd!-RJ67XOLUH znf-A2Nn|G}3jSghAU!u-yjFl4>HT%3U;J8!bRpB-pHHbEjX6uF;Q)CaEH5k%mhDB# z!4;Ed7EU5rFWmLm_nk;8+9I@@Vi-vaQ`?hRS-QM~BsuP&&8u$cFAf z6q_Dy%soSx<*6YacTQR(-}&OBq4S53E5B7^)4>#E->X>QCmj3CcOG#@mPe4`w>mdr zQ#aDDbFI<&_L=xA`E@TIBP@?wkB)okXpwC0BLQ5i2v@MJDX*|^77uAFOCs~$pmELg zSK}H1P{vjiIVv`bgw$qVXBOcA=5yHpwZf)wC&= zKnZiJIcpaKipskOE`3)+VOXP$;eEn30h)_Fmys9Rr84o9d`?__O6J23$nFu_rn+DR$iDb-iWkq$mol{|Bw$S#Y+k-KA85BvunyvhB*j=55SA19Pj}Xh;Uf^&$_`4!F_s+-dW^LYNIJ#y%TAB}|N^rw)D} z;CH@X@adkX2v~0$&w7n8KTfRF&pMz%m{2FwOm@CRkjGqep)v9GZOq%OoOuhuVJr0> zTbm$ceJ9PG>QlsTX3&56(FVk*y<_;Frez17*b5rZKtMLYEGKMnW5&|5}A0_OOfd)%qm2 zEgTj%`4&*Vb&~+6aU&RPML`NOoL4%L)fLE+KTKv@zGK z4P@8l$l!1BmiXd%}t4}V{1yzTyQ-6G{f`9 zwyGoGq`LCAEfol~Qr1$RA^C`6y&v?u$*y+9q$Nu26wzh=koxtR7a`2s0>bi%-XiLa zUPUvx|K})u`t0yWgobtmpUAI77#-clm_tCA)jq}*30Dy|`m{H3*Brv-{ePSg(Snaj z;yS5{O88askAiN9Fu;25@?GgcVA-kgST7a?Iq0u3W+%H@vF-!hW)J%CUq4~oBfJwjvUq9l2W5VrLvuPQ6q=_R6p#*%2X*&V3voFuvjzu(51lD`nX zd}8k9>>fnuHF8tv68_ZwpL74ee0=}oYrj=($|=H;8?{F}H6GHdp`79L7lV%iD9%mN zIJAiug*II_pB2TB_mr#k(be6^F_izXA$SY2JZw6JX3ijE!O-y?na|SG^<9eN?;*|g zZ8z81pGfuGo59$`fRxP2J)ZvDXcC z8>yPaYqC+^$ZI~d@c~LsY6#yECG4NKJ~zOxuC`4*|CIL8$Z65YAAD&ysHP9)cN+i$;2 ze1)3@&*ibqp)JWcS3rOs^`gPPt6ED@QQ{C3lT3DP9CEiKEvr#=Fu@{3OG= zo*>UpWv@^4CFD59mh-FU%FY9|rf5%*$@+~LG(4dk719qy)rLpkX>8O`c6Q19 z`XU9z{nO(6KN4>8`jGXk`;$;`-Ebl2tSs^l7$h>TCAo9A3m=`>mVm6$#&F#iWY-q9 zW#{OnugEYdVfb?PB+`v$nt~1=LYkLtf5&6O(5mt%o}a!+{CpM-J+IH=;hwAZ%42M3 z+@ChRX{;SJiW90oq76}Tx?a8~R~4nncbQFx1yNj@>n7Flp70C?C~a(ZD5TZa4qfpE z`Rqk<{gFDzH9kJg`fL$7E1FKZSieM8qns6AiXSr5oWC&|h9M&(RiHgR3F%D^os|xR zarR~IJ>7O)bYAT+@*ug*57xE*GQai>^>HCNEA}OzT4AT-I>LXdxbbiyB)0-(GMqu1GUN)` zSOoOmN6tDa*-@@(WUuaWjgKarW7bli!iE3x^8DAifAEiw@8ACX|L)&sI`;)H%r7jS2cM;*JV+siO~!OTD6(~4|(qyRCU&U>ykvk07g_4$s!^m3J9XZ zfMi4rBt=vN0m(VD$vNkobB>~jphz&1MHECtl&AzTg5K5LZ~OMSr_QbWwg0C-v=&tP z)4lg(%{Av3o=-69DoD+Ro7Sa$Kfzs=mXC8aEw{{U{N6Yheq{*Pta_zMlge9N8?GBA-SW(nQQj?-# zee2;xnG9Ok3^HV{8*7E_xW*faDR$V6M0RGcNrU}^N8+mCS#Zc$$(jDKfM{~BnJ~wS z!-?mzG4quaIQ7sf2K#%#*+!$kI^!Mm!!~e=Zo7@^f@gf5N&SS`vY!%msr0ZAiXb1) ze3GlPt=-QMR*jj&{Re`()(4_Mv1K=;mm18g+BSJ|JHfNe*~ya8no z>;>J7d*0Q+!QxJou974i(`7Bc?UIMnowK$~bV6`$abxRxGzXXZ(fQBOtKgbns+mNx zSlrxI4Zmu=2ZdLR^5ZMffP0?xiZ%EKi%^~QpLvI2mGZ*4L5dYN-d1f25AF2g zGJ^e#hSLwhNH{u(4uy73!)Y=*lg^_SE;2)g4be<+4H4-Tao-8IhIQe3Nkj)>ni}IX z@EMd(uiX{?$ceJNuPTv2_=scLERm-s$vh-jMkGoa9`*HWc)HL3KKK7K>-($l$t+L* zzdJsX(S1rqNmEE!oJx=C&)7aCDr@rnj(>aYhcF(V7UL~MglBXkUlBi|Pm69Saik#j zM*MPK;(LzoYgM^=b_t1%Uh~gF_8^(^RjStA0jb-R+8*urh%{y9fzUcSq%C<9MVUR) z(+^MY<-CIo$z?2Rbav_->8ZKjw$RiX zBa$k*#v;%U(NEjVLWMRWPQw3r2g%n@&>6X}wbB|%s%qT~6h5S|Iqv_tayL>Nv+2Wl zCXtr$`NkS&!r9eW)_CJn9@2jmJ1II4uTc_>dhh|VYZDyV>#>;?nU$r67feZ}sPJuz z{c_ikl`R#bC;J>>y63CLFZLs{KGyj(17T?dBs2MMChYLV4Vq$?OOUv$s(w899+LUL zn3TV?M9Pwxh0+VcAJpKGI9ExsFFB0Qxiq;V{Uw#btGC3z=sWgB`ZUow?u311{t9H? z=~#Mn*&A639HKR&s>pg|nb-gF5VEDWFJDFaq3~OoUK*0mNajbG?m5PG#I~-=-;+yN zT1Q&AXQdgCT%qoaFb+``=phQb4X( zhGbQ{3i4LU`(36ZZ1noxBbD9as|bOo6zDmUEH z_ggqfG&hxF9Y;mC%Ao8B!a67}E#%QVI5XTlwU`LzGcoK=`dZRnlJIMb<(@5 z4Mb!%OD7IaqJ_up!j4=+)a@)_vrY0rm5 zL^@=DunHA2wnk>cwh5gEb>f|^=UJsYfi#-WCj=jLBU$J|^q!X8NGL0GoG(j3oV!r* ztzZ|#garp|#}!2N(r0j|R3K6&nvS96ELxuKjP2w#!0la4C47k)sMffoHY7ZVa+{@{ zCUa6KuHH~Qu-cZeuD9*<`AYI|hxspj4^Bmv<*0pPE%7LuiW%(XdyKRihV=2bge7Tf zZPDWDfW%jETCYqF69&nA?}kPe#71RrF{eKg@9genEmvaDTJ7IPHxQ2c zsOJL%tRkrKFw(TH*CP6c%~z$TyHIL&t^?T;q_^ij9`o)T@(xeW)=H3`x#Y0+lMZR( zXB=r=|Lr(odvDP>^S%ygyEFtfzVjnFzFeC{evvRtS82Yju|hnvW@p)WDPsAS?qBHi zMzr~c8&9o?rgsH{cX;0wG&JnGO~)F7+Ovpbr`b-jx>i^1Y}x2;H+8>z>QmZBL5o8>e8Vw80%5=J~LK1nD2KKK98^Z%{r zpIO?)_{S{o9~@rBh(CJ$nzw(n{8Xp@?f!iegZWQyyv<>8r{Cw@gEz2d&bpwvUIjJ_ zkFJOZYr!tg@W$x-3^=R|sTwh(hU3NF%LeBb2n##KHnhnI&bRm&)sk)C^7#D`nG>(! z+O9Om6ucj9_iw)xcw|V}3+j16c?O`gZf$vc@H*T@wlOAWk?hL28Xph;0GJ-1m+yZ2 z6_)Fqne2j-VXZyg_rR58M;>2{*c;3WyK$)*OSu6!T$VZLyoG3PYY%;AEt-MT0>it8 zyyI}$x+orVSQ)PSq60qgorT-MGZM_~E}*a($(${E3rfU~#_2w?d!wfbPT?e4WGh#O z+HZ4ke>om5R_y>YS3#FnJ5g9hl>9hFm{!)^*K~a+tYKRl5tHi61^Y`Kk_DV>a2RYZ zr)?zuHc6YpT*xPzBj|mIg z@rYK|x{Yw)t+;)S_cAtW`KH?{=>Rl?JgQw}? zMj=sZ!dNkKeJwc-TgHG(ErGVMhw^*fc`G;`JnS^4Kt5Ni+ox+Qo8WTyfc~2058$SL zZ$K*O3(;X%*fx|99wfKtpkc;#xOXl8aP-$Nc-a4F?Pl3d{Gl>a?uUs!rHYAMUJW)@LfFkyOHO70SVQUk_jj)-S_<8{~h3VgU;(3*d0KmfZI{p!J8 z2$sKfG3}WnLO(6D`^xW!a2}~mDce6FLU`H1vXk!+$-AziPoe`+48F#r)?|M%c*b)6 z!%H$Zr%ttdd<-#HPaODgpYVS-8%IWg8L=&D;o?#@e{k^jZ+bI}_!SP{>GPHlpXL4L zJPS2paLk_DOnha5aygadzbFXNDJu89M*iKN2e|8+s}No~TknL*CiYvOfrWBK9l1s5x^4?Dw;$1HPMkx-VdF~K82Ry(nc4;|8tLCH&%j( zX0wP~55ij<@L@ZtN*L*{X&uy$j3TmaPQ={ep}HBWPTt?E_Rp4)ra}L#YN_Hg z#0yDkz5G#w_z%Uqf3jL3A?JzHoVz#@HRl3J+?<4YFr&`PWS44e!W3a=C@EkYPNTuZE629O%J2r+}B=_&mmpxCL5Wm%u zd*;k-BrsUW7>kJ^VS-x3TV06optZTjOu3L0_4?<^mA{az{c`wcgga8Ui_mTop8y#z zuqmDU-}n1sf1mpQz3=~@{QLj<+Hd}`P#j3u3Uw;$GY1;EP_%j3R>1S`k2HJQR_@uu37Ig&*f*h2e0 zOckR#x6N*la*X7{dX6YPS3;?lP|7vFQz(4rAhc4QkL2TBTClxO=Gf~C{3o9dAY0)W zr|ME5GMn5iwJj5oVZLvf8rdtSOJ8a$OuB(Ii6MtI8WPzquh1$UCH`t81@$6VjUKc#q9&-0jwAL9D!TGlDKA>1>^w6MhdBks>~Y^>*GQv4 zoo&5qQx@_zX$i8N{6!cPBXJV9o**mzZSa%CBgjP1=#PX;$XG77+ITa`^q3jgvE%y^ zQYWG-FPzJf$}u-af|7&$UrGlOuT5 ztPtfIACJ}>{6fk5$Ge{2;X&b-=;m-4Z{)`c(5D9-Bzx)(l`zH?$e!rlZS#=qH7uhT z;A2PpgySk#+@F#82m5=2`<+Oej~)5CkIZFgXsEPwV~`?O=-2YV9uLw#)9*gOg2u21 zPZ{k+aC5YZ$*8v!)pvOYsA@=t$XC(GcXkdWrw`N8K3hWyn3-DOWH*gJ`VlcT{MTOb-V0p>!`}^1d9}*u=zwj8vV-?kS@2j`Avu&#oqO z8VaZFGjTl1nv{){;={coJEIpgAG zlxvAEt7w%%X%|y}t>h*Y>-#8Ny-9q9r)hE}ignT9mxmItECjjA!C-iI(ufz?{ohjJ^!DI{(tE9e$VKE62ycbWNswVNH`-m&Sy_KjxnI32lOT$}WKPY;{=oF9XybVl@{Y@*ez zQMDT=dJiw|raFi3k6@{ETS%Inu z`=@?pNxC=Tb~vLlm7}>scs?RNM z!*kj5QI?%VU*=lK9CW`Op7SzVpMLCym+r`f&xf_J{Az>a2P$BDKrAq*?-=aw-i!6K z;DqB#ZjC4-DL5B64}`8JbMX_s%-hJh<96$XL6=hoDE{Z_RjUQzzEjo7SCwRxG!)W) ztG0!Q@=R~iL6X1UtLn0ec`eE6C~4`Vk%ed1o!XE2hVW8gSx0Yv3SRZ=m77)=!+e-OU@Mz-Fvz=vxr^*i3{oIrA>=n-YP=A52)@Hab9Vg7Q z&Y9g2euUL6pX8^w(hIgUf(K=0Z^6F)T79=Rnb)tnH4}c5%<0#zzq-p{0p*;)iox?lZfr^)kD7M8n`Ki5m-0^`f> z$M9DF9(I^kTbKOJs6R`(wH16Yv)0gAL^{;E-%DQ}& z{O%_t&#*9U-{Da5{x&%n{&+;5CnB+e-vl0RUD=mZufg-+Yfjlb;wSu2F|XZE^7rB^ zM~_b$!TYe=k~R-Nya!?!GWcBJW0TQWv%CbpjB=Zvy<&%NTx{)vvlU^3ZwZoA_^+4e z@1Fn9!vAZB_cw1ZPiuxjX~{C-CIKFN_8 z-l<6P^?Z&bhHqY(1HS_TAq^`S;6LJD+0ZkNK&=JZQo1$-6;mHO7-@yzH;4Di9eR#X zYG(C`<7Nn>f8KdNkua-$^m+~W_&>{3zfE-dZk6L|cbyR+XxVsCMhbyBx02oZ zS0ZR}?|9z98we5YPt2O%i%^Z9w?`_rAWW2j_U!pEgw@ct+VA;@aN#hC%04!PXZt$E zx86d;hNv@5>j)RleL<@7%vMB9@G-0M(!)J|_x;Zk9|;F=5l0hG!sknUXzi|d#2=vb zfooYQ(TyJ)S|jI#pbeuYZ!T9N*lc#LlbJlfy%4?6i2?+Zk zJM8<3`1tJm_AL`FMfgn5&XrzY5uy9m_o9g{BD#We!lgA3c}PO{Zps#T#3;=l=c0kP zfvWL~MFseluDnLwPJC^N$D<}k8xbgPG1IFNgP?~$cTf5AA!HXHo$!g12sM9B6D+g_ zVW(>B`&V=#?6utJ^M3Mr8@S0tIc!4sgif28u?HgbtGPP64-!obXXLMfd_=19q%aWO zMr0#Tu;*r4cp0lItF`ICXW??sy_1Ah%C=wVioj+Be7CD!5#@)VT#pIy)E5ZZ?Z)VS zVjV)Gh3VpxV+iMmB6!%-h;Rnuc{e{~M0k!{K^XN}qQS9p%U&UXh&%hHyQbD4@@Po( zH@bH6JeIBrGP8@4d=w&Pwh>WfgSx64qTt);sr|4z9{yjCCw8k(A@HNq#O`u(_qydm zx%sgkp_fEQ)3y*_sI}3lM^r>hCOF+~N_2VQFO5Zdn28_K-9T{f&9#W+;5j!MXNJg| z8wTo*kh`Gb(~SajcpZmY({Qvdw z{g1ExmTl~M>+~j3mo^h>wKW*k+G$VwmG_~1Ovi7cE{bGl%raA`SE7iXb@KdI!d&)z zkrkfij9f~K*@BELvU}&+HKxBK>$=shW2&6U6z)mky?PZHTMxwc%G8n!%^2%*67@)v z4^{fHZ3|MVpGnug%tdlU-@!W(kI<59yxwl_1a4aer>=7$4D1zWj(saqLxoEj6f7U2 zjQ>G%7M~l6=6BFak^F#y3+y*8ZrYDLS)V(5b{#`b2%5yEh^ClTe?^;6C^EZxRvJnY z{#>=0V#C{;NWXFN+p!D!NbAb2-QBi_^t}2%SM{(X#rF%_9O>;_FBBf?Ggy!MU^<%n z0iRK`P?+8-w-J?c4o6BjNM^-A;eZxX14_h;asnPwQ0V2Ys>)r7e2tsZM!oBi8#ty( z`-TcRbjt6hO35y-oGUPxi*WFK2DaOg9Qq8GBd51yi6TAZc#G@o3ZzwQG;g#%i_}*% zRT~}GqfOnTieYsg8n%hHh#Rj)t!&MbnneYw%<5=HBxz9oRyrUYn;}CshX6^kkwAuw3 z*-K}*rpTSF;V|Wfu0PVIj#9lOySoQHN7IHo7SZ_V(C}bE9c~$>jG3o*q2_eKTBSZE zRK|EJ6}D|gd5q)GWMmu47?XXD<~5=?ygzE$Fkz)QmEPkjBU;`ngSKz&L^HcnLj9{R zVP8Dqk5VkAMRv?f8AmPh_i?kV5n&o4zQVqskEg_u5%<7m`44+M8t0z$mmxiW$X&h4 zlqS^s?X6UOWrLd>e8rSGCDicP8=e&1OzuG5ce1vJph7%;IECp4@mGpJUbNVNQbii~ z7X#HOR*;QT8E@A84%r6^ z*R58b{$2b3==p#9`2OS1R~FMbuJhOTsiOWk_f7uF?%*K5|5s~z9Yns@`^-kc<-?Ir z%eebMDLFX!Qt&N27!t|{Po=0rcfor>gmGet7CxoA`qx~y!uP^{=DUG1gd->7rfcQ`zt}Cmmfq#UpZ|!u`EFz4 zb1R8=O3*=oIP}Lp$|0a8Ww~7l0~}LIpY0$TB-csyJgd07aNoT7ZAb_|nNKtSxF0eZN6*MdwBcQ9vqQZl4 z8;$0Z?RFA>;gYtxeSar{0;1Os?>2<{{`iyUZP?&ZbWhlJ9XrVrEUpnSog}$_k}`Km zZlsTZEe&7kAbhvKJ>7S8lyI3N750hQ!tZ$%V_o4Y_-Cv>!+-S+0xneuzdv3={EM~+ zuPBEhu=%8Ya5>>68V4_}-phvIRV_8vpLG$OpG31(rWGMaVqP@Mr~j_~zkB{a3;%zu z?f>Jf@86!?VRdz%&@9zq*exG?>i2`>q8ILFiF~;SP7O7&f_WZraS|5X9Ca10-%CZq zwn>ug#Eb84@{(Tt?)jr4*GPWONvHiGBlaXC((cH-krh0YHf*?PxD_4^Y)m1{=p^w1%O++gNRT`o7y2is4#JCH=vH_D$$#-5UXl;=g|$-+)kd-W~OE>yuSrr=GTOcN;f+XT-`4?C7G1O2gi7{Da2{bvVwy4Qn=Tg!8=aow1TExE3f@H1J!4vhl&D zqx;Fuv{}llTG|2bSL05bGP@AIAY-843*sAezjwOgI=Sc5Uf~;8SV!g?yqhf*SCRP! zHLY8n9Pwh;GtYTzlIMO2KA3opFQQ1@O>cmvl;`EFLjVR7E=-6aRI%d53l;Hrl! zxA5^CmL<4#9&*z-N$&gk$23*s0C&yHZ4VhHi0Ahxoq~-qJR(>l2N<*9xxGhWqiriZ zvxnH6+4AAVdv3KxLk_%BH%STUZGrc?m!4(wZ{h8=`>X$&GI-BWzUiHjhNGQGGuMZA zaK5Q@W2TiIuGMWb4F_vMIW)zbyP_EGD+D%f=#zkZYr3{%!Z+gIv)K1^`UO0ri|33Bqf6V#+?X}->LX1zFQ4P0g=YsFdkvV$@j}l~TP+?%K zW+=~xvb|!Htb46cEXp6rZ1EZeZHJ!7?mmUQ=OyW~3ulO)DsAT@*F5BZVLW})KOW$$9@ytrJbbhcx{V;C7 zICZqf;|glrLr>dZr9~xmTqebd_{MH-Qd8(rMu|Y#58*8&w=aLI=Y{Quke|O?-ZknM z$;~S*ZlP5|PRh2|vD@vCZM4|DpZNTH2_`O4J%);44$B%R=2@IiWi{EX5) zE2Qr%TV=E0jaL7J!`t?lqW;?fU-vd++_1RTu9mSDm0hc6_Jy~g-12Rp&Ttk=ogxii zFaSlbEVOj?i=d#ju1T1hu%}mBrXFZ+MQ(gGV@nkA6KDi?_DYhw5ZSP|JmN=|%tb{u z;mydr#$~eV;XPy|pO9^|q#%7%S)-I{7ux*USr6Dcq9O8m=xDbWY9EIR^v)heHBCW1 zYexYpyqM4XCU~H%CY7P*M*^9%mqt&wA4iczMoVMiD-@qvp#K!SAKIsFII;e6a|e&@1L*2`*pc$9-uq4GK2;`3;lvH}6TGu&13latqi#uy7qB3~c8jT6YSvZ#<}>$@qY* zp$Uopq$WHT?dtxj_Yn8q?Gfi(?uI+Z4|}<9F2K$4v8C>A6Vxn*vYmNnj;d!T#FDd! zo?jrQA>KwA?^+XtNmt1x8{b1%&nl<; zaU_#V?XjP3crn7;9U{^N>JfqW7gTp_LqxvS;p0*liLY)agP=t-BCq#f6(KtK$d;X+ z!PX>8X=@sd8r>|sY(fV^m+av?S`+$OhxFnmyEc63%R-Qmd&ojg2SV1U9urJ-La6g> zkJ-Q?!gQ!#e=H-<|LCLLbB7t>RzXz)1~CYq4qJ3mnnQ%ng>u2hctkvu>+uokLgXIp z&_U@GM1~q|{uH_%k&|mX%(FKm>e%3O+s`)eUPaCJR`m@0luorrDv`{M#?!Pe%@Ab)84#UBwNdURsD^zcsB|z>g?<`AbiUw%7Zc`aZt+ zTKIjf?0ex&Pco~VA5eXmMX>0r+_ANW2Zlx@#=l z?);4K!QPI2OEHKzU;HeW))En&Zgt7;NH*4y2#>exJQ0~cVl`Vxc!jHl=^h)tA>2T& z&{skwhGDU%)rjbKJ$C#V(Mz5-&Q_IELgf8dQ97Mx zi2kE?G@-hPi&9RKjIK{@-VE0eQN2pEE{Dua(fRI2(^H}csQz%V z-5pVf`x>i9S0So;yS9|=HAM3b&%GZyiReh@m3}?ch+bS(J1O%3F_)<%iUaoiuKnNV z{(pRY|2Ncr%jFM4PV>8PTk*EU{F9gq!b6=ZT z!ZgXuYRK4}xe{dp%5*O}$ezDx?EZec?q`%5daG!}eIhS7ECO+{N_CY!C}3pBiaUuJuR`1ttEO5!(OL$!Y7{ZqX}=kQVC%q8U}ko$~E z!LbaK#$UGo$w6j*ro#58rpVtTo1^eb%PkaeSDj2M@+AG3<9W(WHss#Wo#IzcL(Yxv z0vQ#;H)|&L4lv7Uk+?@A+cA$qcsAOQ(A~O0?M$&es>AsOEHM7h5w5 z6)yU2CRyPHD^FjU(d|Iq+*r3D8{y@AQQq{Xhp;r(tErlvOGb_ijjD!ZC>~#{y>}-! z8cmyC6=?0CBRYo{#xYGB$jp)N*s~zQ1@!EC#M^is)mQtnd3;Mz*~pu`$(itpO}0$G zyYmF)XSz}~Y)Vn4CrDFaxdx>|Jo|X>^P>3vV(Skoa};?_e_(3MCHnmxtG81%6SfG; zdtbYw$hSQj)k@d=yY~Nk?u-BB<5Q-ZSvCJBXZIhPUIw2(W_^r*?f6bH|Mh+HrGMv+ z@9OBeHM{5^!0>MOw#${9V3NPxGkdWE=FT_sce~8MVqrm_;Y<&#l*6|_;%$eu_vAg@ z=>xFIroX)D-51!FEazTaP=j6O-4CxOKEU3mb2GaD(Ewi%=iPi^4;(qKCzzHo!|}O) zhL^ZKoV*3Zd6MYh%tNo_X>=TVxncd%i`QXf>>-l1-2$ekT`NB8)x*4}eLOmaXnjxL z?z{JnZ~@C0gtvU~hV^u~UC3jS^RqU4>fW$D>^KuyHq4H}o?UtHBJ~qE(0AA?Gl>!Z z;J#>?x8ZOs43PTPau-g9+F>h-CE>iOie-V*6wY-SVNNv%px@H{_RSG*TsPI+arvSw z%v1ySuQjE>Lc{w?lS2|Lhx)gPZzzTJQA1HJ@guPDNj<;DdJ}A`HgjM6v_$3v{Sq@V z?67~j_f;#=C^O;CT19+u*VbZLnf*Xq7?#KhA~*a+)JE+#v{mNKYc z?tBq;weLmlYRALA|03&DYZ_sg$mTy5h==1_Z|4E#Sva*13EEuv3FrKz_}E?F;bNz3 zb?_aTsfr$rr}}`D`hsp_&C2hHPLUF%elCXTA0C7biKXJgTt_z8(gZqdj&SZ z^s8Q6^MD=07%N{QKkN;JXa$+(;ZT;Fcd+;e97n`BC{D(Nf4F%M_o1_JS@nKEjj+sJ zm$xiT-y!;dg^{$D#h-9%FCOO>DFsDY@7tB1M3+%y{W_lHH(J~Zow_vZ2dj4B5H~W% zvAGo!F;*!9J5RIR_*X>JEVQ$Udeb#H)^BZlmLmw~eNt_ghRWd*{!z&=pXe@LTr=QZ zz8;k2f|rGKzJU^3d7jaXu5!@#g=jZ;Otrj- z{vPqW_Wv{M`>XK(+VB6pzyEX2Prm<0kXMW17qGMtpC8HfIrVSX_5}Z8&h^fVLWGOy zgJs))kxYrhMlKfc%zpDOA>9Q-ScYICz42A_kdd9ry$bg zIP`UWeUSFm*v(OGkVz@k#V=iFvq7AnJ1XGOH0I%^S6?F&hiNH z)2%pk{c9^iHygAXQ<);-`>B)O@p6c6t*&dVIfJ;pPabwk5+0sj@AzC9?0)PQAQcShDCoP6Pet49j;9>2rQO9|b>G@+#Dz)qH+W))f z|IevU&T^{%@wMNaE*KS85KVl12Tz*P3lSfm#X#xnNt7Ys&{o42C`@=exLwqRyjNG* zGz3>7ThhexH6J%JzN%ZEY9XI_*6q#;&E&JWsCv(R9non^PASH#Y(#uVWo$rjA7U%k zvUgGrBD%x%wceyAqPV0_yEwf=L>gU+L@pEY@##cqGS?9wpZZ+0(QQ1f&!MAD7^Y~ z=F+tyU^U*}l5GeNQxB=nd)t#Oz?R-ZVq4qpNwZwBAeece(M@C58 zJ%7vL_%Grk*y$Xk%ZOO6nEE3EQi#4DH0&Y}g9l7K+D5_W&~T?DQ}*OO)SfBfruKD4 z6`f)3&1DWKXD$A*u8{Q5&$*6GERw7;OJ#}1=PQs~(l2@^oA?PYndlcd36NZ3dOfyD zccfRTHDnV;Nt%RdSd^2i@O%tzas0rhfIX&*&Wh8xc zv`g{QK*GR7D#g?7zia;=J^$Z|{<8o0^Z#IQi~sq>|D5~ufAs|% zJu4eHfA6;Ub0iE6mYuC@@2-T~`d=B#ROmsWzjR-*fd&+3n{Ukh?jR)Q$-X0GpR0L_ zp|hnL?u{ef-yAo?)JNiL6Xzya9+Z`+ywL#bfUouOXJ5kB#?<8|wzADNKPfXl@^cIgu)aJ74VxAv11+&s4CiR2vsn3t}dju&gWg7}O=%5!6u!^V~^R z#pI6W9NuWy$I9h6y4b^!waMh%MLjs#Rd5fekHh)SwMU9>C2*P97%aY#3T`Y{_+NK( zfx`0YqBhqg@eh7odHJFx+?hq)u5f;YyN`eDRw2Tm{^~xiO_&rO`rDbM*tKCkq+&k! z;1}T$`fj+NpAMVWr;@AqNY7hx%a>-T9S#?_iKYj=hU2J?m-PEeI4gT~B{cNGC0W3K zVpkDdpXZvgNsz4qwcqBG!^c4hJsYa?MilNFBgR%v8NogF9o>naB)fCHcH?%rRqzNr z!#%o+XpVnw=2{_6G}ZOvC+2#XU?X<${0W)R-uk4r2r39TgGctPis zBjFvM=+yiW`4OIPHu-FPM;Iyg0(NJ160I-eNy@f)6?jKED`Ys0lg!SYR=3Qq{jUAL zd;ULbob>eMznTBk{y*>gVdU#9YyYbKuz!1YhgW+0uO_zu_`Pk7^iMd3AfD!Mb!JyuLU28 zzbmQBrTMBC$z!9R6%vj@%=Gq}XGh`?>p$M#r@0Ps9CTdSj6sMion68RVJ04UAEV#9 z8vYkl(zEu3ASg0-d?a8Hp@-fc_Hw(AuomB+-x{_c{Hfk*-e=@)(m?k{eg)wHCZB+t z&rw7fzhB2OY=>xJ@&hUOIikn)8y-B?L`;HW`1j`fh&{G^VUy!K#EvkAUsrpBIOqJ- zVg3cgvv9V#aV@}SqrvNkZ(ksQ`CEVUDO&_fyf0K4@HI!Td_Wb zImSh^F_#mq?2Ep07KA-|J& zh1eDA6YaXVNe0M{hRr$Ih;v$f;>0dx#Phc=HdL`7{wZJ4qTV$mnEbjp*A#_-jZt6q z=vxu&O&=kzEkLrXGdt}B6A>PivbObbI3jLu$vAS-4v}3?Do!_iC;10+4FY1T5dGj> z(w5+Bg#YKvHu>oTVgnqHbzCEy#q)yqgzcOW&((jMhL8C8Mm7fQB^vL9M7rp@+D0TQ zf7_vNvK5J6mc+TL^AWNnmNLil&3T=M+Osq*Z%LG|L;@(|AN|Y{?TyPH{vPk zP6ioP=-Uw=-zL>&!mTTJQfk_wQ;QN!DwQMNu_&bC9c%FAMV`_1n&tPdAm^Oe)KJF_ zWW^=bxs=>N=C-O$ds8#Wz1NNEeft&C1Iy~(+mnpct1WaaWapP0rQPyl^(G{Kcs|Zj zJVZWwgxbO6F~v?%z->KDC5Eq7km&`*`kjaV7EbG5Pz3Qj@v% z@S_DeO_XVgI2GEDp?K>Jsr{1OC^)zFp+7f0@-_-C7*-P=pLj&)wmx#dPPMpuu!i*D zQs0i3&7MLAUDT{>A<3}#z|_k}+=n$D<#&`ulYN5VGGUUz zmf6q~_2DJqBqsLUIUqq8C1L#szmfeyT1xdXH7iZxzH7f3ULQm5TmCsIpCf&%ucdcsNjwJdq@zcX%87VqRF?T%aiW5y zMM-snKqYKmFKf}vdI@_MzjvA5NpMVE`*z;}Z8%#<*-c&`xoj)r3GZ?YZf=WTCmDG_ zF^Z<%=UobShWRs}jg{b@Op%(2AwIgD&!wAv2=lvizeCSr06c|UxqaP9UVNT;eoZ&g z6R>lAOkrCKuh6IdQz~2}hj4Ng+qEj#>LqBUvQEPOQ{m|JsvB@xTNb*1v=h$nHZ9F3 z|A4DEYmh|56Syr|7r$_RM&{Ie6%tx3;I5pJH?p1N!i{RD&o~;w!}?Q@piVkGmSU>X zVu_xRvUB-TCeha|U2zJ(%}DeN-5WD42?Kk4>Y>jNFRb@we0n>t1iNFqe{B3H4Tm}x zHqmt?->=JRZ{+v{Tyi*%T0GhVH+e1pS-}QSt_oJs7Yq_zp<+sFA{*RqEiBmQ+YrVD z|5+M^?eLg9FK6(y6Q1^^Te%WRZvC(Cjj=4^@N%DJK0vfFUca`fWx2V-+ir4r!-tcw z-IJgqws#%L#p{&T5F)-!8AY+3~_rzcJi6cRUoT)+Nt<$}RZO0Pg(y8ivvZ za37*Bd81G6E&)Fth7g9Drx5e@kX@JI`M^%8!Po*`7mu^oEGJCt={={|8%Z9r?Q51w zgM4@|UVmb)B@7?ucNzQU^x*JhM_Fp2Je);B!koen!c~3G`@B`FLE%}XTq3+;ccz`z z*B`zh&kMdPZ>$CnGR!+9p9@dD0q@KlR(Nf>Tz%Xm30|$sF1Xzwnrjoi5Ra4f@L5F@ z-E|@fKDhyd-`j-YE0wChvimT6pPb|1%ddf-*1kbbS@PpaSorTb{-5{yKMQ~KkCvZ` zi|`Tdlz#3k2)`XN zQR7&If9L(#s3#mGTf#=B`j--d;)gksB*PH=G-6kFlo>*%-qp+rk0JEirV8^NZiJcL z`e0YED#AXU7Zsu0LAd_w$|U_6g!g!aSiUnt#G$rFZ>G3NW*3*-P?aE=18_=d-tQnh zJblH8y@cK9@R{}=9pGnLpZcWn1_HJu7ps4gMqtz~_vbc85j0M_=L>xvLb%wkhYlDc zRJvtOt3`-ph*!=I==dY7&^dZ~E6Ez+?SFIe69>W*vPDiNFCv1;pRs7K2;tH-Efb#T zBfhq$3EI|GgfV%|B*%pOObAgs9Q`F9KJRbUJ!>N#&whE8#|a|{@HscN|8^aMXfdYz z;}wE06wj2a?;#w(jrue8XAs(Ca3zQ#4`If$!xM)#A#A25<+F+h!c8|0pI6O5_~_UM zx&rcijrQwzA6X-!_4IB_;+Kfr+b{cVP6r$GIpjuJ>k(2?1ur~IXIDAIvh4D=xueOq`4R`n20OF(U9S~<tlHZ02Fp^czu9{FW~y$IQ2t$)Ol3!(0zH~B>b5oTa=r_6mH z!s!*a{Ag$+*)BgO*4(*-2!T5%mhPTIM5QWwXX_9m#oRBPaS9^OYYCTPAz3GT%%Aul zCs{AqIu`x~HSjIx;7t_ChX4DP)oK(U1io6UBEwgY;5Qp+S2PQQ)2_8nwMH=y{%8=i+|GVe^uaED4eC@Yv?JeABF-m-V2ep%F zFQWRwlOWok2`GPW@&0&31WIK`ubtP6LlN!Jxy3ijk?(GIn{J~b+0`6$?Uc|YnvtW| zJ$dDkWsvk*=p^aAcfZ^;lp>9c&D)M#yUc-fZY^d1`-FupvoFD)WHO|De{;Cz{R<=q z=C9eYzm@p-wuhdTZ^LcN&Q-==?NP&IpPUe(iVEjjo%gl&plnB7r{nbs6wM6stlvj8 zBbxaUoctvFS$gc6$)pf+0v+C^bFD}AdPnQa#^K0pzt7?$Hh_!@sS}T`&LX|?yRMm# zF4EdX($|~Elb$#G^dq@Eq);Nl_PtspK0eJgUY7+?A0$&yJ01r04cuMt#iQ9y!#?5KcD@iL;Q2P5st4!P%OTW+M$IS1rsXkR;yn` zJ~eN`NGTI?FRu*b3o=6X*XuKDYzY^zj$^S-wF8-H9;P&XtjNgN`AywuC)o$c`D=4W zA#H5u%PO`&Jm~H@8*D$0#)l1*#j_`H%fO>mY2{YbsG83udmcw+v{32T?k^~hUdiw# zG?!#^Zz$eaRfFP?_^R>&GZfk%4LxrsjQp}Ew(M3>$m6vvIam>eoCo4lvJ!`p9dUR1 zO{O@qoDMiVwRwX~XNwKq{A-XAb=bQ7a0wobMO;@F8X`VEc9$EWp{VzH#4&ZF6F1q@ zI^48lQNz_!7uchP$`8$w-ZFHk5Ur;?uY8BH_9K+nT2m;M846K|==*=jd+&Iz`}Y4I zl^s&iP$&^CN{IAmP$-Eup)`yld+)vX-h1!8_e_PXR0vUKTSM}Fp4aC(yRP5ucl&<- zzdl#p>b$8xUg!I*KG5$->|8G72KNS6BfB(nBYx#lp=7lBNbk7g8p?~!Q ziGR)d{-ymPU;JnG{1z(Csi#gXz?O3#{}qu~*mn#c zG;0|BhqG|u5o$;ph=I$(Wt;2^QKYBJxvuXulph>3%4)NA~gxa(%rksD}Rdc z(>UIe&uP&6}TW%!KQp==#O?gzfBNJ+?N- z0q(m;j$E@N`2$UxVPyCT9+w>x)fMUBF}C~tN78e9-kY*KG_nt#Z#Cy6V;N!jXzSK| zg{`pLE~kH}kmTweZje!b*Z@aw+10&?B#R9EE!5<7KlX@<>S~3%;j1K$=tOw1Y*c<;+z*cwr&9Bs62#XgD1UIE37*v- zN*L~w^ZfY31LAWjL~GQ2ipQU1aWICB&6@|nA(3xqPzhn0e>7a8FFp!u{wGaTVQZxK9PzOXd@Qo7+dZi!w9t zW(v1{DOSQorb_`UOZ#t5&DtB)nbF2UBal0RfulT`1sh07v zPZ%7Z^g8FB-tI$Np?r&KWqOksr|pSJ^$&t zJ|p?5ikdCTFrELYbpO{SSon?^H+we2Dj}_?ek2YyDh=*pKVA|2)K0;7byLJomSDPT zJ@J+0i)N@R@xtNgZ;$D2a^J4Y`uHn?cu&Pw)yn(5hGWi6kM!Ac;Ub+neO~U-hm_r-V&} zsnjQJC)jPi4)0cB*o%5^`Ordgd!89yqOqHT!!3qp%TiZz-_~i58728Ox2(s$OmBzd zKxhq3&Lr9SRNM49RuCRt&H6o;G{|o07-ht5at}3a@>}V?2ID(Xb6YqRVU|}H*daC# z%Y$jE0&S!wB_eIENFRXBm%MJ19|f>8U1wjxpa*+RmE8jTS4n=&wzCG*58)8p*ibuv z5RO~rLSL~yfn!8?j?L2nII(UX^XsKzMk1va7fH_Q$`+!{CVhUg#@rFHEN!I~qiTwVDyY*)v~c9X1i zy8^3lH~mMj4<9%2(2|3LOmM>uf5OL`VY{$q;1nF~Bg5}}+d{Z_Ofon6KfozyFgWvj z5xK*$22zVl!`VAtx8#X5TqqCrfaoY(46bc0UPI;$!>)@Fs@1T(;dokG@;a=eM_#jX z5&mD;g5ArNjj-RZ=@QYo3ieC9vg>nq!l6vC{NCgY9PcJRyctLjC)#VI{_ zDFTVzk?W6!Xd#h`-`DA=6B15~DQ(CdLwxkQAc1EJ#K&hm{iP!f)kFN9Mh{z2YJV&* z_AeP4Qanq!+$T;AT{Dm zeBvbO@y_rSh}mfrzSqW zOc^R1ntSWRbYV2xh<^7GiSJIl2wiD7kPJindOn$ z)O1$Fm+)ukPxEB_`i8WSt2D}l5t(|4;$;5%!$bqs;@$X|5`$g>8yc7{XZF{`qw;rx z!#9$1SGu^$N!864#b>hCu*#~V@RCE3Nj}L=e>NkznRW+$SZ6l zS??uICObQkHpJ6KCo1vh-2bAYesC$iS$E?1!H^ z9C*ovz+qkgmY;3vh0H`2jB5-01cCHQ|2q z-1C`zgzY@IZ#$NY@M=H3Yo>aQ*E8HU#R0@SE0k-cY&>{|vE1mmNrM@VQ&{_Fvy2U~IBy-iBnKJ-BWB`hge%=i8j!i6$#Z z(|ylL<&EU~+(La_ARoapw}&03q!C=O`L|T;CxmRYroHp1jOY@mw@i!X!ZSiAJyqTw z-fa&JnBFhJH@QCVx$-Ue^WA%LLxlMGro!6RMUm$QXT~UB;zXeEk1&052Mv70WMOjl z7kQnn#}2g#BWNgu?WANfg0DpCM^h{#_(>g~(hSj?9KX(+_tFm`InA$(M-C&DeMbcY zPY^<_Uzs0_{rso)|D5~(^zo5`{$F4Ft+x(b%F-d{uI&7bQza`(Ij!^QyBASd7a$^$ zn}B>j>6WLr=a949(^WyqiL7YP{f=kXAftTKCk|C>qzgzFId2_B>dPA$Uk=bBrK)eY z2K^Z%H&BO`u^vLwgoaNZ^jVa(v|rF1ZAbBb1?9bN&rvY2e?&X%2AMeqRJ8ms zMNTb6vE8a#WEGab(WSqN%+)vVHl!0Bc|^|xkyvVy4W%_%^6M&6mCCrTEcg?4MfB?I zTMv=!z4_wai;SJ$0n5B8n&S=om2A%B-F&rA*- zaxec%+7nld?A*CwZdoQ|oh<(H?&@apzGzlvhj1W$rp~8EFC1wLgvWJE25AhX*C^sr z2p5R|t>B6S9^W(BC3L0_P3%_hE`|=Fw)EATHnv$*-u=?2nxKR-d;NENlgSMFmW%?W z+HDk7-xssJy&Z+xqUsF4$-fVUw&n>hJ>=h9ve;&thP+*t`Nlf*$f;h-pFX94Y|F~V zM-o=ZQYj2cG`xw-YpPP&Pv(*F;6lr2srsMV|JS)M_75N5|98J{WTIhYYw$C##&GgrCIC_)#uRdx0-c$|q3rf#*wi3VB z+I_tGDygujc1r55?;xDI1;gjOgt75`yJRnWEbg>U1ldy5Li_rbh6~30&|TMgkabBA z`aFTm+w_895a{(%P}Ty5WAZy=eiI(wI_rh_at@dX$aiBa`|s&dm^3%P z(+T_l)2<2^cFI*SYhQDWwZ;MFxs&(A8Qn|5U_1z8w=v13!e5(Hz# zr}{SB-Y^L=Ef4Ox1k+ks9Vy>vm_0LWbfo8ndEdMBK4b=I(WdciV&Doa(n)_?q=$4oTA2eY=60r$7QF~21ejE54Z1(t$tz`!VE*;|Af zMqDXvi;4p<&afD^-oXyjjpq&>^C9zryP?JU?-^hozj=#dunsI*!qj~{l3_V?F!teH z^7@_!w{Bz~f^}PqipaHC*km*dOQ&;^nc`{Yxng&cvs@$}_SqA5Uvd)V)y_kICDyh+ z`63LLtGib-roy=IM#oV#L72J~8|!_)0&^}20gcuUSj2M6rL-`@YV}37?dLOLeQ`W~ zl+hA4z9*DQc;sMPy!a}Vq6~H&Aq!t0h?2QX=!o{}PS}r~plfs@a}lL?tw(Q;P5vhYlnZjtYes_apHfhd*ycA`*wH4r!hfM3Sn%ugwzKeGM+DaOm_SS+@Ss z*~>2p>si9$sJJfK&&E`4i%mtyTlPt5tqz1=v_0c+`W7Os>S&92f*WST8fa= z+N1ATI!XL=uDuytsYtF+N%?Y~+#yVwR^~*R5Y&5wdds^qgeF-^d+oJA1Y1y1((!pj z$!z^tf8P@^XBcna%upp9Li;W5R)-O<723>QQ;7s2yXqeYW|1(Ld^uN*+@m9z)Af@| zkt8uPo|3#BNj;-9OMOI_q#7J2e_NI0)%d5*e6vBywIK68%SfVC21mHjW`wYw56BPt zjj-4K((Khzh`hn~My2TrqN5)y*}VCM*sObIr9z#=H<`n2cOnJ}0`F*=lh-3*=~?gW zbCO3;%Rw*HOm?hBzDCtY2nU%XfPF_U@efw0c!*bMBIWEg*Il~`$WGS$DaGAQ#9x>F zw5s|of-O$yzPBX|lc;8yr}-_2)G97FA$ft(pYJPVyXqkBv{$LIr6%DmD0t6rAh}B= z*B^Up{~$ZV0f*K4E=aOlI2)CYu*vw zJ#D1AW<(6pA+|1tcBCSmyFHa}uN)#?w)ZLvm>_y$c<=2m&4??|(RjDwK{8%h=4FRT zzR(Q@cS=eQB-z~E)xLET*sL%}|u{kes&s6Azm*sv40Mw|225DFNy9tDCFskCQAayUsyJ zYa}lBi`^+9*;Cu7o|SWtBaU`3Jx6pq@!IZ6$o3|m86!cpCkt5-R__z}GTs9rqn_s( zh)*zBsG0qyGs&#Z`>kF2DvJ2{#^-w65-wrZ)!ggJ$Q7`;NVLsa?ibIqN7amd@f!I?j$;e)~8_)W^HexdhgWbeVOVg zxnA!0!tp5z%>1uM*nC7zdGh@!tH;QgV7?jOOftD9#n!oS5FVeIzf1^oG!l(OZhb4^ zMSRtD%HKNg5$ljOD8pTfsF@pRL_s{5&r6a1EA{UADQ`rx^ z3ds9Vr0Qa!@CZqPYQ0;kqLI)z`zD*O5%EG+YjUk@TKo86JrRmUEu9hA(~!>?4{e$m8h$4{&_el7v)#jtU^?VP)sA>a@p?)3bxb* ze51RDoRKm6m?4srY>}Wv^C6ea6s!1#mFAJ6HE<+Lfp~fK=ARz7B?Cq42z%p{ zdYwBJq$Vd=W^u9X+@s8h>|i}Y0uN{_2KsotQn zH!6r-+7P9pYtNX!Oh(aKt7(M-k`+?G^u?kz1UYFD4^BL0MrK@Y%j3GUL|a{G6!xx) z_&X21nmSBA>zMWmh-6cfyJb_N-GQ%&|ME7OZjgA+uSs~N#r7bE!Q#vt4K_sn@HA*r z>iARp|JL*WL(%_lY>xk1R_EV4K=MKHpE>J$5KujAvN-|p3p}{0+Nd zGo@t_KS&rJeH;lxy5C_}nx$53zzX{_0UD`qw!uDc{~8Z%MmVgSYBF)S0bPw((>v7i zVBmW(rRvLT7_}Xh(7Ia!lh|nO4@*lh6J6~$DBlJ1B!{gH%U5CXenisFm#~<3bn~@- zsDSker?1rGT110-Q&Q+eC~VJno3$!4!0x1mj=W|x>^J;4v%SR-_Rh)^PB%yoxNyU| zqH2Qd$n-@V4U3=`^zd7VdNK^@Txm`*2f$eDW3$QKE|@ZFWgWF7%#6&Os8xOB?y_}? zVEOlF-d#4M*DovF>l9Tk%k4vae{*Hmon4+ksf+B3+`buo6U4Y%#&aTu6!XebG zyqQ%*p8I0Yme_|xgM7x6^CK7G1Lk|%?jyTA{bOG>OZ*9QyT4*pS0lO8EPl_IzsmvB zM^!5kO`l<|y`}7;J2Na^HyJ*l)c=bI$lmuf7S`5&IwdX|u*o{H=bIYQXLLArx0AeK zyCG-!a-R;uqBdn@`>_Q38M6<1*B_BxXm=d9E!j!-`W#fcK(Z`Oj5VIB?}s71PWs$Y zW*BQ(UOCb&15?9>iFZv)FhAb1M$u{!7UP4Jv_a0Wx@~&t9+~-Bx7W39Bt5k4CaEHh zP)YeC0g8TNrRhO;i$&MT2gWZj)O15 zuJUogNq)oRb#E%N<5XaY5hdSex6+8C@qMr`-lWL%~=CuZqiFvU6P5Te43H4%6Wk46_?aHb-8&TOd2xE$ci!V{sWy znfu~DX7R#VSa0Jy;zw|<+_5~j_Yhpfnb~Ro28mx9x?z zN7WGVvpINiU;c=2r3Z1vjCT+|aeRJB?+IM=lJC#3l)xjB?ZVT=GI$UE^68xmg`eBC z_7uYG@}F1z9&{N9_(t8Ue0KP3i}x+l#yYlLpH zaN-;xKE6jcOt!6%^TlY5=rb|mz z-z8=`IRPKL^~_@Xm`Gk+yjU}vA_7jDiiIs*K%heWvJhh)(Zo)k-R2sPU;*9d{Q{8) zp%9k6dV=&d9c{|7`5FjyAD+KF>Wi>r9S?77Uqjg3{X-g7W(W^TV!LSk01*dYpW8T_ zhKR==-{;m+6HROF-qeR8@Uqn3!)(S4-_T7BYG&`@AFty#`Lc!F38JgEXzxPcYyVRH zY7j17j7rE;GRJPypHBI71tH0s8!r0pL8x&Hfe6SWOoG9V-}xEBzH`i-IY^7}?2jKx zl?WGEB~({zUmYSTyG(Z-4n$<4W|v;Q20Sn3{HAz9UU$c+knj(E_-mZxy%^1efFg&F zLR(T0_~zpn=O813mX*66=x#*_)oKchb+ZUrY<4}XvL2x${5$+EXdn~RgRDOMPH5bAohEl5 zL0kKLwhVIj4BNyg=7yk&)g@B5DiOl=PUxY_uhaPf_NsN6b2)HaSo$mq|MF_h%$^WAu8ZiJ?FIQI;)rprz1A!B1hKMOGG>oP z5x4rwk@4KAKj;3Rp8szj-+w{vxBN;IO&J_T)rG#^)U}={UTHHra61MCwknr<_6i|a zz4Jo;z#+0H4VFLrlNRZQCfwznwj?j5Ppm3chH&WGQqFvTOY(8<4VT7}-gC5gm1@Ef z#Ml0CQCMk0oYfYp0d+~lWQDOlP^v{V^?bbSu0%w-mP}J`-${6UmA693e!7~AN^#?i zFiJA!ua>bcqmcO%-yXgr$WsrJ`*yt>S#xT4b`{Yg<3zoL`O1ByZMBWyHW@>TqN`AW z8_CJ(JiwT{r5lOnvZJkC21q#fBA|elAMq#4ZRsD7IYDwi)%5jnM1R_FM0-USQFobm z&M1-nzL=`aN)Q)ngs|hfLKD zrDX9FNau9u$;mi{)Dw1dC1ONRknxdKB*+p;GHZmNiatdm3*VIK5XtoT$yqQfs6n*E zFMgIBAev^;nu|wo%Og6>^pnOdMZ)8oie!^dL2cEFMAEDMD0{B3dgZnViau*5Q3<4? zK;`$j6>2h1Uofehm!d<~@8)@X;~8Yc4q2TN(nDI(l7Hyq%}Dud;^7rCOtiBZ0@=%z zgpu-~=M0xH66qNI4{01n{POIk$1Ea{xFR}YS$Xs0cE5#a(A zs4EpJ*Q+7#)%bh~3%LUr{509dWl3^)*7oQSzHo-0ysC}KJkq{i3Z(u-c!_Rob(?BZ zks_HG;<^j zTSi$PPj1L_J0e9 zP8|_yR+3wPKJpBO5hENsKX3T4iEs#|crs?C2$!#{itUwfDV+IuqQ<4_VPsdDyo&7h zOpONmMxGKDb^nvQr%0}$<@1{U$KS?b9hs|sKu7_$`+8Ko+h<`H^F73ck-Xm)`^K3! zvBF`KqD*>HIUI5o_ZPkvAZ+hQ<-JxkaBM!c_v};woKADxIT^E#@ClwVjuK6kv-m8x zBE>B@=k{>;R2aZS`=gJw@P#BgMlr(>^6~kKEOj{TCNp^9c^i;FhT2@j!c ztz*w>IA_=Qg^H03jlErMY~Q5dl1}eTV>Sx&v0)aCDK}UN4n?mnh=9%UyA3C|t%4nA zyUb6)t%SMFIR34iXpY4eea_2}*ZD(R{9boA@o5HpZUqCk9- z2`{yHMN3Fe|Jwb75glB*D9$?*bC|2@Yuk4#2jKe7``7V<(r|m|@oSlN=bzgDyXXH$ z;s2%AC;t6yOaI8~OwasIo1RF1MSiDEBY)1K_&gF?Q9`L z^TS^@^o!;W(SQsJd$8_Az)nd;x^OQ9ggS4yGW`sJt6xlbedi|{l+J)ODrp4vwO$%% zjw2lPqA{gPSvV`zI6I2;!nMwQ?G_{wzRbFG6V7RPYPEU{FUG=a(oIDr?-hI`x2rsS zO#@%^q=7YSvfvkEP;0|Zv_97;4eaZj;XjmpuX{!x0he9~R}(Ktz$49@VQps-C_y0` zv$+a^X;aU>&yws7mQc^cJFReNR;k+ICI{!Ij<&X=EJW)$@!5W|6dpY6AA6iE;n_E1 zW^8c{-j^v(t`01PPXT}3^mj%0e*7_P8Arn@)5xlI-pTk(kvh2)f-d%3)4Eo0_(zovGgnm&l3km`esE zt9|RRMV)1DRIRBI8NciPww(O^p_UR*m)j60<{)nU+KilL3OJ-rO<1 z;0EWYZ)(=soN(iRyJyNp4IURmkG67ehL;FcNz8&Jp$eBtA79)6U)^O>qi1r2KbR97 zxPg41gA@Ab>SYmd$hBzMjoc9)i8D~ljUe!PlV$B2H3Uv@DegaLO|(9Y&0P_d@UHJ|*%ldG3!T){KTLLUmy&j6oZ~~> zrk1%beJaFcx;f6SlSga1)(1a6!sakpnr5BoL@5(bZM~&F3hjr!Cg1f!{$44ce2)-h z|19#nS80UIJ4a^t4&6Zd1^&f3nM9<9-D%lwd<`kwG*2JP(IM&8eDeOaYmiv?OY^|n zFJ%6G_Rf{UFvO2A9~%wIM?BNvpaGsC#KtQ(g=(%rn`))Qxw9Uq@e9dQ+jI`4-xE&z zUs;c$v+WJvhz21pUW;-5=(h?){dQo!@m2CO@f=$_BvHaLfb$eo7{@+~61A?fv6ju(jgro}nD@C)tN z@)n{tbE1xm>XLMh9LnY1-ROOK5XD9^mLCP%2p4h7Q@Qor$Y&bv=5;xVoRjY-@sP0y;3+QbC?%JkyFX- zxx*-k5>_e@AsTC@4CmDwwjw9mU+B@#8seKIacKS|Qqg7m}L+TG3KNPWS( zqjEzbQj*ms4p<>q_r*)LFDCHk5@|H?O(c;-rykJM6WL2YD(=_r23yq`L){tzMp#{HL@ZyzL;H3LMF?}S1FbTNY7`uRnO0jG$R@P>VwoobJY^TL(fIn?WR5cA6)*_ z{=fD7e=GVg{L{bxm#<&!pXR=Qexj9v zy`pGbwCY;oCtLV*F7+84s~M>R{YeHz-r7q!-sF6CHEW)fHX;mXhXpt0L6XHFdD>Ho zaM}`?yHD_bgq!4PF}oweaO;Y2ORrl?G7?njYMr*geI%6Y*}yt@sI^l5mMwtC^Fz2Y zBnFEk{jpuc(qvw3N!^jl2YXA~H*MJzaOfDYNsQ%zG9M%z45)N1X7)pz0JaFytGYyTUg`3_7^=RtNgxh9t;6VLDxcjRag+Jqk2V3pn zk4BOW7Iu${xAqM@S$|Cnah!uCqrTy^{4v-bd#>|iiumcuv*%fNHIY0&25L^8op9pN z<)0z>cFyah_A-1Fg!A0CWOLUxxD;*IKFUTkxjK2Nn!$uaxbeDV1o14pmAGn*(Pt3W z$Ea&fe-GSWWi{2w9)ic++1~fe#OF5saQS`+(JpI_@CVGf!%D&Vek0!%*a_}Ea!$__ z4qO>;*UEo|# zqyucCiqDQ8;DEi8q=mp$9yly0e|MfGta2VlDZRJE$0s}~{K%ZV-raraCZu0*rD29dWDSLGjtlFD3>a6)%epl8jhSoz3eTT<77n zKE&a-$aZ*@q>Wx1dIE37AyfKeGw^=b@q4Q71$?Y18Z*xv`cwOV_x%5;aZ=m=S6!cy z)ZeS~USIMwsvLu`uJLbyma@{A5>1HQW_ZPk4j{qCM%&@o-Qmm-m&X zhr`&Li`Y&9N9~sF0oUE&IDJOjeiQKz-m1CvGL77``}UM_2B*UL#La}D%Y;LiNjayW z>kSvCU~hFdI+%36^lw}#g84+mW{rV7SY6I(+FMbVodm<*Q4aQR`2#4H6%GYXKmkaAiBVKW2R4U6=A7NQIJ1cM*MW&`a==~VC$vM zAWQlu`|Z__&y|uKow4-~icYG+Auxlkw2F9c4?n7Mzd@e=r26iV{RVRHHg*`kY6qu@ zo5%dj{Na2%;iB^_$9|6@)=)vTa68D zwjA2M^XM=DO>ny8*hV|9 z52p`w!MPWy;OumSk=Z?nc%5yQgQC9EJ;;L_LxJzH zj1;)pQ;gJI{r_il|IY#c-%^z1~ zrjeSxi*<7q$z`*M?$>1dfMi``W_zzeqC0!S*|V<(iJ6nfb|}gsVdkEf+u>3qh%Zg- zcIic%myGMSxu>XEmXInbW=EOH;%VAQGFKjCe;;&}5e4Qq6i+{)K%SezlRIL<$ex*7 zdC9+rybp7Srp^-04~N2#uN%o%QnfDsm1k)7 z&G_}HGNLz3bXzq^{y)C$-w~Dow0nNdo+z6@T{!>hA}QkI>(ObTExL#jnh$wR5yZ#m zG5AC`Z3ptJyB+gSr6RZF`R=Hd56HH2O|PsQMP}B>dhcQiWN;}Av&%Xmt@E<1aG4-d z<6oW{v1~(1`0)XSwO^5(#rHrSBkNk}KYNapg1e6qs_(cRC|SA*F5q zx~;@lAi&b*m5xllNmaw~n@ArW?zEPfMOt;M#Yv?E!Ut+)RES>Uq3F;$ zhX?m+P%uwaCMLF=7K=l+UWa_lq8GAueq59&jX}oG zW|E;Qg7kU!rEWG`!U^iyC&ah?&$<6^J^$Z6KEZ$b^q$8O51%LT^7+1?4f|`>r@CDB zSJTf$e*H&ldY$?{YYV*D3^$F_DY<$o@R;j$k-qsHUQ@kUcJ8$BapTtg`C0%!$uxIsE-B;n1z33pO8EMxc)T*`Tcp2<+_1 zj%y|}pWS!V>*YzNl6{8cwhw^_>gQ=JPa;0P<29}xQE%XuJhonTOB_6R1dk@DP{Nx% zcFk)MVfd77ic&mw4t^Z$YtMd(h5sH`vpszzzqdljMcu%V@ZsFwu!xo+ppcTc#{Uok zxz$QV1jzF}7Q1ymeL>*dlNTzhjS(c5-}LO2CxVhseAYf`j-a1wX46gf!MR_I=Ce;8 z+-cwBW>2KR)97T!7Rpobz9kd5&R+mNzq42wmnz_QM@V?Dwl(}OsAy9BBD1d13-U2x z-3ZXw?M?6Fhk%#IazhfxEbpA$M#>Mw*H#+YvHR)|lItsAEMH`cAj=jPc|$T|8(6EN z?T~`tea==*oO*Dn?rv91b%%Qa?W=mZcz7;poIj~B1n*atwk$4B;cNV2wd>mT@EZ#L z$iiL?f3Gi36U&Hyk>lzeo2_pU5Wmu^#P=A19J}u6-6wg%ez(&2l+6&h^j%WT>kEQ3 zMpdbVxCkF_nD1)ZE5ZfvyHjxd7=o>}< zv}=6eeO5d#QlA;V+r(Bqsx5?Hb^iTA+g!o{3}zYN`GtTJ->9PQ2qR#)D}QxwG?^L4 z_7ob(B5+aMJnO+W1evb8RQPQKLGO<>9s4kkV2$()H)R*XW1!|daQ7fWBpf#}aU>!n zLDzV=kmN|O>PX|__*X{vpIP6(e0=}wYrpl5sQ|V0T~sUfH6}49pmetpx3DPjYj-?2 zdf>)e(#l*GR#75ug$YZ$4E)uB8=E=YMU zN|`i%7Re(wCA%B-kxZF>Lvk%Y$**97pp)?~MyaC=~jq`8xOqV=bib5riM+_OR5>~Ngn)Dct&9!Oj#^adpl zSF`v|bE5G3weFp#&N4(PcMq=*_8;Ota?13KM9w+3Cf7I7HNB+Ge z1)E-YvkO_y14X=)b;xuiccHTt$O!XuGT{vU$GI=6eoFn%xqqIhc}Z^1ziE2qMfb~# z?3Wj#AU?i-&*{zoPiJzuFr}@^qOF0ab@CCn8-%+`Gc;`^5rP0md+HIQ*AG%i?B2Wa zID$WZUoKrYf>8acnKI4?2z%PHE46|G5lnhLgCr+DQYfR^>mt$2h)-6yKKzPkfnOb2 zR$mcAwRab-ks4x}+d>9@_aWB!JoVO8;@MycSbhXkGAm4d{H3J;Ug}zMT-!dt?{f?l zRUj1tDdIa8vn@z}5Vhgumu!SMxiM$$A$oL%UiIZJA%q*gd$j0BhlnZ$WBE_}5IMCg zpmawrq81ZhKH6{-(et`i3Rkod^T@BJC+r|%0~6_Y2K*x4l;I=e6orU;cl5&f)Iiej zP;R-yqY3Xa-|RA9Gx%qFdKwp(A+YxHq^~>C@5R$zDL7+Ga`$gUSyo0NY{CAa+3a~l z+)iWjZWTjh8PA16`TdBR%jP)yP9HHed51)%3A>A0&mkb^7Gh`XG`$+)5m&v*ciSds z#EXBZ=lQ({@xIoLD!NhdDF4ZMSWyFhtEjKC?yMvIgEpPhS;8w`KDjaXqZmRyJ}nd5 zdJ|zaEsv~*DiLv)uI!yk8zQF@A~sVUK(zd6q1gYm#ID-CElvj;j5R)e_ir-wD^*2?1g&HwMTT2bk1frzm#x+ z70&oANnS=6?fd=~YXibD3hEsr8sMnK!))5ajfma9vGT_-4dS=AxVzM8BVqlOk<(Wu zk?=W?wPjoyi4D&_O{b<3ug~v=dsXL=%r8mziQy)ao1QtFtl2{DUIp1Uy6i~lDlKDG zCwHOajSwSunAB9RYTvE2e`^1KW_^DZ{(n*JGrNzrDv&%}%l+D}S(fmlI;B?z=rhG?ghSUR?}` z5)*nEvZDdfyvrjoVHt>_NTxfpMIJFt`dqUc_9Ip=R9>I-5pfLevhwrPa9OHe>+W?E zUMd@8gx-hfytwIc>0tcabV za(r{?G2)6%UozzrkBivW*%1EShVC zeM^!Z6W@rCIkw|Z6GRbKz9;j`4#M=fd8B&hHFiW!?%cH7p$pNnTIP4APa($t3XSH? z8N`;A$CiIKMO<^ptJlIgh*#O*d8c{?@vUo~XjTd%VHb^1j0ZasY|e@QcwtNK6y|;# zl@5|#`c*?z-eCmGzR0}!ItQUHUw2sP-$!_u5u11MAw)W?za;*-9MMv*j_rE*12L0E zH#QL8U)(Jd+iMoYL!=%gq-&%{^n-=+>^g^$;LW>p(*|B7((8N>wKYJZUDD4x62t>G z;oyC0lIZGA`ZfE>#E|Fhpu}lvgsyZXl&z&fg!eu9NI4q9aft2Qb3qHSyBGIer1L{O zhncmAYdI3u-dK=zzKDbci*N1ULy%YzoN@hP9Fk0P+b$peLHvscQ?H$`M{@aPtM*0_ zq(}z@YVvMkguwHeO8oQg$4kAG(GZB+B8IIRAs8 zGlb;E?PjU4Za_iNTME3raW^aIOeZ*9B;JElmiA<}95!*);`M>#BE#^9Iz zJoS1a(FWE0ytGq&6Wp&jENwiT1s9F!AO6p#(0XsxUjDHZkXYM**#j3*%(iBvik4_t zDSvOMWqOKCqtW1Bi=s$XuoHCYyNVbKrW2-S6EaZL~?8V>qMR zf$BSg2ihmRQL>3KGHitS82dwielj6Ul)mAX=NZ08vy>RGvWQ0V+8vg!WgL+pB}OH$ zvI;R%liww{$YkO0PVV>Wd!8AaAwVwi;wQcx@IC)|hnw$bct#zI z6qQeh+vUJCk4>A=u9L_wAtr%ZN&h!L4*o)E$WI;C=*=i($$hOySQ$B!9$rl6^a&GX z{BjykGE%&j>+_ks*PIj(w*>R5z(eZLZu+4Wj>Lt|F7kvFtewX`=Dbsfp z`P*4-R$fEy7A{PiT_j2NMv>1*9xKvrav5F;c1AMiM7BzkIudk!T$eqLBKAy9+*{I5 zMC#5;L|T1B*!thcoenc2c>fprw`;r+knrrYrCA?*-%8PsZJC4D<_l|k50(F^{Xadw zy3qe9`sFG9?&Eg|3Z8G`wv<}GT+nIBfpVPh`)1u$rt~b`+X0=fK+aI0r(x? z!q4;UE1BK!%e2z0AXt=X7xxZ*gl;>e8TpcUVg}^Wd`=Lyq|VJ#9WRI%WHgw|%rY9$ zN2E6WVi`n?MYrOMmU6@R6vdd`*yA zuPZYWL^n5|qaeQUw9sh1&~_v;M~a*R1xFxqiFNRMTS@Bua9Y);CBHefthy|M&LrWtn3Wy!?~& z+`^0NsrDfFX8j?d6>h>5bL~{LJ&*8&-P6J2grQ|SB=WTLCwadQ8CsiUBBt+@lK%I@ zh*L^CrpHD!LpL}Lw?85r9x6(|UGoZrhs+=`>8goDf!xdWGh4}i;Z5NBJA@0&Z`J#> zVH!zM%uV+OuOh(sy85afG82>JNq2E+LTFu)lcMYh>F4wvt?bPaB|vjz&{zvG+%@{m z^IsAB&GPj1O5zK+;@9?n$b0W_uKV}@pRx;?MT3g0M44Hqgd~)dnM8$(>@9omz4zW^ zC7WywA~P+7j3h}S-}73%-=E`m9KZjs&&P3G7v&G}ay}pD<2>*8+dU+K7t)VZUOpTf z4e9TB>kATjAtPd2DKn50GDS9rL$rh;^JT{OO}!Av(r3ExBAN@brVrhswnCP;o>i7W zQ6j{c=xC*=lR-TH0)gizVMuK4dzhvz4=LP7`eIu2AWew3nPV8w`Lpz~T+yqLAuZKQ zOo-e;-rHBK#}*-LSJTJYIt$1eKd>XZD+02U4?bL%mq9*3WOtR)Q^@&|Am+;W1~pi3 zGDnzdA@A78w<|>kkXP(1c8iGockTbZ-~X%d|I+OKlfx_B_k&D&0skZ0__Ox+OyfKN zIlX)S?e~5A8{8rnLym)sSm}6SPZ4G*PUkE!m4IiEkIX@n2=La=blD*j3BHu>2RVEm{y-=p=oE zF%`lTK6Ks_yo|oU`!BynH9$DS=2Yy~UU0ZHqZ-Kc4l_K--Y4800`~<=6RoH!w?13Sp-vqIR;Zpw?K7s_1wCgfsTi{2=}b;m*8M?qXixSe<85)x3dR zSaoIZmm%O0Uj5d9KNR)nf{v$?jKL@3LHQ%zVeq?jy0X2@3jF7@tEaN*An?wdY7$^( z$MYf2*Q*H-vM=!ldHg2`y&%%?i$xN`1ZgO)zdQ?JHA8tiPi~|3HHfBEau*Y9z@cvM-RgZsOwdWw;hzGZ4*5;!x&~M;Ccr`b44!kFK8<%9Ug5N$n zOy?VbfTL-%h7(H=L_R(o*t7+~FA}-uj7K5VPVch2*GUL-Pc7JoGuLpjM@zA1&qDZ2 z+-z_84~Xz{Guuaa3?dJmZMS6{g~+_6rKkS*jNy7meW11vqSCF@V$KSHx6|!zE9qkJ zW4-Mn^Vk>yV%|hPesvszK9X_1=S5EAo^IQf{qqnO^seK2fGC8!XXlSOp`X;qf%9%7 z?omKd_p>N!cgaSMlyhLFc)Ob)BRBdRZSFZAM#g9ilSvkv`V_>JGaDuIQbMdW2aV6? za)^Dh&aA-xm!|iRS>Hc%{=ZNCKTh`l^|jyb-BIo5AOp3BoF42>*o(c^BHfZ=1(cRg zzdhpf6$&b<{qh%b04IWiy6FXwbtF*g{F!F#{h3aw#^OD;=x(^?ZdpiD|5B)EBM0#| z;rkga7$N4fr4+-80z}oMpP9KH1`(}lT$M(D{7$X~(WkUQ$aE`*NY@~AknR#Zu`~v? z6MCgrvyGsVE9)hfs|l28#)PyxbU|Ur5q@^}N08epFU+-!yxA_#-L^(#$a8t0ze;8S zX_|ZOWVSy+G8CV!(fSSv@)J5Q1Ct@P}~zL`3u^a5LevXaJf7TViZF^ zj>JS_?>UrsQR*Z_s2s`mZn8y>uJE4Nelh3_&7Er_Rfh(Vu^**9DNs$P$4=WK2@k(M zKhP^<2_+Zn$aR~?pn!|ys0bS?8%H3G)$eJUHogu& z%y;HboQH({4M!y=HX$}{FOQtx21M(|kGD63L8QJV{W0pjm{&Bpb8&45y2c0`OUjI( z>HJVo^zv(X)SE~v(1AVo-PyDzS^_9DiPl)Pa)crSb5S4WT*#YfOXJ2|yzK2AG=0b< z&5Vtg7_1e*>=AyrlyehOeFXE5ib_C|NxSDa^bWmu0#h)4Ff;# z%r!zywThkr73MMzK#pH^!X{Rv1*+LN} z*-r6CyT3>JFQ(U?e><-)#J+d07-lF(w68AbDB41FilZclLpa3kUSGCkzX=JatdF%S zMnDp)Wi`#vEY5firVKqj1gTy@$z(=9ASo%B}ASxcI2LpftW*+ zvSgYX5Eo{?^T~lZ|3x+24osZJ(}QAanIf!U%j%`E0H zOEOsM6tNT&q-(GQ(|7to25qFO`wTL;TeSPqH~}(m8h%rbwuQ`%6BibbTSAsE!@}@3 zdRxi!Zl`?{fNW2RCudL9L->|23?VZtTEXq0TYeG59q>9*%U%Zw$$V-WuWmuoJLB*J zmoPW>%eypNw;o6{Js0gsGXd!rucv-U!F-#;%5P3)BO7hXzjlL%4|{o8a?w)M^UING zy>L7YSs%i?&b)|&Y#ViAYus^V|H>QL^!b2Z+daX{b6yZtqFK{-fDK}Yi>^`Ee}II1 z?iZdPW*}MEAkDIn4pM`u^5;7*LE6}i%_bQ>>&9h6do@2oM)#~B^*A+T=5D{xwy#5u z5lj7rMLWnAoeiZxPgVBf)hwEYEXautlyoc^h1^pfIszCf}Zb z1g7-B(GU8N%)Zo?CBKS32R-Izp2B!n3W)T2+zA;TqO;mnx{#Um&Y=6@SIDX?Bg&EE zg6#5vTQ~C_Lr%JK$MP*?wYpBqKit5)rb~UI)^eMWPv`GJ`Cl4|Ifk~{Nvasxb$~zhpDzxglV-?#6|yA`+t5C|7ZSh zuYH4Nr_hboJz%u&e)VVcUEhtRI$FH`6-=WzIeHdPfcgGQDji12U~xgU{t$5rSQ_MY z(_U`^D}%BZFYA54`l1al$wm{{@DSbWAa4howYTJ%i*LZT@@mR~Rd!@MIxuV-I)Xj< z^)u8fd|;nGuIqBb865bYv~iSE!p-~O#6T|uMwRT@jz25l9{=ltb1RE*|0M16ugg2Y z+;s8@agY~SWYP=CKZpm*#$QcgDYIbJrYACc?KW6f-%nOF%pR98G!elrNS%-Fta(@TSK{6%MS zFwQB^JE6E$J9Zds^*EExr zhgrZ%(fYQ+oBd#&tQ8m_lLj^;;sp9-q=acz?%Kd#F4( zMb;|*>M#c99KL1hVoG?ByDWAnl@MIwUh1g*@B&xIE_cTv7jWaa+Egvwf*xcKibuOq zt2}cz{CN!R@7n*}^Z#?|{~xIR_Vi7LYw<6k_KqDj%@=p5SYYzfcv}KxG83PkY~6;! zjL=*$%$Lcd@>^fLp$6Hq<`b+*sMXn7F;j7r2J`k3>tBAwo!MUh?3}uNkfbr2ecT)O zv|mOg&Ty?l?8|rEE+v?Sxbm}nI1=aZ^5)OZq@Tk+qfNa_L;*V3Mb7C)CqNxfdj!=( zHmLNgid%R624$T>$)tg$P~>Oar?ZCa=@E7Jm5^=7{$9TB$9V(Kfr^Aao&(5^ygpW< zmWcD_bSYl;W=KBoQb?1AJFq^w^~z855SMWv=>7J2hx)W+0%l-;R@+-6b2>(q}S+e+@7 z=d1IO>2gd{Rci%(f*R)v9p6IQWyX1(6MT?DK4#m4evHJ&Ys``cGZ3HM(woqWoS&Y| zMBB&Q(DT%YOnbc+TCUgzee*Pd+Tp;|R|Y*$Ej}-FU*HZ@&^~DqQ8)-?)$ayJzZOIB zBZhs^1BalX?9lK;_Y}_VlucN8P`k9%`=RhOYRV7&Jo3a-5i*<`Eef62A$?b}Xi3fw zyo+#$%BGeqqPz;MtEcV*j#{)+`L!#pMXq!DMN zpNQH8xzx*7^k!ord{aDP@Y@rJo~`m;s1`(~B-;-;seDL${VB<8uOXx;RgZED;C)v5 zwDT?ut9JfSL1=hM4j2sYEo!KN(`0w>O!k^dWvZKT12# z4w4?xb3FuPJDt?4c`|DcX*CHwWqWlXy*c?qBh@9ysN~&y2DLkx(Fr=DM@&&CSLJBm zc@(m_$b>Z2#~^zmKp-gzzi)37Bm4R`$UPjAMOL^2^@oEb$pw$I^=BW%9 z<&`0hqn{!2z9%GJ{a7Udv1^%~@QT`&-Jn}s|M&x7YMe;}_( z$C7UvvqY8N8`5~8Zoo>&ZhY(|Bod@_?Whoj6t1KYl|U-I%bhd-K6wPv`w|p8g0Dd) zQKzT7h#by)$bIwO|KRCR$jh0aT>tEY zUT1i#`ilz+s2RBKhP6P!Ly;E#rdlXe$g2-l)PiLD%G{`6)F7G1cAuuk{DvLFrJLJ0 z$G6in_}cgavR;yyrx1lg4t=WB-R~zL_e|X5sSXq|#JoJXYz#$jRR=EieS+ddlk3~1=nJ`^^ULx6DJWS!YbI@R`*-dC zKKK7N>;7M;{r23%cERu$P-|axYPH%MDt;x&N;w2UnHD*lUncsYU!^iLtOr1zyho>J z4YHv;qSIGr*&$P2n6o?UJEY&$%H7X6jWfUb&7bm>ko1i~>=gF_NQk+5F2j`y;@mW? zOKL7bOiu4TITm?{CJ_E=EINn0zoTJyzn_5)9{Qo{p~+AuDd3vmzY3K}zuwuTSwYzw zeT|})!%&0(j)bDUkWUkxd+s%AWkrgQD^K7(bM8dY&+$G;|D`S=SMc60YuxL~0kJ0O6O6BDAiAbvw7lyU@`5X~+b8cr$1A(asZ2Jg zhY8y!cHF2Pt%)6R7lnu6-QF}n55-@{KhNFPf&#Y4AD1LjA(z*+MLYQ#WOWJ_k1~)# zMwjN3-eZ_G#@PLHukC(Fm0>>IEVcki)!B!;559y12SHI4k`ah=u2Fn`RvBWd7r)P} z3P3c=vtojVdFX5;6UtxR4Gl#+_Zdv)p*oq*T&LkRlt*|gI(K$JX{FY_b9o_{1#-EL z)t9C#Rg@amwi7C!86wDSZalXRtRK8EyZw>XG#i_}{`mjm65tV0|}LZNAFa&Re25NfRT5-L1ep_1GB zu(=&IJUsJE1hIjbL#!}9c>0ay*|~^zCFo?eq;=gAcusx#v!Bw+qA~8eZOn}Kj;3bKeIV-i5K?wxvu=5Ss;Nm_5%NF_WSl4ZgN$!bl}3b>3o*4 z4BQ>6mgKVh!PD3KLktW0r*(I9G0m!gFS)NWdzTmZh3jyWPMiY&pHY{n7e*oQg7Q?^ zxE}<0OtqR&F+gxm=+#DB1qdn6{49U)JcJe;E$1J_Y$XM7GbXf#u=2N0t`^zij_=Ca zBS|K3P>|AlTI&z4XsfJ$rEk0%y6BB=?d)Fh@Z{k69@0_@*x95U${k?_4O^>;1UnlNvv>+aAL5 z($sI}VLm;htl!9cJqUNIl#0*n0>=`z1M9t3;KpU*#m|TwonZ2KE+J%F<<4?^wL1+y zA%Pn^pJ<^Uf09(m#TNW$KT@@@*F&HYE(qpbA?Rt6diru1?)Z*BCoaRUtHhsq%i0}x zd?ZWU*N)JTCk5E^}+ z7eeC%`G-7_Ut~%c6CZOB_bGb9k+<*Tj_=IDj}1k*4<*z9<~~4o_R4;gZ@7-tY8Jx>*Q-A7fId_)Hgit7xXr)2u@f*~@v0*dz$<^C0Kw zL4J?@x5?47$a3|_FF4@Z2;t)X9xofOK=`cud!_7L-0^*sI4OsGq(i!tALptdvLLuj zNMQ`3c#JZ2$R;2vBeQoWa`L?$n{E$otKyE&s!LTR7Xo6>Zg@U?iaWl>A755xA(Ups z{!76z2n&wA6ZV}8wdQ*D8O?1FVO+E_ET9LG(p)KN#s?sZyfXW&Lpnrt95!k5MRu&M zZX5xLJj5_7KKklE4>1)NJMSNJf!H(rryhIkh1m8(KSjKnf7kxs`~ANQA1nMn+8yax z-0kn)Ad`Ogm$qk*3hw{^H{|g8b7VrudK!eDYTeSn`ih`F>AGfTh&!p1akI}+Yss)X zNqxf;_4}rS#Eg4U$1d-@-{T1+jMCiEOBaMB0q384r)wbDx# z)pIVt1Jbl!UE4h*4rzTCB+RlfgHrNIZG7A=NYB_Rai@&J-!1yda)nF?+r?)Te_sP4 zJX9Owk1#@1Zj9qrT^huobYSeE4#cTXMUxy8gZMSOgEtntA<<^Q>tn1fB#n&q|5EjV zlp~kJ=@b$n^~#;^y{nH-w#5%kadSgFd3xh?AYhf%mEoxryf3k zhx&k+Zn`(APay1(S;(;sGl=9@ROU9F!JSy!oTgt3#H!zueN1)~;*Y-0(|Pd|5}qH_ z>b{8mjdmDko`e-7chB8opep`wncaby(0VnAeQFRF zM}69sq8}1$d8?bx{(z*D<#VYFm_zXFfqs2pE2OG0DP~_DkAS5OvD1<$NaA zNR{bCe{m_q6Ix2@DTzWNYYe$_FwWtb4qon_y9z0vNp(x8s3A>_S=@hw6Vh2jrH)Ez zL;72h++UwPQQKqCU+;AgwLSM~?u|D=X5ZR4<=Rik(%YyX$SZ@aDbDj$?dKu;y4Dz> zkOagSd>8o0*AMX=(d2EBX(m^bjy?Pd85NSEfIINpW#cu7UZ zu5*fz$wM-H_4r%JB7f#Cy>SY&J#Qy%9K8+Mi6?{>nVL|CIoorWN(FM(dD=C%pJHa) zV)-x)_DvicG82xKsO_n|P{?-vckTbv^ZzdX{{yw(?xU|TMo$X0EHgq9v5rs?k+o)Z z!x>7eb7i>D*In>PBtckp0CGtwc3l;9f-Lsd;~(R>ApQAXmK&M|n4>bh6~li8l5{>l z(;(Re@ph3U`99(h^Yyktra#WK>KbQ4Da>%T$KXi(9lgH9!Rtel+YmDMEj|VJ&K;z+ zF4qjAq4q6>EQ!rYsN`{x4L)lQW!e#y9vi!%Fua{^j6@f5+h1oK;M)yZy}kS&ybeKz z-hx+*YZ|0!zhNuqjDuv^!qsk?MMzNi_iPYnS4EZ2$K);T zA+PmoeAQAeWEVzdh8C_uCi`TmPax*{Qm~)pe~&%3%a5YNJ!z15v*X=Py^qKOXMGrW z=oiE&C$R8v-^V+~qfg3<+z@doW3x4}4#G0D5_(BAp))Lf&2G0UG>Gl49h<6vYKDD$ z!%D62@LPwozFG>DsNGz#o9~5!n?9j_FYeTKe(pX<58Qv_kjo6Ddg~~2D&bDpL^+tFdl=#$-p}v3Nd&PnE#rMo zo)FFaOZzz+dX;$hiY@tSL66Vyr;^GNXx_4*yJCwOF|=>*3exCf{+?5C@q`KjeLmqy5C6P9gi~r64QYNeI3o8YuBV5JExDh{hEYFm@`_R5 zH8K=Qv@X`%IEB3RQZaQiVu(EYzS^neGU~gcDOWN7HHxE4NGi+}+<20Ch;FKbr#tzW z<<1)L;k-_Ccv~O*41;+Wci)A88_&L}X*(gqakyesc?EmUwc#e;n-Hqa;K0jz9>Q){ zZDtdv;vK@tW6|+3<_N5eYwU=H2u+`;rBo+~SklmUYA%Gx2Ru$fEqM?{Z2bNHF=2@E z)vfKQSOvE^)>r(!i{N#nQM7owAAFwJEbV%675s^E+%qazAdr~Vk8$5I%ncY$FzbWf=6e)#qJqIykQ|a zuaE|j0egy4n|?vmadYOJ=kCb!cROhFmL8(7sqB;>NyL3a57)bE$SA!V&*HWk557v; z1a@Xekr5hEX44c0K?g&2>^p1>Awq#dp_6!Lqx*c#+5+!TTdOr8l(pzLESQ|wbr&Lv zUk2!|*`R-(!6v@}a|47#o^zpZE@~lxG|sONq7!x1S6IG4j7&i5AlWv=e0X3Umw@{p zC&TW*B7B`ca<6rFv_fFgu@NPXD7-sWF{!ko4?^%ARX61pgkK1;NyeFCgc_BX{1;}3 zRPG?otExZ^GKF)v6(>X=2|1_OEep}V$SEV@=pm*L8!)8-h>d@L|Ctc#CA7C>D3&E5 zo}O+qA~px&+ZS_{UFsphtkRZTb?@)m|EK5wv+)1c^#0A^{qw$$pZ}xL|C863j+$P4 zTmH9mdR^5r7;4_}X!Zi01csJjaB=!dI-CV5-*D%D|ry;v=br;rtrI4#0YId(s zMw((4R>*L7KIN1y)_&zaS4keM0dcOPkH~E`>8(6oQ-QUAVf@DNCairyksa|hSo_A| zGoCb9`v=OS6!A{MaZ!3y3|ZIQs~l_7+TiIX7ICC67JN7y`pi@fvG&al^ifM;?Psmr zUuwqMPd#aS#RzM^*gBG%1wyqRH)hlz!{c`GP1R>Ru=cHNUzH-qwRW0lkZ%zpG}cca z4I6=o#nGqgs8xz|E+ead6ADp8OIGjSvqO~6Qnr!E0=UiIQeU|{3|@yvghtT|>(gq> z$~|fU{yRg;Y;i9iNYuCO%li#$-*!}Gr#;rbGHt4+4%YtliX*re3G4N~myfKka90h= z)>Fw?`>dUJ1(0F#Y{G$z`aDD$kKH{oqYROo`My?_nEmbFAmz~1jkRxLIVGtJ9?TPx z*3QY`eZp1t)xA;h?a-BP40-?o6jJ<`3yUC#{vOA)atH)}jk=>K-HWxq??^H3Uc>Sq zA4-r(!P>uO+CN2swa?~eQEY?R<2NOB@`fSO|0c_4yCl3{x1F<>L}o|F%Hb==j@fSC6+TTTjG5bKy%C6%!Tev=WMX}5`y1O1K2L)RHX7*!%}w6H;# zz~iEs?QHZSU6g&y-v<$@6Ec=FkI`2e?6FOC8KT6Wn@#`Zh3LadI^{-F5WQL5w3dDn zVxGU!IxxqGdd5t~icdQrPV1@B#=S0xr~NXwHy3@7ZSSbMXa^zTekJ{}@x#As|98*- zΈz5ngC-`@YN^x%(bsGYh|X?WNGDqU$mid)G-+5DN0ro&TEytm1sw*4#Qr+P|} zg)&3VBAsue4(`%wbI%3{VD`gw`h`%`hNP-KQgc#+gc6ERdpwP6p}ar$q_08J9}sN{J#0tq6wFB7hNLfkEqFAGP%Ld@eNQC1Zz=pVP4Hmf+szFq7jSm!-+c&5uedEZt+zvA;ztR4Lez~_5vKB~I6;oqg<~>1 zDe)eA%__P}9q-dEm5Q6~kS>tC_h|+-`seG^XZNAU$>RAP6}=`%)YyJ)K9dLW=6g-@ zseB-|e1o<^R2VwHYkJRO=2oL{>#t|Lx8afa&&LNjE1}{nkEh&*JF-)D&f3tPgkm`X z6=8BqC=e7?tC1Cf+{#t&2MVc>om4)^)8K*k>OwX3_!gv_>qr!aDMH$4Td=VW8>F6| z^)cDxf}~#ysi#loL&A5a&KsL|A^uRyy`1O>=)Qg?NqtHHn$P&ii2l?@c8G|0TmK^7 zeS&x@bb6qiS?Z|Rg+?g-o*i)TTL=_o?dG!2BZ2(+o2e7uj3757&Bc>J3bKn%?xg#JgukYV=gd7udT>=|~3FDRUY)SCE>t4#`!?0#_Tpaef8It6L;Wy?UXTh&=MXphiaqq7M7q zb9~SM(Nx7ImLZN1y=d%5Fn$1HnpVv9BG)0-_seC&Y5Y0Mr!)#)cnxvCZuXB`xgIH^jd+LJTNO?3ThAFlT-3_;H*`lFV(yjR3@r<&?4(`CvXvK1wlYNBn~DXGgU?Jvs?4FJ?ivBOQouFs4}o$gvAS&kU2K| z%DqF~0HW9l#m>3vVxQvUGCzep0oOo*pxG>ljiBq1xyuQ0F+Kir6Sfc^dWnVUk`nqH zDZIoKP#0pb<6;0l!;&O3dDqiX80y(VpaaG>sY z?f=vB|5@Wxf7bT$-yB}!xBTSBKl#bce;z0QW5>6L^{?7z#gG5hyuQ1W;uln}IfJ?I zttNKEyI`rh8a-ow39NUYw%g5#nV5dz+rm{y*cr2>-y6^Z_LN4Re$;v3z{x>t^1~k- z`S1U{_Pzm}xbBh5wLJi5I+xbgBGhnx>GTNPbpalPxuWfc{fMr~>2mj0~u)h3s_<8$Hu<5rFm)2kbyJN4Y z?61B9d)*(?CAT=i;el|doCM~~`|wg($}q-2f&J#Y!54)4A?M+rmQ6H0^81WZ&e3H@$2U1PoekO zq3XTXy-pf%BBE0HU=jH@g9iG>=FT?N-*=lMGMI>6bROYMYU6=t5^w>bQ409=o&bjY5e1~-8bLn(jM?DndZ zRS~R%`!xzZ4%6GXD{da8Yr}jd(|2l9$PV{d8dU!^`Vu_v9cNr*oI{>4`#@sIhu^jT zyXXJs)W`e$|MuE%-}=GOw~-5VR}A|3uF*o(&bRvZmyna^@y_j6ZZ(wLd3EyU&j2W- zwTZtMo(Fj@VyuM0iTOvp(6k@WDN`?+!7QV}I)=+?ADKw3Xa)s$eHMhTAA>wz zPA}63wUBd(#P-xHdd#`MD7NSPGsx5w5NXG~K*r(23GQvEf7~_tsk;6nq|xZpI$p5D z9K2mOvjy`Ysd4p`KEVQX@kgCC-h2j)EiuQdHQ&LbH^iNH&JjYz52I)?E6hmIpE#?d z6bdCjMNPFH*+bFp2W!_n5+J|WRp!aN9>}c-p4qd98gh27k}jIrVg|;p9mOI zoIDv08O#m&doc4fou+72YVa5C1B9pjk)xO5&nP#)&kVY=8ErMM2SIZbLNJ4};PF!G zh1+7JP}MU)8h-B*RP5*`4*FOMW#7LNG%ekNk``5Yx#(UfIvy+VIA%W-5P3G$**HVq zak;GVo@vMl57Sg-!PkW&e|fs;DP&H*h`P*=9;+5E?x=qBTs^*Hb&p{V(z+bWQ#0nF zH(!uLMB^^B%F$gqvi$?BXn^AApMEneC_b-^0T@XB6LD z#7vHr-Il6p98l~h{o%ymVJNg=NIyg01^KxqVJF*>pGf7l;yk5+UZ3Lo5jK|~TkFKR zllz`S)`{nPHbe@M+qfrgx4Pi(+W)Vf|4(J#fAjf~*C+HBsPBL9`j%A&|IF;d3Lk8k9w_kO3E8o)FCm8$ZU4z7bMx8IFWniDkMu2dxvt4Lh^PL z%exiy;Z=7e9`LD!RKvZm8n?6|uvqE-qjSjaa4?vO-Tee2Jhyn5Z^_}lS=DTKaTKDn z>3)1g&RxvX@wQiy*u(DIl9>HjfGiDxF8QSwkZ{~=h#dkT@xanV!yzh2A|Nyqs}_Z% zVSRRgWjRRBy5&&Tt_~^2q&tVv@0NO0UbbKXzy6T(srDxW5Y&1Ge%`=rtC?cC$G9_! zn5~o%kdTC^Xxp=lR&EeOp^$if%N}Ai?AqoB<{{3PvSna!9O6^MNu#CNAR$|6Xxe@R z5))18A{DtI$?K@Q&kaRL*2k2;FBOm?&}ibvj_)_$%#kW4L284JmT;6Oa{Cq|#GN=H z#FtXIQAeNEI`B#LcXbfTwtLRxIkRlG|)W7=Lx!8wS( zI{P77J)B3-8?@e-goJ3X0qT%3WU&oW1$rKYWXbErol*FD+9-1i4VXb{%2VFcEZ0!i zdFa-`gZPY{a#oB8!e@-(IjK9C-<(PmsAc_oXNe?7V_}0=u-2v@+Bx~ThC=0`Gn8a z1d6z1^z_UL+)3Gm+S=D~UhJO=QNMnw^w8N|kokzRiDcJB$gtJ;R=a))(yzIU9Qv7y zTFTn#wfDr3N-!YAzK!R6ND+HX4g2KC?>pT4BqLBT}iF~9tske7Fi zvG6DZ z=$8x5hfupR7E4&y23=5a^}Nd!X#AK>k$s;5YRGO!ww4ni$3XkVr_b5&FqW`?P_+z7 z@7w#_gb4ID9sI%j19cZCrD?fd*g+ofSUabfLQd={G8*j|Ja5Y*I5nambIS4PajzQ4 zX!~SKZ~@Q#*08~d4g7bE1ax*9Bgb#2klL*ZF6e%gAiJB<3YrTdM^W~KI*%%zjZ?^L zCKR<-B;0@sse0CzguU=kG->lwn>KQA=b2TLg`udK(>*QZJQSq$kP%H7Ltel2nCMsJ z1H&Z^^+;dzGu(0@ZjFGf49>%Q&r0D;cJq;W6+niMtFY-=0^|xMzHYh11ih_N*WQ{l zLaY9Rkz=t2(7;Z2QAYO@)YMFTOk0V9s_LGl&Z!uvaGo0tz<%Rl39sM{<1ThnWPbd^j&aD&Wz=PKFXn%+N&p8xv$zJ=YW z1-;L4u!)X;R@oX3_H$sUAQlNu7&9f75)LjGK7Jg0Edg#9UMBP}p96PoYLWx_jo@+F zhWh*~%zqK1tMREd1TX3o;rGEa$h-F_?bH_r?~u9pDVz`Zh>;z2_qq!{qoK|2IZEJb zTBK0tkp;fr@A*q`X@cb;(~zso!(hwRq1$3LkN0@x#shn+!CChwWvyB=xO}!GVBHfA zZW)vMrp^oCUNyboTBr>k6@A|6{BOZCee~kXay{^J_Gj6^Of2tn_b!ap`hgFzKGnUA zHt?xB-mDWL55Ah~pS7E*!S{^(IKAa^6zJ@z22}DnWA9V!H5&<#z6&qT7q>=@HX&JJ#=QChk zXvn;eMjPziBf6Mw*n-m_v(AIUEO6;6obBbTJBizHA-vC*=a)rptF#y}sc0$r@>7A_4wTuWNFm!`kN466y!St0U<^b@;aLk zn9?Rr+9MA^$!l`Al^;NGFw?G5@k^K?8j!4qthG>RR-($lv5WsNFV7#0Zoxko-AV-iqv!AYxBK`4HQME!hL|C2`VOH;K?7!I5Xvnt zk3)2w)NYyFG~~m!C%8N}!}+v{SSAm$EVu(}W6@uoRPw#PsT}#Y4C}WZ%gRFP&4Fhp z?#e=%@&zuz^YooaEAGoE0Qc-oKvF;q|#GV_y6+Z?krwVWQu^{)iU(?BSbY$ZRYBVAQb>H7pbA24kqVJ3YUHDcA_2Up3B- z^z%R*CvEqYm%AZB_5*d8-5ewdDtnS)kDfwMzUhxyRjK)}SG`BA(Ytdh(D^5jk?JffP*Ve$f>Kg)ohgvnSkhzYO^&>uWSb)0T*!LlHcUn!3=viF zI(0$Xm{~EC5w*|-orMoF7$An zG1grPQiBY->Y(OgM#va(JbGX18)lFi+*$r`5waxY^4^PoM2=qh3*|^j$TnZM#$XP} z{wcS|*02(CoOLbxD0iS%{IQ2&7at@PDIHs(`U=UHUC%z0Vt~}1(|PAsSs;D4I{Dxq zKSx&L5t{Bgl4mBCJz!L}H(evnM$b!J2Mvv~${>nD&C^*u5{W*KrXiimyk zGKJi&$e@JTQOL{qemOsI3G&6Jh_{Z!L4H@qu@Ukczia=Wp8ucX|G!ZC?J*~9-Tg7)P$ig#18Hq}ZZqI3xDh?52XJ&OuhUJg=YNS;%mqO4!|U z2hyUB$}GRwgyfH64{O;iA(4UU=VB4=^pY>C_2|8T7|Yntkbw+J@Ap4#ucM~**~4Qc zYCj-c=F#g%493v0uSQyNi4f{`vA>{kr-Vvd3VKNTJSX_uHSi-vz-p{Ce}{f(^?SA ze>zcB9NC)!@4O%QAm7b&H1d2@H?pur5(w>2Ku3!J)ora9sHaFyHSxR&Rs2@t!k2Hu z!*k^=U)|3^v67_nh9o)U-!-;$mJ@{>{XR0kw<_o(Ow83I%s`Hf$j^ z<2p2$ZEep7SV8sGvMoDO%tVupEUQomP250M>`@xfVc(DgmCtWEVEG=<$8j3fO8H3v-{yot_1MdxL;ku#5=Y(Ta35T!j7 zp9yLWRON;I=lFczNQ9hUbS3N`c_1t60xxaPOUTGL6c;)D1n=8>Q{_v!A*DI$+OP3O zNTO%!$s$G$Qd$1p)V+cb8}{RKz7PRK=PhJeF#dp^a%s*hRDICGXR-7HGu~=FQ5}P}JejIap&1`6WACn)Q&^!$?dWTUrTOZ-d`# zhvV7ujZR72yaCeB*;B`dABEJI`ZQs!0!Ui$9c!K+gM_e%^om2sezmyqe8p(?ckTaI z&;O6QXV3k=m|X_9P0Z{rndslYO<-tfxCVdu`2NH8_}9+*>};Mb^&{8J*(AbzDgt{< zmhI;OKW<=et$L&@Cj+&De{ExKy<{(CK5G*M(1h-L^`!^`#v|Sj_(h;*v*K`%wgm)TZAwTQZiir!kFPHY z-35Cd@tv3Aj)U{B7c@*R8sK)TM}*$p6WljivoCJ5gQuluC_pY!d_#b{J zP{2n40f#qZ+i|8D$grVzEQkjJwSEJ=!Y&B$eCDrka}Gk! zcc0H$y8|xdS|3jfi-J29bAbH)dGHt*=BprU!pu_M4|IDlKVc;IkOWs1`0}b0h;Mrz7s=B&jx`VLT3czT9F~T=Emx64#7`Y+br6$(a*X5o#c`@ z`V7rPV%8%dOw37rBMkKuS;10mi?_gobk{SRxLe@)aZ^+^+XcMin&(as7K86`bspa4 zH{h48e2&xv+2UkX*PF~R$3g3(n_rDJvc7Nas*68`I?wa#No+gOkLJiUI*FNa@#3Th1Pp92 z@LuGDpt&P!eo-qB(%w(Q5QiRIiAMF4-j^YqRH3~!1mCy0`Tc6d`Mm92m$vA)5XnN^ z@@Ui!BAf1;Hq3v3C`}L2m9s?<^{M9vv)3ScE4$xr)xAIs=9YgrB|CVi1eUlwwFd9C zmti0M6TxrDS*#>Y3j!sdv_7sFgkX(R{rwl7L+I(rO9W|-5auYn$3AQj!q0h#2EG)7 z2-58`%~ffLXsBK8iSK~Od!*A(wNbxLUH853h$ci8-33kN>kus?O*k-{4AK3)8^6At zf*2k15yz4X;JJ62wc(@+_$03zZgp>hzg5|}tbSSu9FM!~Z>Iyn8?2N9tkcQxs68I~{!J2f?R%E<4`_jxPQmR}oyXu?ULLCH^c|mBj~QO}zJs6- zA`hAj9%4?CZpdYZ`w-?La&!<`B;kexOgSa%5FxdZ&O6r%k-M^!A_{O$|C}I7xa~b^ zl_!62e-(#l5$E~or_BG4y!Vdhx_|rsi9*T<5s8eZh9t8dN{TcTr75eS$liPJz4zXG zXDc%ir9p*+%p?^m@_U}E>vLVV>-+ov^SQd++|H9X=i9sUeY{@B^LRXt`vakauR02n zzLUAV&vb_(@w)PSk*?9tB^;r_23op8xHal^Yrl4b=Nqc=M*)PN?=3F1?G?F3%-lb; zEb$rv{uM3VYX~1Vh;kESCO?8)Xbkt{auBaFbIrZemI#rNnRl@eBwiy$HU$F{gm$~n z?-(L%_kdk?@5=)aE;rU_rTZ4)UplT{d-nhl(RBK*!`z6Js&^O<)`s`xwA-@_zVO>; zyCa>3k~75?F{?AnL>I~2p;NjJ zG3;G&D}q&i-}is_{Qunb$$kCr+W*tf|M%k*|6B(C6KcO{T;ASzizupi9$dM(>Jv(u z8n@mLwnm|}?4vo0Fyyx92UHwV(wnueH zQkk7|{T_(K6~^M-nl})izoLKpl^n!Iyzo>?ibiz#wqaenY(!BStmSPdzB9vpu4R|q z&}=BdUi5qqs-|ixdmzfZGP%=ZAF%>O*JH#jM+oO6ZeUD>OI?B#43>YWMpvdP1#Rc29C|DbP z{p;>2Do*bWq~~f{>+B+NUKWQxklv; zQhu@UGbWJTSb;JeLLI zs`1E0(fviJB9JFZzvXDqg01#mFP(q6opSITz#sD0+*H93tk(L+v2GG zB~upJo6D|Ve4j{kpQjA#WRD|##oM{vh9rOR(vPgE$vz~%KYoChhh%sJY8}q^A!jp9 zeHC5PU?iN`pmFp)>nrc)uv;SPZy$4{%!GrP~H$q zhTNXZOQ)bHikd;H$sYyn&&{MIrIDBBQZ75@gq-byhLtH{#AnbO@rb>YFucZvuf#?o z&0bD5&T0v%)Y~N!2+J)ww{w@jB-v>;Z3~lJ;f=(LVZ{lXx_{UHzx4e7xO@I#bj$Dk zK`YNeJ_LTyTKrM-Hh=B!GyS{I`W$JBxjw!91(zFn2DODVa2qKMPN-Q2kFnPqJoU+b zeXP~xl0p&qY>Wxap5TG6>2bfKhu^`k;7sN!>!a|0ep1*}jPzPYkFMwE?n1!VtC!+G{^0vZ9hw=J`Rggg^nkVw;0XB%gLhibv3rWQ|lvtfv(sxxAKQ*5R%Qnzu;VgylI$-YI5V}_GXwW{xhY|j8hFN1b13>+!CU$JlAK*6e0n9EZSCdZ zC-J&B;szu9?IQL@31%bUf_&i3V?ziSUe~RhOuVUgc6RZdI*P#YLc=xJ31dRbA)=k1 z?4tA>^-!}8L$JL2)4Wmg{3T^g&S!_<%sX}W_VKT9qv~83$om10i<}4RE?K;MMjQ`r#KM0 zW2ITufed(P`rJ+In1EMmgwvCzL-0w{mRE|-gP&zrN5|GW1hCu+)Q{>R&$sf|%eR9F z4BPvx+hiC)$N9Hp(CZ=SlivBmK4g9oaQO1teI$ox=b2+7--+kDZc?66LJy&5-le{D zA${g+L$^VaO%kS_`|i`EE9tw&jgRzi`(6A0^!$Gn{(sZ(j5Tg(i|o6ncU{;(Lhrh) zp3c49IwnN_pZRyMeFJSuy_92FFiwnqdQ?vdW^_fv0wfE<{Cg3D&7PyMjN_@>=vEDD zM!{jp2EgWuK>Ku5K5V_>)22dxz%F@ox%I0%?6VK+uqGzK;oOmKQ7v{jw6^q#DI6eP zPG&(~&x3GGag%QPegsbRdCK1ICUCm{enAwDJz3M~9Ymu$V&b9977wS4Xh-wtw=gu=x)`4| z3lp8G_f%IGVOI6vVVFk%EYhqGJ~WAf)v3*nt6r!R&2xUC;QB4tvWEt2I&l$pN*WK( z+62Si_()*Kw|B%NeDIv56Y(lH7?cO52NMp@Szd0YU2rTeZ1Y;|gp+{8I{IQOI0f8^ zaaq=f(?b5V#*_z)ZiB7#oj*+Xh?sTgZ-lwty3GBXoM3s5(;zp%8&(SyC)~-k*T#6O z{sw6y*tQ2YNGjCAo<5nEyL$-^ob!gS)+oUt-C^VkT{|3w8pC?s?1*>v(e{VV5pWVz z{#A2_>HGVj5SsnJoH7{Wv zIL_|aDjqZkC-bU(yAK_Q)BHlv-ZlH+Y;2_9EM*AiNwJ4lnTS@XcDEu}W;M)>2Zv2# ztI7Lp+A%H{u=edxuQt|%?HRobhLvrwn-UbeV?m%#2LvpHK1sk~LMD6Zr7j#pyj2`O zPs8be(=!fLZa6(v9>4S}2hKO$ecHmlf`kLGg*48<#ep%-W)ImLS?Mr+^<)lQ-5z{VOafQF^15BEDV)g`cnQS}bEi z{4yfsm|(h#XLG*z5GPa(x^>Pr9mCM2Iq9`8u} zh$P9g#g$qPNR<1y|L0xe>$<}suO!rrc)0`00jH`E+oRvPEs^y6S<0sE44SC6rU?;= z8z+a9MRHtsU9dv(j8*&oqnD8MTqkTXg?Nl!1(cRnsUqR4prm#P6%qtleH`mU5Z4*A zBfg0jE!SIB@99jUCZu{L-?TN#eqFtl7w?PWbHc4R`x{U&s=Dp5jt=rpw>?yP`4!o* z=K>X19z&)vpSTyxEYj28uF%nMMB3(>eR}>DNa#4P8#8l9Fc|JeWD++a6W~x4aZ^r#|lxY?bMkuk85PR2U zh{8{~<`gcXC}5{E(NKAdT$NkbjCzhBn@(AIJGBKeH-8BZXCdrU=a6$O?1M<#7|UDw zvkWQkKE5cI5k_*$+vPNJB~5xvy{L7OWOj{&P2YJ+v`CizXx21ZJnGTrj@}}K`mS4+ ztrrL{&*Z7y-j^yUQ?m_}z2k)9muj?pGrRL+zB_3yvMVfOOA;>K{>>()1g6x>? z!TRMaWWK_N!^QWIajNn_7e8ULevxs|dO>uVT04P!Cnuz21b3)^{)Oc1J8{2SNUmbz zqxkNVZFoGLxV%dC5*~(pU{2d1i<&Ured;w~sMxbPYO4eBSnqe#@xM$mQz-BHMtM@8 zNTK3OVoNs)hHVTE`?n(B*p&YG^=-&C@!5RDSP0o=8G#Wqhmo~g{6^Qd8f0{CH+8Wd zM0&8BZ*RFJ(o7ONYA(G*>b=$WPju~m*Z#ls{C_(8$gcixZ_giDe8Ycba@`_5|9=dx z&;3cN>WXw#_-JH&%8y?K{|BKZALsoMC=u2=NqWDaD`CQ!;&}+ZsOt3m-64cX%8oHr zjU$w1@~O=I5`;Eocj#Svi!d|ao7{|A2;cb7n)e#X=B$_w;MSQ(#F=w@iUThoqVK~d z73pe3-t-n8`LqR*eLQnY$(PE0_@UxT5dvhmQz;Tyas#a~J7ZkGKBPB75Vm@)EI2&k-iy`d;W8 z70HoJssEsO9O2ntkK7F(B(M9V8Sl;tL`*zsy?t>2k@l9OI-*mAnYnhm)5~N;h3^iz zReK3uftp!{8EWt|pZF@y9EE^K?|e*i$Qg-!eyVI#j_Cfb_vtsTAp7c@_D~3uTwZ~K z2ASQT$?Fa)wb;gtum;05DVvDr*lzNZp7>!z?4>@AH*Ehf`Ef6OQ8wGTU_)c(L#Xm7rx!$f9Dd3 z5Ehv;oXqM7WefS~z0(U}D;$(hP!O+izg8@h2HBU)xm4}?_zoiOWsYx^|AW1?c1P1_ z86sc4czw0L9#Qs;4eN<65zXtr`tdG*L|1c*QZEzj?;QR2-RmAAAjgq;)Pt~Ic24U# z^N^XP%_XV}$12E-_T0tTt(FLV@N0qAZ7;$en)u8#nIXKel}YKrUPJ`V@f@sBM&xZF zAC8`AM2T=)PQ9Q))Hn91LBY?6&VPHk*7XKrG@sR+lkP(-qn5w*5eLMUx%Td^KaM!n zK?dFn9=~h<_kI6wPw)SQ+HV$qq#V?cjH;I^SGv}-qBNTCWJi`Qii8GOj0poFe;{jO zC?)_o>5Wv=U*xWSC5nfs2&_EaOfD?_L9plcy}khsF~gtZv?JxK+eHK{F@S0 zk;T0#&unT3VMR0fY&3U7THmydG~pDah73F&-A}S7O|H@`bxR`IiB4$d?C|nX1J)uEner9jX`L#rmXEALpvNHlX_m;V7+U!Vn zTbuxk#T8`kr`Z-iT7dK+={V;XOGqo9;@b3u^kvxxj@s@enQGZ&m9K+mju%#^js4 zi8@HnZxdm2Bi^FoV_w&e3;(YD|GMw*|BKU0LDBU$yF)`$-|WU;`u?9gy#FWx|1iD& zZ8g5T8flf>vfp4Z?A-Xqc^bwR0Y~lR&%rdO_2|@4KFkwoE?<(Dhvg0XUHR-J&t>JR z4QVX*$xfx;>o-rVVf#V%M5n10>~~$+d+p$EIPC8D^st#94%M@(qDIJh8w&=_z8BzF zdDSf4${tQaESGo`OW_nco&Dsi0CY9yTeH8tg5iZt4T87!!FW7zWy*>}Fyo7TT_&my z3+~fzXpU#Yay<5p*V+JB2kfY@Kb`|yjxL>>m&{=2e20}o$rtv|Hb1j(9D;+(o3*;x zJ#bu4sVcwnCLCivrpa$6yPTZPmj%y}-BEwt`YQeda9Ui`yPi7&dIPWbNt~R65#{4g z8~YVt68z<7Y@Z&?p1oajuyGSCUb@VF{1gJKoHliLMq1dYPn~}=^%=IG8;&>g8^T_D zwD167YdENj=d!-!_f;zHr(7Du6dt*BsxlIZ+Lq_4)ylMZnB^5Ynop89==>n&3Y{yGp z%fi`~JNkyhIyf&M5&pL(oTb+; zM5$+x9a)JLEuO?D;3&X<;P4N)uA9+jFl2>mRNvB5Dh9Z5(rG-ZC;3I1UY-g9bg9fFG) zldbh<;%z=UBK&$YC)`#QsvTIf3U1Y{zA_oMaKGi6WJ>fS4;rdXdbN@8h|yHnD*pk` zZ71bFw2S<%{l8~@e-%EN3d|OVU zT7w!vds&5K$Tc8jSn6EcED$asW7+(53X!LVvaWC3is-#57&=3Zn2#HL>R8wj=kh?B zr<@-N6qH*usm73yKj-I5bBcId*LJa7dWOVF=3Y^SOe9$_=glW%BkAXU-+hUMBX7Ff zUt@t8$sgQz#Jp>U|CRffi|6{CYg+|d5Vk|l^@D_UcqT8MF)Nbcoltoqt|J_&8Qmyb;DEV|(wttY#N?B=%J0uKf6gXi1f)1Edy_ zOrs^StjCIEe~Ph+b(%<7E5W{_))pyF#qQxR347~@U%TevqX^l^qB=tu;9*bZzc!i$ z6Sj$-^}Gy7w&xuaDv~=Fdu_Jjvo6_*+CLWF&PDh$X5x+4?AnR9c7^ZW*bhit$~#$R zLA1`?SKgmW=!kciLy-50FOnxrJ%&a4kz%1~lAcXGwu_}+{@ZDgYMx5bSR#O6pK*;9 zXOa*W_@TmObOw=fJA3litt2@*^lHM%D-ru+heP=jZY0n$TwS$33kl0F^?uAKBJo4& zY~wP~%xWTejwkvc*(0)3G=@Bn?2D{{m^h@&Iv0Dt^+Rfyq;cg>Zlvv2w>o3QfwXGc z45@wJkS?R$UK(!FAs?s;`7&R)yWQU zk@vt`StLIiy|gI#2q_QFu<081l5AAND!wdVq&W(&@z&r&x?-P?b-oBP=*qQepFKxL zt$5BeR##+dZw@&P!l10;Hhy5BQHv6DvoM!yWVThzaP7*L{|= zcZLMydM#@hn-U**!SV0?5~q-s`!bfklw@5>Im4TOBa-$xtb7;$n$+U0CCU{m5IeK& zd7645qR*`rVNfUYg_YMDS&p_M>_@&!))<+OY%Nnz5sO5yi#Dgt!bY^@+c%`XQ$~$z z-L2UuJ6%Xkhzcw}^MP|25jqUI=`YDHw6#dovgZw= zf!z#`Jw}N~RA+;di{I!5=}xSnLQ)efcTe?!5ddr?Xe*^`e+E_gwi ziLAXva#S8BNWXpH+vkGgNM$=v{fWsP$?G*b%i}bVsO~`{byAl69=?Ta{qGRFG2u-N z;RHrCMprOiApD~2zJa7a_)3o|^RWFO+8TM-U-c4Cuk7>U-Ko>4EPR+p>uZ6sb$lB0 z&fifq^m!W_*&iry{?YCBcn-P8OFnOukVTd_S3*sP1=2I-Ij)JvAl1_Fv5ay$$w@kX zZ91O`iBr8kX|d0dU}R>}WvYd^6LXK>pC;GRxiIE28CFF0wLRZeYWutP|E1^u-P51> zYd_zhqL=n|VK1$L)C*bz(%}E|$KdbB$>*!c2NQB~Xoa_oTcU73^~4DuHk3N966o8* zh3db*-t_n9PbXbB-9Jk_BY%JWe?H#+_t*dVz88Q0T{w0lQWxJ{U zw!eb2TD)k)y9v0gHlYorqK8Y~%3hA0Tj8qkBKQ*>`DcH}`t+SCaMQCmkaPDO+~$s* z71FVSv87sMkL>}NpZ34rL2_@bPPWl(mwW}AHul@>7YktbRrSbAEh9J>$(UEMo5Ars zjn1vvAvkTBE~o7zn%$(D&gr9T;GE(c=+*8D7nS1z0>Add<>%u))0InbjoJKVoc;~m z_J=i|KJgZA&4o>$OQPX^?rqcF$@MUizbtt%xd!I*4f8=Rd9a$0*IX|~G97PmF)4l` zyq(Z7{_7k>V`}za=N>Zx$6WOZomisPxv=EjQ$7dhQ>V>1zZbxT{z@MG1s}N7Epp8& zXuU+4z`9Lc-O%B z{0r8?LE;OLe#%B~z8$XYv2I!kJ#ZZzWY}?z4sLn1F52HOk$uf0^E{$6@DS*^awRbW z9?xx6hDQ3~X?sh6?z2C!-yiB>m!BS`lFT%EEMw>+GOo5ku4@ktNhu9-2YDeVrAqid@IJ-gw#vFgq- zUP^dQ$sTFDP7kl*U#XlY2@BNZ1AXAiRQT*}y)DJ2NPLsSZ#>Wc{9XJ1^!$Go{$lwb z^w+uYVd1qI>ncJOT>wC)g9 z5$l^{nh06^{~65*>J(l#+YLU@9?!6k)Xh!DA}g9g?Sor_sjpBR( zVco!iU9|`Z$d>xJK)AS}+Y;?x^pjj2OJ55EMg-sJFSZ+yK#0V}N+u?Igi_u;z@O?s zp7$x+=mpZln+Ba%Y}tiyfu(3hT5^VYoPX9m+z1i6&T=Q&V-dOP_?r5A+u@;egRVf6 z`0=xDEbqD&13#;AO#%N*1lTInUJX=6V7!s~Ml<3S%09Z=M0-DiQ$8rU6n#L5e>n3s zK5K;Pz2BAJNOYFN*0s66ULu_S^}Mh@$w%nWRESdAPFN>T4qf(6K%}Gy&xr(1M2@_T zu;gSxl<(R+-Vq`A@_9Aw$rD4sn%uFiN2L&`WkYAeya_>ZIX@%2w;{MmnwIKx7ed}> z+L>_C6OXc^Zi!VY!rttB!&tQu;g4e2dvuAOlvheOP5Ty+UOr~3L^8Z%*|HIS!pHuryUw-n2 zDnCP&Kk2&6a|fk%nFB*^k}3%mnImAwr7>ZWL3!df8wxK{|Mqz*2z`O3?QbRGqmJaJ)(K; zQgI^c{$=Q@TcdG@24gHf_sB$*U@6o&|Z=U zEc05tvL6|rqXhk?t&r9f#^FA`4JmV6IcFdHBl(V99^)M?B(3u>>}0rrg!l5?S*@dp zPxaNP%St6))&~~v_In~`MM)%^Zz!VlGC5V9%+a#jsQtsj1Zudxl(=Qepv)-nj16ZM zib|RFGwsM+Tk@#by}%mey!@t}VQz&ix?)b@wWmo|h~8&)8p6pP%p174a2F{@6ZX6h ze1oLEJ1bV$9!6q<^ntY#fZbxY0Myp_xo=ngINXOXPX`)Ml=k$j&-ua~#e1>PaSMv&p@dtt<{ z)(E-w%!K3>Gq#EDox`J0Ed}0Za;SG=zo@uM6%~!AbMw;Gh~Be6+o)ZRqR#$3$Q?&P z{WXiMC?n)4XlbQbhcaD#k=8r?8#F| zK71{p?rtiQILx$nCoPjKlZu%R7Ffy7V*_MISzHvH5YIi{ z8B`Dk$CCWdf%|rFyB0n>vF|Y41N=Yu8N|RNnUPgMjpX9RBnwWCaKp=KcJ5fv3bJ2S zqArtL3m^Uj@%~0P(oA6bTB!^0lfSIN%*+74>0eqUZl3V>KTjobdl|M> z*2$_p#M|qu5p9_D7|ua9MXa`ba22^&#X*+jwko2zO(};UV6dv z^_2nb8g_U!+c=bpEpT;NC6IHF^yt=6&``<Nscd%=VWh>cx9y)Nx(JKG1z~zP`L^ko@l3_7;_uFX5PB zV?r>daBi}H!>KR?S8vPRHauiMlZ7ojs;(Oz_n2wA#YEwmuEfPNp$D(#)P3r=+~EC8 zW~j}+kN7h9&g?Aw3g7$dE}dmw3%`gx`g=-Ae!@MT7yT!;B7i&Mh~a}32yoc1xSox8 zp9fAlmTfzPK&h4=txh}PqVTln)zNKmWA_a|b8!jog%`E|;6Z!x@hl`%q`}L`=gBF) zM0jT!Ke{794WGw(ZI9g6!T0TOe`jM7{06$}zl~VK{}qMD{(vV4&qB5xd>_k^0y1Y>Y3Hy=`WTa&kJoIX{9XJ1^!$Go{(tB2{y#mvf3rME z`~Pox-p`XPTeof_%oKQIUS=o3V)}zDH#H^U&mN!Ishkg+r};PbZb^mRwT6$fn^a(5 zPqnL2=qDT;*>n#3)4`Fg`qtIKNH`|kS^sTUB+;~<#7*w^g;Si=XMgntvID$=&*ao7 zoLvu2OmvmNd1f>xl|>IO7acy&X{5k-OUm82rQI-#X}`k~0$7UOwA9n?hqcnoxow=p zyUV`(^1yyt*rll!GQJ}k;>!9sc2A!_w4ZJf5fP#xnoDR%orYuk&S$p*s^O%RAbc&7 z9ZpYpW+ifohg2>#Uc~S^oXZzz+?~bXB3P#OrSAt^{IAX5>au|GlX^2wo{uo!Fg}|T z8VAdivo$~2@51{2$fjQ7SlHTsV=^TAw>?vHvD2su9HfVy^-%4B!+X9v0}(_+v^MFW zTBQi5)ose|iau}({BnU!;~SjU37;-=Iss=NtNqP-&2XU%a0NpwT#OiR^t`+Umwuyr zr*GH6gkM5pkdN2X2%Pi$i4>!Z+hOMbuo)+wObjy2|FTuX%(*>$=Q#gc< z@SU+?BA(&(pSTJH;rKe{nDp>C;n4;}=_Y(7USY?qm>DZL+r;a~pV>w-O`jhZe31$l z;{n#(j3~H_oxhjhL*^hC^Ga@Z7Q(E~t09|h6)Y{DUb_>}0qcisgC?9u$TgYmRBF&E z*jpvv8&|yr2X~Ej&AnW3lxc8pBs^Wmk47#Z6@}sCSNiyeQY)OdQYBAhl6L-(R|Qzwz4m}6&K15Zw*A9k~DU?Q><)Yn3ygfVirEJ;w z0{O39Hx9_2M@~}gs#gyF$lB>Cmfu3OlYI;PwVrE`7Q~*TsCp5p!gRR@ z#RepOtqp#5Z#9xuwrZi2b%xqr z&(-ZHlc$t*-<^h{2ca{rSKClvEb}VPQ3tspGCs%W1dvS|8>ZdegN$FzM<#qiNpF`g zwaj)5sqdWym^50Dk}ha**S8nRK~C)k)A~q?DRVE5C%n44g{KXaqDYwk^iGMBFh>r{ z3LWxJBHo^;)bRr1DQOHpTU~bn<)SCgcqI;@*kAAZj+ssr?octVd~b`q0keSTCxcE=xF4X#GuFXewd0^E3qxQ(`^~tt3eu#{t%!?zNw2(I9UsZl* zCsGS1A{8hNkdk0M#dM2gQfIyEI(dO)WwxK>E=(tTmz4C9nsL2&H0$P4YOoUZ(@x^@ zDW<3_e{lHr^PecoaMch$8;9br)chwG6;Sw-!7lz7$!sz4qLflPiaeukpI2GreQ{f} z!%Ep2SveSPZhqyAdysw5uahqO6f(!eMwm?r zSGi<(dTks7(qmu$2>Wb+w75)@gkz(>@B9DK^Z(`PC4+m$FMo1)|22nKhg=W-WA^x5 zzgzP(YZk$a@fLMw17TXw)E&ETqz?blWzL4zdcgK1kj*^UuU9T^%m?5;t^$9Ch zE+c3%=WLf+nzYU0vHvrY+3|^~ zQ|kx9+yWJ;lLip>Id#Ke(r38Y6bVxA+zzjs+frK6Z^QR2O?M<^7yOgs=y)7dNahvG zM*HXk2uwaHC3nY>?8POq4!;vZF!S)${ey%jT=;Vx)9Frx9Lu1&XX%2Fr(=7C25uwt zyj0hNOa5eEztvoCT99~eP15@Vi8r)jLCNg#O@wb5?G5AYhWoxoj=TXv`W>AMj#S$X z-&#vUnHCuYtlav9-j3w%Ej{L}-msr=e))s$4W1UqY4mT03z$%lvh8Dq-x@`n-PS;Q<6(*0;|6K#Rb$Ol2ZpHj=C_x6Y43!X#gC z*GFUOlL$_jXf99xNnW?mnu=du2zjU5F?Z)MLJh4d9t3+J^v46_xfSIL~<6Az8w)7K{8W$H(q(R z34wF^lx-nzNWNhD^1uh;2M9Jf%}aEE5c!eYAGhB{$mfAW4IB6o8sPAbJBZ8}x7?Dv zwcL)dl1|+*ZV!Y@m%YoU6he6Ii0vmIbHZTzWhMWec%Dnrc2TFx6J{EnS%!2TVS4O5 zv~|O21YWQZ)2Qepd!JKGqgnI_mbBlxFZC)y);@95&3Qup|EAqMv-AO>9?$w1h|e(W zfY9ZXmKzA`&0G(w2!xwnc`sw-PqJW+DpnBwZ$#v-lTEtCh~%qjvNWhdWCn*yffCuF z-e#BZ(SPgj+W$T4`^VG!f1vi875G=LUI;`rW2$M&wG5Q@ano_B44}wNb()IB6a_L= z&nhxE~Lwl-tzneQ&7d^p=kZ?&Fq zV%cSbf(SQmS&>_KL`pxlnJ%_LJfQsBKK z@pd!@(216>M{3Yl8zDhqq`24Kj{33%$Y&2IR+F)0Hc=L@vd9 zY6inTWKDLlzAC9A9#)Ob4hM+;;eqNx>w!JQV^VMbbFvPpcaH0?k*Y z3PKz$m-aWIpyhqSN2#;O>)7Zgwj&2Q;RRnd6tg3%als+&5Sjf+YRXE*r6B!-KFc0D zZKTz#q;ibdjMP$Ro}%#r!di19oE_4Ke7#`P-a(jW`y}IOHeWy+Yr46~?qob*%aU&5 zHbxcW?&4WBDU{Rnyp4+=M9JmHp$A1t9`5Z-{T=&QQ80Gju1HRe?9dkOJ8@&@9ihPkPV4j7YC5mqcweBl?SOGQ!V7yND$^& z_0hLS2GB0uXOsBk8y=27I`Bf?8ntJmXX%f#p<+?rr&_rO8MCvOyGyGSZi2$@s+gJmBQMAFFR45af9~d<^Ju=yaTN)RrcD|^AuIIsP6rpY~P>(fxJn0A{;g^hI-}GSI8EY+Z zDGsK`_pAxFBy)+Rif;-UH()+6npC>F5|%47P7F`)g%x}4loJi%+_LSycTCnDHWa^9 zkGfrg&08b!HDh04n^97hCo&Jan*%Lbo(aS=8j-N8#2&XJ>|L0Am7$mLnonJmJpbe> zlfAuXU{wFgY<7hOOm0*bt);vM(-FzmUFR%dF26XtzE%Nu== z4k*`hslfVex|PfklGF2)hWVkPA#96t8D1K;kaO&_a%v|R*eiNnX4yw}U@5hS>})>b zPFT{DK50wnn`yALcK5@uJJ((^s{em_-mcvx-Sn|qHPMA$GF?;9f!s6mFm*;tW zu*|CJ&u4Il)$lh%&*R*%p)FW+p!xw@E|YNv=QXh7>=b?JF#>yOI0nqfa2($pqS za*r=vP04$-g5>k;ZR#Bw!aYxmHwk_5FpTW8XeHhr<9su3nwv?)cfcg^P_i24N-xB| zJ|a8SQ!{Uo;0LR_=VwR86ky#MS{T@|M4s=A?-Oi;opRklEm|@cG5!8yO~E#@(;PI| zc<&*}<;lDFm4f&}#HZQ`%@dC4{B2L1AHa#>ip1wDw_z+~cb+xO7^Zr9-K*HdVD5Bu zg{0kMSQ_@&%JHYdTBh&m$4Cv5^YmUYecB0j9yKB}+vv&8cI_EHt{FI#a_I2eER#H* zvv#h6-f;YW_7n4nDV%)LxXrr=^KJbf8w1DS9NtSqSH=Jrrs|ok6TEP7qIR)4G4#9k z|DN^zRrsWu$sby<_LxZ5d@?4n*lSd2D(OPEChH*cD0x(gE8U0KakS&(>d zcAxrWK9W`$Z?%|MLQ;_Lff-t3B(qN5VAwy4WItM=y52}6{|YM|CVT6CHJv_Ol=l$S z!1~E^^dmw&%?rPUP9pr{MbjtYZDj8)D9HBV9HJNHRo3QTMeKuM%cD%&5wF>v_Cun)tsXt0y!S|&k5!9XT1K+1@|Uc*Ye@cH)_$_o z4k>zPy{@ez?2X;4c3s!!MX;U3A+=wh5GI?{^3iN1(TmS{_?#fj&EDMQ$0_uLSF7%R zprrzFN%VHF^S2^lo3Y)H&^N-xaXs<;%L?K>P5E`NnC!}^Y!T3NARg4Ovg_Vl*hIXp zrLJnN%1Bu^>EOhZh?L+!H-6z6q|&R@E73Y5(8+1mY}XrvRGb@D_-KM~+dGm98$uBI z`J~4S!mo?jktelJfQN8_IaHsxE)gE_m32nccaSh{+ef`76^Zvn3zjU%&a#E3*v$qT zB=7vXAQw}LemWW6L!5#z`hapiCp;yQGE_n6cn!F)s8Ro0(KG&W1xDC2`9H8;m= z3@k{NP%UgxNF_U5W37W<0+7G}Vq`2XW;zbQds!#V{uRBC;B z5kPSe#UqI?9~VDFf%Nh1BGcu_4#^vJAl}UM#Yjq~Lw!iyo3eJ0cs7$hPRQqZm6Ob= zCYg^*HHaHh-(VBeJB8)qX@6;UR7PLh_;rEu7`+w{J(t3J1#yl3f*K zFOqduOc%}kdg_$N#!&3nfl7>t2;g5$vxVy-HEIIw z%_8}nP-b^^^ET>(DAK=>b>ioF`PM93@gEA~||I8NN@&f`WUOxB4L}@1qnFp_)x~hxZwl|-m zHUn8&;p}sKL_d%F5OBNk1X9mfp13~z1j)(^waYg>k(emm<0~dXI0in0U|4(<% zzc9N0UvvI{_WS>B@B8}CKJXeCYQR`jV$+5-=`g+j#&XYBU6?--n&-{ngk^w!fTEcx ztOX5TdRj5VCbX5!n>G}-;|4QqRMD{CD)j!_W+pgnzY;Qg&K?eBM;qKWYr#=^OZ$K_ z@q|`2mKjyI!AZ2{msdDHoU+_S{CATcjMG`iv{DHMYEM_mURQ^4z=9^17Ia;R{GMVy=<@PqWYZ?8QtuY%3$G#BoTDX?{OVOpPX0(PUP zPl?_sg9ArH9bKLU97;S72=$ZKD}P*3I`IV@pU-Sa6j=+WD++bbIdkFkR@KUiV-K8F z6PquXZ-(;|KewZD>tV!YQOk3E4NNH;43hb{VXj@tuk)Q`Q5x;5XF946YgvERAGYM| zG+r+dNy!Mid&%^^ilMOYm8zm;tbs!~hqsz@9qFlWR3>#v!SQKLzDQvooV01?#_Aa1 z^y$-#snIau^u%xo9Fu_a{6*IIm2O1yb5CS5GKC3`g~UU`^D}FCyLDM>6xE$t}jOs&M7erKa3*8Lr8ngueK%gZYQ% zGL5hV!biHI5Wq|_H_fz8Eanq!(}elywi+EcY-Lf7R}X{ZsueVx2|k3sbUX1pi!_`P zTq7Jz3D5Yt8pXKzS-7mfuj9;`0hgxo-3x6ogeT4JRh}FRH|FN&rt=$#*BC86vX#VB zy|drKYZmUW#I^3dVE%pI|EK5wv+zkZll}d@|I3`;h&;kncl3{kDU|+x{NJ|rU8ED< z=eWxdK5yKf*5)JdPDptsyniM94%7FZOJYF4k=;*59IX*3+qG;SJAt6xd<{pgJ0p12 z0l#6IAq02br`C}kL5SaQ-utg}gonHL#K%ETgid)chCg*9^X;YQbw}9|zC+m5bSjd} zdmmf+&XHWbQ>WccmdD`o%qNw@ns|1GCaRM+N5hBVdaT954fy5t-#*tvyc$-Ei{X-% z2(;IodH?-1@$#TI9Q z-+-`THs@U#vj}&3wSQmY7$P`73*P*`3lTZ*rHA+;;F`PUv_~=E85)qz=JbKEv?(<0 zer{YUx){%lg&s%{s{tKG5pjyNBDQGj}q_pDhu zhR8eP=a+^Fr=Cv3ZhguOJQLD#Qzkp$yF#qyfxtodPc6pYyzmQwhc|cTy<$4FL_GX7 zogYSrNJnpX8+ivri9Hz^`|gdXaczO#y=M>|_%l$7*NbrZj5S|-Nh6?%w{ZP=!gJhm z_s7-2IRxK{e?2OzfRIQ!MYVduXeryEc(|i zWL%;>jS$Iav^&*y!uUJsGa6POnodQGpl#JBW^!hmdNTSU$Plr)D^pB{O%QjpjQ>a9 z>EE^gyXXI>`2P>oe$)364a%%1s8Z;64>OuW$$UspvCbF@O=%U?rHqlM$h@2!CW@?? zF@}B)!icyuJzS)A0codI|(b$jds?t4{l4TP|V!h{a+S_Cf5O*$YmSi=> z9~kFldH)Kr+8Kj#)Hg_mqej=^D}9KPbl+TT{u9kkT`Km!j-Z<30qb2mFO(+U-n~u6 z{Qo2Gy`y^m|33bRl#og($w<nb6w7H9398&yvO79dORPG`~7xD35|UDXk7pbl{l?SuQnt1 z=|o;xK4Dbx>gW~OFd>slIhlw8kS-Rbw!%Rasd=Hja?6Ajc8SUOai|=U1vc+_RzY%l zwv}v`mhnS^hMSisBhmC`Y$)Mc=0+^*Np@OmQ*<6Uc%fxY6zcaTX!)Msiweqbjx*Ht zDCwr_F1kB{B9(-FzxJ$0{yP3+IwthdHvIS-W&+#V~=s-Se@=83eYLnu~$;j}bH*db45cQqP$A$OGT@l%UgWV=Y3 z@9hU+b(!M&F;}ENW=K8bMA&H;Z4#T9_YkkNd(JMCBqYDFOVqXsN7B?^YihDrj+Y-(SOW%MFB$#+oeQWxKG@3g0AyIkB@&@Z)}{pf1A%yY+#WmZ-+zRDNtS)ytVM zHyC~}_m05L_DtYJ#!BMP)8ptVsDww>Pmz!31wjc;(_^0}zPy|2rx@L}B@Y9_!q2C=3 zyP1+~j_a@CtoA!O)-{df4UV2^+P)QTH5aq^yIF~DjLyX<+!h{FBXbiGqo6#iRpJRZ zglF#TGuNCK@G@iMYbb7pH-F`Ai^&u4exVn0<5>%Q9A0X3dAq}x{p#0`)x=L$uGY|(w0r8{hH!?m~1=}Ige+<9*2aZ4J(Ls?3< zm2Mc63mcQ}ixVHDY{vd-V{3HsQJd4}m_i)7(?al1Ct2ulBn|BqQTI#5U%st^M6tPxt-6^;g zONfnS5TD@BU2@bt2S|@TXZtRc-t@*Pc+I)ge=uo;cWaV9P0UC5 zc;?pguQely$Zp9R(^T;N6yr1NYzDt5_JvnbiMV@sYE0Zl8h4*{&HRvCAdEB(?)kdw za1E4M3}J{Q`p=FteAhMMVHA64HJOo8QnTK$jQJA=$7=nMYvl87IDRkOyBOXDuChj) zkKhwHJF)LA2Yhep$d7-VhM&;nb^T^C3;2A6mpO|t=yGfIPgCi_|LU166Rzh7Seu{L zaoZXJ#rBI*z9R@!m9dgJ*72wI|LXbwlJ))Vy#KE}KCSzk-RTGb!*&`3ksB?f4MU z;RrX%)Mnc(qM2oV;}{o9Jgo_%?Z0GR!(;n(vH0aBctl%goT~8yg~7n4yEh0FXZnvV zjfCSerTLlV1Q#r+7Rx?7*$kT&voG&`tYIH;dFNS=n}kQpH?~G96V8R6+}`W9z$Hib zg*M5qaJ?14ZT4CRZj4Vx=iev6tG1Ahu^lo!K)(GzqS2XLYW;j)Lnj z?PO~bc_t)>I53~0h5Px>?;Z`TBKL@ZHSAif@Q5*YxU)z;XW^}&T_D+{4V4i|Vzvtf*>KdU2@en%iIBXK8=a*JeLUPx0qM*DA7o#u*CE3E zxkZ6D4L;v-gBOo78x>DIyeekKObz+rE#ue4nPLR*d%6C$(MIq&ReL3U;P9W?|I_pT zr}+Ob)PBcNos{`yqUo49tG=1eiS&B1Kdl{%QF5w*=X?|sikRsG)i%{2Z*KS9m^^0W zxP7e74IUgx8>;vosTbRPu zB01BlB1VDqz>_myZh1-~>FDq9huj|tJEM$Ol#vg0t<&vM@6Mt^cV@i$Vi8K-b3c1D zkbt5XHj@XAyOBRZWuV_}j9hKHLWeu2(-{e4R zsqhPFs}xy8_9P>fsXi+CjSArgGcN}P2O!yU&RpR!3zAyby#3Dn0-eqsZ<=@ep#Dwu z*RC53sJxJ;Cn|ajrDe8yH^!GxtWssDwA&qp;--&f8nz%Wtgli_GzdAiuNF29J0Pph zhhrPbz{r&S*fhpMd~Cnhj~uS{McSxg>Yn!nNPYioDgIOsQmN(keZ5Egj^cu*{tBOv zY|q;5CNGUHC$+ulglpGm=abxhT2*b3wywFN#7~H-2)q zL&4?mBAiPBB&U#);F9Bu9QpaZw^U1!RZ*g=vFZjgHTTm;H2x->9CbDS7n4Ze>7`*h zL^3OdFWXOF08%fk;h*OyApF3W57SxtaDU}RUAEV2&`g!uAxeJ`H6uc-zn6)o_i6jH zy9xa$+x1j`z`q40`wnYva#}!9j&hU~@!%A^kLiD1lY_jG@74xv*~sO$8PWcoIFkW99OG2%_cb z5uI~3@@Uw~LFqlGjq1j_r7C4QR6KNdG*jS2S%y93_P}G5d=p6Nyi1Fm09_0Ajp0L;&i|lK9kk2|!IKoDLvHQqb zNtIoKa{j-)Jb&x?|7d#uOt>B8gx}#aKx^=?Szp+%e|vm4{?q;Y9%Y-xb}Ej- z+j_et<1vzzyq7cmk+cx}6;t*vv;RgQ?bFU)i#P0y$w5E9g|b&m9qp|m>2nr&eSwdt^T3n%{T(dG31CTWD7cz=y5J_TV}yu{7D z4&kfL8$>y!g2Fqhxc2q|csCzsf3>O%etPuZLv~oep8(k3ICK%;-OjjA*DoVT%gOxE zzFq{cEk4geN%%jj zGPYXr9f2X&+;qD95#&oBu6T^h{0?YyFGv!O@FV`w_?IIHxq7sf@suz^-b}9KP$roa zSC+qoi3yS!=*T<&bwUV}if}BZCqDa(Z`&l;s}a7oaF&>i5w5SGI-E~@iXBH1GB$jH z&r}ZkSr@{V&{WzV6};gMUy|OUONI1ll|4^|rPmC^YYcaj7|iRYWt7 zUi^&U<($@4P8d2Mv#EkqoC@FM*u$s{Yd9I@)|1Hx~JyKr;uS48@){MPrA>i_-R|C^@w ze|znBs9$Viw0eZPgG}zsE03dMEx(w(qdH2mMA2`uXT|`Hn=BVwQ_cITv@k75J<>?~j z`G%vXChs9-=RMt>Jz~V?KhU^Nhy@*ErW+ZmXi?9wzkwq65*2l`^vUL1Q7ZHL^vS_k z6#b6S>F75?LGvl+sM-PKDHJhH{2D;c*&pg0qAtiPdG$p))RpjhXNG1Zr;)+G>*79v zB&2iO1=717M4IGwqq(A9q#CIPC{Ix#rLCwCtlcI3%6tzx6KmU>!*-)VIK4MG z@i{6Bg&+1kK87-uykE_qWl>z)qF?7#h9Y|3?!(cd$ai1EN%wjKaxFKgKAByI?6w1Y z4Z{+Vb@5C^t_Jb-bCzguDqKVc{l`sCgI!2xe__}6mS}2^Sz9iC7elI({Nv$-9HQ-g zxT))gExMA;GM` zP;KapzY8CdrJ(zwPmB*WB z=i91L7Jo#FmtP7ch39Cb=DkrYdP$Z->xrV3oy+fzM5ADXa=?PYGvwW3BHLZ{IF~QFIAqsKdzDS?5uZ$BVojXYb6o4yW*adjA&> zHL~f06a1o={?z_|KljD|;`Hty&-nj8UEg0E-+%0^Pe=52fZ_`y=w=6)?MSADfmDU( z(k50Iof^MzD9I8gF8h0VnG0cBvn#M@y%5X>(?5PnbA&iUJ45U^F8?E~KgnlV^61E|Mjj*(TLW>T+qAOGH-Oe8&z8 z`QiyjcTZS?W0{#Z2Ua|>J2_s7!0LywmgG$qShpJX`aST2jeTMNgZ!7U<=tWsb-W3- zUGZmG8;Lemv%URk_AG8pb52$1+<>0Qg(NeQ+iBPuXOL5<5947^t)xu}Fg;KG6=zjp z7UxHyj$04&j&L^q_A9U$q^wPk+6v2oS4y4&!LaIbaiV@v1?#j;3De~4V`HYyo}_OG zTj7MP)&UFHj^5{fE-wT-?+&_z56iIMbz|j0nHRVf_cfHpDF*tD`#wDF*CFrwd1iOX zDwtH$&<{7Tz>F{OGV^Usm|OGipb4voMefd8{;VWeb}iS|P7v>N-?49dYR6#RC00!H z@G@+Q7y~w^U5Blg6Cc-LH{tCb`4$%P3-+`TZ$-KWVP9eV>v9bj94;}M9woC%y}9&# zIfJ#hGjZ!{`0+8AR1RMM7|IN@bEU5G9s6K@?>%Aqn81?fON#jT4Or>b%Q}8uhIP22 zu(9+t*c6xr%8UEJw*2PunHxE<%h@RZ_U>ttuM=hUZBCAOk*O{hCS$Df{FZI_WaUjm8+#gdWX0bCtfIq97BAo!(yf5ZzSr31Sf3oCYsufO6scfNZvJ)CV7l-Rl5d{yzT#t z6z%F6?};{&k;$}4q>yl9EsgGM&;3Ahb9C+*3lNX1c24xkycz_WQiOINAp81#A;ux) zq&Hi6kBVKBhh%jwm(G7#gP6D}>Ic2o5f?JsW_?Q)3D*W3Cw~zwFZC?1q5B+?x=izA z&v_%+>5VNFy%AFO)XSz4A74uQ(fJ>8MCWx;Ug3n?XQU2Oo#VTogEY-!&LX?3kk%J9 zZZANxn*EYk1=%$b`mxQ0*bm|78b31hBO27@IPR_4?MKmjE zR$^*fE6Mf0HKYzs+alfU_}bgFB)4#E3%8$d7sB^`b+@?Ls2R>wxPuhN2sPn>ev(6!%5(aQ4pMzzxjuIr zL7G@Z0UzH=@;Vu=J*(qH`i+bcxi^NyOLO4}2TKDo4AmuOzZ)VfL@;|wxCxO}ujF+D zh7jXzH5^6sb@42543w!kBpU8bGEiqn(*2{nl&@rGc>mVSi~}3t0`)O{-OYy7s5biY zZ^XlO`|P#vMMSI2ccaGb^GBq=3b+-;v=14c>cdjIej{_&cJsQcuaH^J9d~z^8nPr~ z1XMp04e`R$)KMBS#MAhNKFsAtV*dfXmO-M;KHN&pIoFI7(*rlE2va*Xv?Mm*3fUc| zIh)(-oI-kv8Qs1aEo207Fnn@UMW#{Srh9v5k%d*SZpINU@9IObb(etbT6Lxm6}OOc zvzk#Z#ss;m_b7L)Y)5X)g{*VTw11xaf6e;-R{a0#Yrj23iDp3688v#Mo9Mm-6F%!o zT9b#Q$CWL&T|rn{x#_-__U!qAEC!WR7hQ=@PF|IJ)8KZbuG+*C!0`>>EXbjU@Z{W6K*ABSbzl9B`B1M)*v>LGBz6!j9Q(pCD|fkfu%Zo5il8 zV|R!*{c)1RyVsy+WQ91&eA0CF^jL{MOK9@jcY5Uc{K(1|N=4Ry=vAGjDx`n2e0eL3 z6RGKIIo}iSPjWfcl{z^GByD(_FXz1*@h8qj?+@F6nEUzn3#2Y1D(-M8i@Pl%@|<05 z`@)Imw8^i4lVn%lU_F&Zw4NOg)$4ki`%$;AE%W_hJ(O!K`N~~2L$Mv}V1Q~g@*6h3 zU^dPoKJd9`&lZ}H@u4xgWA-c3oOT|&vQCc7GIuI_&k{dFi&fgYm*PkW8jx?+V?ykA z4yPX`HbmPT_-fbtBtf1taa6)n?-kYzQJR}{B}PHQ94ERK~+jeI|NA`A@%34@&Fl0?>+$)DQ)ThITOy65bFxIF)2 zb&CJ{ho`AJPA=lFHQ>g7I=9Eb{XI*7>`jHd!m#l0?y#kM}S=2wks+0Kk%#H~R%fO!h@WiXx z2{`QSVWCRogyT;4q-4zsIIVr??UR!Rr-?9wcF!upy&1px>&GFu$eEv!{7AI8>33F@ z(eB0_QyK}1-cgup3>F{TS`Kr|L-j}ByoBYU$28p=2*0@1^t7FjB5Za??&Rfs2U|UV zUI|7e*hO$LkC`XHzEJ8_lD;_{s$|yGkL@A8J&UYTv1~Y{*!K!9kHXor$jf$d5-!3Y z9Im|~y=Qd5<%6du;PNZ(%$)EV7|Yq`RWpBw*$(Z#eY1q)BS5_|o5KT^ADNzwpD2a3 zttY3C9zSf}bOz|w5{tT^fLgFxJM6E&d@i6k0tfezFZGskaExHd6o{{eQ%qD@;D(cM z4q2A%Y1M~|^r5Q^J#KI*v2Ca`QibbwnP^F>twf8vr$^%23YcCVqD^)cgLw*lW6MQD*o&bw`d z+2NdQ@Y~LhXn9p=orjYVzEn5%wIdM`nbR8$J_ivV@7Mex7ez#V^z@HD@EuVe=gx3` z`;KUqp?fQeL_kTll@?xS0H5bzzM9qu|1I`HztlJp_;Ag0{V-kxGb{7jd;dhpww4Ft zhYui>$#+7gfM|)o#tXEQdr??>&+ohR7ZC0j>*czF3K8;;nGW&PA!4cJp39?ah)lh8 zwnZQrQSyhHSMMWvb#MGrzS{~Q+A2qIy71Jb_@3k^TL;5qK{l`Y;9NG|bfZf0& zI6qbdotBBKprs)QpR^c zIJv9j*47T2BetSgWa!Hd#Ob)d;N&`mK#zm=w{zbk`23@nN8I=k(#ZYj=u5(Rrl-BK zxt#1pq$L(cbQTez6DcBaC=`*FvUDRkIf%0Llc0N2g=kY9tumK1#9TA98!P;YSegFq zyT{rQw=K}Kb*CZXK67q(LN|%{TJ70gWui!M`WWywDgcQ`PO6ltZ2wdHe|rA^6#xH) z+He2m9o^3*j#`zahv`p-P`>i^@`Db26uVwrJvP{Zf?I~?cJSIEcf&IbGlV0{?lk)k z8aHIzI`S%FZ4+TIRTX}H=Z{q3m$DDXxR5-Vu}_|ShDrBsMU}4jjKrX4GG)En5#J&t zZSqE%d?xBEN(pl@CZYX}V$u_IcxFX(8*rnJOD+2Nkqs!%%h^&LGJq21wVYOAPf&Qv zeD&XAtMK*xCQHOH6yt( z7vpo!SZ^o2=a(7bcO^)u+sAX_VhrNfp4iSEv;(n@3;KK1*Q4|B)$&Ctb<~SLI^|EE zdlkX&8ZR-6q2z^~j@YSs6kT^&vBmN{^7m$a=IX0M&Ml?$MpreF#T$2*C1i}~3Isp+ zYepfhZ0{**$zhU*)5W->#uq6Z24hDBb|886h_Qh5ZzR$0F1|L;goJa9FQ2!xAg+{$ z`EIEfx`b(%=ysn$LqnaW?-37FzSK+{RTd^Wc=JYDdD* zaicKQNFn#mF@=Cfgd3cAFm__!X=JTdy4S*^iHs zm07pmrt0gT+W%Y6|6e`*-*R{vNDl8T$>Dvp^lwe?|C$_Lt9$af*R@Z;p7BekhJ-Af z1a)ubo}eX}5EMO+10!%%Te9=ocm{5VcrvBqh{lzwlRa61us16_T_mz);GxNPN~BL6 z9*d8*dhFi?igyY(bD=WvPaW{yc}ozUA+5hfZV13@1KMs-6aN%tF|58p6JCo3OtqOu)ou6nY6q?BTE)XW;qb2#Rl**`Ymd{SWQ$|Wleh<+cx4#s+5flI~Ssn9E zi41tvMt{3gau(h~TVpm5-=7V$l$griBG@nH`TCRRm(!;qPSp#cq-Xu-f-612mYu)1$vOf=xE$6=Kl1wa*cK=tEP3u9q(Z_!64)Ljd*9dNXyaJwH z6)H5-L|?Xnfyw$t47>u)AAEg%ExhTDd(lTegtxP!IPHf(*h)KF<=)GK!^@4ed_Oti zT*{~Zi$xu-Yc^-3)tC|nC=Ko0c3HU1_*AXVb%cAJy%2Zg5qQ|-EM0Ud0fnbO(eXX`SoS^aP1~|Inl6L4#dNIC9m%Jv*GY|`FXWB<1xH{u)h28 z;|c6<8hBOoI>9LN{AQ6J^tELBXQ#YGfdiZ zed7gC1YW=YJk>@rS9bF=9BP3lrR1pV5aB6v@1vM-*}$u2CelLb9K4mjvlM6ZYIlN?vO4Rdrguy=jPOy0>pjdVUFh`Wl8mN(%qf{$D-+UxiPG_kZ#F z6okLiDzK5?+~5CQ`x`HjyguUN+qmJscz*xbIlmR%W?zO_S=j9xrW|124#)jT4tGU{ z$$3#q!O@3kT08nR;=lZYYl=g_{&y^JyJ_khxqX0Wc=@DVf{B*5N}AO>l4wcKJX-#A z{TDo54pQ&AL|D&SlcTcDS3nsSx?x3p_?{QTM*CiqKYP@Yq=+Zh>&R;J?W`WK@~ysc z}f_j>xYVDXuyN>H`heijeoo>y(sW68#{&8UrsLP8)~KofBu>*a~6)fr?|R)E7AAJ&mxh z9fFJ9B=Z0tx%XMgDPGIH3b*q|v$#K6!+qLp0gp zd55{rGoS5*4`X`&#P%CRLo6nKnD{qbuHL+P$&x&4Tr)>Gr4JCT`0}QUq4(i#8l>`? zc)UH7HZs*!5DhOgoqhtd5hxF1%OtbJ$vr?=Vm^KtUVIJe9CvvLf7eU$x)%q$Z%PMz z;P8d_(z&E*`V9DZvrn!ydjMZ1UM>1&!dQ=-hoe{ZpW6S^^Z&c}NoD^ZsQnJvx(NSc z+fYY!Ar&kNDDVF5>-fMBB|48|E?aA(Xs?Jtkgo&s`86F_Im(bzS6`nOLwdX(_c_M6 zkH{2#vbVVJE7Au;Bvx7WBdwTs$AbfW#8>yGZ@oo5QaZSlr{ziZ^`eSy6VV1IA6cbz zx|`(J1-Uin$gV-hBb|ksjgL|HJna4ZLZT&gJgLO&^cy8VU)33}COo#%FAl3t_M>2X z(%GN{3*^SDmaHqaMs{3~w}_@4VK;;xa&f;!c0Jm~lLdqqd?m}uO7}a`lrJra7}+3I zEBw6A?GH%tjbYRoS0h>68;z)rG9Z~P<-I&%XmxrmxV>23kNSCOCH6Oks5G2^&=iu7 z(%#+tO%J0`d?#RA%39(lG~sNI7a&^NKAex+Vt|~Q{zK9dJCIHF)~=Okl{5X^_;VTv zM@F$;;w1ZZ!Z_KSoxhz5Y2sQxM*~j~Ep0fz%fm3F+!c5pa*kwiKgm7qE1ZKaFBKtr z<6CG9;BvVtbQM*deFDJ?ODOxLBR}Q64kb?dTE=69lUEe-VzJ>13Sysnru66#EzJfG zGxY-G1g>PYWp_aKO3pbUIv-@_4)XGvY<1CIK_uRDZeA&}`z0o7OTYYAYDq7wiHuM|sN5jz`Zj>;f zdUSEvm9Y&K^E@lWYAR9oKu=Yj@900eKQ3A)X&8^M0V zbOTbF2>zB7A-SENXl)bTch6ZNq~Y}skKmIC-Lm($QFc5+O$B}*P)<(2bc)gp2;}cw%d*^!AmO)D8wOPn zRL>!9p+l}Kc_b+I`9lPk#y^dks71)mZ?P&mn-Jm?D|j$~Xl_3^AKS~bhVTYVKJrMB zOsix@Pj2=SgwDTX-C>&#O2Vh}HjnPY`>xRU}B(IJu zrJEu!PRirEb_jy>Z$(YLJ%*sg>3EY3TZzWE(ap!6%mlxze-ge^0U_GX;;YjJ5z?bz zesn*1y}~!<89v7%G%)(U-^3z9rzpt{XBNn=HRi0Q-ZgkhP<6gJZ4RIIagm`RIrt5J zb}QYg4*xic^X@Yz5y++M=~k+NASpt;X5u8X-~QK{M-P)3sC0tIV+90%=#SjRu!isj zTw{vHNS^XQfzqcWTZGEnZfbiMiqQPlRJ&JR2xIsnB|W|cVRt5G+qOy}tjlP=^YwlB zEGpMrE+ZLmj3#SM_G*&MjiYNWvOh(jMI7sjOGHal-j!4$!)3l_hLKQy@Ts{Y4v zdxRWqesipje6O13ITV?M5Gr{qKx%|GSka~+(uqk?fz+G58dCiUpX3CXTN%k&vzh`dM@mH z1`E+@%1a)4cnN9Ka)aj`a*@WU$D1>wkJQtw-sP{S2rqxHlmW?$Oa5Wq=_*T&j;}|0 zKkRixysHh68EVQVLLdd-_tax*m#oi^BRUwxW=oX07)*dE}+L=Fsjqhny1s z@rch6$Yz_mvUfO>__YuF75u(UW;-^{!OPhs`#CSh$&Sn-OIJTTIZHe}QAH(IA1pqbq$^Q(v6$jX3=og?}d^)KPsQF-cg}QpiFXA`(gSOC?4CJbUT?I zMTeU27CZ~1Ja0;8b&3U+xdbTK4G&KTUMLUA-bJd~o)13=S1A(X(J2kZ6 zr;Bp)OszE+_MzmL(`(<^i8Q+;&XJfQ+&_9~ zt5X#_nq^$HUvaXb){JX>OT}hXn)CU*XYWB-@4Zc>8{eR0v|GCR0m%r{3z8A%zKfzG zkrrEgf>Gcx6z?mPg}mYA7gXZ{MC&|x=gkD+Jsd8okU8)X*<2gBXKOmhY}8ca;zbo? zp3t|Db$)~lyT%t|BzLjL$W(i6J`GxToGl40+>VC(tkT>^3Q=R1HS;8B29**13>NA0 zD7UG%I+-Jg(r0_`^;@^2#9d-`ob-#u2CJBioCHwhcw6GtGMNEtWzaG+w;+GXq3n~G zH1cXisQgZ}k$%x5hF!=3IdP|_v}37|U2?uRXXWufwg2DG{eypLdS~za7l${>wSBG0 zwdS=Z8qI6}uItNKoPsMb$dbHREc$1P!H9JKB03b===aLdoXUAwRkdc!ij zw@$BwVU4%)gQ#?}|CaTYz9|Eft|sZ%A`37bNI4O)?;gzh=-YRPQo+1RLEnSN8x{fO z`WaUjVX0a~UGViMtk#Y5{0wu0Rh8voS_K_gUt+75Km7#OAI%*YmF!_-)sa(l>lk!Q zI0AdKcR}}7q>C0SFZ3(xDCw)t;!gA=#c%W~Og10i9Oe2Jrt-_Y#*^1!roq?aM{N!B z%TvStoMb|k3RAKokmqYhl8>|ZQFYWagf%UZx8G|}a*sQ8KRR&h?&mG>&`54wO z>TeV`w!)@X>~PwIA8dnn9}LRTfZYZA7kR?_$UNmeHouc2`})V1)x$O5piyWny_pS0 zVq@<%wlBiuwafm{JL_QPoXGI<{$W_G;tHlSAlJF`)Id{$6IP8wGfjd`L?a%QSI~b6 zwmhsi^Nv4--BB7QIZiSwmUg^;HETN@4tRSsQ0KvM%V%%4=|MPtR-E3~vK>y<3?x!e zlyHRk-z|$1oe5Kwg!9D{e`^0fv%WutPtN;i|5MvR*W1@dIkvA2(rf>B?f+{Q@ULGc z|Mc1qi1+LIG*0&CL+=auBO(x9DSrHs+X+PNe$}HvQ;nF@+xCVr>md$LEVOp6Lc(e# zt?3bABxaq{$v-2Fr0}K}8!MHOtk8L@V|YK3XI=@Yw5cM+r=2P$h>?Q>< zFD&S8zL+7L+zZ~mj9G+}>wC*!@Gg>gS~~V~(je($wC?1}^+--TF4?@}0#eQ#v(-!- zAm`cpW6xHzAl11@irUZyX|zf|rR~m=9hl_iiIHbW`(+uKkOu^nWcXx-1tV5gA``v!?jMTLTzOh|He zzDz^3&&fBA-VuC9UhhGh>%FJsk@BN!ZAKf}wU!F5tv^F{j+%?+GHT@g{;7H=mf;D~ z1N$6C$4QO?`=xIw>yINNdY8)yagwLolxiNqU_-dbzH08BjfiPcoNao45Ao+W-56iK zheTr5xl?)vNz+zGXgG)$`_rlXBWI2yWmuBlCAJl*O(7M1-UCPrzOksJ6oz!wlm`9^ zAcHPGd*+@WGD^ax)?_9k^Q@dl*5nmrzU9izS0i~whBr!HY3L$mpYROx3rWPw>YVvn zbp%Q4%#$Wo67KPpqDv`!tVoGq{rrW^4yiSlG;in;O>|F7G~-nrr1$JyNhOepj9P&Y zTRz7iGiK4)P|%J1zp-EV7Sxd~T6v`8@?&IAJ>2}Nh|EPI1U}1;5q+e%iNsxn%gF7y zy<+Dh$v?IKSI_^q;{RV?`|YXJXOk+57nxUaH6frBL zw=R)gPUO3oTY)5BC1O=h$!~^2~9q$iX zB(dFaooZG^yawgez#AUK43B=D3F<~viOToATg*uIx9xuCud)cIQ;AC=+LKU+#CbZJ z0Wxb8+sI)tjXL2^Ep$7dq5NuK1kGIz6gzJEnt6%~`E5^{`vRAdJ?r46o=EojUw>M@ z3}7es5V~fYr_4xk&%efhS`0}&adYBahDgXzSPb6u9dR3D-I$WQ5#6)m;H_I>i0o<} z*)|o8@SkC&6VVn3yJqY-`1}fH737|nnkLFVe&r>SpUvzopc_Tf@jFA*uNe{l zNWw%{)sgrSx*JYSK18$<-zu5B0K&)3kf|BjhVTNnz;h>`HDg&c8vryA4@v7Y)SR*DMgd^5J`WRz|mlku`)K~ddlYW|WH$ZtGf z`}SA^a;oKXJiiDKZgGROqpv=>*Tl(wxa5U20W~L~6*WkqTo!niP41DKJ|)L;5cYSB z>$iT6Cd4b(#~W4bMJ$M%U;MwhJ%8)@|C09YAkX&yKObMwe>}eApZzoW{CYKk17|p& z!k9)v*eqTJrk+tpYOenvnF-O;txL(Uh;-aFBOglqYSrCq2)EU0S?yBr2WHsN-`i@~ zJPX@3floeNT!!sjseeA(5!gM6U^JImgng#Sg@X52;9$~OxO|dmPWP)1oUrsFeBaFJ zr&cO(ioR>*sKO3?%aGC$YHb)t-6(4k5ryf!kB=X^M!?*-*5tzIev%2&%R$HS8&+KB z0{LEFgtgMRjz*3nY_7z0a5QJa_R5YJ`FgS=Jmplt=dA;Kp^uqmPS;3QMae2A@iI6( zulPR7H37%CS5b#8!{MZ^Z5>=kG@!sVtMB8h(DcI9lm}q;qZk?64fc23Jsi!q!U}GE-YsTIW+knss z?U(}C#c^Frd!hyVn8`iQwJ*Wp?s!$UJIUxUkD&e>_k{3_H>B#OM8KI_Ok^AXGdRz% ze^{!tAQ>H(Xn4iQbq^jDnbD)b?85H7s_gGz!N+kkSN4MB&Vx;G zwk&PMFl--wInU%muKPVj$MY@e-8a2)t5Ot$ag#Nqw-HaFu9A%{0bt zz$M5n-cxT4TsMs}Mz9lJblB5f@zvkq#-J0;XB9h9qDi=$#h1JPRZqa=_D{#)H& zFF2h*;NX^%R|kU;yd`sA?yh5mpK4t8IP^6_*%KxQm9P#mN|rVOAPB=)IfOP zLc9O7RfteLMjH}B7!6B8o|j4Wf|CGL2~$X4|EpEB2;}wWp-yF z!Xz#HLI~3#oblDcRs0kQOy|v;>#xM%oq`5dn+?&PdUkM{-njO zHiVG+6*l9))DT)3^SqN`Ey9v$U$~W9Alz1+DK>$hXo&?+9_MO7B&~via&kN2$kLwJ zXL^(P5tY-X&od#KgMP8wSQXKAnVpm;#2Nf~#ovhu!eFq^N6Z>b*upm^$%KUz}GGU*n*>Ne6`MAvy<*I2B zM3_8%zD|ea>}fP^i1K=gD2b^}O+3dC&G3!E`w|*f%9WmM!$ zOBCscdb}r~LHvok0@Uru>s`$7JS0cHXQ4`4D_*lAbVbbS^OBATJ16I+ytsm7wS+W^ z*2N>Duy%D+hAbl6*82)ZHX-W1l>2VBUPQNPSUMfLh?ugY%F?uph>doP66;kaSsxng ziUE#@Ke=OC-EtBMn}v(HcAiJV(7vcc=N*w4J>9{2>c9Q_e|rA^F8=@Zwcoz{)^;g_ zaC$G?`gZlnS(MY5zP0o`fnv%>PPs>lB;PbTQH8LVbJ-Vm>DAsrmZuut3??AMd?(|u z3^_MfnVxGTy>u$BVex%5lD|#IwG&Nh(tzIl%~wy6SaN*x#*tTufA^hXZTfk{$(WcK zF_7$xw%y0QpDLomd-E#ilWeHlJsH#QyomCm7q1uleNnRcK5NKSH`%K%)xJLBfZX5O z#|!pLBKyK=`UfOSJ9EEVQf$RE(r4Z`a(zf9IY1hleIp4E_BNm8>hq)* zzjip%{p2zdM!2^2Y%@f>P-xs(sSIM%{7+LVgV8DR!Tj8(7pRv=P;R_U7*P>t`U^(M zp5v7r6C*=8igaoDW7yJ>f2dYEgy#-&%vEnE%{wDYJcN$ZWf>WlX*?gqWFf6}cu>BH z=o)m+xOz0}AZ2fTNYK4mByXP2{yDNh@{1Otg6^C|g3Z>>absGWg_MK!FW`T2sL1w3#QF~CasUUefpHIIvnUe?| z7>g^_A!ie+X$7L>zn9_DA5gghO%Z!8hZ;RY_2-d{#Z7Ne{(w`d{pvJIX%c3fX46pg zQ&n-iuMGv=w32sEA3@$h{>hoxX5=u8#Glpqgsel|9Si(~-<-Hka3AFp(hsx**tj$i zMu9C#n{LYm-r-#L|NnO)C6Ocz zG|(Q%PPtcBX%Qh&B74i;>uv8nv-jSzNkl56qL7vbN)b}V_56I!@%dcW_xHzj{ds<` zj^jM)^gfPWz27`u&*$s$yghDr@oy-I>V5Ed$5-Temgc!fcazVh-FCFh7uh@B$j>sg zBeQJX-OZyUm)KBzrr^SR;!t5ZUiy%YocApCf28sGd)@!9J^x>5-(TF`1>qYKH~x5i zg)Le4|JN|RRvBsjMTW+(E8E05{5=Scsq8|vZXe+Mz4)UqIdgI~8P{37N3td)C?`zS z*1~Q19pjtobds@gttfbl9z3Mo-}lGL!J}XP`}6iZcwT?};SJMec)oJGAbB?%6y=aa z<1Z_S8|$68R4Z{s9?R2R*{BGwbg#|N2nT1)b@^#`=?B=a=@MP*Vg$!Ap@s(KZEz8c znd`oLlI-F7r82{#iKFwx$MkN(^>Nej$$&T39Fd5)(kvXG}g{OBQr#msgX04X?6u z8j}O@3de-B!Jl0Bc|ia*;W@&SGg(j6!){)LJx`KkfBa+@YA4?xm)n6fQ%BR`+Hqn_ zGY1Xas(igFtPYag`!KfKsr%q@sm{^8pZIiF*062AM&{vijL*#w;E5tNXG9&80?LaC zpQyxB@X|5!zE9>Dy(a4I+}T~ZA6Chk-pjrO*Oq^IX(|5mjw%or{U zYHD{L8p3UhKp#tR3SoOa&j0kJzkEjV)i6tZ{(J-RroLP2^VW}eVfW@F+7H9)i_U>F4NdUY`oceJ zdH~)}MSo`L*TUxbnq94X)Zn0KKl$hf$zxGF>+|x;Q@CpBJKYKbgmU6!8aF=<0 zYYWF$crYCeoIgJTkNaJ=I%lj2Up|wiIZ8aZYj%hj3lR@+Y``WBJtlZ@KIDC^$p^0t z#@4WfH1abqyCM8L1H5BS+K7FKgU{LkjjX1#uvt2J?M>AT$(#rJ;|= ztSt|xeB?&B#Saa-zCQ(~&m~z@_hKYyx z7yaGSdW79(xT*GJjNDs=MrVBpV_R#R%Q_YbubZqI>d#oOthMmj z`9LDn?hbr%jv1=lR)g;$=f_fhPvD!kZ{}_DZ8-mWYHcJ=1J@^4S{W`1!0l(Qx8`&W zJg6QVd?fk|9^XSNWc3cf^HFu$N1;pP`#ZWOT<0Uau3qYqm{|jF2BT@UOj&qWOV|yE zhQR0A_MrWx z`>bf}ZtEiZy`Rr{iGE~V*xVXwvKARLv?BUZ=Hv{fVctiY_~1H1I9qo6Aobnw%sa14 zk~z)HydsMYDXI-)Njsd7T*7<#r~eSz`b^tel=x9MJhNfPmP(S1aW_$Ex0Kafy`2vrf$i6Cd1R{{|Hs;uNtQQ?(;!S9$h!j`rV42ISb9 zDT`R78&!9$OeBsE7dp|hIeDb!ZZTN>XdhBOht#UcZa~U0_KHi>*U;`Sz@R>sfck}n zBk~16l~v<)IuTKnJs-UJD|G}Vw%ckX2uD!l)iOUlVT=4RWkHo+Q^Ml_2u5)nb%9y-%8!q#!fxM&snv5y<+R|cn4X2g(Y!}X~A%1@*g+&A4)Le2(f zWh8P^2l2pfM6smr6dI!}eT(q%V zVJm?0OC=6P*PT$Rrn&H0iZIrL3!H1HB~f%yb;J3yTTpQEJDX&1 zZ)$v>B9Df%yF<{6CvzMnANgTZy`}9k{L&*BQ*YD&XM2NRw z7h{VgLT1uVdggH;RBiWB#h8rbEbZW%;;vf-hLGwCGT=>%1c6=6;58{30vA0V7Q z;Y;MJlVld?4H2k`!E0fDO7lL+^3d31FS#HG{}aE1ZjsrcfCsTNIm40&+NR=p^-DW~ zB~ESCi}XXto|-7pXC??q8ZnA~NW8u4Ev|ajenY6u!IWqr3xp0RAJ{;{iZK4E^sLvi z2=iyV+EVz(?jrOpjl(UO^ytIZ|idbaS*sSNIBJp+GNr?t7; zxDdGJzLp@FuMbkKjiozCMdr<4hIM-eAmmK)^IKk_q&LPw|CCM^p#mEY-ZI^V&_sz> zuH1VOx;(Xf*mVkF>Koc(KM=OLLRZl6mL{3Q582K2Lr_mCQ%Ls3t1J7~k#%ck zZ1lCE75w%bRX?9(5C6$8yb{NVFWKVtrJUw?1l^1Or8cdN;7Qt)t%W0mseaX@!Lb`5 zzXl$)^^niqIPzTYIPvHXl&n<1db;ML#{CIrdU+19kLCBEXHuQdDG z5OSSju_EjwLOyu$*Jhd^^w!GU8MPDSx_w_P>Pcpqv_`+ie$pE*s8F zPB@F;PYl@_IolBu=AYr~M?PP!&#ZZe_(=~oSUOVt2g3H9*>&WaC&KR7#`6CdL^z}1 zLBl(QCAL+U@z_Ov&W6+Jv(-C^FFE1#ode|egma7D8Lou!U0(Du^ZlRe{(n5Z|LbeN z&0zXG*YQ`VyBQ(Zb>lE9kC||ooh0kc@Z}dH#T+Ou@;M`zqKLxNpJj$LERZ+)hS7C; z1DV+{>HKMwgKP)wuNfh-$l_%SbV(LNCd;PymIfVUuqSUg7(`}SP7A%h%t_cD7kO%r zMmwZFx!-=utq-ZZw+<~#&!X*TYshP{V$y4yooW<|MCDhLm?9T5l-Y^hY|RZv$+1)C z&K~kak+?}h$96a5KU%rJ^X5Eqhp3(ud?K?nlKfXhpB5wQi@4dI-2%vL=c>-5$wx-p zc=+eG6G(sGKJa9#HgP#EyX}iq`yBOUdx*5kxCpr|X%{SciJW{&qN&!Jg1wRVR<8)`k48U;v}N|l$HVgDW-l)qKI zuXdxHc=3Z;+ehM1;%Yu|DSRu66}%=a!{Sg_Stn3`B^U)8+nawWk)CXxw@3-29CFP! zY#k>2V9vD^&Zk|ZH>#yABA2?EWQbL&{eHoV%<72FX16(X*(mrsJ{H5h19X*TyhCUh zS(|@Jx&$?$)U5g!2xDC+pj~`578Rk1pT7s>plnjGyGrF5O7C1Z(^oS^iMQZOL2^$l zj!R5)izhw6#8n%#pYWqlxS^}*#Wm#rmXT%Ka{_spe|_;^&Mt!bUs&;9yg%6o{4>w@Z~nfpf_v*h=p?*2otlyQ=-%bsiltUs1iCx!r z-`wzB^D%vnu$(7Ht+O2^#M@EXx zCVg1HI@Qtg;SFp;2A&;Q)`G1t?<$svTG;k(H@x9aoM)QmY&AAVpnY&&xp$L1^y&II zqawEmWXRy54HRQqm6;@X% zK^)$6um(9t9DfO$&0(ooy#BCxUB0LEStM-Z4`z+I^}y~d$B&=aq+mC$vC`X^oX@)p zEAjZNK=0giv`QZh43}1nx!u!;>3J1?yW#yX-z{=VG=Uoyo}-e6a^=L=JH98l@D(iQ z)c0;Zb(rKO&roGW=fY-_^}fu}T-dJt7QdzWF>EL043EB|hg~~UK;Ol9*atB?38d-4 z;mkVs8)^-3m@y8&$y*A?sKOT$U!q}Rxz1F8u*2q5{ZqGJQNtqB;#y3tGAxhquive@ z8CG$VJfCC8`GxdeB+@!!#0?&R(xPau`X;%U9?E%#a*99cZxjK2jtHhT?d> zBW0|c`TNB)gsX~o`=mI8G?vn)Jo=0Oa!Rs_wSGbRCihxK@_e1{b9+JmUKN7N4WG3$ ztU|ecGIy2S1Ev4SAP@JMm^p0xx5c%*DXj z6DEn|PmP?bLpza@e0Gn^kTz0f_ncW*PrSLG!X+j(9}>3qy5h?H~2v+8-9)TN(`byQe5SWZuz0-WG7d|6Cg)Z5|{WcQQ ztG+VlyhD=9u-b!&`$$f35PtVo8Y#MN=Qk$1AeB4elg{pyNbPIL4d)Xf&-X`{ezWr; zow3}0Q_otY$2Urh&}1NE2W>6&xgli49)H|bMxKf2WmwPgRw7b`zgUD(9Wh5lw|v)s zh`17Kzt5(!NSM2#8+2$MN%T>vn?IH!*`f8}oM|IcG*sB?Ybue-Z^Ct-R}iUl@!agW z+(;`NnbP)GL;BUg4Wa?vNS}K&6!MyQZT$r{wDdWVeOa2GY#w24BUHR)bz~7yTROPm zSOB6wPU>3T%|%=t$Hu#+Vo1E8w^sMQACfW?=#~yDkUXXGGJl^BNEuhBquwoy)IqM3 z3n45>tGoQXx3>)GfmF|Ix>JZBXNOOAQyDU87Ovhub{Lrj1DDJtE0A^0Sq^&~Ypv*ng}a;gVu zl>$8xA*+!7z~bU}%@4?EFB2X(@sRWpf@l`QWsnsm9R2cy2l;#JJiO~nkaMKwyqNhU zaz?B4?HetS8}gge$nyd6`1YOmtRkM?_O-Dhmzn>r{l9vBe-;1#A8P-8>bL7_e>kGX z;6t8|o(kRO~kUHi2c*&_kZ z2i&ZYv21XeO6d~u1BBKZ7sU{!mw8lAP9Mpe4g3_f)*|t$)g~kLe8de`D?bp4M@;eh z{FCZhh-%(mvv|Y=k+g3|x%-|V+@4*lLfeBdy&P4aL(UVXxAJSpCvL*@p3CfVBfTWY zHoZxS&xGmayejW{138mbl|SmH3DdiqQy+vY_u4u2t0$Z|!pfeq76c>tVR)wFk|^$^ zZ=sZM1tEd);#}gaH)1>D^4ZR@A-YY1`X1rvBIlQP^*x?LgxdX05kE!<)7!yG&ss#7 zUdQ5uhX)ALyMkhPIf^j7zGt58r$v#=2fZf_^~keTq)L3h3fY&vKL6x>hm3ntyl;ZI zke0S9_lL*=VQC*$*EFp_vZNR!C=*D0RJARztPk;ehgRt=2O(C*lvVy$CZaX^p3GT? zBQh^mIcZk~Iv5u3{0u)wm|iA@SQ;t9^zN>Y6jUQjFH4s6`aOi{Ri5lizk-5E>X*8- zS;+Mp=38KkMplQsq*t~xGVG!Pu3d6Mnn&3_hY}v*C9r#@^lKQ&Dpc~t8E&}48ux=& z%Lno8{wDHI1rS^LVenjZ9ioShnhEXwMws3s6)Z`qgy}VVG;Rje3`W=pnA#JjmxGF{ zbeb@|G9f?giASO~Ik4*WDe|oI##g~Y7r9k6+-!4G$mZQzJKQpk%+;@7JG9UveZQyC zggwcC@vvgj_()u3%yZ@?tRIjRWjwia)}F9FK9__)l_FkDk>!Gs(BHNHUwi()=$>zj z2kHLB^!|I#|L-sUKRmt5f4u&W-Sg{@jV!)d%7p33dY5f&(J;?y%TZn+Oszca>xI%9 zST@wCD|{%2HMPB+Rc0e>4rm^2k#dKv=&Ur)+$`*b>!jQGUc-LRJwN>to))= zlbo5=)?ZW;f@9WXN3^>>oD9}8Hi?hInd^mj3d4OkKRX!uZnGu~vaXDcLlve^ne(^3 z(}VeVRt5cvFSs31A#~|h53Fbs`sBaa!}@%_ulB-P*w{n|Z!3BOTYu~1KrtQI1+Lu4 za5WtEF6XxE9K8;QTmIKAtPaBw3{!bue#42eW;ny03r^4NZ!x|l%xQ!d?TN#JaFM0E zdS=HP7}iS8*MIy3GnI^+7^@~;+dF`~?}__-_n)W1u`AyAy|ogYQl&zd2%G9` zYD%fCYK9BHyw~_QUc%M}SM{{{5>N2RiV>x5n6IDYd)>+fi{FLpV`n5_nIeAUI7a9mn04zW%)%h6ppPnThz}n z!l{7T^i{C{oc*QFCB^!|$Bk|Y@3BBuX$F$ekI-NA8lTQo!)+S`ZCGhIG4t6 zmrf0*tDh{+7?U%*Yku20*096nGL8SPs!5XRS^MnD2|>7qo;avLn*rCQW1ec8N#3wt zT)J!DR@_$hNcN1Uft6%?)uk#9zH#bg4(?=Crq;qRqP|(> zts&?A(b3=OtF(s@BmCBv`+*8#y5~6*9X=pd>wUn>egROvui)i>5(>Ygqe`vYh%3ZR zJjg@42_f6>(J~OOAoRlH8qLP<2vegs$e?#5jH$E+n?K3b6ZDDSx_=v)%_-kamHdVH zY85$$7s)e2kjNexeX_2ct{41ONHRvg46IP5r$$T|6MNNZZNwfa6R@k^i`e_?=FVKP zg;!s|hqDFk@Ef~)QLDrnK?f+u?uo@A#G*9sEB$qZru9>jCiF<3K3sHImpCbkUQ-@x z>_tS(+7r6LWr#Fq-{oXriKr7*r&$)aA!<2M%Io3-l67(Duz;#GV)Uf&a!&$cH#|$w zd3FG?WoP)Mj{70*qVkoEr}E(=p8Mfi8Ycp_sUL1-FhQ^sjfmu09)v8;?@y5I_mtqlJCU@C3Z~!s4 zSIyCk>LB)jYHru03}I~5H}8%9i8zmU>oAyv_&pJGF$*iFgJoAi~aQNYsmRY zy)=*UT4jW>^lzX4NPNuaXwym?SP`KcI#Yj}3z24rFRbd)MU#m>NXMoFzx)!Sms2;_DZE5ySE#0(dPH|voa!H;Y#*X*2_p(&3bW(rxpnnl1YxX z^avi;(tO>t8leFZzlVoL5cbR3r{9}Ai=1sN^DX2=q+3q*tw;8G1I2v=gtt z^Y0^m+_`JJeoLhyxxaNQOYtz70evdR9p{I{H`?+H@~TJ>zw029XoR??3!2w%382mU z``$z2mW1i`znDOIK$uGex; zuD}(mIrs({x{h(@lg=UStv;j^5qVOo_>@L&FWp|%|Wq_wZWsw zA1DxZ(s(FaLHgGVjJnn-$QI<(-n_vLnJO;62`LOnZ#gZ$^FGNexfw5!q@01&12Z?T zP(qNxx>c9*bQO}>X(wK)u;Py87B=;SFeLPAtrLGSMws46%MZl+*U(}gu(z@pRU^fX zE$Y8f_PbmDxVa%=dc_6HR&tclXue%Si*%P(nL|A4CM@N?T1unZ?xUvd02?YS_8cGK ze2X%^sa`z&9uF{JUkF)#PtR)JWx2!m|zU{ppvN^<0 ziL0j~v-slrryI`__FFqpnOOko;=9(2(49ou;i-v3Z9IS1{(tTH|Dt>3cKngarLloz zatV@5F8+mobA4o;|MxGmKVK*R^UqxOty=q)U#_r%-9VIh`|=dot6q7y^<^|%b~J1* z6?THFclhoZk`dvip5QF5a|Z5g();E$vflYA4j!}g+!<^<@O1Wg z!!d6M&*j~*dmH#cvD@0X5c2|*FK4=Z4TIsO{=vm`a2vcH1kU`neL>tFH}cQYI1yLq zck9*UtjB2+jfHjTE|RAvEG8r54A-0ob@X*9aC1%NbPZF0J2{QC%_08UiGG%@gF5gC z;8-lQl7r`t=PNZB{Nb4^Tx+|s2b4qi1^pHLK*>&-81yZH*Y?W0lgqD2K6}HltQU)f zC%EXVE6f1v#q}*tvfQvQE_bUK5QdYxGk?o{6}WV!`^>B(eBY3oKDWp$+{#y|yN>F^ z-LlAGTkv^!a6K#6v&igbPja>Jb!^ihi zWZsR(f0x@jvR7Rlu4bBG3-?ZDW9qU?@Nh`esH$8~-uDX$WsX29#o;*f}h0=X_1Pqyb>c;D?BP{`W?`<<*z?TCaE*W1A5 zQE#}UxxB5ijfCqvx|C^-e7N=gjD0A$lkAmUIyCqS2}4bPIh}=Yg`5ga)H?`M+$mnP z^4CEwPs4k|XX~w7?h}SMyY($`yZA6!d`TytkB`q& zTUparaLQJoxRjF&kluUPlS=Us-6QT$IM-fsBJ zOk1{0lbJR9Tydj*JNW(oM51XkH_VOJ~^V7m2cxf^9`I6aDuVFSh z?H)&XE0{4<==8(;UhoO0{ynhS*Y)mJFUeLEzi|0U+#@(kZs<{*ybo6;U&q}qh^O`{ zYBNtRz+H5(hnslqJ*Xa@mT1z2N7d>>=rksd@ZpnL`knCnSr_(xPzMy>4|;w}By)rL z)2?^LbMT6ow#ruGfj85yMqaiqczZWppBniH@A+3fRkhKu8H;w-I6(4s+SYiTY$G{7 zm7QYdGGrDsKyrO=^EljsROb0lUxvHs<2~PPKEXp&&uh1bFFd|=ChZsOhG*#792lp0wa2}kBNXdY45?+S0#oi*i74)E^tmrs|~Ci8n|J#SBw ztj>)y(xVa?aLjm}v{q&_T&&ZSZmJ%H>u569b!ri~jTX1F`uu=GKZ zHVMxQj3i-#IIQQlZ0G$+JiW19;R$MI;Kj$RM;oF5uO{X3Yb~Vq&eTO`83e%l;l93e zZ0_W`SMJp+d%&mZd6A7Y@!jzGtrnQ4fwPj&_H8?RNgsg4W4a*?Zc%|=t8S2cZK%4@ zN3jBUSoFx;ab$rfT&~k(r@?bUj#~fg8&L93CrPk;hnIY8a4(fJVYh$nwJ|2{Z1=>` zrq`QEt;dPEIu*hv=H>QsiW_{{zHROBGlp-RiFI{HFPz`?E4)~B9Ip4)iwa)nhTC`} z-I?pe)B8g{?#6F&-FN9F_F-Z0Y`m4Oy_ww0{e{}71y;dJR_^6yW@>mX?O}O$b|bvA zYZG@f4#MZ$wV;vXQSkZL-Vl9}_<5af-DYmO0Y8Q~6(zqB_{A)k3o1+!hKcs=PBp8) zYya;(|9^`Ae|_z@NvoLZ6%e+E_OA9|nieYh6fKV1{y?eWoK_mW9*PAw?qyy_`rRk2 zy2r`6e{Rnv0iMJXWWQbgWK*sOvScmkq6f5*F?IUgX_E^`?-*8;W>!U7Td2YLwqB&Z zzIWjK;TEJalbpvg7o;ege0wNy3&{m-lT%H@gz4SR@H>v=;SKXBQAv_KI?w(ZR^4Q> z*F0|9FnABe^^7K0!o*R?FTkPl7RW0-B+D1iOnhtchfezwW@KGcr=de9GP5%obas+_ zIIG#X_EQB&*Uw7&x+|BQ@eQ6??^ue|%*QQ?7i5s~;n1$S>X%3ny0h+bq6^ynhIhK` z(jrXnp@qO$PE=VwU1?geM7(hQH)gCFQDU>g=wh)WiafR1Q+kP)?qhG4TEGeNIi$bv zWG7kETZVSDh|wV{J!UD`dlNFXK73e=Fhj;US*oD=M5JF1>uX6BN80WB2^p<4!WK)^ zT9b^hl&Rc=hDrm%^t$q`*}n>nk&zGB#tc#2Em&F8MjRrviSkpQs!{5rXFGVofb_X# zSQbTsP*@tVA?Xas=y2Q^DC&F}x!ET=Rm{ea!|qXWiV4VSzdm02#fR*@YxxdO+an|V z=SuO8d8B7naX6RkLR$Bc1mX20Gh(v9AzrMZ5(zK(n! z7NnTzJMN+UqHfM0ab}cWqh4R5O)@%OdMjU)X-3hxGrn#X1j)9fxtm$# zj9jj;BkFtkkUe-+&%1|s1FKoKhUu&%tkZ{6hrJmI>y(%loOBTBlL9BS$BWS={Jzxx zmOENL*==*&G>ZnA?%*_|B;1{pdUw`kHL6z1_)xZy^PeHbSf8y%DC3>qqgh0rN&Cee zjB+lZxT?Q!UxWu?t^-~Uj+&w1)vF6i9`}&1AIfvj(AKC=3M~)Vzq2lm`=j6x26!>#r zT)prH2q^LAOnEwlAeyx*;gie=K2+_w_F4==*tXDy>zN`Xyv8-ZJ_4aD%P;@Fb04Al zwM|@d#HZSMOlFks5W;qIFL*xJMwo*XyWb^pw)f~*=(e;zgtK`)J45>69<5J#di+x0 zO&@pvNofas&E60l%sKeWC2sUh?MJ}Si!W_Kv+=e>2IKqH_InwoB zpbO#0?|gh-mIzPzQI9B_!|>Ldrak&)1AJ@O7Q5Zu2>FD2h~Weg&Sm3oA;dt<*>($=y^N%(9)&V+U$d$i0;{swLA!* zp79+1YKlOgfLfZzop5W9;-Y@mT8*aZ!NPh66uax#?gs#yZ?Qh(GP>1TLp~J5b z`cc!pk7SgFosT-gs;G*ve2FwE9Yut%KKwyz@;$=UCpAQ(u9Hl)xoL(RvVK;xvJA(DZSsTkM3tC(*dpwSADL=_qfQIqJLa<1Zl^=I(9LdAyn5q%7zF-o}BI2 zy{ZMFNAFD>V$()w&5oz7m*|M!z*{mgdKh6v8mG7xxykh!n@h)O5$;Es{o=8J@NYUX z;}_l#AHa{OW=k4G6bn;-)+1-VYwbQ>fA>FUc|`s{czXZowclo-k?+3u66!Sg2UAC{ zp;BaI-<2I4C>>0gyic5s#RbJ;oV%t`D88_wNrrgN-qdD&yTXrLs!f~KKB*zwdQd+3 z^*Ur7)a*iF4w)n8{ah8W6&agD^}k%ELi&jn%wAFlkY+bsa^E5lshtwyYI%f#IZ!{4 zxb7KYdJpm3ZMckj(SS>g_4`mc@tkIUb|=bg9O^z>5{6Xd#;sivmr-=g=$pk*A@U!z zr+z;!j@-8?!-1bL(39G{V%3*cg?%lDX|o z-nlF0i68eyRd$f}`hnLSQC zas~NjmUl~$(bTy!;*=QDzvwRZcI6|TXLYmUk`~h31uf_v?Z<e`!)RQva()>s zio2&D&Sf7ay*3YH^BpOPC}Y&~IeV3yv!A`s{^c~uv09SmY=9QQu~l|Xvui8LW|5evE88(Br`_L1$7w@z9N(F+Q7+5^10~fxL%JlLwez1 zAMIo+be_&VO=&!j=Ck+8n9Jr->%K{XBbF9bo*I_MUrA2D>%FCen>M3#R!l2tCJ80Z zE5t1oYfvorv-fL}2MSB{TZgsvry%a_TP{5P!W|7mkBV+8oI*`dvh0}Q z3smJ5%5|=uMn%wUwPYgkH&2*ec3nwk&EoA33ha4}5>G+Kob+udj&^gk_%(r|sF@f0wSaUvpTui*m+wt}M8srWXUv!LhAr3YHzLIW+|HHa3`q%S(vB@8v z-kX}H*4lDLH%+ux?C4y2F3w~o@b6yVUp~KoY_IR8%Jlj8@mXl!NK10wAp^a{O+Ep_ z8Zf->_0H2X6~>RgQ{^4~4YL&sKfRZjU{38=HEGGRvrLvNP3Uq>Vt>&!B=ImwKA~Ts{Ds z)0I-QrG$O$I1o}RnGDU3+!oJ-uRy2S^>!I61N49Mm@^xR!{|X@jGU}EOwX+zX(?uc z*+XHCGYN(;?@!}DciaFL-7;sFjeg*E<(|Cx%KNYkn0?)E?gy*O>R(?s5ayMkL996N z2CVOnL|Z9PV5538ly~1}*i2G7Ss658YoBCS-?NoASr*8!!)UsPH>>8WtI%{e5&HxSbiq?(<{_mXYTVT_{)wD+}G~ z+bMTR?{s6IoT3VBXtQL+uQC(In3_)mr4_cCD^$vRM_{{j-v&Fj!tXVkmJ5CcIl3sOZ~Z=6iwvK6zF$9~zw>H>LTl53+})(Cs@rU5AOw ztlnWz!VuOc8)zm50h_}YCQUk55SQCFUekwCupOkbKRVL@ySV#dGW?yezwmQ9kMRub zXVzYbb9RJ7==Ih6f+b;mJy%!o@i&<1R7`wgs>{k}(_%EBCqAU5BG=OpVu( z8*th-AjuGI2dCkwXB9$ngzu={d@zUyF5)BH$~s5>uKhoIeSZ}GAGOW&XYK!s?fK*D z7yk1{Qt*G>8~(AiA5=|~vX7PI>rM>TUAZTQ$PVpTjY8tM678PSUPW^3HN#^BAKD{9 zDWP7Xuo!nZs&aeVrI6IzW4vPLq zZLhmhL}?r0@TTQi-hL$9)z-y~a#^G&Xua2@0)qQ9RBE zG4;u{(UX#h`zqY~Y9bg3pL`{DsypCL?fK2pHF8MiFYt>DB$=P{%UQmRgsm;zezP-3 z45?}q4Wa$Nh_{wc$NmuUy9Sxq+1?{;>ttS7Pt|+*e<7OIMsz7D9I@_;zSnQdAilny=iNqcB;LE@4;|a5g!G~aZ6Pgk*2FKK z&8I+SjSIR@o}29;`NBgRvs(KQX|mXnoEV81nGB)wy~JPph^zC?wR|M9{H&JCjzH4E z+lCe-yNR{%?&yZ9?+S+rP2_ch6Yhm|3^hFfu#`H~b!X3z< zZ&~S0X+lO)#0#y#d1UU_YrDZ*j?5hU_QDTki0BF7Pz(z|%!;~G2QEY)uHW#{-oP_R zG#C@u@^%nOZJHksoaI3BuM1yPMJvhocS$WnLLaGLT-OWTB%Y!t%2yT%2a=wgY}mE2 z3K?4KcVst6PJ7_8Ypd~bqz}5ex%L$xW6(1E z(s34Kc21QgHaUz2NJ+&)pxRcCyH7b|+HzH?&FP5)f6uDUsH-17K^3JF! z@8^<3-m8kmH_WDg*ZyCkuPMuTzH9!adaS<)*yqP)EfLQ@@$uWU{y2vXimw zJ__2|XReKKV%T20^$egW@ndAyVx*yGN#=Emf^%`mNZM8viH+5kBYy<8T*gE9i zqea3Nz7xBNn>@Dfi~HT=Hbi$Xb@{iEd)q4ZNc&JGMCcv=QhD(q+N*_!uY}s5K0f&O zjfESi^pp0yxrcaq9hV1h4c|kN2XE_zVhZvcrc!jh$lRooeNGq^FX7q!j^v-ZinOe9 zruXC?k@6zTol5!(lFzCgPBkK)-T{iAgcR}7-nKL--D8PZh5d}-wN{8Wnv1E+K70HWO1MVN--fS2!L(A`*WV-) zCD`w>rFtc@9;>c9bKM6S&a(#%zUU#%SKCQ2S&;lYTxPg#jediaT8Qo-i&q zBL11+&9f9$!c?uvQaro`(PQP`ov4h_`Hbd}{9zL`E9>f=?Y@MX;b*yH5k^^f1A9;c2JHq$&^hGZmVeOz9!S4X0H*lLUELd0K~YGUGD^>^+6r`IR?7v0nS zr-%2y_WZ(csb7jS6)jYe(*Ngkeflhx9T|d+Fgc-`c3I;D%vn0QE5Dq8MbWdPM?+L# zxj|IX_ZJ0LvWxtNW5;2w`?^YCAQd(y{JR6ZC19&{Zebf;BkW{%X|XE`!=7v7D*Kss z*ng+4+h+O>4i(2v@0BIofLVHSdBp=baj$feNE3n66YlA^LcgHj>0kc%qy|hL#;EHm ztcJOg+-s$uda(GuLn}A#m8>jq+m5K37hQ| zsU;KVVSD6R)S4ruBx6I=IPCn;j2@!wL?jJ6~AfFd(?*#h4x(Gi~fGu>>b0 z_kqgsML6%f@8+zp3FlXm^Q*b*VdNltxk!TtW^R7{)t%a~Q0sM!Tc3^FKiH3YZXkPW zHH(zeQt}Lu`Y5Z5SqL_d2U4sKM8NjL(N{eJfv}tK&yJ4agZ+Ew4a>jl;qc^F16QvO z9E&Xmoy1h(+3$k*a{6W^j-T%u4`juo%xeItHkvmmX?RzZVkEVWjENLSw~~AkPZjc5+?cG zo8fpPXuF0^ESaCm3s5Lr>358H9FaCcr6O9z3=8a z?SH_ce0tAD%Qjesyt2H$If%?(a&Sb03hcdJe`ovc4~LGz z)4RFY;Q0H=jQh(<#BT^X=cY|JR=X--Z8&hga^;+Sk-JGPU{Rd0$6IXBJFx zf4u&e%kv+*=chPFjB>=UfbZwNj*>gy5h(pbXng1;=_kKhzbS&`y&dfh7=S;*(84~* zmydA%X&VjxT12os%2QPPiinTWr=HvFL1cb&e(J9UMCsHzUb16H^kyZOQ^_w8-5B`n z!ZTyUXoy}}6}1~N^UEjBPz@k9FiiB4ei^*jGz32Hw1nTS5S|$?dIVNWYD$zVA>_D5 z<(`wIUvGSXcH3|?!W`er_e2*X+|tCk{rY?2`5*i+UoVNsBdqF~!kLJiEzGCiLs;T+ zo6#8lYlznG)8XNrc|>czRSj3}MgfN{*>^BfR%2u}JaETDl9WPdpL5NAO6@^ixES#9KQuRv;$C>E%r&6~rEUwB9qp8L`7#y42Ei z5NDfzapQ(C!k)Z{d{V)HfQy=uZ&S!QhSN_*H8XN!d@|A~cBbyPfpMSjP z!2}`<8T@`KHX~BC(608!F+_<~)fTNH>@<^MsGt3IM87oAX=u8Gn7BoTA<_$tRp2;c zX!{FsG_PMLZZASyA$t`=R1@Om{EW@M{U-1Gtt)SzE`qXu^ypI55b|!UQzw zI|h=7b3<^qxdF+~^W!_b|4IrXBQ|}zGAx0pz{mx+cX5ceQxLo68-tjuCnZl82_lwf zWzdS5Zp8lHm$J$E9O9aVKQQd7MZ8mIHn;16baBLTOpVDky-M+?IH1 z4+$m5#=|4uAr2HH4hf_#d;_Mx? z4@B~-A)TK#zsP5t=jHn-8j0WfH{G6MN5aL%V0*15#C5-U%6%rCczPo|wC?Oh-CoWe zU!VD)qEz}T)r=BKx9Zj1@{~f6WhmFfxN786U#!z6=f*jze!5b}rI01WAmM$J^sSBC z8AGRZkoKAB(Wy+5`x{-C9&SW(U5p={a#x>5vNi|rCv)Ovp15`K-qJ}jD|+tf{2N2W zmsCCu+3$mPG4qz=7G%#YHWuhRn2O5i9wQ!-QBgW_L^myL1jTw|uPB$^qu}_!U7^fQ z3NZGT7i%E6_$wEqnRX0dxM&(Fn#&u70WSt)Bo3bUI z-sPKW!v45B-I=Oq?T3o)6OC`}*P?9Aw0%-BABvY=3{19&qOjX4*5c@SxiSHe?=cN+<5LjG=-2#7mt@b#VK|>o91F640M`wPRHeq;aDBu78Ld{tSIf>} zePM{OwLZtfKMBFTe~yPGWHUUp|J-9;Z}D-;3)k*xZk2*f2!JuSNpuEg?-CX$O*d)INfy5-Y@9{7yrSncA`sgEsI>`U0MM*3hf1XnUioo<qG81K{NF$ZVJkRqyPnUU~B{F9wA(A9Y5>hk>mEP}u_TJt1^FHtU z2kiT?R<)Ma>RPU@^Y=Z!=Xo5T!!7U4)N$$_xO4AdxzkLvuhEv><5Yy#OK(vV=Sc-C zBTB;&EedQ^3O(6*{a|0iALD)e030vJyH*7+gOjol*Y-^t;mo<|NuMaucMb>cm*p;m zi~k`8!J2n)J=pcJgQ^3rtuFMp3Zmeq(UY(_xd?8rUxY78{DQktZ)@v2F}ROjxc_1o zBRsTsC~X>e36HKw<$1gJu-?drcSkhs&rY{RlSu2aTn@hpjBgON% zSr=SXzK+_A5Dql+MYqW$7ozj~(DyDu3vNd9J7$wN!kt<}pU){5?oqOJ<+d;2vFEOK zw|W6QilxnKl(xWAi1p_j$&vEBuba=|Di5zC36+e+XxT7G0!v7L-0sl@VFE_3D5O)%&A$Cxa%7;yX`;%YzhS! z9}{nleVvTwvlqli5IOral<6~==KP8N4r|3yj@f^6wy58OUHjpr)ugBY;K1THW zB?3__+XyGOH|+W@!ipZ)$SJKyIK3Cwz8rE}0r$65bXSAV!Q;XcMmFYlcsy5X*!Nu@ zp7I;i?fq+EspMbUQBO3r!i(N_I5)!KNSK;UC*kCF4z3rct(GWPN9r_y6-dJQ}ck`Hj#*u!%&)%S|;TX>mV^Xb?| ze52FeFRsf=!$G5O{<#Oak5i4iUeP`U=lzveH3(Tgf;s zN&E_Zw}OKAHNrh?=GV~uR(PEG`HhZ~1s-#0ACuk;k)Kb*9yZkHGqQVdcuPZQU9*tkE9RBq6#jUBZ=eDvcXf|K{4u@3Q;0i6_w!+ zJ9+HU)aw-7VoHU|5uZ!1V+pgtGxyh_Sy2?z+fD`cu0%my-tl9_zQ_~j9NZv$3fYy_ z9)Zq@$gFDiQWW$h`v-@>J?`vCE15|^EI(46o59nvgcOSfx%n>#$^1D}-#9W3Nu}%7 zg=W!%^5yN6fA=IPCtIWT8Gl8y@420WN4Zh;tH@;Unn{#dK2ZV6dl zatGKm9HztHjP$-U6Yp&K2)FpqEh}Ydq$Yix@Y*bklzW0I?($!dJhGp=z2h5_w{e%> zJVb{!HtUxb+qqH4mTzxnJckGLJL?}!Pos>=QZQZZ9!kzGb7cC!fg=6yHos`LpkU5)%t8P5AMNN%9jr3SMA zroF3zGxvNCeLznWZXut>=-ydJ#WLt}jm6m74oy30E{=fD7e{*_S{+jjO zL?7KBtlpb-19Ucjocp2wA+tUgCfX_kwh6djj(lZ8*qfdm!n!A7qT!t?H86N>1in0O zGn?{@2rpK_o+oo2{vkr4RZg}D2+Io37F0r@grx4a!5X5i6*@X}sTx5n-h9DIoCq?x z^XkC;Zw2jC2;#L~(bV(=L0%q18@Q4YG|J;r@t$yMh1j26n6^i-7fr2LAUm1eX-)fx zY=q0axd`jpX5tB9*YJ3E8D3InZi$+%gAcQumpJk1`34Aly;AB8zk$B&<6ZaQ|I^qc zAd7H`sb+4xA5A9NUAYZ8D-03%ZZBsPEz!0rGD#n-l|oQ{P?!-lFM=5|S+}kVN3g-V z{-Fyx2rj;LRPj+QLY518y+5;@aOd?rjaYWTBh0=h?IbO{DonixiT>WlI>^YNoCCgJ z4~;M?HNgK^V9h)FhX}Z+ZO2GFKY;=(hxG!9wmDyXIcf>FcKg+eX|mG`^5hT__xOOI z$-tXWMVSzMI-%7-w*tY53@?YttTy<|?Up{%285gxrDl4X3U}GUQ*0-8!L#%Z*Xlcb z@Xi@JIQ{Mye9!K8=s%DHzk1anVQ=EIUn#u1?T9V{*FNrf_rnr_QMLBNOZf;|Ykc=? zV*!HPL$ejGr66cF_IA7X4y}iGmsnp@LOFaLJaXI2*THYed82;+8w8v+AEvVnN8m~B43$L@1U@9GJ;(Gill+MlORHHnbH zaKmcWCkPdYk^VN$j8Oka{j&lme%Jo*bN^qQ-v9X8Z!)o>T~peQ$}3+h9hUjx-tlf8 zhlM&6jk`0XtlNMOP0_6(AhUA$;CSBs_} zSMxQ7v`}^2pDpfFFz(H-peuXbjAECB;bQtw6rNN|FwZ=Le6`jb#ml|O8M~n>+vbU^ zuNMv*du&Ih?(K02i8f@=$u4j7q(R#2>5ijGgoih36lyd_`Vp$JnXMeQND(SiyjN;Q z*iwGi5{izH4CyV(*5UF}eqcc=t8sAR4x*)1tyhenY9@Pm3UmLP?`Wy;V=sKfjausBq7K4BUzA%_VOkYr4! z98{aNL#ASp#2EV|G7c4$yqsl3y5P2pLnSFlQ;&Va{FYq8>_ec7950nLK)ljQHLdl2OS3yQS#9LJ}nd(pW{r-FrcfQ>~ zfx$yt)dfrB_3m)GC_abWLv%7dAG49&7OKmWM>M@bdcjG23COhNY~wcKLxyXRY&~5L z(oLd60f9LfH z@ey37yznxEJ4XM`>SXz+vpU_F`q?KRj=|fyq-F;rdCt=OEMp5VM37Ua>a*`88&P4j zi8qyGK+dX_4(z2T8I1gj&R<_4qEqFwnkLa|tY)FI@N_~nzb4(NbOmBW(so^qIE+|c z?bBHUBnxr5LmyRX1>zdlZCc&(ov(BCc&@Lvphv;)ADh?So5@a4Jz#Cgu_n-fi=L zJ<$yheerk76P55StsVAvjz?g2QOt|BQUq6pg-S;9A~ZqcJ#8uBXd|Ac?@X54O|oC0pNv&ZLBfNR zKd5TGktouwU=W^%ME`506*+eBDw98cFDerO%iioY8y!Z_^VmXtdUJ&Q@ZB}FY$d{G z`48HBmqA3;F&T^5HbfbQEk0r-S)LQem=BqEB35qhjBbD$;zB~(Zm4e~y2ncS-k0M< zkM|?Lgrbi`?fpX1ql72eO0~UwY8n*YSrySSdr+KRghZ$ONCu~V5l2uv>5raCJz%au zsFD)$4eSx_YqRk~^8_N}s>I%I&qK5a^SPZ;9}#=T(6{qC`G1|5kw|56M8c&6=OfXC zkz$}Kew-Uf{Kfm|NW)K10@9qwY@@sG2|6tzoB^a*_0rLs;_LNhHg4YuWZ_ zl5A1Cy~n7F5JCH7zvPqzqC(=mE+`El=Ii-fn*LXa=Tq2yIw25=>?4^~8UuvC*Xu73 zq6f<73!%|`Z;(`1Oyj?ku-ENg-?&&BOmw4B{O6cDkkYVI{qBZI!VdjjG13=@)W`Ed zZYR1y{NT@yOU5BBO=Z4F?D+56|8v&&N8$f3s(r@S%f6D{&D)$kJ^BO5p!jhi&_3=6 z@r5~FQ(PpynT*KR1x*fwPU#12v3-f~t1;D^4T<)(Wm;~HZXKfNxlTXucSrQT$I&+) zn<7RaAX?358nL^X*fmSnBX%kM#f~Euh^u>;KQ2{=_#4XvE6SvhK&^M{bi_)yeM{Ig zL(>Q!wY1Cb-oz*PcFpX^3N8fASJN~mA3#WFR2WmaIl^r1IS%xb{Vt6$|LPUqh`j#P zAv)|HqDtfCE3Hit{pvty52ra|K8a))igFVTu;sH2bnS?1-f)(dctzs_!nEwQc|d4Y z`m5$QAmMoz9bF+4$>a#wzcTGOe6wybu_kpPFhwtC3+G4DtIAF|{-7glE@d~TS~G+z zSJNasC;Rj9dyIMcrijuBSh6Ojg6KjY?S#*6h#3#R6dhJio^^)hBgE4aPi6j=!<*>* z-iaK2K`)Pl;^6CN+T@TZs4pSHl!HW%uUU3i21zy*?^uouH~haO%dxl`Bk0NTd+9q@ zBjgL^ZP!u|!X`vcu8iJ}h*CF)bNaW*>yA{lI_yOBC@rNAMu1p~Y4{`pvpH7>! zlHFa+^>f*~kx*ZrH+FV463_kM)%#G5#73wKRP6<27sH%C$>F8gn$jeU%E8~HDtqM@ zEAq^FQ8({S7^0`%UFvI)LAVPqze+GWB0~osHR`WIwEcZHT@JFJmnt{DdB`4dqcP_* z)jW})uNF6VgX|7s8qW zQBRR9Da$nXU>!nF=1)_HZAAD|3aeCfHKM%7t7}5mAZA)JUG{(+;&}CU0^~&CP$6v3sNe6W(%UyFn{4B zcN0oCHa{EMyB7sd6+HKmU1j!DQTY-6Af($kGev%~AUA@V4;|Nmy zR=Z{%1(N&}n#%}tJ&}2+=#fMRVkY$EhEi7{Qq@SZ`k^qw#8#m*sUE>m{N)OE2?&%q zVkd4q3g3jf_$aCdcx^uJ%^;_P))&Il?##KU6^&h%#oB=TM(H1!Hd>=NaPgzuf&$@3 z&>k*ZL;Qi-2`UEiF&|JSUK|F@?1FD}o& zX?p3dlUd*2n}ENWUgTT zs*oW~2rR(2@H2jB{y(X!UIHK;5e#DyelGDe9_6{Ry z)8eF?KJmDueXTe)!iD5LrY<_yrIDOecUps11u1L7jR$wiz<=s>S8RJFf=41;#IpAy zEb9aPb2V{9vc~1jsy##W;fE{PSHvQg)99mYkR0hX)oQYHKOn*TTezDh>1EwZ8q(d4 zk$gQ9>Sd|#K^d79D1KLmB%89Rjr)}eOFUWH@8SZIgV~PKOKXwZ2|m_BcL^!ZSIl_g zIuUqkVqpBJJ3?Y}RPC0sh%Qm2^}D4fBA=w^h}7UNq|C~R3gl821=z?*(evu2e=$tEoDZ2UOJ6aDqpRT97pvrr+76|xIcz9 zP3%NUM#;^Hy|GB;h=^a;E{~x2V=twdNk-JbS1HA5T0~Hce2;GxLi7gn^Y`525UZ?g z&!>F?@tWO+HD8FQX50I#^PbA&e9d2LAmt27dnA3=>Gz}-IbG{%ZILV?X;HDp2Fc@j z69=4$mc?bwWj0o4q^=osHPYclYK*|}EOR~5HjW?EGX9Rx6KAikxD$d1y(DY1=XHqY zlJv9<>P2kE)o@e!V5IQblSLGkGIDJ7oiB(sAxON57?tRR*C=nES; zZxeD_8LE*o@S;LEbr;D4_%y_>ybo!IsKgYcHX^O_Oqb4tI?{E!jV~r$L;6^$;)d2# zL`AgSk&P2UZ0w!|Yh9wdzwq|>zJ^Cg{BB<`T>1`4d~Y*18&DDcVCj)Vbv;PYq#LOy zZ9}RWxBLFVe>$(vXjU>T z?BO|FqZ57H`N0jQTvl7xNUP$Oiha#p1gd@j8+0RW?6}g1KzMb(m7$*uno5F z+@#t{jA0k>u;H4C1uj*c-X3igjB7Pcvgek)f@!}d@Aq?YxRv+*YO@+A%p~^h(`;nN zo$TSyV&40Su6~}={KyXC8O`Q%SDk|88Ok9KP7zq0^*^J#`5dgzSXLNdfx zIg6-hU4so%wnkmS2iVLvdS2;IgKclFA=^g62v4$YU3@QMWV7<90@!=biBP_T@;+NuP!Y0q~7^)b9o#4sE*t~bJ-zIuazk(U|pR+zk zkt_~}DqcOykO?@7wowH~*W*UoScc@=I^0@sX)#|xJjq+dA}Ws=@)|x&rd-(yOv80z3U~cw^!2v!z4re?ygmKHwg3X%~F2p zipt-$|M#5#@8bX8Q2ULE47;3Ex1z!@aigR9EfkMwsZ9+NIfdAA!!8X^WCwq92%>l* z{ioQcLu;6j%5R&Sed;io+3>AcG6g8BqV=2yFOoi>%xMFoDY@qdvgAdOe5mR*ENN@A z5fR(GNx9nvVHGX>6eY4-VUo{k@?#^rw&yXEoV{q;Ddt44mxTwr(;d{O1W@Aa=GL~) zg6y+tcK$fSh8)XX>5(V4Afrj5EW=NL%fb$HhkN$9rLotYP-N<@_Q8zg?-^;*ZDOKD zwr0PBfFe214m0|9+K}Emx%qOu!xbbu1=iemCjPnnASxc=#6MPe2l+$f)S`SayC`N&E$KXNLv_5n*9E$F-{nZI3t- zzj)pz&Z?)HVFlf&XzO07Q9F!#`|GV`nVnEfEol3EE%`jA{>;c(_=Mcxs#sR;6Ug#9 z$|9T4O+J?gZkViVLTV3r8nF`3_UwfS^&3t|k~c9K)@MiJ^Rt&OEO$o&N7$YIF4A9g z2VGrsiAQu5pt! zb1K6b)WjQdY%{y=dPD|zaa7YDM~n=GU&+xHafOl-J)bg=FzCR-b;lQplL3dy^0h(f znJuj(EbXLB$CoEaMo03^BTcsmBRPdfFFfP)3#2?zJ~8a~ zr1?{}|0Jx7^wlg8+iwRU=)2Ft4KELbjW-R3F7HLumW7=yTKKGz`byEVu-#ymh zY)Sa>fnnR-+K_M{&XTeA8xqT+BOhPX1*OJ*VDc2n6fOGrj3h%NITZW6ex5~&k=U%F z(?z6mn@P;4(-D99KnU;bd8D~e7~XMhMEds3vnvhfkzUXjo4i7rcu!}JXVrer8!H zlBrF#Sq_wwdv;UN!XC0a-Sg&*<)Q%6IPQH3dObtl*TA90v{0nK7$bM@o5)DXfNA0x zvV)x{c`MS5ET;HG5hep<v7eT7%10{t{QDvA{_pP*NJo_bK%?S>HhXqL`Rym&mO2m zq;sHauthJzGdU6$u4^M~dB#e?V|)nl$ltTUYYi|M`~(kjW-BRi7UXpvTZu7_2 zr)UxPac0fdb(4s(mw5S@(uk;Y;jf?ftVV<>TmCEm(+GPY+0rQS5TQqO1^em+(A4Kc zGjLTLl@iQ0HbF@!z4^gi{pTy>lm`Qpls-2;_T~3!U=_r)Bsw<-v7~|kZFNvo7`)!yEzf*pj=&PPB;SP zY3t9lklh_;vPhk16Pl~pA1MspL{*OAedlIL+>5*8|FW$IMZtZuWlrkIFJ%>N{rM5u z#XDp(59A>u;}#^(oXen%y?~_MDWVoN!AOi1GFNFGM!cuThNb=k zWY_w=@pE|>qFL{rzeuvJA`h{(F1t`c=J*9VLrNZKU4N|R(1AwO4DOrUpA?DvcS=X@ zv!6f-Z@>TD`Z*Lzi3b;qu_8}E^3$rGn}m6Ft~-%B3K?4;k0tYbMQUH|*44E{FZkBf zGU&Sil0?_!GyZf%Vw1dp)6ZLo|FM#zce;l>OV8E&r?4VA=ZEUF=1W8lsOo6%C(N+H z>*ZY}3#QJff%6)FA6zxh#FrTuWSv=%hjoB|hjLuR*7JGg26C_GOYga;jYY;RVC-Z$Vqz{Q@ov1F^k! z(r|kkDz7U;JQ-eJMJ>MVB#h^6j}E>|fp+6EB7P} zO@|=Bh(E2aF_$ns6(28sKZC%G{4x0-pAfX3zxl)k2C~DXd{v^o1MBSG*F_SS;K(DY zRc9aqXP>cgx4~_2O?#!Awpa~!zo^I8-wnW1Zncoeo@#i#+fO^$KwQnnOm$(0NKSpn zni0<}mGECLV-^2F4gs>f>5Wf)5O{>`^PP8u>3Ls!a)+4(f)2A}xfhUKT1wJ_))8?8 zuX?+G$siK8i+=4_E)lPixT-eQ*ekdsJ$WT5?E|-#j-#sgw!x!n;Nq8NSGhGC4ctZxVgq)j_S-3~+2c!ScXW1TN;%91(-R;5Kaij{CkMJlD0g ziI0oId&l|avd4GfOSeBN$Swwcoi;<87-y^BD1zQe zYvf4~ot@{Dt&%6nQDQ%Bf9zfoLb7Zlv-fQ#d?Ynb&e$}BCi$N(w7Cp7>)4fNI0(y| zLoHNYax=Um_C844Lq1P$bK1?nG9!SseUDF?J_7wh*87uuogine*P;wO2)^u3r*e*X zn0+@a$0_xqP1w25)$22KrxS)4g5;;ex1&7x7=tSb;+ED`uncMl>YcV1{t zCm!>LaSx96Grw#9uUX%ph5z4udS(VjhKCQC+|c8{DSSik_>C(jd{_R+;SKz!YkRzQ zQk))&iXdRW?p8^i%?M`NzFB}F7oogA-)rA}i*Pok%aj=jM6`$L9KL9aDE(aX*vpl3AeoGxUeF1!BJr`4U9RGK zP{faj45?lPCCR>NsBI&>w%KXrAZ_9dbp7^WBHBYTIf z2@}7xN$$xNhH?fTdQjtfpU5vc+JxfpfqjT-p)l>SEAHT!3+~fO5fGZlF0$T zg@EuC*&_&gT5;-&)^&ucEGo80`V(!<M!j?TXX#CwaE@pq68}2jmh1FXV>-9$#h7{snIN2 z=1CZ8;Y^m6uaO)jv;Vy19t0U*63dQ`Md*R^e(b&<5ngoL(!1{|BA1e;`?H!6v+d`; zf}bSMXnnop*p(fKpU$Wl$~3_Q*!4i z%aGcl#(?d z@7n*n=l|=}|94&Ae{AhHx+gl{7}Q1uhwE}%F+CKADASi^CL;enUF_~Y((B%L8(@rK zM>?HMjQXylNZCE9b|mc_xd-k^NY$?-nw1U}3(fC402MwaE*4)_Vw-@1G>VkOc zZX>kn$YVYyqLXX7Wa?B(SQsl~Un=PwBfGsJ_QNTHXrf)}F*sF%isAetK07EV*>_Na z$Lkjgv`%NPCV5iXA?quJR+tm+JiE-G;T5EGeAe!{M6|*BOMAJCDv_x5w6G@O9pX-z zaKF|RM)Voua}{I;7(v|InLSMiyG+f{wQe6m3{J{Re-uJc$VvHig%JpNPrpr{%>Ye# z#bX&(rFbwX$u8Y_9VOqCewvx(p^!$oYu5f1a_(^|SFa`cw+%-sUrV|oHK+W$`q2O+ z@1Fg>UYFd588;OSkMBdgBjro-3mU{2iTTE{kbQqa{^fDPs|#0LPGdy&8=;3+rY%Wu2$r zox3xF;u}6mlI!YFV9L>0u3wHEW6tM{;-iF}#uws95hv_48QsvsapW1o{e2^b# z$G+71fDzRGtcx5kJ&lSr=58J!b9`x&mv=rkn6M~u7(e2Lg7*);<`lZYClqhDIh zgb3#qA)kGTR!sNfjh!{8e%JoL_56SD_c=&2|3}v5|F8CE{^I%lV|#wri%ENL*igeW zmS)dU<`MWj`8=p}m+;^%&k05qF(XjVX!TttJ_KDbyco7(8o~0fT~{64jgZ|-J2ti^ zBIMI``l}L52rayxRH~beFeCGxt+$Czi^YC>WfCjtwOko}IjIn#c*d2ULi&d{H?I1M z6(Q2>y6`Zm4cA2@g?Xa=^kSRb5qxYIzEb>)yYCSm{@Y*!7Gfz3jJ#~7+;W-h!H;ZQ z|JfYDezYPLw&XK>B`?Cn;4MN0hgh~#dJwwsYaO1QMOfjZk>)3)*E4Vw%9Ro)bM5xg zT~{6=B7Saa#NcGrYg*Mdwe1 z?{H##cCQEFeWHP>0;Y&?9BATRP$sjyoj?4qwj=VPzj}kyPef^HoZB@d0uKRp&+>yR z@P5B@mWoLeev8k)pC4#Np!}HqWs_A1x;s9(ojVM{?rb~6txXVO-(_!h1?|q*^8s;TI+G$>BC4C0n^Nwg)3}nr7c*{WPM2^bH<} zYa{v~7oC5uC%hihI53Uxh2PPi@-Bo|5Xg2*aD?$bf^=u{w3>n)pGfK!p`J;zw0KULA+VHVLXaAiC5>9{LTDyeFUF4oq229AmQsX zUMaeClJJrEA5S^3BkUQ2$lmA02p?1Yd7+F35o7E*hq}$l+~BZCsrE}mJ$%%1g?Blk zljnLLK2<}EEtSRWGB3o+b_XnK&LVCN(VFjdMqICWS8*6&m-utNpmx{%UHgCc{Qp(> zgwy+f?f3-v-}Fz0_dmAwO@0{}ux^+p+%yvzYVY+h-+eQDzH=+d%DN&&e?c0S zqst$$(UOeo>*by8^qXMQQ?_Y;-96ZCZT6J)cm{iA$As0d4B&9b`?MEJHyn@ep~aaT zI5rCIT1z~yPLlj*`OSX7sVqCy>17<8_iSm3)E9$u*r*Mss}oE|BOtJ;$S4Y6>vjdNw*(nkE?6FgU6^TNr=L_^et?3EXzT6RklAHGSUs_P4qE%uV_ z{JEeh+>G$u;uI`GGQWqf**+&+!a3nLjb((X@okTo+tE^3l?_A=kI%tIc6p+%D%qb` z?z|yCDh7MDF>IrahJ$LUj`U}0I7;%q_nNAQV^`La+v|8ZX~@$&FeLeMgUut+mdD|& z!NHytRSV~?!Q%^tWS(*&EzWJPH*QfpwleLG!X2}duQ|xSYtd+Hx#{}~ST=Ck_6{9^ zwbyE^9>Sxu-Fc&>&axABadJ^t-!;SjXJCIa-%U8~(yD(>eF~1XOZ^^}`{1PZCMEp2 zC7ed5e>Ly3gY$KrVJ!;D6#Ec*Z{jKOEnW)|c+{Lv_S;>{`4lB!7C~V=^MC@2eJq}8 zZ}nk$$#O3<72)IQj%K#fa=?~5kAeLSH|*L?B?s7lk!)>+W|kdkaMW*%Kjcvfr_~Xm z+Y|HQR9uym|Gojv%IW+29`AzlJF69;+nnKIRr$u`8ewg$Q1-j9)t&hNr%JL`=n2|n3l&JA$5zVOcP`yDv?Tlgk4Zh@0# zt&ph744k)i2aTpM!}%%AF~=+0;o?ap7b`3X*Fy)@In?&T^{IzW(~TIo-O={>tf2{a zCZ23%-PdrBrwE?>z84;Qr{-MJ#ediS@1Fm!Q~!TM?Kdulo2(43#sk^@K({YiC|P*) zEqVMN$)YlCJ=b^!c}gnu%e zLOSiyFPF` z>3rgwW4rkJ$+QTvWZr+!zxo3i4975U4W#kD9x;A9fs|mn4CAjANalMPIJ`$3l&??Z zL(7SN|79BO{*I4GXeig^)#F3l50B$+^5%%uWN$l>?vIvz;&0j>kE6P5XORI#9%bDN zZ&w<1qj<`@>fI?av;a61Bt`3re}MzkudPd;hSG9VXZT-k~=Dk z)`WIW*A8ja`sd1aXs<{4NKh?<_79X+OrH?F;)$Z!^x$I_xhNRZ&yitnMP8KAhYgMA zkVExzQuUM%GM~N}IZbA>=|935jO>z;rgxV`VV-1>d=}??KuvTQxm@axTSy+Tr)HP1 zU>+#;-nslP;Y25xq{CLvg?7s1h7d5KUabF7V9|3_K2`%-a~)y_h;mL>Hg^X|A6xU z`OQDGIIoy2`)@qIf9$#M{l08>f+oq>;?s+0947m2a4wc6%_C&mdM!)MTXHX2<@M(L zE20yhr&>5WgNU}8^%ZOLNv}o87I#TQRG+cUOFtgMWHj&=;k-q%ty#)ChDnXx{`7Ih zt4zd+x(L6iSw!4o+vD53Wam>@cH3%sIq`thH!i>54xj8jeg}Ao?)~n<)3}OG1UpN4 zK0gsnblB2vdjxq9mQ*#VL^kQ+Q$EWkS_crho`rVIZx~TL-<2=sMu+7}BerKLjQ;@X@e<00pWX;T{6$vDoPq)*u+tXrLj@ALzDAfWkA-i| zWa&d`dj!7SWAc)ny#Cu4pSA9hnOlgwp86TGo22bpD<(dSh_m@^1?1d`bos`1_-F&7 zV)st3ptvHM66G)ItBRP2YX_#?&mh*}$@@)|7{qA@g{eMOAwINT;Vi->ivO1Wq4N7K zB$Pc{uIx zN&JhyJ`|;euR_#Or;E`Hgt>WkV7hM8EMg2kO?yomB33VzBb2aAemMnPAv4I*=M=BaNNm>sB~?8F3V*~#lTT5gM5L*+ki6lL z=MPGs^4vvO8@Fcz{dz>W&2fJAOhnY?Q-xJ+{)i5eQN29MftZiHLURr!h!Z+Fphr!Z zo2HGL+=_EZi0s=VBuTVH8dIDigw>JwiZyL>SR5!9QWfTz4uUegrt#O;6T}BnmUq^* zmF#5Slm+fGL9$S%EYqOg|2+5qwcr1{_T~TZ`2N)wEF(F*|1q`SME~me=7?)}a7XQI zaFq{Ad8Tvft`wj!CpY81B`5M4JE(kE%8_m1z8lmP$e0vj_!a*JX+xzpoEM39hSxZ~ zj4-~E?`xWeU2jLy-AaTU%>qSdQ8lTLFgq{GD>rHpujdLby@G1;8M!v2sHY%@m~Zvl z3%#$SDI|F>w?;QAPagH;cK?aeUgssf?h`0N-q+Bawa9O&+Rm!PiyYmF&uXtF2(L$f zJo)W0q$gPE^X~9P>QHd_ty1C-&|9^_-g5}aYwnHvai0fe=Jvkm%Z^B#R*yH1_~JL-m?lKsHz5c_e4r)WNXM|{IkT~ui`FH%T0)x8IE7dWkRQA~R`<%g~- z3c}Xfv0oTNZfx4Sk?H|ty(|nqdEgB)GIbLS<%ur-Nn54dwspiWrZ@9)mh8DFHK>kx zuOXRVn;eCj6F|wf@?Ld==*#YF7j4|)gM|BfF?=m~#Gf`0le@tQE$C2LzF|MA-_C#0 z+2exybS*kTsY)o>)1fu+BZK(UoibMb>Oj6}sB7?{&B*amn_cv{Liji#Z$@oM7MMiz zz~HS$q(xTNveGLM&CPkGISMV=w~NHLu#wMtQ={4=o<{HttMJ3{e1rlj= zuB;e(jn=Y8VecC`sLg7Y+7R-E%zXC=A3U}O_o!;joNg_k7%CswYgth!e4M83^?u~b zpWC{c%wuxuid7)63O6r6qWRiuY-jHD+F<>xLUN0M!7?QjdS?6!Sb zO?)01A7Xi5DO8cZNIha-p()a2%L|Nl6_EF5Cg-Lk(M0Wt^nIZ-|GW18HS0V4U;BNc z?os;xusTWY|2KdCKla?eWpOa1y*2>mAzd@~J(gfO?&SUG+A-MFrBSs!xd{6+<{le5 z6yYd);NwU^FS*A$G1jhLPVRNzZ?%=g6Rz5V6t}=bxUNomXzsTXu6=jJUYHxf&3{xY zNWKN`BGPm}tIxxI!1B&AqUG?o5z@8iR2)3MDKD(d62|SVO_A>kU17oft6es2C9G@` zR&ub7z*hU_c4290*neQmQ6%0=$E1^LS2)(dsZ?9RJ+lVR#rgueepPTuY@YEC*aTOb zknh8B%7i1QZL#}-C){bypJwQahI^@TTBec;JkF1ZSU;bK$EV<_`3Y`3?R@odesEuZW8HhFZE&9*e*bvw`uk2Id(6?`{&KpC5M@fJ%6lQniTB2 z4zUD#lfD0xmaOU_RyZs5ub#fQ2QD#wxoocpXQ+$j{5{PPxP5F64f(nk?mzUn8uwVk zV_xE-e9j(tjQ;3AB-gk zU*E06=`rB=;%5o7*A_T?&eKn=DTM1r6XwuLkk8SDohxTV;Qqc*#!dJkJO!Ra?)Kz? z*R@&cnIEs=?Jt_&{=*JF@yT)-AyV*->%G=h0r>g;xU9asfOwQyUT|yHA^_<;E}DA? zZ)uROswNYGIxYp-RR3eY|7YQoY9?QQvpOY4h^~ijkxu&H;-A`{n}2G1X8!5>eIHf- z`Ns>*2z(uP{DTsiui8F4^l)4gVP)S^Y;XM}zVVVY*`s`ja&qIZoYY1P_c-k(-qVQ9 z@taIHl0*Djd7%NhY2v?9TXvh{0utrK?+WegN8*bQjiu}-N$*v#cSFS=z1McLnx3~v zQi;6yCV|}ls+tUoiA=`Gnu2G7qGq?>_YYi9~VqKSrC4>{l}|QI}j=O`Mlk? zHHc=ieeB{-K}=XR`N)5EC0NZ5fQ+IhLM zbP<%sgMGmqVn{miQPX{QDUu#gW-B%e6JKFZJ=^UO_>H(-NL4Q*Y%Tf6!t;#?O+7YM zwL2f-(`M5ZNhcBcgD#Ef_Ekg=zVk;l6Jo<9J_)#UA)fyr>)W{ZNXTic_+)pB`047Vf5BsY4#FIXtn)882FwrA| zGc0~5@!gfJp?u#uNEl)`v8_21VeyYXN}Bs2QtXT6&cl|7PS}%g$#opDU1MN>X^r@S zl{+GPvxt5&a7?a+g=ni4qz1x?j*soZo_(f-huB}r^}K>)kp))DC>>EkifBRm!siVn zLyPZAQ#ch;Z@Ofv5Dj?h;>)YzuDwXJT_J|ZGNK!)?tSpR1JRlJm##*NkUI-Y)>V=x zn9w|NYu(RTvO|?s-BNrFN!yRMzKNMdGN(CPuNCqXuZ(ns{w|)F^~hMpSe5sN^s7mE#zr+{P9l=jJZE?nnJs?rFF$YieeVA~ z>-)Fj|BtWz#&DCi^^?}9ICD^xkE1yP+| z8n>8`!;$W0-M0f7hAW5b7mbOJ|A)!u^1VpjysGw__70*gQDjzhC9|tn?`hklgb}mI z>sy@bhA0#I*4`dPMDSlR;CtSVu%pzL>Q71bw8?OO(=`M9AM)Ni9P9r7|F1+w36(Td zv=b>MGVVxLk%nj(AuD8L?|s^P@4dHdqRgVuAZd#zqa>8^eO~YDx_ZAqpYP}Q`~UiU z9fuq^j?VLSIv=m+^Z9t(@3(t!@6IZ>F4AjkwAW2eXGblAu%ymz!pb_l_xtCKo+y^l zWwyAm80lk3npn=zx2~d9&j=H=QrURFCeqoCE6Mqt zMamaBv*V(LNM;tB3hg2_I?4BW^6fbBJpXFd-~jPpdnU_lzKckEzoWK$2n&QF@mWyT z3Zbp*i}s6OMcd4K{)(>?xEs7FkI6y=mFz$AUxdCuY3p~jZ|_Jp+7n}0G5@E?mk3I1 zpA13vqj&C%4ZD%C#OSld?hew@xi`F9@<2*Tn+ALCP9zOhaH)K%L_%W;_p)vu#BJF1 zikEn_qKo}flSf`4GPpU={;)N|vr|PCpQhkJNoYL9bt@VV#eSSPK!s|z?xq7L!f@yH zLe1+;!j8**CbL{MnPkKrn%vv`yQ;MsfmeP`+iMeM=keHbfw}+$RbMU_Enkmd z{x(aB2VrLBS6*O{S&oqHoeWjtTZle^GIVc_?%@dE#Mv?2TsdL@f4@z0W8!8VLvX>4{d(pKPe*Em~ibiYTUy<3R9*~Z}Ed%l| zC&=R-jnaHq8;hWB>n`D^q6pSuGclY9MDWyuejmc_4zaVWyFkv8Aq$@#b!FFHU>Gn`r-g-T!O8|7YR<|7UWM^ZuV+ zpT9W1|Jd3$EB^WY>+E(|8qn@{NF0aFq5Y1+vm{sU=FX%yXHwyCZmq~QqJMUrKND~R zYvC-KcwFh{890wWushVe7A_&D(kNfZ+?wmQL_v-mTx&yiCJ!>fO)8smghrFpbkzM) zWir>5no;yB5v8r#qrp5rQ1zUwC80ca9IFXV5>;xQ^9py`(*$KyySb9NkdpIkaaPgIr zc{*Fayh$qsEdZG{aycAsyH+*r6EuR`U5{UBh9Ypk@JuVWa|!P6UTzk* zD}{%F{OvO>#3wLT=TxS$3!a9tF~wI%?%g)p0t=58*eu@6G567c{jH<5Ta)YH7*wHf zNVpiz!WRZPR^McakyQLd8-h<2zQ#gmMy#P!99D# zy!y&kk{hsFOM`0>9s}ax-nZ71$9uJXCHp#f&K(NPvJ8Zmv%uiVgM@o9tj3W3&Ik?$ zB@R*xJK&V{nkI^06wWW|b0z~wKE#97te?fn-!*&gRh|*)ci78rtvJp=?$zprfrY~4 z?>XM&pAdKSo zhEz&h#ZV~xRON=84{~Sv>tFb8M)qz0hfXrn$TYF)xhpe*^rix-8wYM9?ea6bN^8#b}b|wrRN-4c@PQDWQ+9=Eh1jKcUn++5-sU2CsX#V zNA0ZxyeB#RQBM7_D(}q=lvw%HifJ835ho30$NdY)8?+6Nt8himnX9dRd{>agUlab} zWd}JoTJK@M{|xCX^OPR5i6QmwVfV!}l0}s?MziJPVI(I{TeZ$)k~{#%cOIr>-tc_< zw$C5)ZI$Sor|&bM^-5eqXcy@(Qx2Q2vmkr!iP3rs(O%*oqkBiGC!FGEF+Yas)KIYR zn!>KTZpgjOy8Y}<8DvWfJpGoXi%c6$jd(?Qq)&EakJK`gZ1KW0v)xaSYU-TYc;+=y zbXR8Cv1TFJidRjV<`R;;m(xXA3_>csix86*7{BkZ$ik;^Q}uG;JBLA;GKl!?YeE#u!^5vho=lAMxZEU0_T3WS7d9Ea{Ca|7aV&wv1Wgd59x=i&H zA(xM+*h zwWLR=I$x0DjVL)&_u4=^MD^WKw|wS{=<9T^4{wkr8H#=e0cCB`Q88w39Q;ZFi~^An1bX#GdFl1ZNB`J5^tTkoL`W9#f|g+SZ(5aGdzX z3YOABq}UPel55>VMf_osy;wZ0got0NcXkNvLu97%+wBc|$#q}Zas0G8qCR>pPa~YV zXq%TEt$EXkp=GQ2a^M?cf(B3e$BqapgzVOXVcm;x5t5`F3(jjDnB~xtw zSJDH_aeQ!Ik-Y9Bce2R38_vP`RP^YJKdzf241_Uu_fVXzQZFKH71>>nCn1V!&Dfy% zX+-r5dwEd^55b(t`cB?f(ks2ZCC^=r^zbOM>~r>r<>vdakMkw`7PhI1`n^Pu(9ZJn ztB4P;=*0SAISzz;GtV^6CK;S-Khchoo@hApOV`WKSrNXdHRPTafruA_Cs~eC$n{z& zuBVnHj1=wV&rX~so+qufm$nKbx~ru>LxnI@tm8DM80CrnqWo!JU zA^ha`bEkFd5uYCzdqJ2H2@1E(KQ5;KuKnNZ{(sE(|F_qE%i%!}H;z@Pc^>07#+i#d zu~v)&z7i#{cC z@jv>ZuHB3@+8`s*QaYq8e7NbHMMD_PyAua(9wS+}zQ%(m21)kXoc7*_kr=Z^VaDMU zTIzV?A8$B}+FX;|g5U_U-pm|V+}MPYCR^?Rvwjp=BGlyA& z7}YCpMHL(+bAhv)7*_NWKL732s>anNsB2>=f}~JpKr$&egODBo}Do z;|)b0k!rd5)T_7Tc?8`K>y5aJ>ju z)9)SY%0k&)YKQG(<|sL#;`46cC5m*#c)0_qk-yZy$88&k-1@=r2Px%beN%gQZ<6eT z&iEU~J}^ec_-kHwk?%;aJ#vn3Pb$)K`)|F!#*fqribKsNGH>YDiQew;5h+ZKF2Oa< zXrDT2viGYd8YYZVv$tMHb@kqhy;i*_&!sW;pk0R2ANN!AiWX43@F8x5auG#VF$IUR zKBB9C;@7_a0i*l6)XXxudnb&Y^B3o42*!3{^g_Z@BQ0bw4s{ z|M=m}D7)!vT(-IsCDq#H?u~a*eATi#^6WJf@%QBIK5>vdpG2`Y3G>KnPn$e;IUl*F zE8Kgx9YOZ2_svbmrIFPd5i`)|gUpim46m8UezDv&TsVF5ckTas-9P%LfB)a>`u~@g zr|U14=RbDczp1YB>51zoOg?Or*)RPF=2m=9o=eTZBA2qLb-fr?iJ!bSTQ|Z++ilI^ zz&Eg4d2nsQ9+GG8W}WQKLh{`QL%yiIpobGTyK>h$lIO-#Wb9jZ0M50q6ivL(jT*-w#cG&dY*-SN6CvmCv)aRRda&fs z5Bin49oAa~qLy#thRvt!SClMu*aa&4edq9p18ckb!S^k2bV*tDQ9P2I$Gg4hIQPTZ zy>4iOb2nUA72de5J_eV#w~b0KD&e}>GGvcc30wnJ^9Ner!S&a?hy~RIj9!&ZOXhmO zY?)R34|~GL3n`Rppo${gxC;3P2fo7knXSuxI(y>te>XX=Qw4jqx}l*nK5&?L9ignC z4=1e@z1Rb)MBl!K>Ubd0A1r$rW3Kg?#=hb4 zY5PQy9r{2(PazQ|dkuDMUEv7x9rMY{84tsv_;}CVrFXEJ-JA17y&bl+dETN;60jR@ z=BN;ug+qZEgM6VcoD^E(3pTujvp!Gnb4MAtuq#;13Ze{?yWQSlq1SpbL2btGV>F?K{R` zd**D7nyfYKjR)j-`p&^o@4Hb%m?E5aWYBM#*$wAkOKn<#`{2^{j_$$)$!7JYPpMUo zg`3di1uZ2BxP91p=6OEJEp%c2_~`2~c(AwkIg+;X->rw zFdS_6?yCFEjoSI zoWnfaEhaw?`E7@X|ucWrx=?60el5S@xs8Z=le8Wpk)3C7!j5 zk)q#g;Jr_9+-|z+ckTbx>-)3t$^4#tOtSy_m!_Awlx@7$CtcL@!Tj7U-%e4c?mx3S z760kA?^k@9Q!lXx!DU;$yHB?xOm||#fZ=LHR9s!j>|lhb=9#aw8@v&dB(!^3yA0wk zC{Fy^9g6t(z11}j29c;lZ#E=Xilj?BS?W0mA7$ETP&SwN)qOU(ZZad84C}()sjl0B zlu&Dm)U$r1(o4?lH(Efdb^6k~j$iO^yx?K>#t$LfEDr1k8HuL!bA$84R74(oS68eN zfoQq*Si5eLnay3@vTo)9;zq6NI+uv9!1ew9i{5=mTs-%&=t~-s3ZDN^4P_>C?$v6~ z=83Lw6ivet%tU9XF3Y{511Ucv1WX(aka{~M^`=re(Y-OKjBn>7nNO{{+~kZBGPtKn zCQ}aKkuH9&yf+a!Wt$bCL-vv^NgK_$lMuHoj8XmOd*atWKfzY@8Hq*?LkZ#hNV2J2 zH8AXtEKCWn-bx^UJWD}DppDqd01BcdYHcSXuOPO(foJdN zAmV4&bSz(Zfh3wcmehf_k+c}qwb7az$sa?;eSMB2rM7mz%)AIvy$0@2Y4;%QVN9!W3o@mywv3=UWt^3k68(>y-026yLmCp3~3D|mn~0lAwBaG z)$Yol$Z)Q*=w>JRf2S=vYbyvdjP9D~_2wspQ8u!0!F~YQS_95W8m-7)+U$4EYZ-Ea z6j>w`J%88!-+TVwtN#B3wci}StC6nwEvm1E?3;}DMd?em`>U5`P{b>^m96k0a;<`& zK0bc~nXk%hKOZC+ii|0h8o5(QY3mmK+Es(34{sH7Dcr;p*+sklJYjjaB(-gNx&zUd zZj11m3nKD}zMdvcJ;F~v6dc^}0ijXjwJ|Sq5W*5Ld|5^VEjw85sALVHW*f^H%5)FP ztU1OaiC(a%v{w7j#46-n)vkF~C5Wtai8;Gd-;jRy!X+JtlStL2Q7aN4-XYVR#MnqL zBnBziQC}l0@8X2iugew?!yWd(AyER6vuBNT&s{~tiZjud6;lu<-V-1q^%Wrnv$dAH z?9tMGb|<60J!-cfwmq&%Se>$*3d>gap;-Ii;MYxM$oD%H$F66H>|%SXcWS1{DCifk z*tQvI!Y(e{cH~UM7o%6nKo}ub${Xn!Z4m#pFG;h;0kIb}-Qo&45ls_pqtBI0`TlO~b-8if)r zy`LF)kjoeSa>*?kS*zl&FqH%n4x+%I*fpX{Kf`x~ci(*^TZ`qDL|;N;vqE{r+D(XG zzOB#p9l3Xf^j%hTAo)55%mG>hyAff(Wlm*w7s48jpGxGVLOWGLyUlk++|65H&%cp} zO3`-=dIuL!I(FXSRt?GCT3~i}ue*%=_|G-d((cGP{BRXh!D?jcbKjZtTZ!}yKD3?*rVy&|7@p_aDUb&gFz6wR|S0h=Zk0W13 z(*`TD|K%*l(qg)t@iU#~fNDE$CDM}F=Rq>UrmRk;nXmWZ}=a_4qgL!vEx zZt&R9im9ZCV4+HVfn0aybjnyA{HB=u2Z;vOKeaiRW#%3NoNirW@K zbnsph;RDPSGN72IiN^=1!P|Q^12@Yo(dy2JrRB50*KturFx~}z+Rc|WF8+W&5TMPT&B6%N`d2-;uK_BHc8g51Sk-d+&~H-*4qt`aYzZ(wjp zeWnM`2+tUvySL%>%OG*HwhO$)jkz1r?h*~M=_Hp$DS2PLKl<5f0)AnK1DHoo!#`*u zR&*&80j^$aj!S(*ph4(+y&YW%-4yZ+$wAN?Qv`~UbnK8sze8lGBif{hp$O&6+RFKuZSMf%*1 zrDp{{ub?LPghA!AHREtO$@1#+YEHPWb(?tAn+4bQTJOk{YY6A9uh}HW81Ag0CB|jt zaL<3g<8ev@JVg3udr!2%qgA4)KJ_U)WxIWHHL2m*$~itebQNA`>ko6zfki>)+rEO` zut^SGqrJQn_Hhoo(e>p zmD@z!OS2K4r@~hnIlX{qUq))PX94jQblx#qZw#-oGQBUZ%AlCMrAgN1gw69eBKIBI zVE^Ozj(x;y;}r2tcdBnY(Qg>uJ)`g#E|Yyff2i+=YkyYLuYoUcD-n9K$9)*_pCN`2HfiC^YA(D=DA|F}cMSvVt-cvU9ur47~jq z9X`e1g3rP2kv)@3@M)D|OBpqUubR;<-t2#~JAUu^|6KL|liBsZw)R`N7KFTvzM-c1 zbz2GPS>2)d1kvh*qJ+&?QlQZug{56|-0vy@&Ugm`?s_S;1doL5e z_6s^m|2lGx6uZbQkcrf`NgHn3+r-Ca-a9`XisbgGt~L&GPW)zO|7&3-k`Apkk$Ea(BnxD$zx%O?aU$b+Pu@UR(9SEtN3Xd}qSff;+w5nFsJjz$j`g7>Dt3uZ%m~k*)ZV-Z zp3^Aa5JkJI?FkA>%4KcK?;?+uNA^O08L|i4l+#sukhNV;Zua>AG7>j84p$IP{P~xC zYj+S<`JvsXcFY_=D%V||P=j2gNF>v>pTB_QTOzOCvyoh%0=A!K6}%)9Yh$6f8Z++7 zJ*Jy;;Y6j)41c-s2+A@YmoBL-M+wIY&BXRX6rJI}H}AoR{N{y-%D(l;b!p%zPuPj< zq=z@o(h}bqt%HL%ZvZkfC8U}*v=ROMyqwUBA4pTB3Vd*AiOki%AD|HaQi}UB&it4M zNG`uEKQFTm?W4CQeiWpm;UoXLSNZm+PMP%;!4k@YE1D{wdyzBXd8vk5l_-82vg@e5 z49O$De~t0X01A%p=W0(1K;Hgft`7Q#$T7I}WF}l4S;JIwTtBZNGequVG|wiYf&Rv^ zY`GJ8T{hq9ts^@8D3*ST%{5b|4Vft5JW(hp!iT(@ zob4aQZX;)eiTl29JFYk;8Z2`Tv~n z|9ej_v*RbN*lO}QFh}b^Huy~U$-dwZpWi>7)9W!AALL4AHa;sAI37hGg5S@Votp#3 z5vZ73#5Ctcw4zJ*w~sdwc2seikO;}K=Vx$_O(#D0_gh-tDr`ci)A0MbOc{i+h;;Jg zc_A!z*9(n4NrZ3ZVCWIaLwLm1YJ5DjGMyK+*FNa ztr&c+)NG%6^8x-RyFE+=zaTK|ZLH)aGXK3#6LKgk8^JLty7%P@5pqR$nS>MR8_;qn z?Na`Q(9DX_hm9l$P-yg0FUv{vxZch?|XHm13L=@i17YrgfAT||WNveQnXghPb4mI*}aX?~9}R*3}_Wo7zQ>OC6L`A$5(_w(u=ejoA?J4gtE?v|OFV z5p>?zi)Js$?2=La@QL9&LO6H@&9-rpo}_5hY_c#yBW{K$3!Wj@y^A|Sw*_HO-=Dp9 zW({GcnIF_GUxx5;v4<_}jU+c&eejB29wNS!d{xh}L!{R8fT$p2L_XKF=Dc_hKBs@R zHeOs0|MMq?w1ODd!MK;U)_z))I zLzm1}hp>-R%vnDK5bjQAoMm?b5u5bW6SN4+D^ro}>yDp@@{kJuS z5w?Quil7qFmo!WH6|8=OaJ$D+&Ql(U*yj^zY;c|QNR10Dn?2UCapHRQ*#A{VcB~&;o7sn8KKR8YCTu02KO~+K0seDGAClanW~H987sZ4V z|8YYp7n$=L2Q$v7*pvSHzI&`^ZW5+tj+4L`>5F%JISU@Vh?FfVec%>CTYzrXxzxkB zo4ibny21gK1MVje?oq~_b@}}Vwh|p%1c#Y)uoih8;&Z5kOHt6()Fnb6hP+sc=J)SY z$Z4DRmR0|PY|)^w*oj7xN7q~~Z8d&T7StB6dBB7M z+Sxjz>KWukBshGR)2iXJsqjh~cem*J|w7YS$)4{PJ@H|YYbRuL}?!mNc zj%m^RD9rVh(m2l7;P%Tsmi~|VV9B%YjL*e(Sm`vaG?e%bYtPI3H#}Jmo8X1?v!nWi zFPG-b(+~qY6QN^+`p;o6wN0kW{4N~UNW>mFQ2~b*S!;$ck`reZxOMFT3Ut+8dCXfp zhoNWkUd1mVFj2oGq#E)aX1r-1dAzQ`{E_>|s{J*vkUG+~u5}KUNlq0jZH8d=YV^1$ z%Wc?DQz_2%?S$>d1JBjO_QP&{akcOBldxY_UuV-f5BmY3GOD(4IAl4-ct~7^qfSQl zRqE$teyqAE@wgXy5i1?;N6x?~f#2MN`7umJ+Ir%0d|-CBBzQ!547auOuAgLCg2kZB zLDlL#u#$S&Wt~b7YyaD6mWdv)sr~-V(oq1my_v=}L^o*nYNxm8)pFQ(-J5?A+5(4C zGpW#ub~t)QK5=|-15T%+%o@mWL}yUrai9SYinF# zUSQ9rld}RAs7ULxu>k8!@;$Q;d0~@7^K>>q9=3zm>@QXEz@BdF-D!PA zIBelK5y+|v$ITw^y}xyk+yf2E_oTn#G4fs^x^8*p0&@+NWXv z)%@67?NK;Vs*2-ucFGA56)#H-!)u@;c|^jXRP`%T;{j}EZYvi)z5Wod0Z3R zShJt4m?C|t$jUh>q9Jx?N8lYx|G$J|B;~ z;IjXg#o6^w_wx9s2nmP^l0Cenhia=A@p04lIX`3Gfk^$MONwoTx$XLvW_vQp=+aNI zRT5J~{GRIy*XUj$p*~{c1o53GZIwA#Ky-0Q5B2&E8T~-A(RZI}Dsrx*&V7)%h0OPZ zUoLTznN;d}1#erjr%v^Ecy=L$%=s6$I@b=QBcSTCjx=u~LK;}tpF2qQ=$_ovlU78V zJG#t4ZcrXE49*dsW+xCkr~BiAR3_r@x~c|=ek07UZzIp?HzCP1ks&RSaNu^UI+vY% zN%VV9H-?4#5*kggx#5W&2~Q@kv4M=3mGF3J@&mW?dk!NZ+O|II~Kq3U>0ayQ}cpD+?^yG-^KtBxe^ zwEl>+<_3B8>-0oFU_0hh;EIfG9P&3$9YIFrQ>)D+cQ;e!S8Bi^;y-v?U%g_FEuu|V z%hKH6j=0;Wbq?x1N8$-KDsg(k6dTI0(=Ap+$|jF4v!M{A3hc~`x-N*cQ#Th6)3zdA z>~PEO(@zL5ajG`*EfvWGdsR^&-;B(sjeT0j*pU@}dm#I30kY5J`N&mzA$xj*)9!2~CXkM+N9I*H7M2R`|&KS>s6{e%6z#EUWhQe#JC3zAnS{p^S+N5V%1Gq;x_ zh~pT!`7R?7(d7p^?^i!YWCZQV!d0RNFJ&@Ul_44yDwnC3(Zs{*yv1-;;vZR@xohc{ z3!`SQ|MPn9?{;?$%i%S)4t>#^WS|a*$SsvxB_H1MbBO z_wgY){?NWdZ2L0ICe z*o4|oB9ucaZHvZdv~-HiW>GDYEKdDV=9dp~N6B(a*|u5~o0)B*33o+)p6l-GJVX=n zOytB3TB6;3_EqUqAYowW)IXK8qYyS|{pkTkau3KnXU=YQ2ML#E+)OpkBew2Tw|~(s zMBncF_=ddaB8?d{SAQk``Gk1WmHvVVU9`R`=23yxGApr3GW)6v;hpZxSdVfimX&V# zdr)FRM_;@p4~066)sI)`k}S@7#-|s2k#!{Y=)3N7NKf*pui?-_s>3~%L%my(oY&!S zP}UJio940#Uo#;7_An#Y8&?|2od}n7Dys3aL_1w- zQohDG$>Ow+zV`<+?CjlZWm_(zbZId9sp4J~v*_RWz}JBM4#sr0>0`*z&i6S|Hb{65 z{WFXrgb^w){i$^Y>1kd}cNejxBi^Z}Kh}iaLelP!cb8UNMSS+`fXAUi#9nGjFq)|) z+98<`k=Bc(-?2(?$BIvQ&}pAuo)$y0I1^@X7pbGV{pYW0Whay$WHxOz|%UcUE;B{j!rS z^jSG|^c1qs|NFz_pU&vEdMmSso~;n}=3h3guF z#KZ0Uwb(xxWF$DuinLXD~R5XV%X$4utE-$p9?AjfwS;-v&erNF%2J@$0}Pm1YvV} zd&J|i4{)#=dRQwO3+GD<;|W{X;gXTWm++w&u1!_>A`8T;+HjatzfBtMDW|S9y&~FF zlTV7TDi-0%tC697QUIPGcIn&~Hieh3AN3ihCQ!JJ+__;@49firED};T;C*p;@6BU0 z@P1o~16R4>qpO{=IaD0BQ7q4>E>XeJX8q)DS91NWZc5ABs^H2r%W6NQ4>#^o$1$0e za6e!mS$AnKJl3A)y(>+05AR5D-sD4grq#wtOEbgkYJVCRM*%3b-Glu32MHT&PaT&Q zd7b5GjvizobMm*^vuv-m;A2YNtNI`lKJzYq{W4x86D-f}?vD^S9~*a(?`lHH)efgGnf}_OSes;j!Lt|HNKpH&4_*bhrk{M}$q|}CpI(S*B&7Ej{1Il?H zaighGcysEW+rsS+@8NIeG*1TLllJ+hG6TtKyUHy|XR-x;^t6I9a$)c*nQdv0w1fYp znB9KSXW>7s`%?C0*Y9=z?>+y&3jf%@%6ro2PyW7smh|)b7v-Y6bCo*jG+v7`1rvTh z`C$5|XLDGs-z2PLDGod5E~!TeN8wmEonV-Dh3Kd=#SDZ9+oDchn)kR0T=SoKzBso7 zZtm&lDC^7ME?s&e%hM9>zwGOG-1-HNl!Y$>?TzphyW;Fb=JB4-cz1BDAvLJ27Hr@c z53kSur>f&ugJQ(pR7rCBC?oH?8ejOrDkJ4k7!^4uf4pec<#Y^A>ubyPsuSSc!_}wK z?MnRO49t!gZgd;iIG~|YhU#|gv_;bgHC!4K85#z;;+0tny@ze+Aetc2kh-7 z_OO}%fK%kYB$Z$2(>el|wL?$Wbnw7cqK5wY z)u-g1zmdA@a5DLO8!8KZ(;|gD&f64TV`2e6d>g!X{MaK({Hm|NH4UwY z->e^Ff%E&{wf}q1|M#j-G`;`ZYrlnm*Ls7kd8m0k*;zNY0e8~92hzM5P$Dp^R9nb~ z!k;7Eg@M9 zUXn|@R$bO`lJMc4&D!uNk&Np5M@5b@up;I0p`;zrib(#xQ;?5jOeS-e(Rk*A3-MRF*87gDLPx*b3Ls>@xzu}Mr zN@VQ^4+Sj}|6EgM$(w94$NrQcA4)Q;2YC-Aw+kVM*4fiTb{tt2qWcdO5{4Dqi#rAK zgr7Vtu)w`45NW;TshX~lNc}+g>OP4`UA2iHzlfht#7VwB>lNDPmngE<`v}fQ3?gH6x#5ld`w36^;g;PB9%K%5=VqKG@j0%( z(|DNpCObBL%KqWpgnO;xoBi{?p>FA(@fP=r$?4Kf%{X>NLX|QjIR(%^npG*kWKi-Eh z0ex$wy%dB+Nm|z%Bp~ej>a3Gjtl_bh;f01DStp7^Y03!C&R3Z^EdJ9l{Edq3cGez1 z;DHFgHj`rrx;Vd=t;q*Li|%y1JsS`lskG{sg*#zVu@3cQL=Xm-s#u*i$=ze8nihCS zeD49K*=tS{Hq~6^yKgz(2vaXU7_|NZ!WwD(PIY<^hLa?_ZHgE?YI9yv3jJnUH5W8<4A&P#B zqi2pF7j1YcV zTJP<5qD!lYcwu*EExcdoB()qo0YAAlgLFEH2slHD{c${HdL5&il5B!P| zZ1`ooXAQ|wpq;rA#;S>ssF-K#dC2R$ZPPh*=4S|vJ<`A_z=JSmfii8rGik zvco<1$@0V!4PKgxV2 ziGO`XU-I56v?l4DFBLOG{gD#~e(fQ-I7QR+=Xdd)*LC+E&nzYSu`1sg zY9-`*#anOR^%c1`eWRuNn~>ekyX$*xI`Q#aPQHzrLgvnuKeoRhyjW(P0RwT;liO}1 z*?T+=Y0|>_!&K5pb?0GDJ3#gUJ-zaUA?j#LIrz0#mkW0vvvk&Wl%b00@Ypq>7Th@_ zzRvk;Dp}{YZ~eCU2#V?N6dy^LL*bRwo-;aMk$*6bv7oXQxf*XrjvMG9d*G+r7@Hch z0(EFEo>_%V!?j1RAE-fw!Hs*VgkzBIzGjMHknBgwPDG!$whgH>^7V2oGI(&1XU!Wf zAvE%;eOs>1j~cmSpI_PTMTPXY6Yu;dQI;6c>UjAsN{X8_Wrayj(6Nznq3ebyqPAFl z^Q93A*1ghmPQQ*k1KZ5e{p*o4zxLugbvb0$d30+{K!m^o~SV2j*L2K zxqRa1OaC&cTJ-cPI<7eD9Z{sheR`uug|>63k9p7||KSCyW#lWl37fS-W3+O^Og8R_ z9q%f;ejlaLBj;pnCs1RpWt7w4&97>yVhil;ik|fX1cl*7|X_Y%^6&RiDLrkn0|q2 zMI6nKjb~x@d^Db7Yegq6%D?w!u7 zU^QQU#ZTxYtkY7%pVutGMw}&5!Y>atJ)Z0}zviL!K2-nuPesr1=+&(GrGCxHY7Q$`iNu8Hqxl7d} zEqymEe_GJwIevy!V|r6S1JNJYeml*brVE?B>Y5uKxWJ}0nM3n7$@f!T-A2Q05A9JF z+wZaY&_Bf5el_4C3>z+$T;Pw!t%0lAGZjSVaNfaXGW;vdqUtwop4$WSd-L>1E(+sz zALqTtG1;)__4`6290bdzkHc&8e-VAYa6U-hjkSqy9p@zx!asbeD&w6BoA-3rS8*1? zma<%rgG3hEab`R_V0a8V(L>JzMBHIeyX@ep*GlAdKOpE&l>n0p2L(PB7MSh+;>|nt z6XxcQCJHwm;C80@2%|v*ELx&l8_Pb!^6^~G&ZBd%YLW3{)+hao0`5s|^Ix#>$V%Mo zBn4Y}^DYh!7TB$NyvZj_8g_Rw_GnLSgT1msWk)Il^v8R?)K*%XW}^<~A&KRjm(o9)VS;toZo&JgoCqI1B1eq6F1oubmM+m3|HmTyu{yFI|Jf$F>h2*{$IiTEaGUJqaeK zj{f{^$q2K9i<@6{+`nyLUG$ zJN9Ke)~7szy{ti2;LjX5Xwm7%bT-2A=C@;m$K&Coy^O7L%}3&k_%t!A@3~Xs_fcrj{%5+ ziCCxz27&^LfuIZw1O-J=5kVTIySux)q`SLIx)BjDPywYCQA80zIP-nN{qEn{=X`(m z>j(5FAJ)3>wXS>4d0k`t$59hRD=hYlI5Qwd_g81;DhS>lRy?nwxU(NVT0D|EP3BtqXC75><ENr zUT|JI{h1}%SFGr`k>!K9`h*PAU2hRDk+JnC$rOoyYnIbJWru|O8BbmlZ%#rt_X#Bv zU--J69bTm!hk%LvAs%XypIc#Zn^Bx(jU6|5nYrwV2$k0x%V&xask-1=L$s;U=XEJl z;?5$*!jFr+iRcss!w~MBkM8`4GG2}bz} z>yijZ=g0MF$+>DI>W$obR=FR3?=@WI6v+(qa6#Yrjcr7W+B;XN^A6!=Q#NXiEO=C2 z^xpB7Frqry(h}lRNancI#Ovk?#PVlclI++<_AePfcy5#X{p_p9o*!h!(n(+g@4FY|hU+nux^b|!V&py$= zK)Cb4e){-yl3Q!|OeK^8(Q5fRa%m(7pk=f2T52ld&rrDJNcekkC7U~@=)Djhy7zr@ zjxZ8b8YuUER6`=`7pvrSl7IJRG^lCAR-$v9d462$DUz8RWna%(BDvs2t+c%|QqD;^ zoe;f@u-Q9nz4uxpa`knFOAgNv-OCj$-aL(1o|n%GcB>&ySMA9e$$7*FtcX}~TMP;D zV_$X>jc;P?7q@i6m`U=t1CK(h7??fnfWk-|S1V?}1LsjKHjf|_W^{$u|aH|l3d zd*IFSjO{hjDOVZV@ns=BoNuGVbB*7%|97wN&*J~jsQrdG`=KuVwJ1|7*dED2dR!k9 zEtpNKa~dX)XJjFc@9y_dU%=qL6ei+uQ=;DR*49*-5mq`&AZ+pdQiN zDYM?WyW-JZ!^e_04kGN6xJiG;s z2cG=Qb@fB}(KY9T7Xnb=e$6}U)@tN5_jlag-i{29v(f?uM62;i!$88`6^ZlKs%#`r zH9qmzuF%;K;z^+&jy3E+%!W>zSA2?y6mH0oDxO1lJ3X7ht0sg->WU>PkX*gY;4YT1 z3IxtL481KbL4fj{o{ywH8lOb6bkqBxf>Yf-XPXKN@2B)Ku`wgpyixh_76oKhi&vyd zgd=rw%ab#+q^J0?!HI9JKN4)D;s%4q33HOuf+3PT3+nxEuQI%gD1T{tLAqL!3uxjU zyOj!Ig%zD%EQB+ct9Mx?g5(Phi|+n0Ozy+w3uhf{#n4oItj8j536-Hg#bsh*P~@`P zX1l8n^2~@8j?o<1=AFXFqQ4_u?`MR#Xb)0E^7X8P$UM9J(Pe!fqH_qZRJQ+^K{QQ| zay}7WQVg&9rT~cvM3#(uMp{)PLR_%fTc7yVxg3SxkvVsW@Q*`zcL^Wge^9Tw{UloG z4yv!uxQXhzX+M{(BPh8SaPn;H2NbNM&Af8%6ms_{t2Ml~Lgtv#)2WLRNVAvGYCd)r z$<=+7hQBr-QRlW%+4UO2Vkw~3A%2h8rp7_LH93e8NeMJyJWjGl^JsRP6JB42!-_$1 zZ-j;SJW(n7gpg`RS-nGkXzi}^^4>#%n%m`1FU74#+4Bg8?`^Xv;)~jJc%>ThHw#dT z!5KM4TeZ9_ijbMxk)O&>vbWL-!h<`36lTuyYR_)+y|&go&L|i{$zD3DC!tL zxS^?_{eWV|H*b)CBh3ie`IEi>@}Iva|NLk6`i%I#4^FZXZS19e0sB!7%M&7UvaDB# zc9OX`jFSnr=Qtier#%Y0t>>3^N=w16*Fsr$behcFskdjJt0rt{<&jflU3DmRe=l$N z7>D!B)YnQhhoecD#X-_nsbv-J;6((@7zOiCwSo z@yca5i0$B9clHk94P35#cg7BmhUXrM?{Fjf0|UMLM`qzd zLiSgdsh_GiK99lX$d-UBmkEnJwW!*c-I_4ZTHN^8hr!VOh^=;nn@#{^O~V&fl_c9{Lsx2`-yn89IbkP1j2baO-OA=G+dmOD9vh?iT;4i zLG!FNT%BC>6_oG5O2{VKg7!Xay0>2TrVEGN8r8K`w~oV}CVZB+OqDR#*NsxK?}I}t z-GKSy6gc{?-QsCG3a9HUKO}754X5RM9IK+pj5B#;{p0goa1kD^U6=a?F7KBP&{>I>g+;@fWfc=>b0cDRdPZhXil3A1x|Wjut|!m2vttS8e)*m_-!w7f^2H6x0% z$=u|-M!h#FWfSq|ukx1LcbA{|^S{NMn-GRm-qw?Ts^nRsJvk6`dmJu%Iv9VfA$o$k zh1XWQUlYIh;hBd55pbQ2o9udS1~+@1WZUaLgfXJ%%1~DUcdrBP2Htwa2XL)Sbg>`S z(hQ1^gXm%VER%1sId zaVOzESyEstcoP;6t3{)R&0r(#K=GRUD&ZUM9tasCS{Ki!hoiEB;gF%c@>6Of9Mcxk zD+P<-1U?_r|0o>ZQ z^bgGw_Jw>Z)0E6ZxPMkzt!BIe9_G`VuCMTfRr~HQaS!WYo46FQZb||6ySN)PcP$Z( zO|DD20vpj$+!i|1ehN-TJiS_%JK!uMca*b+5-un9R_(i70hh&E*C*qSv~`!-pXZ#7@xUS^yV-Qh>(Hy2lJ{xSxSPuCZ#9}=dEE$>0)$!D-zd5Hf| z1>qT5-pFNtDM@Nvr}`zA1suOQ)gLM#HfqY%mP>TGcy=^lhSve*)BZfXTunsGT@-Y;HVApw zrRkJAb|HtpQ{|lfYh=uQp6ox?h&1OPJzgyPkrEK9**_+Wq`Aq%pJFVK7}5FU`sQ6o z&`CYvVpWBB)!O$hGeDe;Wsn~wIiF_COp~J;Vy1(m<%Rbl=0aEHEAc|Y%sO{US!pXO z8u+$IdYYn0O>?f#v>$n+9RZX4q@Nrymf7Dvhs+Nn?q9M$ApIfN&<;5bq$y0PJDghVkCcev>s?xaTojhjh?BAI7z=O_w0P=z?;*AERILafE(x>xB} z5!1X>G;=}$O}6)T3ziC^@;!}qEemgF<;&KpI35x0@dDN*F!4of(ez=>>L%ZDsp z^T-HenoJXBK$^A2@b1xdNU6D1e`p(dAHrWK`?=O5Y4w$j(ocDjF!ow?-fao-A56EM z{jQIA8U~BLf}e;xd$i4Aoa7eRT@;&Q3`Dc_w-LG^YE+xspX0g{jgs7K*B3RkC>U9J zMRzC^c}fe{O0JqAC-6+n6Tc8-$+>W_PUInD|Lx|hgJmSIV3mXL>J+4uFV;M1l0mX! zK|#w}IV7Ff@{%d30f~oLgz^?uSIUj$SoxCTq&1gGDe~>V>Mf* zBk@yA`L1vtLi<6VLkyMXsO`PdBpm(EMc+W)sb|DW@H;?LjvC#zHb00oWwQ3|3G zT>Qho|Hr0){LuaD_y5>+-yxIAto%_cTsZyo*j5X}EoYgHviUwd;+{t?rWV4BFM=^P z*Aw2M?xL0M@8Hw=@_on~d-!Un>iN6>g6{{<)c&`+@RRjl!BZ{?zZS>N#`zM$-po#o zZuf`3=drG+bA*LC(k9s*B!B?Ey>fT6i0|Gr&tvUwl4Hv-v0J^wkLbd*GbTC<;WqrM zXQ=ovVQ#fAue#t!xcTv!*Gpf+yGc2HmhJ=b)vGW*eej&jotH}}UnawkimuA!WF!2{ zujMFv=D}~&Zbx@N$-5KzIYQ-P2mkb{&CGEr2%rjjVmdN|0Ga*H_e^CFko`$)<1*1D zrbN(w+j$T!PkYLl*PVkq_uAk{x&83mUXdmv+Xt^K$!or}>)}Id`r_xNOYmiy{&k>G z9ljYl<4=yfg&+G^!D=5e_m9omInCMt{|zQLVm9-_-`H=l!-g9E&%Zf@5LQRP2`!z~ zZ{*2!hljpVs3E@pWfq}t8{p&->y{?n30I@w!-;m|a4)JCp9&>fR3BwE>R-C>-rJfp zQS^%F42Cncjs(E>-qfxpokjSLmQqvCTf$G}?E{8FYWNL!N_>eTTzxT>KIxz}@GnVV z>ZJaT07kyEM?7y6uhN&hJWDMI=vBVFCH^x4k5HX!?XQQ+e!hyy)kGJUdElCpiUmBX z6J*kV?t+&M#h^X^5PVine$|Qfhwo9ERzxZ8(iKx3$Y{MSQWPTeIk5Di?h20?TOCf*>hS683f)9yTJC1_&Rdq=T``Y z!R-xqW)Cwu#U)z4y{_l1F@A>|JK4GETVT6nQyO*Jlp?`6^*l7 zn@$@Wppvt7`*Xix6b*G;;&LIm?;7_j2ePb?%YLQoq%b?O_t@Pv@0mqL`ngm#O-7`Z zI40BZq#$)KgUJQICrEA#Zt0s1C;XgM>?4+&kr=7qn3_U|gwl6cddW;H{!?qyMLK%o zTW|l!dWHDy{KDE5-VpzO)&YAB7eQ2s$GH0|PNBH>!7nY_CKSMlUwEz`x#NA~^n1mS z!{_Uw$T5V>@x%y04U+#d5EW{(XpA&&ABuR8b+_$!prj!2lLbv|SzQ4yC6`&TmVT zMWOM9z7X~_ zgs@s`E*5Uu9U6ji!`gHCM9WibG^f$D8_Jiz07i^lgoJ8*()}YOl1Dd>;O< z6$grTAj_kZeaD7YWQ-kflcs!zbgT2F7p|Qpy2sO@LPb1CJzPg6oK1RiTpl(qQ#~X< zNL!y<=_``#CpeiY9-&>QJd#0&8g=`MkKLJyLsiF?$q5gV$!XPXSC>fkVPS6{REeEM zp(QsvTR72uyr}1WsnLo&=P%j&>WS`B`^BCVO419oyW1wNas}C{rN<9?l_G0J&0d!9 z1IVaLycC?RPkPq-%?4)4J|J;Be^F{F>HiBQvT1VsuKoX7_fP*Z-xs^~-#om>{-+t= zKRtt6zHo|%?4F?lb;&(;P*pOLP8EX^PK1AN_`!)-7KG#g?s;DF)TE-A(zWiWiH5uq8{3nTS+ z8Fn5~Fq)A$QxjiIIC=LZ&Ru^Dg&U84s?OcOgFPy{9II8K%6n*4?oKlA9&L}h_B{hy z!WXK%hAt3)yWyn+>j__=NJ4^!lLvZH^K`FIGeF-&XLH-_Z7>kn6{FI95(YoY50)2o zz%Xf5&%2*N#P@HPNu#?RM*V$)SM}&%{P0m-Zh1{OT{ASd^3 z$N*}q)2P1}OhBXf@hYmO7tm&rQvE!!61qnQ%=~YtL66gFy|bPP^!JYFjNb+HfANe7 zMs~rV^~JiX>LeJt8{Xh6G=R}LmQ3{(vM_qr@qx5XlR-?pcJY3N6OXz6jc?aFet-8t$O3?d$ zZii9(G3bAyJoI#00|w8=%*HjcVVKHjRB+rHMtYyd_O0I!<0H0|ES>!@erdRob~qCz z=CuZ5zGE=m#LFQ2Yb8t{xm#|lsKrCy%NHgMtf974TtV?J1X}B>q>AS!p!1yR=A66M3CmD<2HpiG<0)rb9LJ zgsS2&~hAxx2JVG=n}gue83D_Yj3n$lKR( z8rDQl!NkGEkO}DZD6Ssm&@pXoTqu|)m zkkJe?JF0Aj1__uyTz7-+TMaDE8h7f%rNeUdQL&dS;;^iKVJqtE4=bI-YwoV8u%?%A zx^-)3t37`MpYXARr-v3PZ^$&jkKX%XW-8-f|sd@(fO9^QsCrc3Y=Gkq^ zBbErgVOsihVm-nwWWNCkENk!+o*#CHY1+C{ba76 zcF4xnjIh4_g_@ru za=_s{AJOwgS8ciPc&UQq`z1U4FeUkRZrp2CheZ)5w2?-y#u;%xHnyESvJ&x+BHw@g z{u&7<_nzcEP3GTq^!FMclk>OGiRDLp#0y<}Vr+J@4E~(qR=bF9Ey!N+@tV)b0B>3NRqKk^GacV4+oICaav&cFvrRj%c_JIP*n zc+uNrKMO*)(N0Vo2qFBl=hm^48xiR&<56cIjOh0?CK8%o$$hSE->3M3e7>w2>TBE) zx0J#cUOt5QAz_cU{Unbm|H^v_;_pZ_j(jn)g=BOeb+rtA*Mg+yra7^*E0JvUtH?n? zjd1gQvQ$_3k$zEJdRsop2)j4u;+vp~NCU<1D^rMX|KLbKz4Cg*n(;nNFR?>hfx==e z$%Br6b!+9@auy_fI+XXU?J5#KO$>V}wjybOrI%HkPWT1T;GNR{gF z@-aS*w9TC+$M1w9t?FG{v!V;qXYv1M)P6&Ji;6Pm z2b5{8t>I!yM*ipgyKBC&Bj*akqrxOMr01HRzamC@H;h`lxkdtzc>BRAPn%rCZ(-l) zd8z=h+}^jBxE>&y=c04nKJtt(bDDocye;9IS22pWb0g$C^^)@)Qv@?aU8tk)B-zVF zkGU@qR>!2(+hdMHXgqXOvPU z*LG7$PQf)~ww>Q5TGNlzxf^!r7f8)4oEP3cYL5gT$}G`WClTlI^COl2FkH}+^6@jOGIA$N=XdUG_DO#NCs z7=p^ktJ!zfQJ~0^_b3O+L&~$E53cbMLAJ|cVaTT;q}%%Jf3a;9Ql#CvxTcAQroDrI z$b19hGxtwBWe{)B0GsK}n`tC#jJ9jJ!~&7Sr}U}BN)cgWp~Uo#Ff!!q6z+Lb5H`r8 zh*1th!ZF#-m8M z4##wOSac2};{7GbtyPH#duEuFu;Bzk=3UjL_qw39kMY*Yb^NH2Oex{WXF%D&j{|}I zK`0WGrlQb{Mn2=Gvt?$+gy&#$j_TSoWVW`kNJVZ&TEl}OjwT19<*`K$VT&a(%zfo~ zrGkVrmmWXyFe6zoJ@z?+(uigG_IiG^8=^~1{1mp@B2p)Emyy9cM93@X2Y>yq+W)sb z|KFW{CbFRa|7Lu?|8!lS0o#0mzl&^lq)aPztM4Gvi~{ zrLZn?N}Aon30q44Ip)VPu#H}r8g?T-|D$Qbq8ih%%jLU~E%StAZrN+t6P}-aOwZ=0 zvLuUo1J91q@$GOhqp^Q`L!r;-3T{lnkz=XjyVt14p%+e`Y z?`~*@MOn;?z2y9CWz_8bLiP}>=hg~cktcrdLtJXV$eF~p_M7+^HDOqb+u4-`)4}ff zlUlU^!m_^oMy~Kj4D4IyuCO;Kkc_B(7w^=B!y)OQa5v$!Int&Jq-d^yqs~e15kV#x zUdUtr(dP{l&kfJj3uj=y{>{!t;_9?sy%5167Xo)d#HPx=((rsbVAggOEL( zNds^cGk$-Vc#9niBofXUh{EWC(A8W^E|^{`V@dY;0Q17A$zOKYVOd}oxvZl|G^&Ze zT2Ir%W;!G*p0f?MNp*GM7Gwr{xiMRHgm`XWEy(_CF@gO9$A-g=A7DRDWx_{8u3M?d zx?o^49A3JeJF)*TVVDd2tme@q`U8uL6bk)t+Ec_on^gl7dP}R#RYdDte=agi{5dR^ zOGmX%$>}I+O2~KmNV$|Gnq`qwxRK>u>x^&z}IxZ_9>}O6y^c_m6W}=3CC`$-HHvnVE4fF_P|Ua?Asg; z#O5m!4T;E_%sS$i|MiN0wR8=f##Rga@|}fqS;9Hjcg=9IN~gAt^osYxF?7B2x&OMgL{2V-g}ZAQo6g8f6)mRMup~Et%6}Q z`Q*UdAYhlO^X_I6(ax-U;9J*u5ss%rx&_p%N$2l!nhW94Iv=+4+4bZVnKwV4+&L=) zmxdJ0fS+;14>uuWu($?p?6iA*Uz@*=Da6G&Fak>F#4%y2#J*QCaK46a{Cj;0=pfm@>Zlh2d&B&)<;_Q0GO+@~re z_qj#E!-Y0WjNt)1cM49s`w|{=%El7!jl;0Hx}N@JN-yCBL`uH?c#Y%~YT5e>5{;5j z`4+cM2RLalJg-P0$~pu4_~npTxF}B6zuL|XR{^?)*2nANwqCwt)5u!5bs3y`rmO~c zSE~AZ1?uoP)vpzEW&=FlQu1^u6IO?{p_HyE$w=8g+`CnBCA>0!o$_8f4ZAM2Fen!uRl-*V6hExs$Y_{Ge&dCmzkF$t`*2fD>@inl%de%c zQBX%l4Re`H+Zm*#JZ^6ui$KcMz_$li!jNndxp{$u%&|{WPzE>?KK@RRAla!QBrvVN zwb;D{@o-$J<9Cm|52cB(9kz%q=T7eyia@htXHD0t=csl)$9g(37$r6Iv&{Z=#+QhL9Xg zMgPHT5=m-CUeyw5NR)l9LralDbQkTc9SgS+AL4d_@k2fF5y}jmIh>DHnrU8Yg&@?d znKrt4^*+kJT8XfPu0qj7e_OUp0}9Sm=#JKT5Z~PMZ)1eJlvBSwjL+2u*^DchZeE{6 z=FT1bIs+ex?&4H0|4lulP6d2zY)(f?{GB~~j@yxJ&9Jj%CE1f3FC^$#y+NXDU*a?u zVV-5jJR?C{Xy=r5EV(Fy+JSZcXH!a0#Vr)3Lvof%UmpH^x@QDMlUct?j#r?d?xsTv z$1L(0&f6!q3m~^Vfc9iy9dg1am!szGk$qKowfjUJGGosB_l?{^25Zh<^>yq>>oa*Ey^naba_|8IN#zo&eEdwBol-#`7=fBxm)|8M<( zf9$$%|E_}fYv2YrpZNYXZ6*V*zN~X)wA^r47-Ng+DIm}7M;{z1kHd>us-$`L6ui%c zSM1yy1s~ot1$#2{@!fco?P}#V_?jh4zc|4Q-+tdCYvk+TcbfUY!VH?8BGLg&<=f*rA zYHz}TTIm{V+M5pdX0Cm?;g;|`uU;iH&I~W7?yQvh{qT-=V^A8s3ZDecBh^<`;VX1$ zv(1bNeDe>}-Pf#z-!{jwHwn++XB4>n`75~(otK%f)Bk`!vt(H4-52oJe{lHx)79{= z8k75MVh+cMqVt|@L~AQ`Q~wgt>bM!tQEyF%fd^+JUym)}%*01+PCB#=UZZjMp7s-c z1*N)?{S3L@)khiyJ3T;xrsmh)dk^37o%u;YhVZ+7eA&*o1b$fzsza+)iO)aDdVG96 z{AHv1?z7dxKPUQVp8hoamuXC#_p!ox^ASO*Xp)=sNbg&6W2RBS5#yAhIV3uAT?$I5#K3-Flqa z)c!g=58k}ty)}_!iPanzk(h+{N9V%lAg@PPr7YQb9KNAO3W`f4uVH($guCn>`1u-E zShJXu~X4Jf}$(-e7EerBX6oZ z*m)Px)zw_Q*reBsELA_Ls{!K3pmP<@-KRo$C{xE~X5JztDZ*dNj}6K9f3Q&0UPaOc zEgSi6Yb1)Q?`)eToO}a?2x~J7#OHS0S~NaNc>PY}ACt5Z_dweC(E9smT=J10-aL&; z;XJ{rWs*ht>-FwJ*FfaE(=*kr@>fzCuKL_-jO<6kek_IX znIi7{+4o=jFQKWF^XRlfG^#XR#dO~i|Ne}6`x%CBD3CjT?-AD+^47kI-cl$@@_~v! z?=rlGtP=wpB7*{vIlkG{RImc6`>JQyYKd=t+ADfH<2ED>ziC{x`y=s~ z1ht8Or6u~hil34O*O8#!_exEN=0q1m8>(mN6kLtms( z7-AmSp;>`^mKSR_3U5NLyzyq?ZH368u1I`*ff|{UTn}4kS&?zDV#D^JIpS@}mNViu zC)}K{4PH6DNDnpAo5nf;myAM#DGAU!T}p(8G${kg$QY#y7~($Wmqe z*p966Dd|^XB&$=XHhhri5YvZFdDR5ICHtcH()Ssdks4^SpKjO_50KZi zY$?%Ue0!#~`E5AbZI!EYquo%){XFp)^=?$n3fFR-nnGD}*quhvUKBU3X;Ey`LSb42 z-F+Rx5u&+jDEu=Ld8HP$elNX{8!yIjT_O}Y#mTdu2d$9(Vw@{jV-{IPvWEI&Z;{Cx zc#M%`mt^c)t2A@M66q}KhZq)#kDt#^s#pH*@7n*bb)V;N|Nf1?czl1<{-3|6@cq|$ zzwA$5-`_0Hf9$$1cTikEhLAtwdidAjKq$3D4hna2;9>p`7w48x zsGZzLxE0IL(9T|2{zV;H#syDZ!=O)nLQRhP zE%ft;zXh_`z(C^jr580vVKBv<_1!=NhNkc2Mbz10__Jo!SxY|{8NB+@-QNy{4cRRI z%pOp7(>3T=%MKMi6Z_23qfq-1A!Q%y2~EDpi^2M*pnZKy3_s6(=x)C_vM=ckbm#A% zDEl@Jy=LhW4^(Ge#&hGxU&N>$c!zWK}u8e@84IhQeZZdb@5O%O-@F9$X zH?d`G+5+P(QsJ@2Tu{`u^xb){5)az+zP7J;3)SqFw2$ZZLF0nPS*~WcgFj~wQ4G6$SA5116i&j{uB!Blkl>Ean%n7%AhJbc6u>eelz zoIPit6`ZJ)|IG)wXV!*{JUjtC&wWh(H$FkXIiP?&PXGp=op!OtJckk0&VYNF4KSvW zq5VSB2;;@OV@pg8Fd3v%GruSa(<1J#FOTuT%sS6=1?j_>^R8BDZkmJngsH{3n3b^b zdbzW?{4*>M^;O2t4gIeDzj}Rt7XE*)ZKiy}=-pYhmLk4!?Z0aO??3-|POr}flUrX- zKOoF%zoEXn`Us{}Q?w_2{!oi=wHp+g5S}LVy|cR%kK#|XZT5YDDD7Tv$C|f@Sr@=8 z(nJ`@PxjbV^F$!lQRIHZmrBGPk!UK{mOxzd;Ox@!SH$1z&oNUaIemjR$z_JzBp1mPIOArqmh?e}$72HH`;e$scx0~Z77|Bp@45Kl1Yv$9 zDj%XHTGNz~egQX1gt}Ir-BS^a2zq9&0F^~VDrn~(krYF;RqfM{N9_<(oRNBO$qup6 z40pnYHA(-VE=P)m0`Yt*FT&Z!5kLFN<<`tuB*b3P+j)%*iRbk!%w#-}*yp%HTiqN< z3f41}wcC;OF4}7Rmk)wy7JS0g@)3G|Q#Yk~10wdOrT9#KCLUlLu@CQx5ko7uSVh-N z?(-VkV1Y)&u}uekv3`sAz0IzB4jLn2h3N)Ol{HA{ak*jdNw`g+u{BCH)x=+6cYp7F z(sx+6@cQM41SE$WK4`4ALkdTS5A%r(q?B5@bhVx!ynyRt0osj-OgQSfZG;O2>Vnuz$HXPp@6Ye)usTijEMT}ay4d{m*a5XmcW{^^Z&)*{$E@B4GDsB2aeXEOk0ei=yWymXL$EAGOt6<)wf&w)_5bm&{cZ# zSHh%Zn%ROt86@7jC*f#zdJy2`T??pfi_ifqrN zE#jMIknX(QY-#KbQWX5&vQd(pf@e3DA8cMvbPjuF7A{U8b~G?Jq*4ko5`zOdgkKmr zo1l=Hm4gW1tXHAjS|kHQd6%un0fcz6DBa;!LeL9#_Bllzv}`<6;z_uB)opitPmq33 ziGoBOE$?d-(6_g3X&glEVe)KlU%NPBbHIg38vbco3l*N-3kt zL(%$N{+W9(6>6mRdef0}dfEF+^7BXa$=Q>7U6$Ey}SUPy$WHq zfAHqOr96^p)%;5#Q5rVxt|t%4%fePO${Mxb}R>54gvd$gE7`*9M}f3b3I6tCY}%dSczHd?^Q6GV%;~cL>N%AB$K;o zH_UI&Z6DzH0gG=tUB)j8z)C^n<(ue4SSOagFfOKqP1|zsx}QR@)$(MkzaaqI4@y-6 zC72`A?K^@k>APQc=PFUw$KYOyT>tkbJUS&Qnk-tJ4Z6n`vX^J*D}KT zD$AV<9}Qp=m~d2nw4Qja758+JS*-1pfV%WgN!aPkJ`UJY0J{&ge654Du$Rkt8N^%+ z`_5|d?)qUk@GK;I-z9p3s5xH-4J|mXVBb)yZU>{Wj=gy*X@ph&>dZ~k(=hijFf2Hw z1WQ@|q~kA~VfEeI+E!@*HcGPJ83+&9R&urTt=6-!{o=iFE0qg&wiR;Q`DcM`wvaU}s1P%snV#%%Ke!q*(r`^g3$7?Q{rP^gg-%$9`e?A1J6F)dd zt%G1eYqo7n*%g*k)q;8RUa*eP2wEK}58I7Ag6!+A!?tdNz{e6T*r^>ly>_V?_N$%4 z{C<)98?wtKMVQ=AX71DLbF_$#_~VBt#lJGU{_&Yy<}v<# zF-eoKiR;hK6*hxiTpj(frE)lIrc_z6GZBuLI6bUPv*C1&VxKVEQ8@F^z{Kk*;oq+s z`Z+@U)Lqy5Fjh-g3`s0v!Gw3qY1(P>y%=s!l7e%1K9dZJNcq%6QMmsw?a`4*frsOy z*#q8Al9@p1+*ob~i*pQ2ch$*viu&B9CIuc+vsXd_%n6g@9NoDKbh1Q)!}aOY1(LgN zc2Ii5!WK9`q--Q;S;QVt;Ax8GphDxH>;M)ANP!?~5sP zKi0vmaBGm%z#ft-Jn%h_WLmiYFmjORnTCh=r{SyeLGawmzH#V)6FgI2-y1r*6;=*m z?~0RXVf*F*$Ds5}*t^^f7>iSb!?=uNVn`sIwgn$FUSADo7D1;fMjyD)2f4G*loIa$ zf!v&SB{CNg7fN9L1UJh)cc?iJ!=1CiuU#z-?l0$SEkstq!*ZSAK&2Yd6VTZO-hTzp z^ygEm=SUx&Z(DDa{2Xjo-O4mPJp;P|`po(cX*j6U^0YH}z_Eg{{f)jQ$v(OC>J9%C zoIjWKwe|84CPuXIa(_R`9O;(jJ-rOKz@Ei++M95{$6XTN?Fx@Ao8$*L`pA7AOmVnL zGBGq?`e^JFg4g=5mkNe|!Yl2Q)Diw8@IG(!>&iRA<~Vh5>F(idI5hZtZhA+uM-Hri z^E25Q&a&gI7q&csi^XcqEfMo@wY}?Mq(rh^^dG-@hGX_?QHayiz2*Xe?z7>@vVjEEV+<5>G9X9imeBb_|pHeX$>pU>F*1XdT<5t>Ae+Nhjt*Y zVYAHW4IjjQ7f^9XFehh(F9UKe4v6v5tL@JlLu1!vA<3PksOaORpzPX*BFnj{mfg0< zTeNMymo9{ye6RIKguIZor%`+GTrScdX^M2~*CI6{l5g!eIY-V0sXj|3x^bWT`~q(X zCr&ziYV^B1>FZynzj3e~@p9J5c1M{J=Vqs{E+>gFrRJi~W&|U4n@8>|vn({Z^tIfL zj78<_*YqWMO%!WJozW$lmi+d*zHqrI2Ky(Hi3-q;2#7A&apw>2;aQp5U2)4BzBO2hf zS08-$M{KvpV9#nXG`n!T+IXlL)m~2+r6(v+(j=XcTULXD#h<@4l*nA&YW|E!-fQIK z?CA9G;zL$=kE`R!Q^-(Sc`39i329y*&dz9%d|8Sm|L%}ONKVVII;87~Blij}v!Q1;Vpx7xv8 z6n*ieyH5Hx1s5nSz4(aU;(AV%wa`AIwKjY4%peijoXwNb+((ef(XX!B*@kqB=N6@s z4oF*le2;JZEu@t7-R*q8O!Ni@IjGr|)FNG%f?7w2bNoR^R`S72Dga}22+2QIH1IDnL@ z4HlWI-o*ErX!K=9;&<);+n)cADc}EH`+qL^|F-Ub{-<+$>|Kg7OkOI(sXkSihn?otF?ieQK5GP-H_MtHiM+iR zzVyYr?P^WotKZ^wKI9gBTl4P9h!?z8;*-pj%`&G@A|a6L1x%dl`0Za*qsh;h}yLzPv|>KWN5#5!Nw{^|?73XX6r ztRIATYW>BEy`SLY+Hmb_jv;*6-qbQUn-TWrw{SM`-SB-kY&tt_4Zl+n48Avs@7t+w zt=pU|{GPHm$v?4&|BkKp5gxbTprRI_!MFftF@6RXbD|G4uY5Rn_&wZ@o4IJ;7=cH$ z?sO!TWtnn7EW3d>W`j4j&<}d-b%Nh?O&Z(}KL{$g{<7 zb&sjKa|8VDy}Hgg(+s~frLQgIEa5jtB@jwLbN&KnZukxFCmCn5vf123zt;bKzia>- zT*r%hoo>&TR9Ir7SP*#I~iWwTR6`*Xv15`DJELA8a@IY4er`L@ZBu3$J?bC zz80pklm7YeePh7?+{6riXXKsZW!lL6o0UIGR0)3XjHxcO_`;uSKdPH*Pjz- zgi|QXoH*}S!o!hz=RIo#x2A^!S=_VmxL1_ald1{Nf=HYDE1wa4!K0%+mDk}t&&D#M zJ_et!8*WLjD1)!2$Gzcqgy%ro8r<_k@Z+s=c9GSCU+DB{%g4;{o7rRjjIg%-FV7U& zGLgA^$k*#~TI_`9;5$BWU?p7Uu7BA?>qhjS0=Fa7o#A0%Fd_O@AD(Z@L`w;;$D8S5 zj}U(Wd@fc!{kB{I-$RE6dODKe8+YAm?6DU7wo*U%nEwKPwq$V3I!*Wu$2t#~&5_r$ zR@lOL9sY4AC+=}?g8%$5*S#lX&VTKf-Nsyc1Vp{3YD#taUHiY+{lDh>{|U91C z2`5HNX4Dsf{_;(kOmL5f?LuN*)Lhtgv z)f4{gHVUc7nzBfy^EHN^>}=of7iS?h^pGuE!J)V@dxPv{V1AbP{@ z2dN*E4Ux!LoIlJRjMf|9g!dJGM9sa9S7|TjQJ#3jW;K5iiqrNvW)A0|aLvs_U)F1o zo?Cd$Ku-;F)3nv?1mlonb7#djEz*nJ)O={Yv^FyJx4BD;R}lS&Ip^8Eq$k+BNKl;* zky<;A4) z|8NcO#emCGA40c5&!lEKnQ<2S7h*o9yo9O$r7BqkVeXX_hwk{ z+4jj=-5=JKLnoYvi(w;_86lyShf8Nk`dcNz_um!P*qFORfABINqgE2G>+yeFG^Bu0 z+T(ezn(Htriq_&IIxaK6*|O`qoME2YwdMiS6fBI^vobesg{6Q(SLBz6upC%F&gb$8 zR*u&0Mx-~lX1ir5cdr80DV~94Q*p4_q9OL8_bqG^Px41oN#e5Ttt-Xfsd1%bJXzHD z7z~o+jMxe0W+<@QglWP9#%6NLkFPMp)Z6d*YaW8HOU7P%m?j5{b#@O$s1C#8?K*>1 z*2KTRV9NEuu7j|;q|stPNqqDf+FQ+1_rf}B+BZpq88(vV64U%I!=|ZgS8l;`*dF-# zy`$3^x?$_Nx{hza)z1edgs1l4+N*I-)xrZXvR0tkUTy}H&iMNbv?ef{q6Nc27npyX zn7?s<3>Gh9-Y*pC6F$SCIpu*qSUGQ95ZlTRYbh1UouBPsJw>lCU#bh6;O)l;-Vq=F zZK4ye)+EEW;)mgdwVtq(d`av6guLzvAqJQJZ@A_Yt`k5!H;jyE4{@*z!;~@UT{6#B zm`gEC-+w^(8yW*Yqv|GLdEVB^cw`@})MHh*ZL^29WDH7K3 z?(k)ppBZL~l_u}6$kez0+#Xm??{-r#I0$P_S&PK|Rj?76`QTZ90Jgi=st@s!Tmt?V zKKjN>_->XJQCR8Q2c3?IOS$I8s>zwC1t{CgZ|I~p+j(L{vkvAvmeen z+>rHg_`UA`n)Urv`2YX(`>g-;+7D&aQmi*VMY613R=&{BLky+ZPjP92joF=AyYyxN z3E9Uzn`ZoxlsrbCKfV$v*QMP%29ih)ywB0PCqGHXF}q&3Oc>$qo zykwsrprUw==;zOv4d|+HB6E;JnM#V}v}^4WIxfeJtVgB+YkE#1j5DROP@Hf*lN&Pv zt~nvb&3k};_XEUz=d^yGWr9Sp&!4XQB_LVMqWhhd08;5^AD{d)hhBS3%&Cy*1b8d| zcrHfr_6Dt=J{lzXKmJMy()DnC$^Bws{>#WYU!l8Or3^VkExL_MiHN3Sj-JR6K%8_O zcN>K^64#HcJ3IFX$(8)^HTH@~V>DtByZ?!N&eRQ}0uvTGcz(bI;|Kqlt$(c zrWxZ`#2=~W#R%mC^8TW}-*v7VMvhV&e6l5opQFjgLRaFC7ZXtDv~d`DLOn-XsAZ5> zZOTn%5=d~5O8h8G@&HmPn8Q<_A?=`*E?W$Fy@<=)-+M`a*iF5kDcuE`Ulk^IiuE9y zSvji9o8%3x5Mas^F+k1_-Bu6DdgP8s(LLgQgS^T%#n~^J$ahW_-pwzL0tw~YR`wuy zfh%s8lQ~48XK98E$&)VPdcQ}!f93Dm|GVe^tN8y5XZQcjyW<~U`**VTg{s*7Ao%_c ztBobK1m9=Vo_6dZ_RLnaeaACgcg>M~u3zfl;yJ=$`SxP_3ZmcN zSEawloDZpoWG}PF972*eujJZS6iC=Csok?f8F5sdTz;`@5ySgBnUB#BQTEp1!E3q^ zu~01UIlDmc{VbE*o<{`VZ_R3(U?liHMRJJn8`8r$t*X2bPw@Q%cN<<2PRR7itmcD4 z$YE|aP4+P+wbi;yiuk&uKRB#)B#4K2K4>Oum~TL`yK*0hLt@Cp=_M~G#G3`^^egt0JkEW|!hu1E z?%(Ahlh8!)ecoNx?FIzj=T6Ph-$3yFY3;QSmI=P^-C^TKMGtV17$ z8$Xi_*p&J6^QApV-{}#3A@49!AM5|Gx+h{pIEP&&Bsut@dn^{RW$slC**pI@rtay(9Ut0geaWrctqMfYa+}LDAt) za8WTIc~MgW*9Z;Uw-iKkTRYC!#ZT~c-Pk$HkjHQvj*sTsN%GrISGh*}K7f1Mj-q90 zO`_+gJe$>a3m&1fy>j*h@1O1O-ba5Bo=W8mi_@&IyeYEFVWaO#>&0sOez*iGbv($DgzMu*u3In4aAR>m18hX!?R_$6eCJoVQ-3{v z;mR$zJ2t8vA>0@DsXvbU{_z4H8kZE~qMhNf3qz&f9QJc?j(Zz4il4N2D1j;D;fFCT)N z$Q{=o4@%)y%X><9Di7{^UtiL^9|HHX?&_^ZN8rJ`o&U8k$+8Z8S!J2V0gw3tEf<1) zdTNUvKWl9O&xTQZ+S`nV*U!d-FFl9NP3BbizwF<`R8!bI~^l3O8ok1DMely zvBTBo$xO;wKip{5Q#`PCnrMC{sB0ew!JT%V{>Jipxcj@$8Ew7_585jkOvL-b!?7do z3Hc54n2L;aIK2Q*4UeVIB!-DZ*AUJbAd^Ef!p&xshH0O|oylTDwbmfq69Q5xIak1A z%e#j9#Y%W&a8a;6en38F=Ii23g76GWWD8Q?4lfGRy!@Dccp0+{%kb;Mt9NGXQ0N;t ztoZ&pX{R}y#JkiMAAW($I=U}C;<0e;yu9*WaU}6;m~r%rr-a+fN9`@Lj&S#6a}y|| zfd{vh_~nR)@TmBa*+aPjo}er*|4wom+CzfAc!-mn2)0xD`%l8FnQ`Kc5%DXKOyDhb zxCHNfuXDXp3-DP_{YXZ4`FHLA-Shve@JY{4Zh!mq&Q?$|<{2(9%w|wB{>kn6`}U9b z>2(ZEcW7e$h#R5nY;5`C2sdTgZ(r*&@kX#2($!0a*S?iZeZ^!REUWLlgYfKqgc<4d zGkJ-wx`3;BH}SV^%~oCcz7&3TH7{LgO5nfcxZUc;+wgCZ4l(seK)|`>V;6|Wb->%4 zP^uqfZf!I1jxvYf{ND!FQJZ~%lkgDLd5LP=_!hZ=C+;}h@90ZzIc*D1F>C9s2QR`) zkG|{YNrL+td+#xFx&fbaKQ-?*5MIGf`_D&JNFKo4&v#)P+2L1Yu6o1m3;fTSgdSyL zhyS-nBMb4n5fHV=cC(TnfdULm=4_q_tQuWc{#G7A(gy_OOt|38w#O;ajvH<&E7gEo2VcOY#Twy5hJlk@wwZ`rs(hv%4`ddkxegh;_}IM+svHN=SUq zTRRFDi%WOY=$+x#yS@EJ{Uq_Jm;WN$LO3z6Qs%Dl?u0k9vekx`0{E=)?Y%7(1Yde9 zWtj^T1ZOYQS$%gHex?3?50$FmZ@Ti`+d_H-Y_aM37`lvr2WA_cZfX%-`-ub67l|H{ zfr~j|?hb;Is!!S$Wg=Mk-t`8@CEWOIuqHP@1MW}V_0A^zgy)6&9qJ1|;8iASR7(5~ zyr1_zmaxu+&*+N>%I8Q}Q2&M0ohnA~t2ywzr@M%gs2z@uiyezawAVXf__fbPaCo$II&iFO&`e_-p#JKx|C#%2-horalT7_3k?$I z){en@{RuA@GE??BnL1!3+(di|PADmTo+CQL&*@Au`S8Db!h>_>5dw}r-`5e4fWS?` z{ohv*U;at$Bc5YZgg4R2p})|HV7<{Tef2L8!ug4!TQmb9E$Qq1N1YIQ?dTJxu0o=N ztZ7(MH2YoqzkB|_SN;D5wSV`J(NMGSL4xl)>3u!jLh$_!d$hM!qC&U#^o~9bg75Rb zQHpCuu>ixJuOcL8wL#usQtlC%?~h4z@$N;g7`vHnBjH&OR4Eh?y?IuNsDh3)@ypA9 zWT+D>j*Qm%GKpA%@6WMwrYaHL{N4(W#_#)(7R=LW@vfBM`>Qm^7L3u@S52+?`6R*j zFILxU%@Um98C~$_bttRoyRPv<8zq|#IJ&N*Cpl^z$*+6)ksm+8f3P$dxu06ht@i&! zPOv4*`qwuI=Qz>V<-#XqDeb1&)Wd|#^JhjAWG*7Z_sA7G(yyf7Dc~O_*^TL(BBF|# zZwbDiq&xHdA;I^r2`qJgM6J=!8ZS+v-|ynEQ#pp)gdchRAes*i!+oX_lBzo1eQQ}uD@^snCC=yxXbRugilZj6; z-;9hC$qV$$Yf62cfb^cVsVn+3(CPDj(CH^H!T0wq#YkA7?ruevk2}dG`!3r%Txm}5 z{hbNNO^HsuR4(h1Aj!RtXOx)DjYiSsO)Kv0WJW>YJN2Efgpv1S@@t(Z>BaMWPb=^} zMUHc2)SW8A!M5zu&woXQEHBHH+Bb8^EPBQMp}htfljnA})rSy#-za6p>S44n-+3=< zwgL@{4~!oVT|>=m%Ev*2`-6~IcY&pp@E>y{-|*kst4qEg|1bkl;@5qv**XRVwR!T0rru6&fhEve5fv9!lg|Jo#7^sWSIK6`AVeJ_HluHwfLF3w~R zu*LsaEYa`X`NVj~FbQSZ?MoZm4ikTcsT*HePolWCi(=i)A1IRBc=;xGBMRo4Sa!$~ zU*7v1bpm^j5Z=M~1up+uR}=yY~O1=l>VVM;3S1U!Gil>i75mg8qK#_y3Ex z2RW7Q&(l#<#3081mS8e_Xr@jCaiZFtj&E@11~mS9{LZiYkEgvi*Qw9^KL7tb-u3JJ zzt8LY^}Oe6e1)l4PTM)CtXoo{76>$i)^0>-dOZ5BPsk$?ink1)wgYfq)1ZVCy`0{r5D})O= zJQ-izk8t~ypCmr1A-wfAFMYuQL@?1fFa20Y_5eDrtOD)uVK8KS_0$c1#|}F*@k%0q z!9R5u`R)Q86y}cW=_9DJr%gR07Qr3UN-OyaY!WMdz~ zqHQKeZr(xI`}+mE4-i~mew2E~Jq+Oy&RhLN$?Fe^6pOZ+BZA*l`qEGcJS`=q`WW?P6a*d$=4|T*ppyr$c$7B)0S$`fL+!~B<{p%|2{SpYTJ*C6)cmNSJr)bY7 zts^tO5s&dTgnKGYBaq|q96oRJ%;qEp;kUr!ET1q>{AiLkHePT+&?6&+M+keHd~G0E3E_voIuDUN!SLj5 z1%ZkI2>&op*p_-05eL(6?=IVihydyja-QUS&(??gmSAd~B!7l@b(T3f+Mc#-t_2@VzI z@SkCtym-17fj;fDU*Fdw=#yo>=ju%ekyNZ-T%CtdZHkrw+XRG(pFgp6E&04l*~Fyt z1rWYfeZ$HN4-p<>@j{nF7vXb`fp>b6$m?N#`_8L~C^ufvI6H<&#$ZvJ-m{3jz&Tp; z;10=WFlgSo>;CWB|Gn=2D)RrR{r^V4|39Pl?^;KctYIZtl=kVhRh4H^bK3N2Q0^X7 zEOv+*X!@e;(I#2F5p|S!jxfGz4MUNT^1+kFEhtcCxpDN}81nA?XkZK(M6OE^yTXTJ z} zJR<(@VZ!tYhV#giUOAG;R)pMjITois68${aPi`oi5kGpBk>RUrh>ySs!--xAWHuXQ z$)4~*=B9-64Q0mYXo`1;TAhnqwy&OrkZZGc`HPKPlNhRu+K=j!Y^#cOXSKbE$99=1 z)svxq1C*ScbMR=cL6OS*GM$Pp3Uadrm^sFgf2i%#s=PzUTiw*G#Lt6VMiH}+O{~b- z{PiodSue6xw)O3}Msjf@WZIon2@WyQb$8Zy13FtePWx5p5qzIT(HoVhzih9mC83Gx ztPIwgMFmufO=|7D`3dD_n(qX7{86@6FN6Q&O_VTAbza$_hoWN-X*~CQBeO4>A3Ki` zJl&snfRdRJd8YigTJxunYi*a?-O+`d=w3$q=S9eVXl>`(CxGm=dAyA_p9sFMEaPWr zNoH+q<_3G#;$~)8%pTRVsLj*Q7_1CIHJzgnpHVg{Hy5mTBfP%yysP&cwbW4NA~9uN z-iy)|7aavAAEVgBC;oZNc@#0UCg~*HM!{q5B*TWIeq+@;X&_Br546cUtI# zK=%WZ%j+VX{mczrK3`JjWU2_hPl@;9k8zv2$VhFv91XSeUr$@!LG6I*jo|^Hy74AA z|EgW6+PL@l)8QkicqmMvukMBN+B!aibLylYX|1nt3P2gv{-HcZ(jR4rf4NEaO2yam z#JSa~h|kd}>TlO#P=65T<1>kCN+|#*yYFy(obY2st0>gtd)@tAM zV7$Y}xRFB$CSNXy9nW4x{M4`cN*ZSYYYuc0}pR z5Uf@>X>56#4Xa4*8Mdp1uwMB{CHVyR}Jv)mw@?5)K(DzVOYf8 z?NZYt`h8jPvLBMqU^%4kqg4_NEAuJQ>=)j!`o=LYBSUa^`__+-ldi$~{U71y4%FjP z&by04qF%Vdyz`*AeFyYk?{~gnDTC|hvi)St^I#MlJno#43zKlKMePw9m|gGuA^Xt) z=3(w1K8!2FLM!l?zSe$Nu8}UdnL7!~JB(gb$A7@;+P3TL8pg2td0x4F-3C|(Gk){U z^nnel_^uywF0ctO5a!>#fXmBb9W@(EaP_dVw&8FpuC1-V*uIGxh6&dizpdkk@hfVt zX4}Uwok~r3TjC0H0+skye1?UR;mcr7FIaAtj;_8Z1Iv-RlF#s_ijNJV=EF zUbNG~dW3sSXyQCO5hV&s6X=Bm@@RN8|TB=z-;_+2s#b?69(q9+fFL18YyUic2Kd z$Hq^ z5z5D%WpGTygXu`PDe{q@;yaS64Y>?ynvvo`)w%Pw zJkmHFvj%S8B06@X9hW4jksdNvcj_m}z2B>`%5h2o8BbOA$dj2+=G8E{)jsbCkL^tD z%aJlg7lF_aL6NmiJ+ZHxiEt z*E4o#Ao;ke(6ae?q;6S#;i2g;(ylF;_Zb1{Vh6rO(FG%Y+U}S^)E8vL4!frgSrNQD zK<=^bL1aF>9DK)g3|VJ$z0HVcSJpGxYY%+~k*!8?ES`29!oIb+i+qeml=%_*v1L`n zo_cnJiFj+pKcnrckr;a0qpR)60x-Qaaw(Qx{;)t|S!`>t9DM&AO@@iDH zB02FlmrC7EAd{Ca+hf!bnVroAlYGp`($!GbW}rmYM*+=9PeWwe?pfdaxE|S`R@`(n zW=DjM59PO+Kt$IxM~icAMO>V;weS`NByM9k=AM#IeCs3j1oiqMH5@VX21k+B^5FE! z?RSu#pC3>VdI1?XS}5P!kk9wXT~p)r7RaKA6l3yufUIJhcjv~5m$&lUfQ*yxkUh#X z{AFGnIi@-_t2d4z=R@82hyGkduT{G1L~?-R)FfyRxM?AA*Tp(&Y)A6_7+)@76Y@QO zsI7EoAX?ZwR`zaCIqA<%p7Ay9MqUSEZ}s0nLPB_v@xpo}SC160KTY1> ziJrUW9mJ0zHMZ5k7L+;9c4|{h- z|`cR=M|0rGLhgft9gwUbjsQ28Qggx2!XVmkayM)4+gjL*{lPD4u$d%u$ z`@8o4?)m>N{{IcNe<#cAj}p2Pg74olliJKj@ckBb7yT@hDLREz$q%8h=3SBwohivV zcN!MpUm{u=#zSLWZph?1&(9W4YVN?%wSyH^Bs-$gHfUrXNde<3g{!Y3flt9FR)7(4 zj2jmZ32#D-(2ax~BUwbi*mcRp0GD^R$Q+0D9~3GHzW+L8 z=gAf{O6*vqNhm?JUdWC&!AV5F-&}UDcQ1+`pB)^HszUycm0RBsPv~5#^tO^0Bx@s< zay50O9WtW)>uwN#n6zPk_6iY_9r8fEA^gr0vq`@$~-6devf{9{~9!$<~T_^heJ0a!V#Gl`TB}}vWF-k*f#MA|^ z5zmPw<4tby$kWT-Z^p@k?7ooUdmXmOtnm)uI7M>i-)`yV=UGIWW<;^-BT`G>4s(t9 zEg>-`Ol*^qHRA08cCTkSj@Sr~m27KG5j}LPvf$n&g714yj%3o{b{E5mhJbsh)8+Th zzEq1U&blnglnRs!xiiTmpCK7ne*Qa^icyeq*Xl#^M&!PvzM^oNc#(w8f6`6Agv>-` z^I~N()1BF8mCJh#X%??Gb54Cmiqe&LoY8Se{HZD^I5ds;Sqr+&cuBHAEw*c}gGawdMkl!@p(#eKu6r%3b({W#un^>^+6XV3p@)c?0g7xuVzCN?R zJiW*s;Ga3`vl#i~gzEMsk|8Dc=A7#x*cC_EI~>0VhXiT1g`Xebv}f4kii`!E<5<~^ zR-b}P?~%caZFk^0I~JhDVTBuC`*}8ajKR(N`>6w4C*U^zEL*)ri}>ctT=`>^=;-UV z2s4j2!ec$!k1|h@9QW%TvdKi}-IIGS`V}oKkKbCq9KQ@3??d7zHMhcU%5=c{P$C?9 z8<=-)x(g@M(?Kg7yx`nRJ+|+FJX~2zLci@Mn&Vw9);t%=;I@gy>5D@Z+~RicG}B9m zJ9DA86$9ZH*q4eiFq8WuqOY4dHo-%FB1X7$1|I2Fd+r60Z1L~Pg~A;>VL4jhk!ZmV zn~yghZ~8b3`y(9AmR~#JC|LF3i+MAg+Vo1BB&6XY=vw*yrZ!x!kMzwo?Z=H^)ATTs z3GJqQ%*Xfq2Dsg~)nD7u3U^uBrp`P$xR(mBeTrTWk97|&`bze}!zE8T(2U$4I>S<4 z=0|b^R8GC0PlHwWm#1&S{9v0o_#$pmjP$A!M@_DfoPfIgSHpJfa8^AsVROp_E;SOi z`+_Oqy4YN=eM+3@+HY=t6<-Ut_yqbpuFv7V-szkz!zkRt-!#gdNQVdY{Jl4ZMes0> zEtPmT0FP%5N&c(1!&7=!P?@J4Jd<{>*(zTM8`pS^STW*x^}V8PQ}}C=PjLR+yvZ&& z_2#o5+(mpF^bB5ybnGK|dx~z8nIX|ybGfH%J_5Job0r7shu|(~^r4-h0Pe*U&a&&i z!9y^hVOy9!@pz}Al{rZK0@(IDjkxp?O?0mq9oZXsj-R5t`*kb46h-cDJCO~0bDDw4 zW9o494Y%BvrbT=MGL9)&CQ(MKAeopHmBehWp%AB0yxMbYo;0e3dnpBXLpi9dvG zSk}8$@Ywgr_hvT}JenUKV-Vg7PkC1tL6W)VdHe7T+qNylw?BvDtsv2&=hrW2EECPz zdVHX^n1r{t#f`4SQ@?BfchCQCjF0tKZU3ilPZlY3RwjvmZTbK9_4&uvzT*pxAD=>Z z;l{X(TYl*lxOX(|c1w|j=YFeYS8;!MnS@>GP~=IG4$+Z+F;?NGARZf668tCLX}~M4sKSoH7~WaZ zhbIz3*V%JDIa!Ape=@)Ml?L{8WHTOQWjN zcoEzw)Sb_0slcOn8>fO}i; zWhL_ZGZ(jL_!+{#Xp>HyXbA!?rS*GKRU(i%Rk2`aG6GAC4y7z+A?O5G^_+kgf`)xJ za2n?$*z6+T6Afy(@d>7`$qgdE6#_k921%`kmB@(fCtRF2Pn?!?e!z#dK0AK_6IXG4lJ;LYZpL`>zB8q(*)Q4QDddH zGZtmlb5D*-tR^|YpSEoCB6HgG_pDLm+Q?5g-)XDGfZT7@laH?1kvaC=_OJtIkgcm^ zTOHzuEDdRn^+!06sb4eQM5jk|gNqN+?x-NWyF_JjXD-sYUF$>_i9f$zLeZCSUo?H~ zu<#ZrL#=7~lhQ5kQQ5u9cgwmIGGEVVq)+~UQtRk0_cLB78lY?n6nu+<+aqK1bJ@tJ z+r6djDB-JzbA&MS^dd(!{N`$p)5w!{%% z(qFXe=n*Wn(~maI|I7q#hu*be)6zrTy@;qV9^#qx)6h{Py&n~BNw*Htxf7nlyZBiS zAC#mG2x;omqv*P}jk<~h3Zh~;G#kH?{N2T$*7^irC||lSXI_IGAHOTNrKpkZ{Nwu5 z2sdPf{M;}3f^dLqo4vUmYmxCeVl!*074EMncMkHdL(7W&4+aA~(6CIiB(T1b=;q}j zr5UVHr7&B4LaGlH>W|KcrQITXv5r&E>3LBqc{}(;=LHl?3jW-j`-Jf1ov4=>r%}MN zyN~pGkrThd_DlXGvWuv+y1$qrt9R)ALcs}i?b*?EY4Iys z-!Mq59k;@*gTq^v!|PE$mLnojN3yvVsBfoI65r&VFc73{ivBanU%#`lJAVSwF z_+RTKIVZ!))01sP$FFo&;7pt+(O19Ac)LVJ{PML2-d~+TxZL@YPJ5!K5AZAG&(=VA zkH!PxLXsiXw(;4e2pxDoR+l&>eg%GvsxG0KbntIo%-|Iye7GH-GP;Dw@4BAGJ}SvL z;>U7!!JKemL#{-$?05S}bnK=fJP(O}oL177)wmpCx?@S66a@Efa5fBMB6vQ_+e;6G zh@M_+;@l+R--l;26+U102;tLRMs?Qtq(@At_6W=*zBrqkCM+KienEC;?_dr5my`o; z`AH#A@8PCu6T&Zu=h<~(_kDYy2VBf9cr`g>fr%Mt3ddUf_jqTA=K-%CaN24NmK zaUUCuiEq94j*a_%B7En5c7ZbDU*PHzXkbHd_IqPzSBIq|f^E`xl?CBVGSsk~FFypI z;?L`EYxV=+B z1tJs|e0An;z}tx5LZznZRmH`I~su?%fs`RFB6~sG=b6k zBXS5Sc|&PKczmJRVy@pMs1bH(^2_Uf;)7Gn)7q^1iM;Mdv86#(;@6O6X2(bT`rGt0 z(*gt#v8H!UGj|aY`i>>L^U@Geaql42L4Ei(ryV_Tk%wGwk5f0gb0Sb|(5gX$@DO7! z$}_zRM{u7@x9$mggv|9S*1YvX=#mp9m%A9k?C9TU9Da|miA8PU=LF|h|KmZpU=n$s zoesTyRU{w4MP>AHEFw(vGgJ?JMMV2R{G6K*BG)>pm2&zbQh!e92*i^E|Rn^YqB;?_3;?)j)2@+hzljIgw+#wpm_{Xnx#l%V&yiliXWb&4*)GkU1ri z@M_HrGG)$g;iD(MF=^qaFN;K>gO;i~qt^jVXTQ1hy6K{J6>W(wZ67L=9*Z4E49YKA zpB~i{Mro*LA@8v)6mLCWu8{f>g%awcirY!vO1ea&hAQ!iQNB6(a`P4B3cb5JH^N79 zV4uCD0MYBIRDDw3#fdE6t~C19w@FTI-$#+*d1UVPcD#L^5goVZKNQRA;+C_%!nNWr zsH5S%QWD#ZDodB3o&I{L;0_q|x+RV>o2l@JFhjXy>clM1tU+?1wA{FpA@-DaH0MvYRjlOb~Fy5 zdS$rb3g3IE+`gObPDLTg%g+Sre<1$+K7Q|#7tW%T=eC(kd@PFHV-FF60E#v_jlO0+ zMSO9cPSDi^AisAcYP#zc@`hd+$JX9J9@VjgZQ|v~mD>JvcIqs;0&E%j0#eX+@X03S zbmAGxJXqvKxIPWdMQI`uypcX;~i@u6S$-^TxWOTgdf|DVU-{5t>d^WOh@-p613y}4h< z=b2>8GY6Qk`0MX|7x>Z|sw0RWf1NK&K@Q58XUS6j^*mW>@qgW9X?{IFZtVg$z3~I2 z@*4Wmnvh&s`{H_)6NH;P#^-!he*!sgZJ4Z+iRLm^!SdMA9^@|zyx?qQMIrx$@vgSB zDB3I^C#*YyqM0DZ&gFO%$5RUAHBX^LFqUo1zzZdrA{Uq$Nrn?+^=j4OG{U{K7pqe2_m7(@|k_jQO{-YH6O)369aD?6593>^QVq+AuC{-{|+O@b9rLD>> zD;%Rxw&wUB<4fC6cIeP1`Zb0qyKet;LzglVA3SDreq(`jNB7rEYNE(;QEQd<;6N_T zGY;F%QsnbV;^baA6wI(3zBN05B7+0plQO@dI7{KjJ)#jQDXKjn5z&Lv0NX_Y7af#s zWw4hC(?Xf~>Qf3;*(fW2HndYu8)a`@>~(k5qI_M^v9LetQ7(JWYXh4TQjG!x9BB(iFYVePkZWHGm2v2DU1Gn7f@0g_@G=V5oMHx`a(%- zP!{B2Nnv>j<&?BEf{W@X*OToxF``9z_3**lF9lJ)RQZ|DTM-qKBh{BV2T^gIzbLkP zKPn>U=RHzLe(tT_x`&&)k!!4aFC%CP1#t#vGiooPSf#@8@W=p46W+?m2md)|r?pCA z8Ao}%t>X7BZKycjxI$s_87hWMgTp6GQK{YHG9d1T$~#f3_gxM{6}NP?(VEkQBjqiV zyDJ}6MWV5Ck26p;demT0?j5REpBp)&{}P4M$2z>vC80FS&%}&pKgz8|8T~?gQSpj? zuy2MARjhAY^cosaz2Q~aF_NoT{jJ||L4@ETbvsLH3%gN!?ulHw&UVzkKA-gERVL~* z=CUNYH=(Ys@1PGQJQe+0q5~))#}4Kj?_g>x1)N4&o)X(L4Ep=|TA3&9bT=cm#iu z^@fj(hY)bay)9?36oIOYx9krQZOZ2P1Ff{gi}K;&9oeVL5q$CHTDGhK1i#$E)^~I- zLJplzz=ukNB$iqYzi>dvczz_TiGIv6Nwedg7j7JK$VrYOIlj)chZYDw&TGt^YfqXw zeBRTrd{Ui+U&c>L$4w;bk&XXn<~hPOJ{((TU%-N(!wao^%u)#6*!a^rpa;Q^SvX7{ zeMiW(HlChAPJ~Q0X~**`BJ}hRYF^EU2rawyoo3htp+CCwmfvW?(NoS+Y|0jHg) zi15IY65Fk@dIIojaAfyCm+^*d7FXx0>XLg2fNcr&u_G&XdmS) z!gHVHFFYDV_)GgLJ2BD=)4S#^h3|yNjq@ulNWQK2@@(l+q#()G%&eN;Qh~sln-VTh zUmQa?u?JBCZlNvfuOmv6?XkAO?muURVe}?c2v$EW zA!L_{u!EPo8uJDao*wT-ePc5s`lfBWJ}n?}sI&8ifCQpiV#N(ojS%g**T?@WJz@kd zYfDisAf{T5Zljn9Vs|v^r+mDM*eJf*Hoh35oqk@?l2?Q{$@tmnZDNSCw|{-%M-Adi zK9;Ico&R0?zh-@Z7XSa6b-#J6m_BDr2$eksfE$+JU zjoX)z5ZdvOB~t;>VJ7YF)lmqqc;9g61q1ocHg?}T^#*=cHPN$_M0e-eA{Q2_2zRB8 z_3=-H;G(#I>%eIkI-=7nY$dmL(d|F86qki&*>rBjYmZP4DfXh9=SlIWE?n4@Ke)+S-ePGKkS~-hJPKTx;4M$;V7czN(p!N8H^` z_#3!&#XUI*@6-DO+Qk^*{$r@cvwa0zUF|m6H9myHdp6yZubN=HS!{Uab$?h+4T)U5 z&xDpCo}UJzwx|{ouxfeq1tlxqdc28TL{5))Uv=J7f>*EDsBRX7q+1o9?A~pNHK6fr zcoK(5**|>CU$P_gON;;qmmC7hOLWG3-AS&X{0k*@4S0NF*WWd*NU*r%fu#aFIGx>b zYANj??9NaHQ&K*G)q>fra@KUThK~67QxlI;p}~g3Z$wd=tibFO*?~N^k#C*eWN-AP z!keqC6)8^QVQ#t7h#QIty_s#ZVQ z*tyPExJ2PDT}S;W{2N>@Ff5AkI>KQsMGBqa9@x03ES@>N3vI4avsZ=0Q5%)SdzY6F zW$zc$?;hNX{F%5n`tl^Z%d6z0*9T*yMN-Xam5|)}O);MjOb#K&yevn2)dxh>EE$&< ziy_pgmS6iVSra^y)(I(C!^i&S^(C=hc&<;9U4O+KZc5p6eQFeN8e5lO=M@0EccQ7U zTU^lY-Z=S&#~Srg<11N9z7bDQryXGqXNcG4v^-O30dl-G)Xl>Oka75`f0x{4q^wu| z8mN30@eJvs3rmWKT9_lGFHOmceX|P5(@kC_fA%u+0)Mc39i~LVGv{8D zObe1f8*?ewiU}p>%vY~4s7GlLk8t5h(tGN4QL0|vjItN)uB{t3q8uzd?7D_1k79g( zmS98WudMZ{w@ILa%jNR9^R}o^W8F+!s*eg+`=R)+YDm%H=Gr4f^mfPBO1_LBIcURDDs#y?CzN-}2UD)viHf6Y*X?~5QBg5^V_WJel3(Xg@zTm2l{zyNQn~L?nYLTr zuqgtSFEnB*i1$VnOP|pp&j@5BJhJoPB>pzdJa(#&(olfMuLfzUP%Jii%5g9NrG=uR zALAKNHoSsDxry-Co0=pJy;_M1`^hJBMT?xIp^ZTe?V3smurZfYZbxK-08O53TrQ60%7Ro$+H znk`+TGuqRrNt{m4i?By6&0Wi#9rdWacw%UXfd{q4Z8GZ%?MU9?77CZ6uBa1TdxH9d zK1$!|iJs?Nj|$pL5)*ujsC@L$Pn|*w)tYjv2T3keO+j&H^@Ui}cJm23v3R1cJ#A%0 z$P?7ZluIaYFF?bIyE<$A_n~2IckAcLQ@Ck37ubedxH-(A+vD^MjS5U#b!Ai0n4}-~ zL#_giQ_NqVXi=d_G|JWaoZau*|BdnetMW+_C-L9^pI-YdRO5G@l2?)Ml;MPG`aTr( zrte_t`GFikBL>cA#1pHs&Lit)0+N<9lh2qGBTl47?dHQeL|F=dyf`C*aCfN)FLiN* z9E+mf*wuyrGgU{j(}J)3{N0&j*Ws1I&Ec#~ddn-%W15VJ54~H7Dcu1rxZIJt+D7Ms z7Rv*l%?nLu?Zg}A;p8`_WNsDZx>_Gfh!=PR! zk}Gq(y*^rV1@XHzbIAE3Mf7}v{j0YOAu!{LgPF}V{LcAp7q+2;cf#H@>xldCJbroF zZ@VtsPbrx;%IwAsMe&rg58cs9EkV0kbq>`b-}3wvy~tdmlDA+@HgdmCD*AU$5N@!# zzLF9zQscv$?=-(hf))S9m6w7LWBtd6fjC`69v6F@m`}XN8fRA5uYZeR)rQMUa-^3X zys0Z3PK=usz*QJLpU0^|$%{2kfwB1O1ZKUO|a~w%JVr&W;LWmRODY+=`j;QWeXt>vo zh)rMaR~M0dxx9#arOx$WXB1+hceg+G3c(OmYac=iVHC zK7zK}dDAMH4XE2UHM6Or8s!zT3c|c3Q#-FaGux}2aDWGGPam^DMkd3G8P0D=_1x3U z!2cZy-?|fW`2`WHXJZ;j`lu-Ti)p>V+K6BcGvFqDQP`?WPRgGO5p?S)k0_T2{D%Yb zK5z~YUIuf)$^ij*AFsMo^i3S?p9Cjd2+rRizfyT`5ScMM*LA5sYeLDZ(2rtPLu7`! zIiOK?Kk---UE@?S!G#&Do~J(0mc92+4WCb_k&kynRXB@YXtXE^ZK6Sh-N*PJ}TRc*d*g4 z$^puVA6b(iDcOs}X|cz}eZ+gM0$(! z@`cy_$WS?PR7OCM;QOtUtRX7MJShIPds8hkGy4+Nh>u$4kNamhmU|JRe(a0|6VY_u z+|yI<2~H?O~H>gplV8_Isuj3+w5{(!#GpCp%0bDb^UVKZbtk~^qxOZfbI4=O&D z{)((<*;r^~6Af&XqCLqPi7ehkCaElB@zEdd zktaBR%8praBO9F%W=@@B2J?-rmp4!64%O< z%rNgpa(TTb*)exsbJc zCbHPMoZ#ow9KB8?cTl&Xf`yq2EW`U+|3wG%~rdPwgd&xl}phm6@LBUkd=k@-pEt%|J< zvR=kl915Hu}S2$q=fkY zIEMV)iXJ~C9wKpq-?ClA1F2rETE>Kvn{Jw@Qg`$=G8XqwH_gN&>x$c9rD!i?SESgy zxJgO!jTO|CwpAc^Nx?9t_5|`4N7R<~vmk$@HZO>_38@0SqUxEqofm~sj9D$NLmNRK{O^oza_28j??0{fojv|gdLo%d{Br8c`+-9ZVy0y}*w z9*M*Ma#OmJ;sBX(Srv7CCwwpoP9Hw@CWJg^N3gpMm)B~JvQHKtoU(zlV> z+0i7P=eG&IE5g5y^T$m(Jx}OWWSZ_j7#S$NPA_eemf0u^Kft3E$GMN>3qB+dI$gfd>RD$%TltNg}5p zFd^xy3+B$(t>~7n1_D#` z>iikm-$3RVP|&&7g?)(0u!2tmcs61{&!;|k(n3Al?-dSyF65X;!SxXEb#Xlx`tX8x z`}ex(B9|as<+yH30EBuvtiOAA4t0+$LrvTFA#3#(bz0I8h;5TnR*P;xx~b~GXoq_P zKf9Xm_tAm;V9lhpmK%`2?C{ywA_ZZFmTl*H48YT&<%4xu3q1K)->tgW4g6WwzvLS@ z4}rs$1HZ1IZ$L3|v+f?uHLy-IyXl;Wzt^kbx*wH+_~`CL?G9}qS*+u)AJPHxL2JL! zjm$uv*S+F6kPBgPEIDaUUI0arqFrvR0F(yzej27VgXY;VAFM$fgF6FO#(w2ZMBqMMC&H=(S;!FAxoPc8H zvr)U&9KwxHSWS^SfqLYcT|K8HL~uwkaSS>^M6|x<5lScmFQs8Oqv{9k_yhwN742vK1Ta&z1T0ptiF2I&dR3S+$^^RpE*#WtT` z+AsnhanX~O4Tqt&nS)qnT7h{y3%t+X=Rr=$Zj)ln-p-Jyf0x;X`)B5~6;XTKnW-D@ zOk+nr@#k;){Qa2udAQl`s9P6MFUcA-Ut2*Isi;BJtT+%aQLd^<7~}y?$>;ifxtIEyAhcw!QD>6rX_U{ux{r1{sV>JQ_C*5O7lB-jaBiVjH!nD{^z9| ztDT{gYEUPqU4i`jx>J(1s4vK-`S)jH4#VtR$%#HZ&%1{-t#1DWIzcpT>5wGEeO#sP zBR2xk*9SVD{yqoP%p8rFzO887pD#RUap$mVw22nS?_{NSBA^daqZ3)RxAb(7|Cl{>? zM0L)0YiHL$=&CWtm340*h+~PxjI|y7!tD3nKm7FX+W(K9|1Yg?D<0_oJ631NpIo1R z?72^Tk-fMPJ+|R@)eCAAaQ|#?mhD)iFvJ?uPuDIS1=@zn^W=z~5U<;{A@*u8&~Lx? z+SA~HEDX6%aY8(hc)qsoaW86B(#H>6dOQqCvjeJ5hB%j}J;}{u{EV3y+$%+X2OyEmoPYQLRZ8Hkg}ensO|4aptwhc%&sN7Xa+iVT z>LL}FdlZ@95iah>27vzQUJ>J5DI|Q`cSjxl2ZCQDcPE#WPKv#!{HgaOhM(Bc3PqWT&aN42U?DxOX2V z0<*TeM-2SGLfq2}<1+RV5HChn+n7EMbUW_1RT*WNZT(zg$;<^3^FA{Yb!{6ram^s5;) z)WG*ZDJpeoSa%PiBsMU(ue$-Uzq+gUv#|p$qHFF93XijeEleTkyAQ-Ng_QBkeagD<@~)cNW0-Bo)H!T>B1T!+B3T$ zy-GPwytWQAMSes)THgv8{Vi9ukKKYunyuO9rK1qL?3Q}Cs0nCOiyXUR@y?RQeb%3v zg6xwg^2cbRkd(5D{aU;iB+tEyaJ}dQsiH~+0T1+$flWX6#qltt@2@@mf&D9F@YTH# zxi|+I?`_m%YhOWT67SXFU+9A{Q21JTrwN|US-w;#L0!d*FQGhR3wo^6NR)9>qgC1OqAK+>D z_aobIzcDLGn>pQl6tYj(-coLG0rK}p1p0|-5Pd5&TvJVZJ8zH zN`9lx$$a{|_WwTj|E=}?x3B%0?sp8vrkHsQyPr4Iv_p2^oLu;rI;7vo`E7mTG9>Tt z`BV~l4CqUp_IpeDf%bU2h?8{;#43~q)tdqK#W)OUtqP79Z@OP1es!I>% z&r}+c4ND=zI-vf%mJFmY%rs>jXo7@NmonZpc)#5<{kSV}BgAcbeD?M?E{LkBvoB$> z2I|n@x!WVZQF{{Zlpy&5S(WY9d~zQUEjm~IEXJ&;2ALCFulOLuh;KfmM+bto?d9!y zcoXUvI^0@Fs7wF8Ay^TA7jj{<3cIfiWY$Ko^!D@Pc{3Gyq5UU%jdWXcM2-TTi;_>{ z#GT!Des^V_wL;9n_UGR!F5=$17fWdoYEBW!tg$ySbNqt)XfXFQkZ!r%m?v)rLTP)} zY)UC+Rs{x^*d{`7Ym0~$hXd42IDJSMMGwk(0hvSFO(DcN5?c8g54+w$V-R|L@e5hy)F5ex3h64klN9>rO>~;wAA(|i* z2psmjU~d39y35+>*JwP>_c#~gCaD}M`kfH-^T^eSEMtheUt9E(Nd_WL z9Id@J@f5=KT{4t#_81n%8l^69?(f?F_qi|gUs@k(d4JvK|HIFZM?9B`)xXSr|Dgxq zfBZA=``+x?+n?6VfxqC(wLMq%L$G-N6VI+12t7^vy_^tVnwkq_ zzfgT;V?gbwX;HYo9U^kPK7H$tKppn>YL&V7 z5T*K{?wi{~h?j|!=Xy{!iSVejh!*U%I5^?Cc}e$4GFy}tTc zt{vv5EqT3u^AkuFT_aBm*FYFoWc;@`K0wj3_1_hQ_s3gJpPjpFf$Czt?M~z^h;XFm zA7{D%kr$qjJ#CaB>fn}pel1%d>X)S$?|>9$PF(nY;qV~Dm`-~!kmA5+%l5p>CyXGF zmD!~0S`37QX!x?FG$2#s(Nc+$9}t%+3VQ610NF8!JLmgF2zz-UfnDn@gl`ru`X=QM zRIN?C8jjHrVX>hgM{pBFTJP5JDI-IaY3@EZLId6n42#(AVYUYwFV9Vz9}x5Gjc^1p z6|*+-k~o$-!B0ZIH0;_(2>R{Wc4npm^Yd~qu_Yz}(Q3KO+9n>zo1#S|7$P7n(FkN7 zBG;bp`NE8@Do}48yHHe5frxY)vk>o6i0qEp=qzv-qTao6YoldC^!xEw$+!y?)63ar zwVMR7S+8t19rzA$O0ztTLM#v$v8nM~Ans*osvN2uBjCK|zDrepG3L}gihX{l7RUj+ zEmtmOBg^FV^YP#NAbiQ4lwa-*5#GP6&vUp!*fxzW@|xAX!H6__MH%0 zuGcxCw;S0il#XN93-E5Rc{i`~exP0Cc4CrU0^0NM%%_AaAzqerx$u-G5U=~$(KIVXtx(x`YLbQ45Z95-(ed|IqQL|J3G{F>@L$!RidrCgfVSeF|8*z$ z$JV}^hUA!7CeGqkZ)UyrEEk?I+>%N{uAOi2vhI{QC-}em9u;yX0Rkt<0@js=Oz;|*UCyeNmOiDU0=1PQMQGbq{Q@EQ{sC&@x2{I`guZ%^$ zPX|KX(ENJJVPsB@X%(nKaW;F=+Hms94!42MqiMp0im%z81 zf4^sRrV@xd7M?CTMFJ^wR^jF`B9Px-xkk6y0F<34-fEAphH$+lUzRF-_TI4RJrsm} z#+{>m1>``8v~bnbO$&x7jhq`v)d0~#b{BOpdnS66qtGCu5n_n8+a7Z^L#*OXMN@Lw z-?jf&&;Lj9|JMxfzkTi3yjdQK)tG|9s|@Fg^(r8TG3s^IG-fK-m3){Te?*&-u^m-ZH_LGcoPD|?vMSu zPNVOvV{hQIgQ*b0+~xE{dk5~1?;pJRVj28ca@@|!Y=v42+mAoW%ArVnXx8YWH{?Wp z-@5C97i83)zZ{6Or4&6W-iabely=qx6v06cc9{GmXZKORg^5{ezE~- z^h-iH>j?<^m?6}NIrb!f|6&KvULf52e&$>T4}?T~SGnWE4}l-Yg3DBHf#3J#fmaev zP{%#zzNEPV#k+zZwhml^+*{_??Cjhy^V`VTQzsfy@88?=GgAT*SImC6KRt!JNQ_>F zb}|sRc&pW5TNcD@VHbL&83Pe77mVvX@w2~jY9O}kDv&)TG_517foQeUY8Fg0ZrV7_4AMy5;pF}i<&EAr82S86Vf*q z6o~emhGc6$iLq0|kZ?cdB1?J}#B)9J6_h#!aV$2C+pb=ODB{Py`t=q-4f-DNlFI|a z-V?{ucOomzCiL|$ zMmK1j2}Ab@l)1g* zB|7KY`zObhbgly0O}9gKBi#_YJBy3A?>+Vl<(HgiM}f-!qG#{!R0s=Q zEi$zZ=Pdf{0kR5DfuQG>7Pz4CckTa2&;Ng_^>zHywZ4$bhfci~aX`}2SGVfa2MUME zxoOOzpqjDy&#j|Ey^sWl6t20A1;#)SEN0Kremukd=!E5)SYhwsfmQLTuJ1>n++4 zsxeaY_67R9>ksjltq_6YH9GHIh#sB+BM#dSKOyqnZ-&>?zaYBGq1>d>9DBaks=NJ= zBll?keUY~HKs%`W=3=lr&|U{{thMZdcz52<q=Gaf{tbqK6?tY_0KA z)<8&zieZ`w;DSWX>*u1X8iBCMQgVWy3CJ#AUYzPh&y4cMwj|v5j-V`MM8%-?nI&VB zzSLcOI-f8=qtF~WFp0gniWfWUr!LuzvI~{ zJGCls-oEOi`I`rjP+T4TIye~j`d^*umn^_N_VK=D@1xk;#~-xf#y%vQ*-Dn33{;y_ zU0)Avfyj;_V!|4Kh@Ky`vKM55*bjI6FD-QBX_(YAytV{#%~A`FUg!|l|e5q2Q??y1ie97XR5!R`v%GC-?i`T zXouw9l*k6M0&1e_*9}zAo3mkE&vCb#5W}=&SaSeBSLbGv)yU6)Mv*U_;4g*viip)` zyIumlW4P~(RXikg3as_Hz5t0e!WT@dP-BkQY5OhA0Lk_*FS;-y!%L~%;3j&5Qoc7G z@i}M)smX5sKg#Yy+NmzN=kHHL+6T*kmpD&IzyEgmPUPa>wg30I|9`Ia{o`xDR>XgW zqlE*C7^f1l=K~<8#$y*X{WxSgznvX2P=(ZM2UmGm$UxHN&5@c(=(Sj5K=+o$&%mZ> zVJh<1;vP;~P&Xcg7)4kgeC;(v_L+wBC7%ImjhJN~We6zmz2a^wIYXH0s?^LGDv%lq z+vXbao_E{k>QIm$)FwR`am>ttqIR!vku{jDeC@FC-FNBmG*ory)TbawJ7?lkp|Bm2 z4?Wn*&WBo8BmL(^trm!XCN9&Tyb)-dr@xO0a6;@w<1M_~Vj$`R1Cgr=^8hOzN*z)< z3E^F&%QS%_K-ui%Y{aS#Wa4$|;ZP?a?awQaT|z&*$&)*QJ8nVo@Pti~s5a!ODOr`Y z?uTp^#;Xq^*daYJFwoiSEau?(&3}B2EXo(*4m(=$*;1VP+I2%K&=$w|7Btx)?tVl} z5T3s==7fc^V_FckiSqgOZ@eG#LrqEgb_mxxwe71f&H}S;%AXe|0r_xuDLJMH>a|T@ ziin2-XN@4jp3>@*kT@bA;|nG1)^$0{6_%Hxo>mKOai6`2z{0j9a>&5+2q zF!CUgALxe;ji#E81C6$nwWS055mjc|1$j+~*|^O#7WqPvbBEK<{T_h`Hg|5t0U^}7 zns@ToqHfXDzh{G#8Z;EH&HHY39?I#>H9LDLP5Qz9 zQL4`|OT~=K>f&9$Kcn`jXKAo;9A|DC)R!x(s6h8Ss~SHW0r4yzqsKWD@=QZ55c!aZ2&fJ-`YoN(1iqF~59;%9>3|mr6phR>{UeHoB z6zuAwavwqOvV}48MHzL}@3X%}E!9Kj87Jm7l`W7K?Q*l{U^AqgedfeUwS**#NNFMm zKHKx7lPMEJ=*LuMl^F8|+WTEbR`Tr-SF#e+v}!NJ8r={%bLu=q-)yto)>!*@?f?7S zm;KAv_ZOo(MHBhli$7UYOr}}=@N)mxKmQY>`=93RdA!M~x)S%tyf?1veK1!JzDkN* z)}hVd|31-B-QghwsXd(7*t`X^FOq%<-ctv8AS<)iiq>t!dz!G)tcnEoe%9ve1~KPWz;%l&SrI7o(X$z=L*Q|V zLg>im_28Y9-BLO<4!+OdoKnp?4*?!>f;=U-tMmD8d;N7y2np7k%0f0)D3N!4XwVBF zc;;>Nor?vc<(dOq2r}r^v7O?RS`B1Iw>mez7eFreOG}x>Ue9_?`e0)#P&m)%EfV$s zC0WjW-2mqN9uyH3alQ;5=^O*!^z7h?8_%N^eS|=17T~fflA&G5Cs=QR>Z}Cv?feyT{`L-Z);zYvN7v3mz(J-*D{3Jm0z&^ zg>#49R&jUGt3>IJo^%yM4zJzXXm7Dr+(p?Eym$e1hJ#0T$8*+!&)3A)8yB1)K$wlV zI4KQ5QyQD-6Q&SSc_(JD0Qd1e)hxfVu>|7kQX^YB-tXC+4@%DN0y2{zOS)w^kSAYj zC{8Bh{l2Mn6)XBOLxX-bY&e3oo*o?iSsAD-W6}P-x}5`Z;0-#2tfQk4^4sBXk6$HbTqvBo%Xu4!gmQgtJm8k%Ta1Ec;`27PSkGvbS_nYawKr=6Zuy8wl|iG^DHvK-$w9awxPPJ-W4B&wrs8 zX)#UUcZ~#utG2$fV{HJcb40-0dej7CznN=>PD5lN8?$#f&PvPEomZc4M@{$L3jZJz z#6Y#flZ22iWVfh@1z~oZzS9 z5>r5?zKL}r8bjE@bxduqR3Yq{NZL1{^FYy!V^sT$`)&@`y_6m!kIsl`oBP&H;AoNe76Xxh3m17SiFd8a=JqQ3K83k*ZjYazm50Dp!;Bx>@qT{q4DTD;NC z{FzrvqRWY4R*aj5W*tX$C zcbpHr;mhBRzP79x`>obR;Q4WQ=DyrP@CoB#abG8eJj0`n_cTA@>yDFnhuoTw$Fu@A zZJd#&_vu%vlmVf7BuUB!wXk}-qCIaI@bzZ@P(!aOIodE@Uk7=__jI2d@l%1KteZW# zq6L)SogX!xpywrv@~qe`0jNfzp?waR4?Hv7cw}!0Jo&VIt~I3{{O^w@bf_^v5RHBG zW!`=WseUi%wq*(kJab`TyV8NEyQ*^JAo?Mlvg20WMlV&!-h@Jt#}Gz2vbt<&3s8c> z%4C)ra7XsUvWH(9Q1#2(B&;?-geZeO$rtA!Z)21f>`)J&3U}shv4SWyc<05B{3B+Q zh#~Z3h13KdUV4td*9(D3tCLRv@l6=<4$TS3rym7Y2{%Ak66KW6Jo+I%Da9$C;REWH zp73>==*v*uG0v}o`-S=rT52Nm5M|_7H1I+PqBZZnE;`l?F;ZVH-5f>#KkFttix;L4 z+oe&W88HHJC(0XMvn&05?*G;E|8?sB-%$It4D|P7e9cgpWBZNM1GTmbZ4N;<7a)U@ z<}sQ13sNll`@HYPK;rRu#~p9{Aini#V6K5W#MP+?WOjal7`~14k~PSa7uCFHI+z6E z!4C-<+W+!irfpk2+6tuO3`bI)Wzcq59#^jxKHeec3PaWG`nK_SaZmzqJCSL*F<+}8Sz&Aj<)B>i) z#Spvy>ZA;B6+~@Q?~vdw2CCi6l&1+D`wP`}2eT?5uQDBD&1(hXwqcEpsB4GbttjGp z7YxCJI`zi$_E2~5gl#*baq0v z-@#80ukhk7>mB~$hxL$>Y_*9aaSbFke-|eB(UJ9`#`k?KFVJo)MDx(tA@+{7fx^|7 z5M{Th`<_^Xv(^t&>dUb}v71(A3&lMN(}*wR({4a?_A^)DX~B6xY}uVgX=rfssBho; z6v{=11V0-ELc!3jFtbo;+`F{5UG1|Mo-Pk{X;Rig`g$h=;#2g|f1dyD^lKIpjdnZC zwtWHm4r%|2oSQ&9zV@`ZnIgm3)DKk9QtYW;M()B%MND%`SO>K zL7odhbmfsB3!8){S=-fWxMxtgwCJNJV&d%m}6 zUc&vW+1JeWF341PbmPU?4G2?o&3T%Je(Zr;#_!0^K-IoDUyJ;qh?wDh(o5M8**kWU zwD<|4zH^KG3JQRjH3bbjQ$rzkHDeR0+6!Xm$_R2Aau9bzm_+;S3vn;cH1q6}N1p#U z2I6u6ElQiBeo!5A?NpS++$(@^W7+wgX&{hts^((eBCU&jEo}EP&e0XMca+Y21Zv(6 z)6xZdh-7=^y)WxF&TurN+jR^e`gR`&>&bf%<5}%CdW{=meGl#RJWK+lU)P@ubB4IQ z=rs?`rGd7M(km0h2sGc}UtFAuxR>8;?rvEOAzRr(lr3U`@cpZd3sW(W<*&vLznlV! za8K#yQ7#C7R{QN@Uk}cvsH}@akr0{c&Uhs`1fsqcL@4r4K#WLMK)3?VJ(Rwt31`zG zZhPb2XCH1u9GxC5BPR(op_HYv%Q$}yyne9>XY{lmdYU1(bs+viyZDXo1 z_VPomU2CR%A&k#lP)^zfD9d_MtNqX~kzIJq?@~QPDo(a01~fucl19s%}Lx?MVQ1t%&cc3ZH&Sz~a0$RBf(NKg1;$;E`MxAqzTmSUI zsXM>WqqMhwf0qjoMea{Hqb5pDuzJ@ig?FR&a$1q;DWDcVk`ydGjDGl$)`TBS5Y6#@ zTUKHM#P}-2UbwFev7fCssLdj8DSEZrPs!ClQ}odbc#eAeiy&FY_Z1L-o|WadG467` zm56o=&jR}8^dG_7)qp-Y$E2+M7!q_WJa4hhLfAX$-NLk0K$Y#flES6~k*BU7)|NR2 z(elQtvcsbxX8EA*XbO6%40w5kP22JJ`Mcrq&UZlTyIb|P0X;qb7t=O)>;<}P{fFADSs@764%GLBLOZFXVcHm(!$R`(Hgd_41S0eNzH%%v>&23Dt&il9)!pfbO%wp zw?IwaFi5J#x$M3}UhXn%*b8sE;lsKHYP(N1hh~0)Vr60bWcL`zoxC;ljbs5?Wy7JB z0=~%QeYe{&xe8LEgr9ZnK<_TZN~B4kW(kgCB2gqo-R42e`e}|^cWud-SqvC z@-t<@@6rQE>hZlZCs+lEEUL`8Y70Pr7>CkA=ZA> zsWHeAXcxHtk_(=CFZ8o(vqE|Tr@%0qAf#$=c6mPd2+7*Aj*G|AATgqT*Qv7okg(OK z+w?QeAG%t4T1q}*eo%3Iw39i+#k^%)B_I#6rUEr8%ta7QpR2pz(gscMdTdV$nM1Xv zo>oJw2$XW(t;sp~9SV2sp=CW?fV?}qd=~akLC$r1MGrdHk( zE7ZI6gM=RLwwI5U80;Z=TUV`$HS!`;MrqY0Pa(m$R3)o#26KcodM{cGphjJJ;=r~D zpo!$97lp9@UHkt&_vQZ5`nIB|_rLS=Pye~!kN?|0)7STCo?5n53AywgI`fzM)WFNS z?+1?|37$kfd$q*u0loo7b;8DKp2(rG~zHbd`VO=Jdu5tc? z5Q3ZOH8lJ?qeF*tXXBR)oiM}|#an?cHr`r=_acS&6?glqEn;cLA;_(3a z&fX3nHUu%8ar8zH4!fn{s-56gGekN%rU)K0d$zffwu5&-)jiLiGVpo6dU8jmDfo3U z3CBN^gMc(vl8^H&1et5G?CfwrUQf}1r@a=)0~R|;kW+=w+k@Sid+_xND%rfzVn+|} z*%PH6tAKds_-Em6)FelHJHC>|fpqQo)cV|BAdOG27ZiI5k5^5wen0pHy!Zu#Sl&H> zCpku6SQ;LH@2|i^22CCiz_sI&Okf%W{*?Gk58;O3S~=wd&&osm6Up`x%#$s0I48+3q}{f;-9QgTiv}-&b)5JosA zPgeSgOs#X9UiNL$)*co_~EV%JBmPD0nLJeZc$wU{IXnA>98@$@1up%twDn zYUp}_6(D>Ve+BD~0C8qO=jyjkAWiuk5fVku)=+j(;n+F|s|vDRVafywWlM_C>h%zQ z<>I%Xpk|IwJsTbzoNqi;Ad46$8C=qpNP;e z;w&=+KMoY&Jo6EEbPa|6O zCl^&F@cU7Iz4CDz1Qt%nFui7l5bo&f1bnYTB@MGn>NJ2LeK>9L8t%x7ABAZ<8j#pl z+w38Ep>OcfrOZ9(flYmGL(^`CFiQ)|MPz1Eglt_J^3DRKUad(s-UUAsh7yAcJ0bkX zmc>0!y}&aqU&Ekn5q!e?hM&tDfPY8kOX-`BA&AP&d)4C|g!u2bc4Kvd(5Ir4tzEqM zEHQOCfAJj<3-d*4I9PxbU+;HsejLb;zkWRZ>m!62JX0#tK~9|D(T+)EU{HD}haYH_ zL%8Qv=O3nDfVyXUE>oo=P#aIv?((Cb?_j8qe>N}pF}cR9>bVAihgFs667CSf^11Lk z>i40#x{ZC;)q(Kn>;=Yxt3aeQZJ*V~9o^U+U3SZS=o`!lx#TR3dlZo_?Xt)eGrxX7 zC_fUyrPiKlSgnJ;x}7(clF>Jiy-6v8(;p%(c%9gJl@~M1CRn7bG3x?WSw1(I(lLe;tI{gw0Rzp$@=F{+TPW1xQ4Xg(6cE2xIu|Iy{pP6q64>mw$9a zIBnsFe{v4yB^E!Cm~@1Qm4va5xMhgi_&7Q2i33D$yGkII0K^Duttq)hfLQh!4f|L4 z^~=TGp_x>OyEK>g^A+9+8!HYtd4M6{~%<#os* z-|UpW8w;5{46!FRJ%p5Lj>vMQ`;a&o^VR;U7SL_pKeNRgAKLA@s!P`&Laf_6m6qOP z_@1h77}7C?2<{Y1{WwFQ^!^r58}$cruT6|YNh#K7@SLr_5qd)z&!*<}LCD;c!h1;z zsHL>NcpgB4qU0;l<(v0HuKWv$kMh`Kit{9KqW+ya)Wm;ud=Ij`mR(~PW+7pxaPH5S z2OyrW^YA18cMxa9`RWmGI7FvPP}jtw7ijGcT`|9(5MHozhw?6b2GF<9z7xaqwn=Eu znPJ@D7vN^so;e4hgkOaH8mFL6Q{|*w{u<=EZpoiKKLL6C?Cs~avp`m4{v2UsGo){A zlsU$aEQ)8ASxgo?A#uB!O4}>EXD&{^P*BeYn%HXtL-rMj75pV`bAt#`XWLb)_TK{P zhht@9PojWQzG}VIqZS}PyRrY3KorgdRgWlkvYw3D!ho9)?a*oy>Ll@)QelfU$z-TQt+mJbpm>$lzWP7s*vY*@??rb zF!~E3cGb?Q{O5$0aV=|cj)rynL124tRTGrld=(5<5U*Z+$2Up>;*#c*ZQbre%a)6YA%7)E$iv+H+mo`Pu2e_GbehsKHQpHC`8|fONF$r zAJFz_7i?^bK@HSA>v@$rMD>~2?Ei>!2REZLo{eiD{1Q`9 z|Kj$@{V4;BU-+x3U*M$wau~AnSeV?H}Ju;%dJk7%Y^421vMD^ORDKJBkfr(WkoLPYm3tqNo*MyAaL zPs*SsS2v7}9HXeU_74_Sq z$i1TnAyTfRI$K8;qBaD@B$6~C>UH*~$Zjo&PQJ2z$<+;FuB7J_F{nc9#*027B~}ny z$7jeoVS$|8qKfNW$Q&_NyIpJk5r|rGAG*TBf%G|>>nw8W!_J;$3raeG9HX^|^=XG7 zye_?Pcs(~zdygh85;7s8hbt{gZWlz>c`?5UE`g}T*z@e4a98QU^0Re6J0Rx7U~y1A z?%T6T8UBhJ#-IBlYURsm^vfl%GcVgiutlu00;4cybvSFPtM10#p@7($z!@M%37y|y zU;-4jg_-gvn3HQbQpkJ&b#t$4%-yo6xyHKPZEx5Qk;yxLU*2I2QL(c{_k?XB+HcWi zn=vQESfAq-8A01?a&{_tT^4{OunT`z@T1#z`?0ZnAQtLPim*GZj2-ThEd zlF~gO8!motQnZ7xxhr!ELDXhHmRB!IAo{@f z*=ZX39u*Yk**#uB>;b7GPc8BxZvEc1rvWuOH=+w;tTu8FUWG`l#XKSdpV73{__b)^ zLm%^NAYDjY6X)|D!!Le9Os>&c z#zO21IPTU8twrWX@WZMjVy7WOy6mEQjVsipN3FcRbPh@qgF|%?8=fyo8Idj)fgJWj z8RIXtA#?1u^=U3eNNYODZG}H4<^16<6U@Spbo~hXJAqe_P}gHC>zj_5TZclmlrY~w zT!k8W)E8*noNH_zI6~a!kQ%!>VTf_v%pi8N0iwPzXJr|`g-F-&qleJTTAzA1cHqV> zD3dQ7czkUS6u2gHb@hut?tv1q8?B0v^|@+|o9HrR(EPsmp@x>mSs~x8d>na#pR!^r z*&yj)lU{0$A|w=vH5Qn^1-exr*P#&1u0FQoQNtSxpeenJjN3}A<%=Mn! zZ>fjAHuD$Wb5}42`CE;YV4$6dEj!Ey_Fd(oq_qMG#|oZjUhV_> zww*ftyiE`<)FcsBlmoPV8Am5pa2{bKQ2G7Kz##zAws)G*X3`#pdDSa(}2xC7K zHtO%<{OSeyLfL(m^IITS=&+;ZD>`I95fv!xmxZT}<29p|Yal~&4dbsk2}ra4x^+7Z zGg_J|J8O$?L9)?WgW?uz1t_)PAng@y$z#c3hw_e&i#Xb zX?nnW*02u@ugifA@N$O+Y-ZzVM#B=>`vQ)dr^ZVKWyrGA5l zEE%FaXIsFnPv{owOI`5by*^WZ5BCax_&uBYB8z^%^sRRrO~7X_=o3X@$f;mmoq<^ zX%a%$Urn4ib`L_Gj?1g}JO>ve)8Je!9dNh0V&X*Hh8dg;Vxlj&z$=u4qI8=KPt<4j zeNH_OJ}(PS6>_9Rw>@nmmw0j=gw9 z`ic7=ASB73uC@=EVq3FQZ5Ys(NRr-mQgjdqt4z2YA5p;dp8b+VXB<2>AIP}cEsNQs z3F_6DZRzbFxpkfcvqrUJlphK-g72q9b7_ep@V6O=tlM`20$!e_9b5esg7%E4Z*LVt zMt0+!X#Rc((QXkoL$*f9@RQgvQQXtNe)itcUC#h03VNy;bBIYhhS{2&a13~+#lz){)L4Qm=smM zdW}0W#14P-(qhKF%AM3hUJMYjDA&kj-;8^m!$tm2F!M_x{!Myx8xYzq>VSwI5RJ1M zv?H;v8ZJMjegl0UW*3^yXRHOUxBJ>gF`L!r)H?o8eiy;dy|Yd5K5~YL60>B#jS%Ez zS9ZyF6@*CIn57h)fl&59?%2)^5IWM-?_#5he2Daoj+@cjb)84n!|)-Hgg2;hGomlB z#mv~!eiA)iyh2TaHzAC@-by?y30Yz`DKBJ_P;;!;Xu9q9ckTbF_5D%!f7CYDpSwE$ zq4l+|y*Q%G<@(tExHW7V%7D^|r5`c7-fAviP)bl67if3FTRm>)a)@(PY*MEHjM|*3eGQOBQxML)&J;{V=?ZxJ$3fV zc?H4iu1U^wVU|Tb&qww_)ELJlbW+YZ0AZ?@_vhX$Addfj^3(S-klLS5{WxILD^9XEItwgFXjS#2;m7^uUtQ6kru!KiGeiw*JF#V4w7ur!KU6!Pw=EBIME>{ZUX?<5eee12;}ssN(>}=~UAZyzVJGg+ zO1q229mBhju9fKT-HJdq^|_vR@&evTN`k+)yakGyt*zL{F|Jg$?}71f~@8#2{$Xg=>M5~( z{na zsiv#feSju)^dUu^|L@xWtLOit_>1r?XZUYl`?Z^oaC~w?zWUE5#>;OHLrzhKW#qdN z$h`DCVzSW!=eP=Fy-mfCq-54_a}~KYFYTK33Ppi7YFwkQS^{zMvICi~I3POYjg#N- zH;ClU5j&&ehAfYXyQ(*{AZ)^@cL1}m$igoMMUEh^O8)Wuy8lXk*nM_L|4@qEn--k%KAXPhs2PBWp_mU>LUYXguc$_~Ule2Fu! z+maGZ=m{>WudASQLEYJt(KP~6wUFPX#(c}gYCOE1(QYi^}(_O%eCdvX{hpTfN} z+3FF0*=gj(9VbGhS9h=s|ktU)uH? zc}5;iO%pid`mQ{3d#fpWhsMWh&j|yOc7V>4unp=px%7?iU4>GE+#ni17vwvhq-wl4 z4>>Fo6Qj$>EH_&vmVGQ6b7Z#*#wy4{GN*vSFDDWtG;}$>-S-yaU-@k)+#-&>bZF?i ztTPa6pDVcC`~^gf3-H}sk25V|}8CvFWUXvlf{ z;dnzSlt-kNMJr3#m0K--&ru(;#W&PPP{bkQ-ubB2+!By_{j~cD^j##4 zKYF!#O+EfSYEN`ISVH{Fh&>o+1MRE{!@g(X$Q6z`T~SGZ=rhyw%uauZWEX$&W5EEQ zNga8gT>yQTdoILZBSMo4_)ScdLseW#0%KyYwOn=5EDCib3F}rzBM~H&KBH+h`k2ynb!TUc6bl|rS(m7%>7r-|37kjxE}t& z_Tc)H?eUN8`Tb-WOa|q7u3|2ccx)G_UeCKx7pN zcz*&gLPrYxT#c3cL^v7E_PAw188UUZnfl|!%0Iit|54xlD# zw_H<0HiU`(uea(x5Xl^BJT`O!HOQHuQFCte11h(R7kLR5F>^O=vv zc>diQ?NiG{{_oi~k9aoBy1KkRzas_+uJ5c*2Fn3)+En`fXJ;Tw+vy9I5g<%oD?2Ts z1t_MQynY48Lbwj;dq*+O)MO%`o4f?v)6+CwS+4>Sy{%g8)&>ws;Tuc0d<#)JrguMV zsE4SP)Pi^25fGhHx@M_&0AjSnJ-3>nKZ0|YEO%Ehg#OMRkP1b{X3Vr?%ehZLUh5*i zkrjJnZN=zn8GN7ZvL8-c<6fl49ml0%L!i2Qy;BP{hltxXUtILhK;)UwX8HkFh}v25 z>f6c>h??gqe8M&l(M6B9J=%E=V(iq%Ubc@z>`t?{w}_u1wx{eyn^XZ1=p)V)`;$OA zyWxRe^E8kLCFjZBxJ#~h{QmJI+}(NTdO5@G7*JD!1z5tnA)+OwS+)oO+~ z*Gt(lQYjFtVG+Ame+@F1G|d=}u1BADh@rj^8$@zGP@xA%LLKw063f^nD6Y6Pd+O2- z$a}QQBF6j;WP2nza_+?)R*tA1v-0bZCeA3CNW(n$H&bNNR(VKTFL}sRC=D5w>_R@S zPmmM9CTEZPi1C8S2mH!~(fjGhq~^E|`;yN4-Xvb^0fU(b=anG(lK4*XW9ai<7bz#6 zrVVv<{PNE-7NKOsqFBLS9`bJu8SH5>haCN1-}fD*!&BEA$=rSIkbd{wR)_jWkjm5j zQ`hngB=1sWw4F(X#Gr%1kNzL>-o%^g{e9y`#!8VQ3Xwv{R7mBHii~N}fKZ4;Wy(Cy z^SEvEJkK*3GnXlq%n?$Cj3q^R?lblMKF{y@2hQ(z);g!w(OTBlzW4k6x$f(FU1OMS z782Wb^n(Q?lTd5A>f-sgGVtgK1$tb`6PN~CCXjc)`*31Z4dPyJ{SaOD5Mqrl-CLKU zfu_b(j`j*YsIpj?nVxRPzS&2)HaY08bP`w(c{Kn9iXFy#d#E7y=3yU-pH7hVYCGff zCUWVUmWJ=&`hfR2;7ZMX^z!a2`!u!yiAuI~ z+g2(d!ItSzYZDE`Khex>>=1(%Ip60p##B(V7Oi4jJpknk@qM#_2cX2ziD!*T4vNS_ z+fzJ{eJM4Okf2Thx#jV@r{$?2+hR*U>yJUm41P#|Me{B)Jar;iO(`MG_vDe6oyho9 zetcf!+ZW_DH2vt4U4~@gwRw$Iz@6mmn0)Oce4o^3nzKqkoATzpNP}NcUt{oM*E3nD z+ImGNelP{fkJlOm4r9MiNU*1l#VIHvE_dX6`=SQRM9jT21^K>wLu-7Ukb7?^QsZPA zWCw5NObVkW{H?B6FZDcR_@8+?_HY5xFX&@f`U#|Q3GMkzfvheT!j_X$5x6UkBvTk* z`aS#q+3|`0qxGr&qxJdpQ1=H6{?_{bcpmuUpGSXx9e?}p-0@kRZJL{zQ3897;hvFu zx!{y0OYX@}4lasr!Mn-j!L>TC`M`BraHr{bchCs?=1#&`uOw=JYU8`2d`!SgTlf_9 z5kK(0b#ym>O$qqO8YPo{&<9_x$n+aoZ^3u9$C$5}6#H576}c3-!QYlEzp7pb0t6Bz zQlyT7HN}s>`_m%eKp~yZn@$Q&-+S*o_d?Av>9cmY@Fch~kFXj~6T#hBkfCi{96VC( zFBjz92G2H%}7$BhM;B4l=S+F?*bS(!cz>y^PB4_qbaJE#T(KtE{u3W3pAZ-F} z$)efW2?F50>2~QFu>w4$i9K2GuY#8`Jle#4vA3u9xjoF>;1jee`<^Wjd;=VoY|f$& z(6&u0pl|{FHBt)s9MvH}xUV?Gfe`}Me{p58?}WgrR}|@bQ(#9HZNL^^T}=cHWuj;Dav$&{fh2|eI#o|NXoJP1C~`sDi) z*TA=EL7Dt%9{4?7(EBWN5&TQX1jmkH4l;FXa{(iA5ItBH^fG$!ezSNvaghK)p%*r9 z*GGdR(V;Dj-UFOB-&D=q)&SRe4jqG}W^m66xvlJuouD$H5{HaauWChimbqf^VIO|+ zcpQD6CJp+YbR>OjTOHW8Rb0$&ShzdmCIt4)3|Q4nZ3h zY&X}i$LUe3sY?ppGe+-q=D$aU|EhzWh1)A|f6({V{WUXqUcVpxl4~5iIV8v)hi8LN z*Qt+uUOwQbch^9Rl?(hQyK-r7Fhk%a{d&%u$RSeWPYE?1f}nM?&!?80AvlsfTF1H) zLIh9Lk8Vd+d99)X?Oq#Xbr9l54EQN&RU8oeF*cu+-iY3a=3Z=s@+iy zyc3ITSErtZ2(I=BYJ-OmF>^aSs}A#YX-x29j);CUk?->%-yw37)ZrUx9z?~y59<{c z1&^@o$$m99@M&bDu@FS9jeAR;7$x!wJl{Pt6nBN-SLV+ro|{0(<}^25-wcE?9u-^n z!Tme4g2BuU>;~O&L`iOH1oz zIDV+e6}`b#5{1Vc(F?ra{h(_4b_l;)>0w5|j2Gbp9qGO*h^X+1P8UbcYI{fu17m(@Zxlkvo3uz8ftXlb8kiy2JX!H<$T>Q)|gn49FdHE%l-o~H#X=g!) z%U>Y6nf#Fp3FhtyWmexW1tX)Qk!z@f3Nw={&h#EzAWZ&?)_Zdq2N4cV{x z6?T1s@&UrCRryaSGI#1}XPSh(0*)-DCto1Tin-X(csuTE&+kw$)`pbP!>Q9lOOQ0E z#uS*2Jo&>PDiq3mApW-1hrzaLi0N!iI}=3;Q9hc~pW_$s`INLF<)jLJCM~g^rxt|p ztvQG4_XI6JD^}Mdo%e;9%Q!)B+HOj zLWaYIs?V%ckovLi;PkmnNPe!{eLZwE@De&k`oc<_L4N|L7X;1x;74k@UR}ho{DUXY;J=pd`%4 zn4H@h3VDy7w%fM=xv8Vlf*l)>#jSRoG9Vw)_2@U8vuYrfGlGqB{2L@oMtfhi$%Dl3 z+rRcN3t*OM%#+jaImFf`rWZZ1hv+A2!|6x-k?ULB6nb+vM1)>ykywku&uTT(EL}&A?u$uDqWbbD$r}JY)9146=rzLDL+QJztMKvl}z>ugoDf!eL+gJ!H|@{PQkrpdj&Md5k-d$Ypo_g z6i8ou+lk)E#)N1!YbMkHo3#hp@!TKkNt+$}iN118;^RIC@OJpI8S^DW@wXCOSWJ^w9o z6e9S#82Pn1(Mz20R(dc1h?b7?Q)Iyqxszb=6WLmkiDOMi^AsRTVEOJHcI3U3SkCrD zIpF^K(WkTL#UUU-HCJnC5Q5%EMzQ-QU~a&7-*%FD2)**a-Rc=?QJ3fCYDIrRxTJKz z_2Y?n-UkxOyHJCB{jgSpSqCC~mq)scz5?;Yw<}%u&__Q?ktm;J2$6PYdA1bHqt}^m zw5Jz6&q?KuZ!L5&OL96`4^^_SIXoRV*o(Uz0(8*x@Lct0|_R(o6dORQB(0EePW1pbI z{PJoDC9*RPxxBiI8f2~9DSh$I0dX=;L>ko))ad zZk2P*5wB45oVyvl@&j`N2`U16jaX6R^*$Q4?G{8Y&DNw}wS<_UjXKjP%oQHViwmd7 z#CzRIT{@Cc2pQjD@@5S087Jo$c247t&-_aGjn3o9*v#b|O)i9p$dnKAMWa9rUW*Jl z-VKp9TqD$n@jj)-aa<(L4WhY=Mtt&KLG;4Um_-ld0u}7L`KB)qVhvSZlCe}m+&<&h z^s{*7(LD9yxl^Y5i zP{1zJx&3GdPpRn8MEl$qTn={ zL5?4txQbUcc4M;7SMNH7cP{w?0_Dy^h^M#CAi6w;*rM;>D1vMt#`Jo}-pkg|xR>;G z_d+C8Of3|em!j5}JLKJUamLb>KI#cgB2WE+jPj1ksL&m-O$oKS;kQVLX zMY9dFqx&@-1VnMS)BEa}eFHfpJvDe=$h`=O_06*1Q?W~OTwG|o33@-7>mtQj+##;j zBdj~|HnKJncWjjQLu0R|W}Dj@Jl(3*M?LWiN)k5q{^-SyZC^sz=)T90x8^rm*pLd@ zUm3+`SbHEdPW>mX*i}e>>b&ya>=C4Km&g>voPd; zrW~QD>h*e)wmua2`PK-Z+JxLms+-Tc*&tgl#y}@V6aD@DwgL68u)n4#DW1;^(qse# zvddW@m8|R9idrKiPiJb_-^K3C`6)3W&K;0Md#dNvPSn`WXwrle-mP$6*U3|qA^l;n~-9$?UfB4vZpdi?np_$A+b9QPgaG~lJy7AnZ$ zTgaU%x@76_3~Y z1?>Jjkus|Ar4Q0sVn=&*4nW%OAF=Y|Cn5Fl@dI1uvVYJ1f6jf0Keax6lHdIOKbT#@ z|9{M`e|vu4Voff}rC1eg{GNTBsCozv4Ae&9oNvL&InVNabv63F(x~WLwt&kD1%;%| z9JrA^wzTlT{M)bdMr2#Bf%|mnQT?aE;L+;3#y{Hxo{>Bk4R(lw*X^61V?Fx8o0*E_ zeLC{rU*uFeuA737>18F-E&=eRm%HW4dH^g_Wy{M-hrpJj=}22PfJ2%p$qoZ0aO$#2 zBAiqQm;L6sIy&FMRe?X9EiD1uw0!RLxTE%{bA9iv>K z&LtQ9@8IZ?bl`?FFF2oh!R&V80l0)MzHOru2iF((Thb#gf!oJS4r$bn-IrGT3Tqd@ zV^%YV74;F%K24(b%{Smx!F#&c^*wm|xW7x5eFZ+3HiT5-zhLL2^f`l2Y4C0MPzkGA z;Ad=d*>Q~s*22n|F1BBr3+gJUQ7KB@>P zG@HbXthyj~2tW9((wrs@w+H_$zT$3u8nClj`0_B*0Gy5)v1;VL1LrxN*||{$}>gm1zWC!SC|O^1oXzyo1K*YVCzHL1 z;D1PX%PO%CJK%|o@h5RteLHMRt=tL(9orF`PO^kPQVT6AZ!rkwW78aY)eOP0vnN|Z zHn7(rXElf7`S02PTkHF4@F)Mg)YJVv+yCY3`?df0xD?&-NmTtvNDBV#v+tfPXQ`Ch z2tGVFrCd`{hflt2X7{lWg3h0Np6fLa!9KU;+S9&4Nd6^~i-xTbT9xrx<{dwTm83;K z`F;-bSe7Ri$K8QoeN=_>?lXwEu>Faa$1@;qT_+yN#l3r@3u{fk4R?=EAFkJo>(~XBIG1T5YSpWfJ`cZeI`XI2{X- zXMGS)kL*()948=)%i2U)j+a5bDqDnU^&(qmK^gTODA+A-3*|`*R#h(qlmW`jv zZ`%g`J3IE#R`Ozp=;Py`F%J=3FHNqHfZFQbsjCvcjSzNis{8UZc5m2>mR?CmZPDMI z{H7kVPXnXWZ6C%Gyg`1TWaRfy*0h~VqIuvMyS1vxl-GjGhIJ`g?fxon?PKg2{T zr>_~~&Q(z)ghE#p{Pqj$U*eF1Ad&*>GmlY+FBW|`iu}FM6RjQFsQJ*}@Huzkt`LOJ ziji@=tAq#+yH2qeOF)!uSFB1;hDi0T51bSBL6lDU<|`3Wh`v?l>hcD?MRGP1cDm#c z%VTP2G8GQ7zf66^eYzp;1*yu@0eXn{&s~wDT!CQTDVy`xZLu$Te(rOeD{`CSE8d=N z0D_E>M!3Oih^Vw(Q%~6ek^7Q`I^P>Ylo9CjJL z{DGM%^==!ckB~rp!O>H@7VkIQ0)(=DNZ9a@+Cve!ZRe*cu6Z(c*;7_H*qauDR!TAr~gIS-lnBeZip+aWFQ_yg+n zN04%<&e`4@?~-?Qb6=V7LT=yry(0aF5LYP)?Pd=lM%IERk?jI{TrNpitW6=OXU(y! z6=&p;@QtXBY6u%H(mj}mTzX^L_$@!(p`oyx&`^8>%0GF?`9 zeoFzeQngf#0zcxj#_E-OJ4_*U_gaX+EH5N8{MvZ>6&cLB`~9`evLJr+{%iVD%MO5 z*yL6x{D^>JLj$`cAMAt>cq(#|=ND#1)nq2)Zb3#dy`;rB1*CB}G|kuI9iP&ZW`7y> z5J>OZug^%04Cr(X`>nYU*KB3ga4HF6jL6AF#)ctEHGj!ZixG%M6E6iR*MU&tX*TA9 zIe4xMWNi7|(4<~gLPB{Mo;tqQ*O5F0C7Izy4hDlzC{3Ozv#1WagU?%Y-*Q5hcA4}A zZbnE?k=4B7Rtc$k*SNFtv76NS%2+~yF8VBM+%=;QL4sN11H-fth|~J9Q-L`fVpxAh zzj(G2KXWO+na~shQ6@Rv)-ek8g5?c1;v;BoJK6VhOBz&nJHMWvk%qE_r4v3`FQI6) z$Aj5@FBCl7lzCcZ0=bNqJ_3rE;bENrI!-nP>2XJn9uox2?o{p5%c6$lS{2h!1xD0> z!_{*1s374v9dA$DGQ=}}Vm9SqgP6g#Tfw-uiF%`0l%b;z#I=|i`Ew%B8rwN}I946% zX5Meloi~EYYZAh=?l++H*5lsY!5&Z)novk3v5p-bZ4^Bkl8{Td_#|T11bq&N0ymdE zA;U(LRWfY{q?yffjnlF~O72(rSmh&-yl>w(q5Ff7SiB?5)qW8YjDPGEygv+afiZ-o zk6$roT9T@v%=~-y|Le~G=M(?`_s;(pp!fg9>0M-9|I_z}9~A%2{l4cQH?Mgf-qARI z&F-LGhd_ynH$StH)6z@m^NQ$(;NklgzJloUdsYHxD^c?cXw8xr#XP*ckEmCvCxm_d zs@14$4B>=I)+n0@AP79$c6F`|2-T5-fd@Sy;@l`=n|lL7q#A%IJ-GKEr!V^Y zmn(VC1cTRI-Z70sw#ejm6n4sqg1{hAXB~YL2qx)eSblpBLTGp%=%sQXkH&}I()tR7 z*3z8Q7!CZ<*a(h}uy1(q1XvyFf6^<1lfU2f{jklq3@}G~E5HK6zsH zmL_jfn9&}HUYE9Ns=7iX>86R(*I(exYne|n9Si;}g~?MsN)WWQzCx@EGe6R+Y^V5< z!=tEg!k$kCp~sJy?b(N~n|gb3@7ywkwN=dA3q;M)mUy8o1T(0d;oZx+qR5XKo48{t z3K3VQ6<=5CLBx2MV9Wq_*3d7#M}+uEfqOR~8bZpxlYHHx5249?bAH2*AjDUyaRIJR=xchO5sL zu#b?!E+!M-PZUj8LXq=Cm>B--ax(;(Yj1kp$}NCs?bEQrZH~OZ!fgU7+adDfo&Ij^ z{g@XmVSsQxh!(eU=?W#{-sR>Ap}TnBdL%!f-aG`c6k)0Cw;ueS{om*Q-&)`Qh1qW) zUiCQ{oe32}*U0iBXP}tlN@OB)ArxFlz1;g5IkD}82BRbAA#3~UtJ(uXkUoE4>Q3>4EVoaKv{Xon;j-?tC>(jd`nP$0+;v#S;7`X|0$f`siYnv&BO zpy`wR8vzRksOsP(W@e{C8TT^d80#K3#^q%Y2>uGsvzOeAqPp z;1V)T9+OMDv_gg`OG`cFB}jWtW#l&Cfj+}c-LzNxA;m^Z!2aOXbf|dK8GUD zs8d>NZ=vA%c=uDrT!mwy1#@;l0ebD_=SrRjXM4AgJvmIpL# zKvj6#=CM2UP~J}2lF{`9N`+5-WYY?QVrd1-SY>`FtRgR~@wA5myXbGW_9q~3GS;QF z(gkw&8_9eey$9KW%rENGzd@Fe+!pEz0mxYWkEQUE&jhOfc6NvP6zhXc7Xh#aH~agx znP3;uDW#RU6&!Vp-^l1nfYYaB_~aXaT)ALUwNuz-LDp8vHMtx4c6WbHz~@J3n@Gh&u-d^^K5}Xa>_{z1 z0v}L=ql&pearXsqX4)prkgy+IbU3ol>IH&p5IF8hrw6x;wBqM`bih47)gyx84Q8A} zDdKykz%!_(R~h&BUd9=l?v^Rwedc_Ba zl*JNF!oZe}%2(<{H8?zXI`37Z1I`qY4++S^cCkIml4;2Xu00~$v^|;NPWyao&!7M@ z#te4)QYeDwMHcnJEoZ^&I#W{Jx+HjC8XmWlK<3&R{)Bei?fG(MX$oil1mEw=qrMj` zz^~=qUR$0L@b{k1zbnBD0q2bL7s8@Y+jJbXj307VfMZ z0FS3n4Q9p3z-xOb%Lv0I@K#!HQ(&e7AG=*QCQQ$RZ_J24V$H!Xf2!=hH3hQXj!sWa zYd}EZHjPK$?m=LD7hjYHD+Eb@lKv_93WD;yo2%aULolPn$pe?Qe$W2jTHjxTf9%iM zUNrt|_WwtgN9eDwtN#7jfPeVkdFOZk`O@Bd19jS9D}kC}Hwa)9@=|}k3%!`Ks%{lJ z5d4D2)F%i1WlP!`mmga|=w_ne`%_yX?1$XJ{h7G$o(!^29FYaWv*eCic17%iY2`OQ z;D?-60wU`r-TFxI`S%`4I(@VrL{kW~JC19CN7j$Y=}k-UJ)E@U z_DBx`GNaaIJn`?So7L&<*$fETxBB=2#TtZOuzB`96dB$camk*ywJ_Vm|7}w7HD*oJ z8;hLUAwtN+b~C;Mh?LhIzq2V|KMYC6{vig43~YXFMM4Wv=Y~3;OC>_oVrmTs`sSlU z{dvSHm%uasiQJjIP4J^o^0^(q3%##wLfdZ~z&pR&4>6f_2nn-WaeKTEy`W3qW>Pee zu{od^MT&fz&%sW!7MR`rkd^53^CU#{2r#7GLq9{_!kT9x9cEp~il#g9ZY`&rsKAH& z?JX9ecC*j0KW2}y@om&av~t(;AE8cuWmYxWp$7czPw{+ui{4jTN&c6g0fK+Yo;k(i z2%$IauhtZ!kCB+DrTsw(!t3s(pX#heUqRxFAyUjL_pyt#Nw5R4lmG0pb0+8;jh#!d;{g;#>^7ch0i?8S# z$on3A!%PyQKYu2AuW&*vYjZ3+?r`IPXaAo&|L;?ugyety>^JZg<_A%_Kso2#pw*>c zQ23FAo_W_H$nDbU+)h>ona?sAA3d*!v}X-wx3ya#MSpRIhJP87Y}jx8xOWEBC zLP{ZSPQ?7YurS2f4$qvLtB0toUzjVE@cGXmL5x;u5(wF8B{lfo3uk^-#&*UCbJ{Mo zY=Yg;P;y91qvsuz&u537th9q7f9E%9TUa4)`kZ-VlsjaVf2q1AfgF|?jiWWp0+7nL z>zIe1D42kv%4|mn!{(S3M?N^4Fk?~U^ZhMHlI@Q3162EWAtD|Swne7Y_ zZo6xl|LE$N*k9X-bx5Kk5sqx+B* zVlvcNj`^5DRFK#USEnQ(=IZ`vce;#O-nJWgs}~^LaOX5@;y!4)UFSzKs0U9yDfb?c zZiJE|u@vS*olvOo{G&^JBjhex8-(A+ju0!O%1ANn3)SteNQ}Yt1*T@VL1x5Z;G< z;dUB^<__8g<4Iqr?)Q&)$ZQN{*&=tAHu|BM%-H@Qr7+&D+kW(O7DKMcxk49LSI9cn zSAEze1=4G`e@MQy6H>D+dQUB%faLeJ3{PXsAn8)XwdcomAz{JEM3T`M;`O!2p165H zEPufLo~?}#&BJ1IAsf5FRM@&hN&=xZS0PI zJ`|-`mF||d#jcVM>}1lHA-8(bs|%wRS?i}}>pm<&Mk#$ex}ASzeE+rQzUOV)BJ&w-@b&QEUUWpCm+Ne(4C?YhkCb_KU3G(C zW5eVeQ(Xv=@1Fem0(X2n5?W#(UxLtQNk`rm7$9@H-0+qeo<}6k#MCVt*wr+?H-zRU z5SXuS5Bhu`2vO^rfrp+#1Y_ze|Asvf;ZIw{z0V7~CmKymH|4K~=BAQ3Jcy7g60hi{9GYy_0^QQSYwb zpNIW}Uh%g$r|o}&pQ-qdU7>~$cqJkE>XQ!CI)}Xv4W%HL_?Seg4jY7&rb|x*>p-aE zD_G!mfiMYK1-+10*u$qr^va_^PVcydpx9x2-CH@|R__Bsy3BSFZ+(b3N^Ch~(gP9s zbH5z*yMf3zZ?L=YED)3VCyKkVgX{(UyUV0L;D7UFZ{GbTBV9EPbV!ZAU za^hX2?)7aDy5I2rfT${jPTy}K2qK3dWuxIo1G2WSyk{;AtU;y*KiOv{{JtUGDcLi- zAmY%d$*AiJL=-lLF=PKcQM{JF@cAZctaAP8VP2?>(OOYXGJx;mH(K+05zKJUFsScD zt#LTFoiq~pz#Br0k>Qw$`JVaYS>-VZediDo!;U}ie9oERUzrg8=%y-Tq9hQwe#zXE z-2g&&qri_{%@FaJdQL0)9qxD&)=RF`BQs^#j>BmK`NW;lq`JKjS@hVK_C5Okk8AtR zTx){B7YWi$MtHwGt;}@t4kv^dbC&pTM-Gpjb<{nR&k$z%k{BHI2S?D=yD4KA5O_&d zjn?opbbdtToj&e3v$E0#6l#E|aqA$LjRHhce0*N|pbImkS--=pRydrt@ik&;IXo|8K4D|HAAy#2E{|WK@9);NA{~SD;u#YQFB< z3KXcT8$Vb30XbiU+|KgGLzaYTV0v6IWb8kCkGUuV(hRRm_-g5)Z)^9?`D54((eZIm zr_ThE8rnX_E6_kFQ-3gGQ+x7A(Lk%SBPArz7 zS18GuE-8)_HOG=eAsUYNA>oIl{$3XJ8>%V{GNESIv`}?+M}js~jW{RC+~R|>lc#JP z$pxU8q{hWyRUUc0+uM}|lOWIa+BW0eA&|4f`pveH(`GmZs7a?AdM*FI`M(#)-R3(ElPNT(+b_}s+4`kCXV65h~a zTO=?4xejU{&K}&R-47KOj_>-lc%funpw*7ux&d?vof(yfmm z=cB5dha~nC?^bVAe}R3??#7pP)viMZUq@iO4L_uj;v;}`j5&0qq&$fDB8mOp(sshWRbCwpEDNif4|FQ(77juo? zbk0MujtN5(5TJ1Q+3P~~t5A^O%hJc{4fz5qtWt*(A=kh}`H8?oa}K4))%JLm7&|IfKEEcCb7xA;GqUDOG9 z$kXNimE${rzyCuE#9#iM&-)f+*AtJn-3M!(wR+KRZ?LO7d{g^w960(d^lYQp56&c~ zw6&JA!G)#o`SjfgaAl1tng6~DZnRlac26zAZJy!FQ|w!Hf9Cl0Gxn-_cwd(}{qr4o zp1%@68%qbC-!7@Jv-5&iLhT&64f5d6tK;Cxt*3?53CoStFyf} z275*ROM)*@1KMfaZm)F?oRvRWJ&TbB7h^wni-&IDYB6iBSSAZ@Mp3b9;$h%^DQT+6 zZWBC?WIv^%RsxSTjzmIQ4R}`GbFG~{3SN&d9O^|Mfj8R+A=l#b;N6($Ty_>YbXtRO z(YX&SciMqQQjaslA5KaQ`P5j9RbvODYt?t^o^+|c#3@8I(B1c$)|CUE^m zCKdE32;9Du?G0mp3GUs_?q&0a}Q&@DzZLrypqvRsl%d_E&-m!B#g}mCeUxEP%fNp z4BmQTcMMX`fR7-r=zxz6_QYK zW}pRU)>dUr_dVbe9)DqO=sLK5uD+MK;~BW~%V+LtrpC_HXD?_i6T$PbSf#s&F?iXG z=tc20gZC408P#hP;B${^Ys+KJy#ZlGlIS~Z` zkMqrnG_HVCuz)-HtvGPGO8e{#!wk5t=$bmBr`O$FHg?rQ7CeS;ydEw60$xHlF722H z@HXvuzlK?EpX9!+y7PVD+v1>g$887r4JjoGjbXpR#5tG4Qt=QlT2*?dsR#mJl6NyS z`9jdGY7@16wGh;{eOY|G6oQ3x?l!Ogk8X~?2mj9;-^;&eKjSHKIveoc7d_N5f6V@~ zzh+``%+ze;3~$jM1CPYG-JiZ9uWnzL5Xjd+Ky01Wg??l+wzbC& z2_cJd&sD|nMD&?ShZ|jxdxrit$17~RZ{Qtpa5CY%DiF>Og?T)}3=vOb5?L%iK1;Ys z)5xM0)kgJ_O9!(ho*9ZyI=@2Hsi=4zVR?x9B)u+fl?Bnhl(t&8PJ(CZ(EOzKS@8XF zdH2BT7zElJ<{(?lMNS{L&C3xYg!sRVja7ODp$%K^AKF$1VIzgfdKcoc*G5XRwGZ$3 z?+((^P@)F*+-5ZN;T|BS@2S0XYXCc<$x=>XE+7hc9iq>zK-ButJ@r<|o5{_lfJ4X+ zx_%)1>icr=mS0j-JEji)rdy^>tnj^Q!F`rh=@wR*p<_3gbZXnM+jL%lVsVVK~ zHwdpd7RE?^76=`u-QuxxJfb_GYXJN9h|Q)}ekO{T-PPo{%k&JQd|{8z5^7=^lLC}= zlMr+8&?G-7A+N};=FT-Ehz)r#R@5a6epU~^=~E$7RFqN3jOhe2J;&&1Xn7#?@s~8Z zvp*qhkmn31Hv7o3IooqbUc>vtiyC*Sj(G#dn~3$tn$m7#wk zd|`*vDujJfd6i4`3<$RLQg`o}LBvW~LwP^;246pZ`)Arch)S=ECG17+|CC#sm%0$d zGD_0A)$fHkaq|y!+o~a6;b$J-FhBy&uZX}U)M3&tCrw!@Kq8v}lkMwZNDN{b-$fAv zi5qPvl@D8lM0(QOFKyDAJbE(LH6@hSB09c5c;LWB61gx zLexdNwAuJ3Al`A8y|%9sIdQkPIJBrhIKu@u9(Lr_rHotbyXOrJ#S<0NT|B7uQRp9d zEe}P0)oaA}{E+w2MV;voW>-spowhtW3F%SC;hSh%vr5>N89sd5MST7jglUT! z5FgK>*0)1)!kQGdKKd$2x(z6Pq;-n?N-Go`&#=E4kq9|7qz|vg)MQQlgX8V81>R`%BL{zc0EzGeS8;Yn)^7jLeYaXkeT$$E)<&E)ADwbGD3A<kSlmiP@t&*vV`*mG;^~t%aoU`@|*~%=^x}t z)o^b=@@wW?s1YP7=Wcxwi&^4XlY!^=Z$Z4~7cbw-%n*BUBAufSbA9Y$i{B}@A@clI zQ2VtEtx3<=t4G|S?)#kbQtB0`)On+3y7C=L4KBX<+I1I-k_tUdR+^x|Ydvx$vH)@` z2KU?(G=i+v*``{5^jjuGn2CRT2Wc@B6p5qckkS`v{Av3-B+CkPP6Y^{-|?=8^;zT< zMH`7c(!t*7xm&ffRD%%Hi{(EWBEM(YBuM{9)MsR!HT@wgO{^e{Z zhy1`~$Mat??-k93!w^6mp-JSpOBP9*6k2Eazn0s{l;Jl;j;SKbtjKN_#nl- z#eq#A=w+Pp+=KU#nfrSz-B}>QkY~uXN)>%}vCmF-Tmj;B1&L&CU+~I1t!>2<0Df+o zw%&u{hv8=;O&7uB8wmy)^O-`F#`i#sFIn~Eg)VNzcG9g3u6L(>2 zBO0~I=@3?WD^cv-6ol)sGa9AJ17Yi#&v%fwL`W^t%6f-gA}74~UQ$g$M9Y!d?N=TF zQE9l$N*eu?T}(75JmVlz!J}f}kOBCS@0lwxqD1MTXi{ zVh@DaDH%6>dJ3U_i!V+Di$R#1YtRFgAqY3P+cJWT62j>%vVv3CceLfp8%Dbk?Dgv^ zsx2=CqM6g?_Cn-Fuu)&)PQecHXO^V_f|wt<9b6bn7L;+m@j1vjrJCU#(kccI6D zXsrZUqD{sOT(=>EN$KV`rPq+A%Y6QDS2?8oh&rRe+=Lw&B2^1-kPlZqy!Cy{EHWGd zKP2zOjtbJHfvI5Jv&x>HVrO!Nc=2cJ9n1_6MGe2LG7-x8pb@wys4nIaTV zEVoh$+=hZL5u=*-H6d?{gRa}PV8|BWNVJjAhK%)h^5yh4kS<>KBtHEk!DERN3eJM@dgt0q}`^B$DqZg!E(!kQm8e4zHF4e z3KeEt?|!LrK*?Ghk$Yh;6vY_{aV&a3!T4dR5YCg3PfsdO+|~;@WBt}S>+d0(HcI>< zHw9!m=<5usZ-ERhH~#NWk=wVjIJr})0#YYc%(-$tK*}QHZMpmBAZ3@OW`U#{B+GrI z7mgEywxEWSEo4&gj7B^pfEP8-+{i4h!%0v+8K$yVcL7S349_0q#`lHh`mMI21SlLh zc>b-|G!(=%GSinmhy264%VS^CLaw$fOSb0~$nHIsd#;!bccP9BCJl6uDJ^7Zv?vD| zJkgg-v1=urSNDFl|4&Fe!@~Tj%Ix>-|JS)M{P)5BPfqWDczb9+;8BmC4gdBWpSg!Z z1*gvFNgUcG6hzXXw9OmGh{r<`w=j-5-K5XSw*$A$| zVFv~}n!)YCw#OwbPr?1fU|CNB_Q`JCjekQj2_D6FgwtTg+~Zd-`Z-{i$i3y;TFTYvE2O6k zdX$6N+8vppIj9vm(Pxi&@PPLLi~QFf$l0qPTRr=-Be^e#c|C=0mSeD9i26acr!qu=RzkAnNngPU*jy}(2C zb7xUL1$b`J(Cplg+0$12pc1QL{Jz>zxzbDEEx2l-_YIxmoLE9K2!TG_60apF70b)x&=e`-TcDgt_%BxupTsWeoZR6)zqJL~SwKiJh)A1#F|fcTg>UQZM|IQY)c4GQ%`|ev@ASiHyF+SM=LUzk#O-byB&_}NGH+XQbUf_O5cT)<&`?s2Lm)QYfZeFA9 zg)BrYkrz921Of45g62wRD@49LZb90HKj*v}!qx)(IXjbAbu~I;ruO|_t?#A~OWsrY zoWlxYD>U-Vg|OczU3O;nl0F0}_2`^ud;}rn1}5E}9{6mt&}@o~(6F`ZLN=KdK#;xe zvXX#ZCl0F$6LFXgO4D$52-ASbYOASZQzwzrH+krWJ1<1n1$$c_MIK=8htGWLk=WZ{ zQhuWL0mK=Q?#Y%@g!tp!8U0s^A^u0)R{iV?5NzI4vX6!Up{mu|sUuAgPTptFbbbX0 z#2Q(WIPCY`b>lEI_g;v!%o;b`dI+Lgr#e}JNg#$wW6mSB3Sz}`O$qq-A9vH{Q=gzF z#2W|maAmqdf@G{Rd)EQXPw?J)s+#S2YCyC<813V( z288-o^=+D!5H9G}p)?+j-k{oxxsfi=P)a87m5L3@7YAnFu{ASVCv5=ljB%j&32U0~oRq7csL-Mh`2KV$)%khxhIl12-5*P}%-%jm=*aZ5W z9Mn(H%X;L^_RBsHsb><_)x!)CQJhkaWXll#y*1q089SaGF51yGAA`pGa#zM4uwj;n zl}Y-gAQYQ@i7T$1fr1m&n};uBAKu{V5ty5-C(F5-JS}4SEqJ6p6?@&zYypQjoI!QXiG03P!s}C#Qv4=x3*}!0U(CJ{Mv33xBi6n~6n204V)||o`Jqnfx5r;2 zx2V>8Mtmc3Rvs1{B)&pfnR~}~tR@=XMjc!KyVGRPGS1TZff!OQ`JKC$EQqB1ls89( zh|ck+SQ+OY8gBGi1WQ`e;reI?Yr9h|YAePM1)YcnZCNPy_Ihqm{LfwyT_`~L(aq7G z&yJ!54qC?)*-+T4%{Np{xJh+38$TT++@{%cmLtYgAcR5tf~)@ixqUp-014BjL5$3cn$Y#|Y^(vrOX67p1t5(z9$YqGfxWO$CYn z`=-wpNoo>^nYfjHtkwask-ylQWIGVI->AO+oGS5bqC5&86-B&8F>^KDJu>_2JG|q# z77}!^()TXu{SEiZ$X+HrD8(Iu6}!G7vGKL-i;bjKrP2!Nu67};aLnaE?NLNT&+lkG zM_3Y$)n}Sih!>Yl^ySXw*AR0m&V*`7_W4*6ls8{>MQrOf$@H_Q5obKhpOhO!@^Rkd zwjGIxk62aFcAs$NIMZrAP9~H5VO2LQA%a8>m4SG11;W*1(XiY=dVh;tn>mZ(arI(0 zSM6C%!pXIroP4i9yui}6Z5)UfS%or#?RsxS7ysrwS46nAS2!3J&fY+*(uQ6sIifLL z8oyjoV-w;^hfZ?sCo{iOmHZVBWcK((b_Ic1BEf=zS15w)*-ihTX!Bi0;>BfYqC1+A z`0~O1V};9*r1iyUnLgne#ki$Z+N6Wk zTg1FOR>TUxs*-2|bz6-+?4seUaFPR#GQCosUxh;RViI)2EPmcz?iP2FotOxzP@K zXG{3Vefjcsp9oX+rs%_wrR1}^NRxl?CDAUs_-pVlDM!4h$)u(95u)c974(#Qg@o{^ zJeP-LcUt(Rwyl~u659*a`i6;?_nd&GiO(pKo;a?wKSqya!w}ls)$4?}yI?4wLT0LN z+q{(}We~kO{HwJ=DcNJJ*`5$@f>_zYY_7UG!tH&~{(E{Y;@3sfXJ-;_;7cj7AN$vk zy-DYU$71C5)Nj}w`RxSp@1?($v(ugQ7Eg5YTkb`&YTU*c6~bqpz8-!ztDAUln=DuH zlSe8m*KIj6r%Fw(UQqn_FJ{-j^8Wwn_5H`ken(cRVnv?;>SdGaEZ-V}CgHqv7qtfz zBXQ~b22_+UWesL$%SXv6T}PYa{3zPZ-<2&BjRH?@HjP7%kiWKZZNc;ua-W`dP1UqV z&hXn!rR2SwJrh>U>aZNyn_3KBwv-^tXgHa2{2nqL9sBpxd_%@j#nu&1ZlF`7xYg4; z2MwFDLgZd1fHr+7T)5K`l*RhV0Ujq*td~t+6y!wdamDvrYWY#TRy)MW_*0<4~q%At6$Cf?t zcy<#qRkk$iwLeDZ%w?T>zedq0^R}iydO4~m50)2Hg@QVCmF>s%epJQS@GlJEG5YGT#<1^UmZD# zuR3zs_apmu?y2wUt;C=AeDummQj1!Ad-LGIc65Dob$Tbsgr?^c!ucJ&s6DQ?HZ&;@ zv=!k@-UrBj<%HXc%ld}2emy#gov&N!mTKZuuSB^?U6E$s}_ zCXoN!hNo7U@bLypWhjb-+c&;z;NZ$2o%Td_NQ{(_)R-REvCe_8{G`eB_C# zOA9KkMDE3y+FzX0=t*E)BggXv?W{|C4v|@AQ=>>Ymq!BXKCZ245nM+Y9_^>64&4UL zhS#;i`YEYPm)G_WOMp_YGt~^z>pPYCDEDM0$}g{5ro?p>rQZ*B325FyNk;ZCgW(Mn zTNpk4#c&=)XYY1iXeGVAbGI_-1_e=I#e4VE4*c)De@^!F|C!?H%biss`@D32*YA`2 zMa~?eb2N1R|73Sa{iz3}mWuxGo79TGz9F^d&vnC}EB~MCUl+RnasQV8_H%-NzTWlc zy7$j@|DWq$vO+p3u|Ho+{J9?fb3OX!dhE|t>aQ!A*V=iE7&(LDayv0^8DTS)hB@%C zEJgKtw=+kUT|xD)?OXd8SEDBCn+40g*QlBOLNEE_8ftgWTXC+>My;Coof}6+QER7d z9JqH2Y6CbbZR}%E8>y<9u*n6rNgo1!ceW88%;qqez2xWfGbBH*;X-ZkM|$Nq@;%hh_hD{`dfL$39-$YGaWvoN+M%tq9?gS6!WTX6qxq5T@6fpWXjsz| z9si6K*Wcca-?m2)t^VSvLVKLhmXgEI-zAFn9Z#0GiI$-~@TM+v_XV`K@j0sP=tKJ( zox8&1-*+sf#NB@I4jr7OUrJ8Lpo4$+_*%vybcmetlA#u(<6!N<$FytcIAX)Q$b1n* z&HSC2%Lg4Yn}0sjF+oRzjiS{LdURE~X}x&24&97Cbec{g=zjf#b;~OS;@2nea;oDv zZcfnF>pC@{XRFDbQK2dHDABpO^)aF6;tS5f6`be^STInHy@Z~acY{N>TF{d*tnZm| z13iTc6$*X7&{NTS|FBIDda5sd)~DZuo`y}1)7^G|i~T>D{~wY6|C87+UqX!=@Fx59 zgPGY3MC%ZvSl`LlY)p1VuZD8-&cR!a#?m|%3n$m94~v!3u*zh1qVKx^qt39i4CLIY zEz=RtPHlyndE}k;&k9hs3Ow+g?6RC1N}iF?5`rvej|@}lUPvXoS+dst#*w*ao7z@1 zLi}0E%R*r?!`l_Rwfsu~;pk?Uh=mb<-Q6FXmwIl%mCs)uc<*0;&vz9Ab$4kv=WmYw zB&ULlIybxCZqtOxy7;9c-?*TAZmnR*hnrAmR<7T(R|+c3#ti4=SfR*rAo5qp3!M0( ze8kh+62}h)?oMlq!m%b-w$tiGIDDRZ|H}0gRECPrX&m2%tZ5a8kgc+alTtj;*}5Dd z_V476+T_CT>cbOx^!#w$wX^f8z-HJMbqi-6@`dRr!+y>E4`9HWxZ96>rd?1LJl*~`xvm-d-M+Q@3KgUr+`Xa8`IsON9Nc`QaeMl2|t&?vP#;R?Cp&n>UqqKYd?QHU+H)hfnSG9I;}O} z=^H$5dSVJr;fbp<$ZF!n%Dw!9eKjzp+;q%b^$G?P-9O86*`f7;GL$MwUXP`{t0@Ou zaZXFaTY<+E%6u=kneJNwh5lJ-7x@9m8Fl%@3lrU@(nmupniujMV<#q8ZYJI>-wxVM zt0SsDY!?Jj@2C2)wVJ#WFEk5Yw}fF z<4zdy=){C>F@c_xY5aL#A!s(lKR+y54)ud3bo_X_^<=J;=U zUw{8!L%uB}J^rJQ?__9dBD&w!Mt`6EAsc-e8%tXeOJ`CS?EP>0{XglH?%yWw=WA8E zvDW-V>GnMTGZti?ecq%eEMhsRTXoKHmAymN)?L4rD11lN-SfITKW_s~#`@&WWI51c zzR<1Rz8|#Sh!u3pd_kM@4Vz4RgK8!@Ya)G98r0w7UL1MA$*wX zW4ucDpN*qhR@dYz$-{+fg%TDFub_O5k+|SG4^U`~v9YSHs1m=Ka3$~o;kAUf+YTU;4Ds=-;;}bE=*3^vRdSia+^|`2=8yb+#okEp_8}}Oy zWzhOG`elWb$r-?YoudB)YBCSh3@7oTmNzcrH;V>p-OdM{ck)0j?Y&^U;!o6$Yh<1) zaYF4+Pmxy-8&S7b{^8q;cZfDxS46(-0O~}XXTCDkpiaEypv8hS>afhQYV|xS6wcjo zIzEpo{r#iv-0x9cNBOY%b_#0s%s&|ma-+_#^WnMtO4Q3mv~BoRjrw~g*A{zINPTe2 zv!K5P4K~>`YD0(6ka{Agcba%xG`)SoGF63!q4J^29PMa$sr*{qk_inTANF>&u%lsd zN5mG2&wk5-{o(e#zugBCOgf6m!M z1$3mIt56$bLg$eki<}nS=qxEYyW^!KI={MZtS8#xu028q8E*aPQr}=jS;B-a$HU*( z^+==Z3g_u^GUw?^$b6Jxco$r#*%dZ*Lo!Q@`3%rMfl-@n1>`)rvt zUo;_?vua35&=fLt!IF1Fb0AgIeel$aC!naE;Z^CcBka#uyIO;CBr@=SjaE8N7$Qn1 zCk{TtWr=aosp{wOeC)cOy2TexSp{F8IHlmCvRAPF&(APut;yLyi{V?lfX_ik?)5Q2BUzkql-7o2~IYH_Q}z}7B4`w`nd zm?enJt!-|Fp`h`}i1W(f`xO9I^iW`tp7AeL*98tRzdkgNG^;Fi5(tB)I0C3^Ikyk;*uX_7l>aU!&T*F zqf6lWB)xD!Y7F+(4yV}<*~5}<#frcII~WUSpP$k@1U>rnw~zV*aDk!gj#EDiRMVnI zTC})8PO;pNvKyfoQPcKB!W?p$m#a%%X{dTA=5|%ajd*!(d?1^&5ve|k>zSE*iATr9 z=f;*l5VFr;?~7_T`1hi+Z@+?#|YU3tsk#LnC8C2$NEzYg^1gnouukg1|I(drt$ z5)N7II#9SA+9vCbL|$`1qhoj= zd*ctNJ!W|JaysX4vH!15zvy3i|DQX%|IqPl_%gbp=<&dcDN+mk^Y-7pIHmsF@o$s& zbG+HOYG>b|Fy@1IUDQRCdWy}wPuY(O1A~U1j8;$%PiOiOzB=Wr*pLG)7gX;yyW0g1 zK^^@xxn^bnRh#RwGS`z?jFQzen=2ZqvY&OWv0Q?x&he6{f#xVy7=TE)X`rPz!&^(?tpD7^wiSc@h zPa?uVD`xr19kvg&x>u#1iYB0S%BKnz?FH?QaB{fdeH75YxH2|dhEm_?*yWBNP+7y{ z$I%=Ks+C~9&Y=(F?+{#lVUqkFjm|9U!L^`G$(>N^AU(meP8;1hm{6TOE#Y-~399eX z`r1qAQ2l9X5&x7jYS?!D^lILQ8iC!HcdfXL8nLzWO6$2$b6jb8xm+%46rb+tzxx5j zZE6c)Wi(V?O53t+;|ow{@(yOJ5DjmH&B(U72vj#E`DUF?L`|%u=Jo5OPqNGBf!xjs z)Fx+N%oGVj?d$5?_5#xP*s>~>iwJn?CV%deFMgr^OJ8b7 z9}Nwo?wT#%>CouI-F9-=1&trOWuoYBq3PsPzuYC;&~%x->h@ zPYmux>wJp6_=qdo(qA5xJDrL4b^9b(_>QC9+M9)Y<~-U3>|BOIM3}NeTMD9G9MFHqvL=EZz6jK=`(nhn%%g9j#Ivycw8&cq1@87+En~+ zvH#Cb|6h?$^8SB(>{C1rJ!SoLiFjDQxkf3lMbeu&FBRgc6m>`WgrZLYt{k@du<+^= z{0#e#3K9Pqw=jwLlU$u}II^>xHE#fxRSG7zBNAX7G-#1CT>-tr<@X!Ln4$5|IaSSK zH&nNu)sORJp+UOtM28<9?=o*;GG5%2je5!{-nnHnlEiekD9K>86vaGT~%( z>D88#XJ8{tuT#r50<&{Ei+9uLVQ9%_r?1=t?Gk6zT_3JOUH?JE;MshfGnc$0`gS#x z+A<$+qppDh8(pdBv!%rMZfi=l;U~iWet6{Lv+YP5UdkaCQjgelu}a2MWar6*%l+7+ zHUx$?S&Uaw;N`G=acMKzhumQL{pdIicCT`Tu2&1fBL0)Mlxq}>m5;@Zwnswmg_wEq zwhPb{U|siww*hMX+H*XUvQY7^ql+09!I^t|siQJvt}=Z2zT$7PKjEa9{P?vS@hu9^ z{e6iQ@f%O>%z!4YwY&b12zien``*uH59;81t#?-4+8%D@RsNqtj=+((l1Fj zq{j*?Vdi}=wry1)j1G>B&(qz4?ys7(HG*`|+|aO0>eD)$Khr;{{ALBtor{jr(0PXH z%5RGeyNRcG_i2ugdyA0eMqNCm`vnQF>NEZNTo7g671sW0J+87o@KpOyg@EOY!g0rs z!ZXq1^j9Ay?3+@62Cyq{%zLPTe; z3i4)4GTslIMN#;Ji>B+D<*v@=Avd?8l5th0T#*GR93IaO-(UpA=l<{> zqi9g>c)stt{uxw83&ZNZKv4HwT>mitC)rJ@&g|ie1=Z}R{R>iaQZMnDCjA}&HE6cZ z=h$XYqi7>42Z(oLl-J%7Rl=D)wj^NQVJV7#`RQLfYKpQ~DN>h}Y*5MhWQ2dw7!)nm z+CthwPrini$Bb9PIG%InW2Si+FTYu zrQl@Emn<1j>e|F|9}|6JB;Rz@W_?ucrg6%}1rVl1R@e{IWrSm&Q=S@4W}^qiW?wH7 z9iVYq=>Zp8(1Ok0*r*%=Ei3POOwLl!s%bUHRDwb4I(i}hd^c$QHf(m=0?Dj2?yigH zI+P|3OOD0!qO!D+VVu0Dsg?`dw=$`tDtTaoSM({+Jcp{HZZD#G+u6_>z742O^KYma zc#7)Jj_U1i+E63$M)F2*3u>&4=;{mjQ4<;A`0*E+)s=S5OzQkZO}kxcv`sf^2IWWI zTIHi=BKq#(k}j0V&_k zPqy))ZgeZN-b@ARb(e^w3%H`b`N;F9OUb-|q0hqYiZ2=tyO}VBo+0clj*1ZyYYhPc z=l4xbpdn@7(=!rsXeeji|2Xpq8m?cLi<1{8{g){*$90;h-?QY@__8rHYA5hXBokfX zDt3Aw)oL`W4-18df_Ea46Q5Zly=g^qcvt<;9~e?qW=rGiQn@GZG8D7HT7L+ zliu>Ys<#+z8nuoFt>pWb2YA-DJVl$E(92DlVrcX4W4adf9&Mo!BcUdPe~bNp=Ka4S z|8M90|Jc~4a-vetp%Zyw6~gDlT##za*cehoL(Jl=gkHo`gnl}yVP_bQKzD22;G#u% z#mX6(ox2Pd`8T6tPg!BlHQ&5i+z%E_51$zT5|W7cDrKlEbq6XTES;zCm6P#WbM z)Ho$lBN%OQHgDgPko5yloUcxzf7c3Xf3om+AIZDcb&fj67?2ie@cQ8LONbrX6P)wW z9^oSWXG$Xo57_kb;iCr`7zs=rXH+mgb{OL}Ec62atxXts@ zgbD*mc@ASaFKF%G9Y~?vz^ElDz-`6uy_-cS_70lto>&+fQjzat+Hkvl=k#y-r*Pyx z#p!>M0oLEey*4P7!}QbCx9lwx7zS+ZG%Xy5&ILKiHyb3OA)h$vlll&-=84BbOwN%(%vHc*if)N(n5gP;zL}9GPl=(Gy5&G^jOe>^) zp+#52mwNg&>rm*k+{@gZFsV*jB-e>55iig_`T8RssNfoz3w;reO07?)JF0|05!gI& ziEbJczZ`ALbTTXJsX7BF zr|R~A>MSCyd;B4?H5NEbyF8KKpx)`*u^q)4^}P{Fb10LnIQsj#AS!%Eyx*v3fwHlX zH&uw}3%mAC(|Tt>J&uDPG?hTjX2o!kKB#Z54rZ|0lKw}MfOG>DRdTH#^mOu2Wv-$m z&$N=%8LdzBuS}pSX2^-M_>a2b#qx&bL&#g+{U|E$E775k{dNdGfif$GIJGmcQR#5* zF86Noe7T|VwkF%tr+FaIaBjG7kyG8V*vJ$3MugZ_xmj+Rh z!t!8;=Os{28ab7L#5v&kC9oAA*?!Z&Z~~V?^htN*FJ77W`kO*Upk1Yo0L{rf4)OJ!F%OCM++-mMvRo zexx3_6HW(pT+cB+q_X4;nmL#R@~Pj@Y%MX-Vkn2^3dapAKDVNIGT-+Z(P*_WU$*?t zLgo=W?~QPMsYA<2-cggC#E(GBK>qWAzt|mr&G`PA_y3Cgzvcb^*x08=>)4;ReT)1o z_OYJ5ib%igcP1lc0C5ZAo0!_O5n=gx-47`h1l#6QIJ&W_tKtUV`qY;;CKSl!#gnHmb*~cq78!+-*R|P zT0)B=v@XTtHPnT;8`57N!nv${BfGH2>±^jPue5#i}eP8&(6KZQ$||HZz<>#+Y-aawQxH&{Kd z-(j?J0jAf|`5B2D+1=JEArOjql&aJomdmP3#Wm9YHFprFxPdgfXD# zeb>|VKj)FnbeOtdKNv~!CDVZ-ClKA}7k5gU=kK^Q$<7hdS0X zt7IUkm`?rS6*Kr%%6xCReGeY1OMZ_uh`>4H)`}mlrLYgJ&H2IJ0;`wYd0*CQz)ZlI zuW?O2jNJ8WH8gslzqh(~J>eZ_V4`7nyG zA>T8g<&1A6iniLX-^mbz66qcDUebano$fGyuatvw2|tmHCJ|IfYSyd(EqPl=mx~Fiea`rLfOQ z7G_6Kcvg!WJmDc)mrt*b-?#zF!1l8mC*Od&8dGn$+DN@|Rk}*X9#o_AGxblKK=s|t zej&vQ)c9FJ-W*;~i&hk#uxLfj7SGOXgJKjYs&skQv7`9js+-b|{V1zq@e!EGMCB62 zOG=`jN&OUHwr*J^sb}aS)62v_EhV%{lSb0tV2v%0YC)BCb%HU=II7BQZ`4}#k~v7x z!xtT77nbqaWJ=*b<{{K}vp%x7Ajvs%I-U3qo{d!aP&kFc-IRor^9N8GwY~20h0Umx z^lNMSy$RGU-7!}8M^L4{RdFZb;L{XZb~os-pnBz7v1S?4cgT2AVHJIZ%rh3BZQbLA z8f!_h<-c4}Ln$%V&H9L%SNGhvdy;)lHsxGlA+oEy|3x7iVTje9$&Rhm9z?D22G@I= z(?OZzjM#mm4Kxvhl8QIq$>Y(ycQS88ZD#OrjEn&4CJMjEj=G?J?4|LEu{1Q~cZ#b% zCp*~3Wj;E7y@bZ=PU%Xl&(Xv)JHP$Z9W4PH|^il*#BsTh6=n);Q7Md&)w^y#wv z+GVeCo!v&1Z!iPbcO5r1d2#Y@vH#D!|5xPytb8HEkLEB!4zD|5|4#FpP`6FCs`PWZ!ZiOR* z8}p9IURP%0-ag_z z)oxzDT)Gy80hUZlBPx)k#nTWga1V*)-)7Xy!VrC>oNdA~5~14n;x9Dj;WAr4Bg5k^ z_`F#qnoi5dr8PWUbDP(}@x7yc&u9j0`P<9uEF57WHKQt}l?3A^TDDNP4GfOVf7ElF zf;PSRmb=ELP_N!~NR@b8(WqMCnM5;MRQjm>?9iop+#~aj$8&Pl&AEuVxbuEp zmOR2*14GvJXd`H+$+uk>sqi~r;4;u02X~)aMhAcFg7fA>jB;fSuxH8n_CR|QmM?=p z$m|P;=@y^k3$bG`v^in);cy;w*<|vWPPF5KpPl|%y(Cn3JDlaSn?MOu8^a~OhsfEy zB4yb57g7}N6}+;VMC{dyA!GG1;$@xl`^ckW1jna`iG4AKzn4PZadZXe9oWr|-XySm0(R?_bj>xBUWrLkH&7uuUyW+S>o zQS*b3iF@x+lxD~IN=OZnJ%_tJ@i(s^jZ3uiW6@FK!BS|sb+<7h$M0Fhh56!Y*lgG} zZEpl_>0MWH&Lx^PkaARH^U z97^x%f}L!?Olu4mtXy>OZc`xhn9Mr2Feg_ShkTIdToC$n|@#F&wc+k^ZjI(C3|%^iN=M&`jCSc;krB(d|ui08~KVlrrGr0 zQFzLP;nfULu}+@SlRiawD1sYTd9Un8sUYpm*u%9b{m7upD|rcJk=ELSZ){M`R2uLo zk{{*P8dW?xcTiquC-!bR@ztA8D>OWpfC|1#TK5hRpI!NG^?>I*gqvQ-*;eCCxH?xN zHZAc*?t|ZFu4;Ir;KIX;Jm+tr$YSE-!;^g|S-U}3Z22mb8b7$k_beJ^wuW1%Khsf; z!dD`lMBDk?Rs8EOKU5e$si+QLKt)g4<0sh)sN5i+S`fYjl^Sc_zU94*$`Ii>9`gxQ zQdN>S+a5&v=H(Ixcu1`)lpwIw@H+BOvU~HJiKFm^DVs?^B#FspQJZl^l-}@ezcN7T zj_r|eT?VMAIMQ%I?7c3TL&P29xHhlE{ zHz;}1ZjNm+ptRJo_|EfzGW6kW>$6_Mkt^NKPoA$l%ap_2iQFixP&FT_b4STVwcf|Z z`6w&PcFr`NMTMt8v;L_Iq^}ab;b7Hk!mrcty0S?2Ab&(&<{lw)i1WWz+Qrd>nl2dc zn&}Pd1Le%AZYEUGH`o=kTA*tCYOMDaMU}LY4uvfVRTo(H51l1_jt$nzS9b-Vc%#gK z@De+eg?9R0w|&pGmV6JO#J$UaAsdEw3D#JfXOxZr70B-zc` zm@6PRi0V~li|wbnQLX8fn9bma>iGA!q%ZcN`o@!Ru|O+SPwx;&wwgx`(@e}GGXJUJ z8(I^ZSc;1By&iTq2ov30y)8hL4z!)|%IvvhU!rhL*}fKI)Qso2eiwg_+R>lMTURKP zy#z_y6WRf&m)Blok|%8WTNY8KOP`}*?~iz+plLE!NM6}v%8G{0`oTa;12lY@TGgd+ z1C3i3*3#E`pi!1_PYdHD8uk0j?iafKE%yJJ_y3Cgf8_oD^w_UDnRLu3ixq|PI;%&e z31iV?-@}}*bHuwMdSG|EAYzVnMakQ!BJ5G~v+S3m2=d{&_m+5e`L)*_e6FPo4}B-~ zh5aAkJnvtu?=TGeJ5KqQ%y(hE&&_|a+X!Y7I`yG>bud!PP`a?=AoQ+!ujM}11kKX! zqsjs2LF0BjFOhs7MJk7n++#UR7z^GX=W_*+eCFxZQtcGPuACK^k|@PB4vAB%$xckL z+vSJmx9$huh`yLvZ+p9u9>*F}g=L;$mjVqkD~fFuzC<`h1g| zd$nE^oFoiMgYD-=3NZ-necG>9PLHCRgE2$xi!X{p*LOZyyBs-8DyorQkw}T0aFbbM zgg82C>7h11L?p!P4&Nfo@iMypXDXEl2pGwmZp(xBVU~0k)<|%^OrS{Qe3gPN5zb;hsifE8q)rEg>9YJ*9&E**zo*_(i z^;X5yJ~H=MUd8rqJ^Y2Ux6ILdlh@(2aL&pLaJ{r!=?;q`93A?5Gi%ym8=v)npHB^z zDd{VP4-~>Qw}Dk(SrSGrrQ_Y_2qQN1Htj5PHYy~Oo*X$Th5TzOxgqpZ#H)1pbxSVc z8wNNjUD}&W-Uq7-?ib7A+V`b%qVp77ne^%BYHvc|(NVfBgu~~1cHm*T>RY(CnF<{g zdjl5};TQ9MA#nI8tujFEg{^sAO)0%Qtmw9{`p8)bGrL;;*&~0C{eR_siNEsx`&EC< z@Bb^aOI~V?mn_{s&%of}{}t)43sWyC5O1f{jI-%ieH`))wdrO|lXVpSC1NzL@s3)1`La8%0VVp0A4GLDcY(}Ll70!F_9_Qe=YB5NWlm6ktg1QPMd}9++J_3_ zhg9`+sQpEICGmFYkQ3i@8Z_tV5I5r8PAg7L-DBZK{FSW)21|&a?iYc#-!v|vn%zrr z!JPC{)+q5L-@1y*rz+y(oC2UGJ(v49L;-Dy!=(B=KdSfs2&&_kMa|9wS14rGSM#NI zhiAnn)TY;~XzVRS9Y6QzO%XBFMa8fFZm)#8Hv(^1`%Vy@=4|Kb(?O`WII~{0V-ocV zKlXks;3fMAe6=?=>7)LMch2?jb^n*V|5xOb*#3`?{i-l$+nD%%6wZxtghmA-d)Mp5 zj3f0(E~qX3T_KFv=$7WAi(-h7Pg%a$xC2)xbvz9hoDo1Xmb$EH4Dasj;}1vo!0n~{ zXkO=5IGrDK@1t44F7y%Y#nw((e%QV!Sa|`afu~t-**u2fBB%YsoCWBex8*FjLo`69 zhb~QC-;3fUC)K_%k(t}HvE9iSS)_E(6=nwyA|fr z`~J3R0aYL6=`*S)PLMOtk&9~_pPC@^)|KQl^@M?DRP}7*_I-$@pW@-u)|dQSM-k+MyOv+xmoqF50xkRkoxdA3c_aOcdE_I^YC86kfgn;1D8bm zZqf?c;H=j(+P!EA2Za~U_oWZRCe=P8#iRq4*FK(bmUQ@A?Efq8|MBDerx$0@+h!)Z zz;33Z@6AmAIPb6dfB5kJ+nx6lBF(){jg}y7^LB?H!>^GQA{I85dJH+$EY+jyC6IUR zzWUg>>caA?75M zEC_A#(PpBp=5_7YX8T46Qnye^{e`9 zBl8BdOn$wO+=vFQWyG^H-~HOnKpCPp;4m22IV6T6^CkKs+QlgLI#Ky5LLDW#RdID4 zuTaV%(bREz0;PqYD|QjCY#Hwf^PSBUl=+S|%BSUF1`3b zPgVuVHWgbMoko!v+|y@a;6;1~xFgkOBnkUsv*nB1oG9q;FYbz^N0GNp+iu$*C?4lM zW6EiTlHZPX)4z%S@$Dn$t;*S^M~%;=L$u4|>NuxC9jfZ_Y}2ilM?? z!cI_t9TnH*zJ^J3qhfyhjdudKkXEI)=fy^TWXB#CyX&2eyou z!uL9S-A1B|(9AUME7?ir8)u>(1r~r(^v!VHP$MYa`%HckZB6!1MxA@Q-pIH3;hleu zo4l?m7e#{%P`WfQ=ho&Rl#7oXoFlu&m8{P>sUOJxzoLunn;(jxy!)zrdgBpL?Y5;Q zUMKU1$11)Tce0^s-=TBdu|%8e_TG7=sUE7R>550=*-2ecvU97>ebAPR<+X9H0!`qZ zuZPz~6i?cTYaM=x@{2!jWTcRJ#)(_kn$yW#B*QhzxSWl`Cn}mzXCpj%q@krqz*2}Y^1;XLFY80ooKTGf-+_~t`=J7-7NMkJ?W#*Sbe7UOd{F5h$`uT1_7U>9m z)3Mt^r3yj5)!uu8W8kmc;}1*NTna=739bk9I9Y z1;Kf#nK_+q2kg_mX(e_uu)ftKZ+b)p=2r9;_$D8r4aM!tC5a7VVQ0+s1dTz$5p;x!Nzhi(GC_1N4NsF*>nEQ1nhw!27j2|4+t*NEs%t(#BISrESQjx+0X ztnb5foyn5gE8F1u%$L1}u;-jSEdqA>{F0J!pEXrj?}M!FqRk=m zBgpweyfEa3k@sj<+`%|W6xh7=?_wmrG_9+J*02tv=z-!5e^mt(H&~ojHz582PHri@ zlKWB0%o|s;L=>gL&c{Z&2~+CryW#5x15kE!Ou?YqnA8C;*3W%qApM6amiLWEkyILf z>Tn<*GOpSrA6-UvYo0!qKdE#ddBRr&{XUaD2i_^x8w#ILc=O~0`(t+$3s`?)w*NvH zW>19W8e&i?Hh<{3?^cw4b4%T-?257^nTlM=b)=u+GZM8n0ObL%dg{En?>lESI-jKNcc(XfUTb`_Hmx@Gg3%k^|!vQGRzDx7o-Yyi0qRTD8 zj6AOq-{z}{-caA0J-I&;Wl{E=2ME)=JankvbC&E^XteC9BXjJE->SV#Gi0yg%H+@L zogAqA;wCb-<^w1~<~{A=_d!t_yQ?out~ON{lRvg2TT_xD}rM*oMLY1xV+IA~dR3+SbO)s_+RrR7j0y~M{!^>=D zzn5_+Q@($z`5Zke)wwlG4=*9}3$MG%T6U=7{uwAO76jUAiKkH;!a;kyZ2tQg(EtUC zR?g^!poVqDUe3of)C3sjy33L?)UykhgZh$CyC>k-@ycYvlbVs}kXcT6QJdTf!(Wqn zKxnqEl%LENZ-{KA-Thna|1d)&1#~Eev zjX~(0pfYOr&Z`knU}Djm8~+J1+isdyET{#VwoL1?3P>Q0y%D+M87hG^O92w!AAq`^{D7Y5I^rDy+%4} zRe7ahPJ^uT(JH+~bAj%%YQYoHGeGY@-PO}ZMfu}$gs0TLgY5cZvtMnSA!p&UmF?)A z*&OStjH*|KkP|Hx&_0B4S6W{@Fe+E|^fxO*d_@{n?Cp6tmByz~e5)G0 zOQIAXwjdwUeqpK?q@X;6E7Yf~yX*y-%_}D-CT|D&%IMNMPZTqIYj=x^TrK3R{iD$z z_16r+7RNfhWMJf|sB~JP^#!T^0A>J+ZGHURv;5<$Ah$c!+Cp3x@?^{NpFCE9JjeAV z;qw|H>A@Qp35EBNA{4Vbrsy@K-kC7kc7F$CtfVyew#q}6-kKO8dvVAfFF$8={$0q~ zXA<-H`XOLsN}m)vQUtjvRHmNmACTu<_3mTzJjfS&+IDRx+JAVmUrc!!%3m{n$_Wus zw9jBgu#Sz@5h&PHsU7vK6bihYYH3|4N4euC*D<$RQO<6^uD3Z)&^txOg|@e+K=$(X zs%Ft!z}O%mMRopv8bGm+A{j6>D|A0 zjz{}7?zWep_yqZ0S4%zxqjd=(4W)G>jhf$)c|Ayk@*-tf^1)%ti)hu&MH(+Y41*hLozaQu+Eb0;kOs0KWJX&jI zwy7x_??Q2t#%CD3%v!?X`-A=dfae=f{@?q4;e(Z97R#PMKHTdPq&Nm>6X#zW^&kt9 zoOF(?KygoE*HP80?)O2|6-Sl%ik=XbE9JNMD9Xuf8>=68VG;zclQ(R>iy|XP__X|0 z*#%z0JBttNSAqLj4Y!p3)8KmCu|PWO893VRck=#|j@J8MOb02{@7HHB-=X}hXD`b2 zg}p*^Xw5%mIWa;}oZr&|;-4qM$&(LWcx9!ad~Y6?en;_rqW$lB%@wqO@Me8MTEZhZ zxD{p7T*wDOQ=0f@k70n{zWHJO7Sq6c>CdtgwgrNF&%^2ASCqi*_>?*0#&yH)3K^BA z5(lues-XzjT?gxi>n6QA=$)4umB$Mz(4HX$BbDGqD97h@(c3q)F2gC|4O;%r{cvKV zU)ur3d5GCnB(`+xLx?bXnfsfA5FCo6ynMHQI|PgBmZGb;#XpW z!E;{C+BK3i*mFWK+}3v&>P*{md`!lI{bT8#dxxcA*U!??{b}vM6zB{8xEHN`)-{&v z>Mllk>StY8Dcl67?=_s)FMJ2_7Y*N5T;_vghnmC9cfEk4l97jhpWzBeruEdT`@e?} zOS6B}+od2N{kp}5ooJt*oz|DXjIYDKl6p6L=ee-=oq5Qul6&C#XiCD_5*j#usw~)~ z_6BVG+uGx|S3`;4^0I$W43NC4%7szS{)P;Pxn{9mTOehk^VHS%-OxUVi511I$PUK+ zBkeBm7^3PoSYD!rLb#G;(vt~G;Gp#9kF~X5AxLp=(?k9UI3V8mI&u%{_eEdJh*>NF zPyRQTa`RApy{jiSw^v(&i`x4>zH|EE(B)92CVde~nX_{Jw$RYKU*a3f8$2NM-u`s@ zW>ui6tlif_MSVhq(TRZ{;j4Xu|4ZLY61nGf;S7xjvb1mTqAJ{{FYVMw7X21qt%KVBgFWCqVH?9qvYtVbcI7JM0p*87zjt|`of>^DkkA%7l&oRYDrH_hXKu~F|q zmKPr|nuL!=zUxHu{$DabTP}dylfFMa{7VjUJM@foo*JTYzn#0qjs1}1T$moPGysyX z-q~#4)ds14e(iF%w?X;?_4aGxX^{EkNq4>dI-t{5<(7A&`GrZ&9SJH=fT2kjiS$M5 z@T)1Bk)vipo**@){2*FKD7H_vNlyY4_4H_LToB|Jlx{AaQ4XTmOmX`_-O$GhWt1!DaD|$UI>Lgnf{z9M=hF>TZ{a$)f&# zl6Oy89vbg!xp-8>e1Srz69N%NDE?z)y0?HdT30wM-Lf@29&$R(w)g5^gS>XDAL-yY$KYFD!O$u*B8ZPE7t1t zeKNNjic^ak8fUjbac{-s6V5HbR2X~v-s$PUbR6fiQhOILcg5r-t^3_CH~DwJPVqDE!@ zbBGogb4cj^KM*eSb-U{^J_v33$KAhf2Lv*AGzJ_G0N;-G8-*)Vz^ioX+kX3};GR&p zZ$q90xEXAm_ju=K*sU*>qvKQwcB35DY~JGz)*<<_mDd$eOic3{nhgc$Uu>gx8dm`A zGaQ{(E(s^Q*GQMGKMrxh5&db0#zSF2PqePfuK+|OT$I^ za6nBW+gDN@y#L-ERYBDOj|emEiQ5ce&n$;XhExMM`=5Wx)q#ln zyX#BGK1Ok5e!0=O=PU#tx-I)>fG_yJl-qf^?h*V-^_r}|)CW8-AGopI$N~0BR4Ggr z5Cj+RMXiBi(cp0LW9;?)@@Rj%gx0CCX~3Mla#qL9oxu3&c}X4R#7V6UkNz2gB5 zTot>j`Qr+}@lternY|X2-m!*V$`VjuS8XG&qyt$(0;~UAVGJ~9QEGs~COFxnZrBTy6{#vs?^;8{5>jEWQAKGV=Y5 z(Z|92+$wM9ZJH>~(dy32p`j?wP?+~rfxF3Pl0M&&SLEhL!=kcL*)SN*>8M z5uL>3B+`O&3D}XyO)dkrnE1WgD~8hkSF{NCQrNVI!}wjf*trGpdntMvtTTA|U&)2) zW{4gMwEqmbs?5?k={N3%70TI&p{rlwkJ zGz@jA6u51BQ}6KDRzwTCeE_)OJp7SNE9r!`a8N&2 zT0H!bOe^hR*IsW|uC#dgBbioCkiD?YQ?9gl_#>It*}sVcE6d?w`;L)M0%K?VSOgfPs4<&!FdNn_+4D% zUlU_uyn*vHWpzhi@!@v+9)tlCd+;}`fbn2~pis;-47HFz12WA+S79;{F>sR!BZy-r zVj#*)yq|<&YiGr=Mq3pk26d|nj1AUikT76v84H7<_I9cXt4dkdPC}pDFtFOb#Lp#I zdLZm~q8_u%2pg9>nJoq8{)zm-Bk8S;1u@ zVIZ`t7=6xXCXya(eto2yC+0)c1H5KI7#72JO$`%@9@SKmB1tjOFx1ecnox$*1C9Zg znOFzhFmTF@-;dV;34_N7G3cA9vo!_27{5{BVyi3bLjEp0M0(aiT*~yFq|#%!hqQl%OCVi%`^upZdiXH>Ve%|L27Pm)QUl2pxEtn;x)B@Za%v46g$chLPFQ zkb1;<;56c$ zj+q+;k8)dL#?UXRF@ zSW@CLa>Kx>Ct@2w!Z0#hQe@eZFM3|``&_~TfIfI(z-2~ZzrSxw1U=yx@H!x27?~{% zsYgsE?7(Ft*%IM*1NOWXemzMK>~S^zJ9a&%UPu^5W=l#eTQbwqKyOzM9>eN^h=JfA z+%Ry;OspdkhLPEl63dpHon6rq^5F3wV<5~qaKpgq^E@$(%$AfX-gSI~x+c^!$(D$= zfiQ5&NWwtCXn@L`dLijyWVWQtvLy<|ND~|Uu#b7@KezETvS&VuoIFL4{W~jev~9zvUU@sR#C)?@vfB3^O+joOvNFiF@G=Plp-y<|0nhnN!ayHfBN~E15gn{KE2M0E zj&K0MxAH~9>}O@17jd28hw{cukJHWxviwlq z?0#|(_x~ktcK~)yIby31P43W1w(g$`Bd8@O|qQwh*?UP-G8*Zr$0&07Ry#EJ&E2RMd literal 0 HcmV?d00001 diff --git a/asic_flow/libs/saed32nm_1p9m_mw.tf b/asic_flow/libs/saed32nm_1p9m_mw.tf new file mode 100644 index 0000000..7d63d28 --- /dev/null +++ b/asic_flow/libs/saed32nm_1p9m_mw.tf @@ -0,0 +1,3380 @@ +/* +#################################################################################################### +# SAED 32/28NM 1p9m milkyway technology file # +# Author: SWG # +# drawing grid: 0.001 microns # +# # +# Revision History: # +# Rev. date what # +# ------------------------------------------------------------------------------------- # +# 0.1 14/Jan/2011 (First draft) # +# # +# 0.2 12/Dec/2011 (Second draft) Changed M1 from minSpacing = 0.05 to # +# minSpacing = 0.056. # +# # +# 0.3 14/Dec/2011 (Third draft) Corrected "fattbldimension" attribute for # +# M9 layer. (This error was the reason of # +# fatal error in ICC) . # +# # +# 0.4 16/Dec/2011 (Forth draft) Corrected Pitchs Of M* metal layers. # +# # +# 0.5 18/Dec/2011 (Fifth draft) Corrected measurement units, correspon- # +# ding to technology libraries units. # +# Added cut*-tables and DesignRules for them # +# for Zroute algorithm in ICC. # +# # +# 0.6 12/Jul/2012 (Six draft) Corrected Stackable option to different # +# vias # +# 0.7 17/Jul/2012 (Seventh draft) Add Metal Span Spacing Rule # +# # +# 0.8 unknown (Eight draft) - removed metal span spacing rules # +# - removed concaveMetalToCutMinDist # +# - changed cutTblSize to 8 when 8 entries # +# present in the CutTbl # +# # +# 0.9 15/12/2012 (Cut table issues) - Changed cutTblSize to 4 as there are # +# only 4 cut combinations according to DRM # +# - Removed extra cut names # +# - Changed cut names to differ from # +# ContactCode names # +# - Removed sameNetMinSpacing from M1 # +# - Fixed rounded fat table thresholds # +# reported by (TFCHK-055) # +# # +# 0.10 03/Feb/2021 - Removed second redundant definition for # +# BAR vias # +# - Added fatTbl definitions for all vias # +# - Updated design rules # +#--------------------------------------------------------------------------------------------------# +# Known Issues: # +# # +# 1. Warning TFCHK-110, for unknown reason # +# 2. Warning TFCHK-109, for unknown reason # +# 3. Warning TFCHK-080, which should be ignored as coding matches documentation # +# # +#################################################################################################### + +####################################################################### +#### #### +#### The data contained in the file is created for educational #### +#### and training purposes only and are not recommended #### +#### for fabrication #### +#### #### +####################################################################### +#### #### +#### Copyright (C) 2013 Synopsys, Inc. #### +#### #### +####################################################################### +#### #### +#### The 32/28nm Generic Library ("Library") is unsupported #### +#### Confidential Information of Synopsys, Inc. ("Synopsys") #### +#### provided to you as Documentation under the terms of the #### +#### End User Software License Agreement between you or your #### +#### employer and Synopsys ("License Agreement") and you agree #### +#### not to distribute or disclose the Library without the #### +#### prior written consent of Synopsys. The Library IS NOT an #### +#### item of Licensed Software or Licensed Product under the #### +#### License Agreement. Synopsys and/or its licensors own #### +#### and shall retain all right, title and interest in and #### +#### to the Library and all modifications thereto, including #### +#### all intellectual property rights embodied therein. All #### +#### rights in and to any Library modifications you make are #### +#### hereby assigned to Synopsys. If you do not agree with #### +#### this notice, including the disclaimer below, then you #### +#### are not authorized to use the Library. #### +#### #### +#### #### +#### THIS LIBRARY IS BEING DISTRIBUTED BY SYNOPSYS SOLELY ON AN #### +#### "AS IS" BASIS, WITH NO INTELLECUTAL PROPERTY #### +#### INDEMNIFICATION AND NO SUPPORT. ANY EXPRESS OR IMPLIED #### +#### WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED #### +#### WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR #### +#### PURPOSE ARE HEREBY DISCLAIMED. IN NO EVENT SHALL SYNOPSYS #### +#### BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, #### +#### EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT #### +#### LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; #### +#### LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) #### +#### HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN #### +#### CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE #### +#### OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS #### +#### DOCUMENTATION, EVEN IF ADVISED OF THE POSSIBILITY OF #### +#### SUCH DAMAGE. #### +#### #### +####################################################################### + + +*/ + +Technology { + name = "saed32" + date = "Dec 20 2012" + dielectric = 3.45e-05 + unitTimeName = "ns" + timePrecision = 1000 + unitLengthName = "micron" + lengthPrecision = 1000 + gridResolution = 1 + unitVoltageName = "V" + voltagePrecision = 1000000 + unitCurrentName = "uA" + currentPrecision = 1 + unitPowerName = "pw" + powerPrecision = 1000 + unitResistanceName = "kohm" + resistancePrecision = 10000 + unitCapacitanceName = "ff" + capacitancePrecision = 10000 + unitInductanceName = "nh" + inductancePrecision = 100 + minBaselineTemperature = 25 + nomBaselineTemperature = 25 + maxBaselineTemperature = 25 + minEdgeMode = 1 +} + +Color 6 { + name = "6" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 80 + blueIntensity = 190 +} + +Color 8 { + name = "8" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 175 + blueIntensity = 0 +} + +Color 10 { + name = "10" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 175 + blueIntensity = 190 +} + +Color 11 { + name = "11" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 175 + blueIntensity = 255 +} + +Color 13 { + name = "13" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 255 + blueIntensity = 100 +} + +Color 20 { + name = "20" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 80 + blueIntensity = 0 +} + +Color 23 { + name = "23" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 80 + blueIntensity = 255 +} + +Color 25 { + name = "25" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 175 + blueIntensity = 100 +} + +Color 27 { + name = "27" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 175 + blueIntensity = 255 +} + +Color 28 { + name = "28" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 255 + blueIntensity = 0 +} + +Color 31 { + name = "31" + rgbDefined = 1 + redIntensity = 90 + greenIntensity = 255 + blueIntensity = 255 +} + +Color 32 { + name = "32" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 0 + blueIntensity = 0 +} + +Color 34 { + name = "34" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 0 + blueIntensity = 190 +} + +Color 35 { + name = "35" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 0 + blueIntensity = 255 +} + +Color 36 { + name = "36" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 80 + blueIntensity = 0 +} + +Color 38 { + name = "38" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 80 + blueIntensity = 190 +} + +Color 40 { + name = "40" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 175 + blueIntensity = 0 +} + +Color 43 { + name = "43" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 175 + blueIntensity = 255 +} + +Color 44 { + name = "44" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 255 + blueIntensity = 0 +} + +Color 47 { + name = "47" + rgbDefined = 1 + redIntensity = 180 + greenIntensity = 255 + blueIntensity = 255 +} + +Color 50 { + name = "50" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 0 + blueIntensity = 190 +} + +Color 51 { + name = "ltGreen" + rgbDefined = 1 + redIntensity = 0 + greenIntensity = 240 + blueIntensity = 110 +} + +Color 52 { + name = "52" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 80 + blueIntensity = 0 +} + +Color 54 { + name = "54" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 80 + blueIntensity = 190 +} + +Color 58 { + name = "58" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 175 + blueIntensity = 190 +} + +Color 59 { + name = "59" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 175 + blueIntensity = 255 +} + +Color 62 { + name = "62" + rgbDefined = 1 + redIntensity = 255 + greenIntensity = 255 + blueIntensity = 190 +} + +Stipple "impdot" { + width = 16 + height = 16 + pattern = (1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1) +} + +Stipple "hidot" { + width = 16 + height = 16 + pattern = (1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1) +} + +Stipple "rhidot" { + width = 16 + height = 16 + pattern = (0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, + 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, + 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0, 1, 0) +} + +Stipple "twelldot" { + width = 16 + height = 16 + pattern = (0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 1, 0, 1, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 1, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0) +} + +Stipple "welldot" { + width = 16 + height = 16 + pattern = (0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 1, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0) +} + +Tile "unit" { + width = 0.152 + height = 1.672 +} + +Layer "NWELL" { + layerNumber = 1 + maskName = "nwell" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "lead" + lineStyle = "solid" + pattern = "backSlash" + pitch = 0 + defaultWidth = 0.23 + minWidth = 0.23 + minSpacing = 0.23 +} + +Layer "DNW" { + layerNumber = 2 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "36" + lineStyle = "solid" + pattern = "backSlash" + pitch = 0 + defaultWidth = 3 + minWidth = 3 + minSpacing = 3.5 +} + +Layer "DIFF" { + layerNumber = 3 + maskName = "active" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "solid" + pitch = 0 + defaultWidth = 0.044 + minWidth = 0.044 + minSpacing = 0.05 +} + +LayerDataType "DDMY" { + layerNumber = 3 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "brick" +} + +Layer "PIMP" { + layerNumber = 4 + maskName = "pplus" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "32" + lineStyle = "solid" + pattern = "dot" + pitch = 0 + defaultWidth = 0.102 + minWidth = 0.102 + minSpacing = 0.17 +} + +Layer "NIMP" { + layerNumber = 5 + maskName = "nplus" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "ltGreen" + lineStyle = "solid" + pattern = "dot" + pitch = 0 + defaultWidth = 0.102 + minWidth = 0.102 + minSpacing = 0.17 +} + +Layer "DIFF_18" { + layerNumber = 6 + maskName = "18volt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "yellow" + lineStyle = "solid" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0.33 + minWidth = 0.33 + minSpacing = 0.33 +} + +Layer "PAD" { + layerNumber = 7 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "dash" + pattern = "solid" + pitch = 0 + defaultWidth = 55 + minWidth = 55 + minSpacing = 10 +} + +Layer "ESD_25" { + layerNumber = 8 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "dash" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "SBLK" { + layerNumber = 9 + maskName = "sblk" + visible = 1 + selectable = 1 + blink = 0 + color = "62" + lineStyle = "dash" + pattern = "solid" + pitch = 0 + defaultWidth = 0.33 + minWidth = 0.33 + minSpacing = 0.33 +} + +Layer "PO" { + layerNumber = 10 + maskName = "poly" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "red" + lineStyle = "none" + pattern = "solid" + pitch = 0 + defaultWidth = 0.03 + minWidth = 0.03 + minSpacing = 0.122 + minArea = 0.012 +} + +LayerDataType "PODMY" { + layerNumber = 10 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "red" + lineStyle = "none" + pattern = "brick" +} + +Layer "M1" { + /* layout attributes */ + layerNumber = 11 + isDefaultLayer = 1 + maskName = "metal1" + pitch = 0.152 + /* display attributes */ + visible = 1 + selectable = 1 + blink = 0 + color = "blue" + lineStyle = "solid" + pattern = "impdot" + /* design rule attributes */ + + minWidth = 0.05 + maxWidth = 5 + defaultWidth = 0.05 + minSpacing = 0.05 +/* sameNetMinSpacing = 0.055 */ + + + fatTblDimension = 5 + fatTblThreshold = (0,0.151,0.301,1.501,3.001) + fatTblParallelLength = (0,0.151,0.301,1.501,3.001) + fatTblSpacing = (0.05,0.06,0.1,0.5,0.6, + 0.06,0.06,0.1,0.5,0.6, + 0.1,0.1,0.1,0.5,0.6, + 0.5,0.5,0.5,0.5,0.6, + 0.6,0.6,0.6,0.6,0.6) + + protrusionTblDim = 3 + protrusionFatThresholdTbl = (0.15,0.3,1.5) + protrusionLengthLimitTbl = (0.1,0.17,0.7) + protrusionMinWidthTbl = (0.06,0.07,0.15) + + minArea = 0.01 + minEnclosedArea = 0.2 + +} + +LayerDataType "M1DMY" { + layerNumber = 11 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "blue" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA1" { + layerNumber = 12 + maskName = "via1" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "cyan" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = (" 1, 5", " 3, 7, 1, 5", " 3, 7, 1, 5", " 4, 8, 3, 7, 1, 5") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V1SQ, V1BAR, V1LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 + +} + +Layer "M2" { + layerNumber = 13 + maskName = "metal2" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "50" + lineStyle = "solid" + pattern = "twelldot" + pitch = 0.152 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0,0.15,0.3,1.5,3) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + + minArea = 0.016 + minEnclosedArea = 0.2 + +} + +LayerDataType "M2DMY" { + layerNumber = 13 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "50" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA2" { + layerNumber = 14 + maskName = "via2" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "yellow" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = (" 9,13", "11,15, 9,13", "11,15, 9,13", "12,16,11,15, 9,13") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V2SQ, V2BAR, V2LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M3" { + layerNumber = 15 + maskName = "metal3" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "38" + lineStyle = "solid" + pattern = "hidot" + pitch = 0.304 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M3DMY" { + layerNumber = 15 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "38" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA3" { + layerNumber = 16 + maskName = "via3" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "27" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = ("17,21", "19,23,17,21", "19,23,17,21", "20,24,19,23,17,21") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V3SQ, V3BAR, V3LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M4" { + layerNumber = 17 + maskName = "metal4" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "green" + lineStyle = "solid" + pattern = "rhidot" + pitch = 0.304 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M4DMY" { + layerNumber = 17 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "green" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA4" { + layerNumber = 18 + maskName = "via4" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = ("25,29", "27,31,25,29", "27,31,25,29", "28,32,27,31,25,29") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V4SQ, V4BAR, V4LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M5" { + layerNumber = 19 + maskName = "metal5" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "aqua" + lineStyle = "solid" + pattern = "welldot" + pitch = 0.608 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M5DMY" { + layerNumber = 19 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "aqua" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA5" { + layerNumber = 20 + maskName = "via5" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = ("33,37", "35,39,33,37", "35,39,33,37", "36,40,35,39,33,37") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V5SQ, V5BAR, V5LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M6" { + layerNumber = 21 + maskName = "metal6" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "58" + lineStyle = "solid" + pattern = "impdot" + pitch = 0.608 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M6DMY" { + layerNumber = 21 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "58" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA6" { + layerNumber = 22 + maskName = "via6" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "52" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = ("41,45", "43,47,41,45", "43,47,41,45", "44,48,43,47,41,45") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V6SQ, V6BAR, V6LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M7" { + layerNumber = 23 + maskName = "metal7" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "cyan" + lineStyle = "solid" + pattern = "twelldot" + pitch = 1.216 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M7DMY" { + layerNumber = 23 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "cyan" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA7" { + layerNumber = 24 + maskName = "via7" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "blue" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.05 + minWidth = 0.05 + minSpacing = 0.07 + fatTblDimension = 2 + fatTblThreshold = (0.0, 0.16) + fatTblDimension2 = 2 + fatTblThreshold2 = (0.0, 0.16) + fatTblFatContactNumber = ("49,53", "51,55,49,53", "51,55,49,53", "52,56,51,55,49,53") + fatTblFatContactMinCuts = (" 1, 1", " 1, 1, 2, 2", " 1, 1, 2, 2", " 1, 1, 2, 2, 4, 4") + cutTblSize = 3 + cutNameTbl = (V7SQ, V7BAR, V7LG) + cutWidthTbl = (0.05, 0.05, 0.1) + cutHeightTbl = (0.05, 0.1, 0.1) + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M8" { + layerNumber = 25 + maskName = "metal8" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "43" + lineStyle = "solid" + pattern = "hidot" + pitch = 1.216 + defaultWidth = 0.056 + minWidth = 0.056 + minSpacing = 0.056 + maxWidth = 5 + sameNetMinSpacing = 0.056 + + protrusionTblDim = 4 + protrusionFatThresholdTbl = (0.15,0.3,1.5,3) + protrusionLengthLimitTbl = (0.1,0.15,0.7,1.5) + protrusionMinWidthTbl = (0.06,0.07,0.15,0.3) + + fatTblDimension = 5 + fatTblThreshold = (0.005,0.155,0.305,1.505,3.005) + fatTblParallelLength = (0.005,0.155,0.305,1.505,3.005) + fatTblSpacing = (0.056,0.064,0.12,0.6,0.7, + 0.064,0.064,0.12,0.6,0.7, + 0.12,0.12,0.12,0.6,0.7, + 0.6,0.6,0.6,0.6,0.7, + 0.7,0.7,0.7,0.7,0.7) + minArea = 0.016 + minEnclosedArea = 0.2 +} + +LayerDataType "M8DMY" { + layerNumber = 25 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "43" + lineStyle = "solid" + pattern = "brick" +} + +Layer "VIA8" { + layerNumber = 26 + maskName = "via8" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "solid" + pattern = "rectangleX" + /*pitch = 0.36*/ + defaultWidth = 0.13 + minWidth = 0.13 + minSpacing = 0.12 + sameSegAlignedUpperWireMaxSpacingThreshold = 0.08 + sameSegAlignedLowerWireMaxSpacingThreshold = 0.08 + sameSegAlignedCutMinSpacing = 0.07 +} + +Layer "M9" { + layerNumber = 27 + maskName = "metal9" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "59" + lineStyle = "solid" + pattern = "rhidot" + pitch = 2.432 + defaultWidth = 0.16 + minWidth = 0.16 + minSpacing = 0.16 + maxWidth = 10 + fatTblDimension = 3 + fatTblThreshold = (0,0.501,1.701) + fatTblParallelLength = (0,0.501,1.701) + fatTblSpacing = (0.16,0.18,0.5, + 0.18,0.18,0.5, + 0.5,0.5,0.5) + minArea = 0.055 + minEnclosedArea = 0.2 +} + +LayerDataType "M9DMY" { + layerNumber = 27 + dataTypeNumber = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "59" + lineStyle = "solid" + pattern = "brick" +} + +Layer "CO" { + layerNumber = 28 + maskName = "polyCont" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "rectangleX" + pitch = 0 + defaultWidth = 0.042 + minWidth = 0.042 + minSpacing = 0.05 + maxCurrDensity = 701000 +} + +Layer "HVTIMP" { + layerNumber = 29 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "solid" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0.85 + minWidth = 0.85 + minSpacing = 0.85 +} + +Layer "LVTIMP" { + layerNumber = 30 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "31" + lineStyle = "solid" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0.85 + minWidth = 0.85 + minSpacing = 0.85 +} + +Layer "M1PIN" { + layerNumber = 31 + maskName = "m1txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M2PIN" { + layerNumber = 32 + maskName = "m2txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M3PIN" { + layerNumber = 33 + maskName = "m3txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M4PIN" { + layerNumber = 34 + maskName = "m4txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M5PIN" { + layerNumber = 35 + maskName = "m5txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M6PIN" { + layerNumber = 36 + maskName = "m6txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M7PIN" { + layerNumber = 37 + maskName = "m7txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M8PIN" { + layerNumber = 38 + maskName = "m8txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "M9PIN" { + layerNumber = 39 + maskName = "m9txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "MRDL" { + layerNumber = 41 + maskName = "metal10" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "59" + lineStyle = "solid" + pattern = "solid" + pitch = 4.864 + defaultWidth = 2 + minWidth = 2 + minSpacing = 2 + maxWidth = 30 + minArea = 4 + minEnclosedArea = 0.2 +} + +Layer "VIARDL" { + layerNumber = 42 + maskName = "via9" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "solid" + pattern = "rectangleX" + pitch = 0.36 + defaultWidth = 2 + minWidth = 2 + minSpacing = 2 +} + +Layer "MRDL9PIN" { + layerNumber = 43 + maskName = "mrdl9txt" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.01 + defaultWidth = 0.01 + minWidth = 0.01 + minSpacing = 0.01 +} + +Layer "HOTNWL" { + layerNumber = 44 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "solid" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "DIOD" { + layerNumber = 46 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "rectangleX" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "BJTDMY" { + layerNumber = 47 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "62" + lineStyle = "solid" + pattern = "rectangleX" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RNW" { + layerNumber = 48 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RMARK" { + layerNumber = 49 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "58" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "prBoundary" { + layerNumber = 50 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "yellow" + lineStyle = "solid" + pattern = "dot" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "LOGO" { + layerNumber = 51 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "solid" + pattern = "solid" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0.45 +} + +Layer "IP" { + layerNumber = 52 + maskName = "tag" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "white" + lineStyle = "solid" + pattern = "slash" + pitch = 0.1 + defaultWidth = 0.1 + minWidth = 0.1 + minSpacing = 0.1 +} + +Layer "RM1" { + layerNumber = 53 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "25" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM2" { + layerNumber = 54 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "44" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM3" { + layerNumber = 55 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "31" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM4" { + layerNumber = 56 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "43" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM5" { + layerNumber = 57 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM6" { + layerNumber = 58 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "52" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM7" { + layerNumber = 59 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM8" { + layerNumber = 60 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "36" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "RM9" { + layerNumber = 61 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "23" + lineStyle = "solid" + pattern = "horizontal" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "DM1EXCL" { + layerNumber = 64 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "drab" + lineStyle = "dash" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM2EXCL" { + layerNumber = 65 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "28" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM3EXCL" { + layerNumber = 66 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "31" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM4EXCL" { + layerNumber = 67 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "43" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM5EXCL" { + layerNumber = 68 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM6EXCL" { + layerNumber = 69 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "52" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM7EXCL" { + layerNumber = 70 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "orange" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM8EXCL" { + layerNumber = 71 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "36" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DM9EXCL" { + layerNumber = 72 + maskName = "" + visible = 1 + selectable = 1 + blink = 0 + color = "23" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0.45 + minWidth = 0.45 + minSpacing = 0 +} + +Layer "DIFF_25" { + layerNumber = 75 + maskName = "25volt" + visible = 1 + selectable = 1 + blink = 0 + color = "6" + lineStyle = "dot" + pattern = "zigzag" + pitch = 0 + defaultWidth = 0.66 + minWidth = 0.66 + minSpacing = 0.66 +} + +Layer "DIFF_FM" { + layerNumber = 100 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "40" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +Layer "PO_FM" { + layerNumber = 101 + maskName = "" + isDefaultLayer = 1 + visible = 1 + selectable = 1 + blink = 0 + color = "10" + lineStyle = "solid" + pattern = "enter" + pitch = 0 + defaultWidth = 0 + minWidth = 0 + minSpacing = 0 +} + +ContactCode "VIA12SQ_C" { + contactCodeNumber = 1 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA12BAR_C" { + contactCodeNumber = 3 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA12LG_C" { + contactCodeNumber = 4 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA12SQ" { + contactCodeNumber = 5 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA12BAR" { + contactCodeNumber = 7 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA12LG" { + contactCodeNumber = 8 + cutLayer = "VIA1" + lowerLayer = "M1" + upperLayer = "M2" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23SQ_C" { + contactCodeNumber = 9 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23BAR_C" { + contactCodeNumber = 11 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23LG_C" { + contactCodeNumber = 12 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23SQ" { + contactCodeNumber = 13 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23BAR" { + contactCodeNumber = 15 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA23LG" { + contactCodeNumber = 16 + cutLayer = "VIA2" + lowerLayer = "M2" + upperLayer = "M3" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34SQ_C" { + contactCodeNumber = 17 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34BAR_C" { + contactCodeNumber = 19 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34LG_C" { + contactCodeNumber = 20 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34SQ" { + contactCodeNumber = 21 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34BAR" { + contactCodeNumber = 23 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA34LG" { + contactCodeNumber = 24 + cutLayer = "VIA3" + lowerLayer = "M3" + upperLayer = "M4" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45SQ_C" { + contactCodeNumber = 25 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45BAR_C" { + contactCodeNumber = 27 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45LG_C" { + contactCodeNumber = 28 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45SQ" { + contactCodeNumber = 29 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45BAR" { + contactCodeNumber = 31 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA45LG" { + contactCodeNumber = 32 + cutLayer = "VIA4" + lowerLayer = "M4" + upperLayer = "M5" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56SQ_C" { + contactCodeNumber = 33 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56BAR_C" { + contactCodeNumber = 35 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56LG_C" { + contactCodeNumber = 36 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56SQ" { + contactCodeNumber = 37 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56BAR" { + contactCodeNumber = 39 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA56LG" { + contactCodeNumber = 40 + cutLayer = "VIA5" + lowerLayer = "M5" + upperLayer = "M6" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67SQ_C" { + contactCodeNumber = 41 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67BAR_C" { + contactCodeNumber = 43 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67LG_C" { + contactCodeNumber = 44 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67SQ" { + contactCodeNumber = 45 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67BAR" { + contactCodeNumber = 47 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA67LG" { + contactCodeNumber = 48 + cutLayer = "VIA6" + lowerLayer = "M6" + upperLayer = "M7" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78SQ_C" { + contactCodeNumber = 49 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 1 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78BAR_C" { + contactCodeNumber = 51 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.005 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78LG_C" { + contactCodeNumber = 52 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78SQ" { + contactCodeNumber = 53 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.05 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.07 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78BAR" { + contactCodeNumber = 55 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 0 + cutWidth = 0.05 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA78LG" { + contactCodeNumber = 56 + cutLayer = "VIA7" + lowerLayer = "M7" + upperLayer = "M8" + isDefaultContact = 0 + cutWidth = 0.1 + cutHeight = 0.1 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.005 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.005 + minCutSpacing = 0.085 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA89_C" { + contactCodeNumber = 57 + cutLayer = "VIA8" + lowerLayer = "M8" + upperLayer = "M9" + isDefaultContact = 1 + cutWidth = 0.13 + cutHeight = 0.13 + upperLayerEncWidth = 0.015 + upperLayerEncHeight = 0.03 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.015 + minCutSpacing = 0.12 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA89" { + contactCodeNumber = 58 + cutLayer = "VIA8" + lowerLayer = "M8" + upperLayer = "M9" + isDefaultContact = 1 + cutWidth = 0.13 + cutHeight = 0.13 + upperLayerEncWidth = 0.03 + upperLayerEncHeight = 0.015 + lowerLayerEncWidth = 0.03 + lowerLayerEncHeight = 0.015 + minCutSpacing = 0.12 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + +ContactCode "VIA9RDL" { + contactCodeNumber = 67 + cutLayer = "VIARDL" + lowerLayer = "M9" + upperLayer = "MRDL" + isDefaultContact = 1 + cutWidth = 2 + cutHeight = 2 + upperLayerEncWidth = 0.5 + upperLayerEncHeight = 0.5 + lowerLayerEncWidth = 0.5 + lowerLayerEncHeight = 0.5 + minCutSpacing = 2 + maxNumRowsNonTurning = 4 + unitMinResistance = 0.0008 + unitNomResistance = 0.0016 + unitMaxResistance = 0.0024 +} + + +DesignRule { + layer1 = "VIA1" + layer2 = "VIA1" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V1SQ, V1BAR, V1LG) + cut2NameTbl = (V1SQ, V1BAR, V1LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA2" + layer2 = "VIA2" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V2SQ, V2BAR, V2LG) + cut2NameTbl = (V2SQ, V2BAR, V2LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA3" + layer2 = "VIA3" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V3SQ, V3BAR, V3LG) + cut2NameTbl = (V3SQ, V3BAR, V3LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA4" + layer2 = "VIA4" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V4SQ, V4BAR, V4LG) + cut2NameTbl = (V4SQ, V4BAR, V4LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA5" + layer2 = "VIA5" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V5SQ, V5BAR, V5LG) + cut2NameTbl = (V5SQ, V5BAR, V5LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA6" + layer2 = "VIA6" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V6SQ, V6BAR, V6LG) + cut2NameTbl = (V6SQ, V6BAR, V6LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA7" + layer2 = "VIA7" + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V7SQ, V7BAR, V7LG) + cut2NameTbl = (V7SQ, V7BAR, V7LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA1" + layer2 = "VIA2" + stackable = 1 + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V1SQ, V1BAR, V1LG) + cut2NameTbl = (V2SQ, V2BAR, V2LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA2" + layer2 = "VIA3" + cut1TblSize = 3 + cut2TblSize = 3 + stackable = 1 + cut1NameTbl = (V2SQ, V2BAR, V2LG) + cut2NameTbl = (V3SQ, V3BAR, V3LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA3" + layer2 = "VIA4" + cut1TblSize = 3 + cut2TblSize = 3 + stackable = 1 + cut1NameTbl = (V3SQ, V3BAR, V3LG) + cut2NameTbl = (V4SQ, V4BAR, V4LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA4" + layer2 = "VIA5" + stackable = 1 + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V4SQ, V4BAR, V4LG) + cut2NameTbl = (V5SQ, V5BAR, V5LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA5" + layer2 = "VIA6" + stackable = 1 + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V5SQ, V5BAR, V5LG) + cut2NameTbl = (V6SQ, V6BAR, V6LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA6" + layer2 = "VIA7" + stackable = 1 + cut1TblSize = 3 + cut2TblSize = 3 + cut1NameTbl = (V6SQ, V6BAR, V6LG) + cut2NameTbl = (V7SQ, V7BAR, V7LG) + sameNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) + diffNetXMinSpacingTbl = (0.07, 0.08, 0.08, + 0.08, 0.085, 0.085, + 0.08, 0.085, 0.085) +} + +DesignRule { + layer1 = "VIA7" + layer2 = "VIA8" + stackable = 1 +} + +DesignRule { + layer1 = "NWELL" + layer2 = "PIMP" + minEnclosure = 0 +} + +DesignRule { + layer1 = "PO" + layer2 = "DIFF" + minSpacing = 0.05 +} + +DesignRule { + layer1 = "DIFF" + layer2 = "CO" + minSpacing = 0.04 +} + +DesignRule { + layer1 = "PO" + layer2 = "CO" + minSpacing = 0.04 + minEnclosure = 0.04 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.03 + endOfLineEncSideThreshold = (0.05) + endOfLineEncTbl = (0.05) +} + +DesignRule { + layer1 = "PIMP" + layer2 = "NIMP" + minSpacing = 0.24 +} + +DesignRule { + layer1 = "M1" + layer2 = "CO" + minEnclosure = 0.004 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.05 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.035) +} + +DesignRule { + layer1 = "VIA1" + layer2 = "CO" + stackable = 1 +} + +DesignRule { + layer1 = "M1" + layer2 = "VIA1" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) + +} + +DesignRule { + layer1 = "M2" + layer2 = "VIA1" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M2" + layer2 = "VIA2" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M3" + layer2 = "VIA2" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M3" + layer2 = "VIA3" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M4" + layer2 = "VIA3" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M4" + layer2 = "VIA4" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M5" + layer2 = "VIA4" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M5" + layer2 = "VIA5" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M6" + layer2 = "VIA5" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M6" + layer2 = "VIA6" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M7" + layer2 = "VIA6" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M7" + layer2 = "VIA7" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M8" + layer2 = "VIA7" + minEnclosure = 0.005 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M8" + layer2 = "VIA8" + minEnclosure = 0.015 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M9" + layer2 = "VIA8" + minEnclosure = 0.015 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +DesignRule { + layer1 = "M9" + layer2 = "VIARDL" + minEnclosure = 0.015 + endOfLineEncTblSize = 1 + endOfLineEncWidthThreshold = 0.06 + endOfLineEncSideThreshold = (0.035) + endOfLineEncTbl = (0.03) +} + +PRRule { + rowSpacingTopTop = 0.56 + rowSpacingTopBot = 0.28 + rowSpacingBotBot = 0.56 + abuttableTopTop = 1 + abuttableTopBot = 0 + abuttableBotBot = 1 +} + +DensityRule { + layer = "M1" + windowSize = 75 + minDensity = 10 + maxDensity = 85 +} + +DensityRule { + layer = "M1" + windowSize = 100 + minDensity = 1 + maxDensity = 60 +} + +DensityRule { + layer = "M2" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M2" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M3" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M3" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M4" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M4" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M5" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M5" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M6" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M6" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M7" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M7" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M8" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M8" + windowSize = 100 + minDensity = 1 + maxDensity = 70 +} + +DensityRule { + layer = "M9" + windowSize = 50 + minDensity = 15 + maxDensity = 100 +} + +DensityRule { + layer = "M9" + windowSize = 100 + minDensity = 1 + maxDensity = 60 +} diff --git a/asic_flow/libs/saed32nm_hvt_1p9m.lef b/asic_flow/libs/saed32nm_hvt_1p9m.lef new file mode 100644 index 0000000..f939b89 --- /dev/null +++ b/asic_flow/libs/saed32nm_hvt_1p9m.lef @@ -0,0 +1,191963 @@ +####################################################################### +#### #### +#### The data contained in the file is created for educational #### +#### and training purposes only and are not recommended #### +#### for fabrication #### +#### #### +####################################################################### +#### #### +#### Copyright (C) 2013 Synopsys, Inc. #### +#### #### +####################################################################### +#### #### +#### The 32/28nm Generic Library ("Library") is unsupported #### +#### Confidential Information of Synopsys, Inc. ("Synopsys") #### +#### provided to you as Documentation under the terms of the #### +#### End User Software License Agreement between you or your #### +#### employer and Synopsys ("License Agreement") and you agree #### +#### not to distribute or disclose the Library without the #### +#### prior written consent of Synopsys. The Library IS NOT an #### +#### item of Licensed Software or Licensed Product under the #### +#### License Agreement. Synopsys and/or its licensors own #### +#### and shall retain all right, title and interest in and #### +#### to the Library and all modifications thereto, including #### +#### all intellectual property rights embodied therein. All #### +#### rights in and to any Library modifications you make are #### +#### hereby assigned to Synopsys. If you do not agree with #### +#### this notice, including the disclaimer below, then you #### +#### are not authorized to use the Library. #### +#### #### +#### #### +#### THIS LIBRARY IS BEING DISTRIBUTED BY SYNOPSYS SOLELY ON AN #### +#### "AS IS" BASIS, WITH NO INTELLECUTAL PROPERTY #### +#### INDEMNIFICATION AND NO SUPPORT. ANY EXPRESS OR IMPLIED #### +#### WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED #### +#### WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR #### +#### PURPOSE ARE HEREBY DISCLAIMED. IN NO EVENT SHALL SYNOPSYS #### +#### BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, #### +#### EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT #### +#### LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; #### +#### LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) #### +#### HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN #### +#### CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE #### +#### OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS #### +#### DOCUMENTATION, EVEN IF ADVISED OF THE POSSIBILITY OF #### +#### SUCH DAMAGE. #### +#### #### +####################################################################### + +# +# LEF OUT +# User Name : edbab +# Date : Mon Dec 24 17:39:58 2012 +# +VERSION 5.4 ; +NAMESCASESENSITIVE ON ; +BUSBITCHARS "_<>" ; +DIVIDERCHAR "/" ; + +MACRO TNBUFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.3730 0.7360 1.4230 ; + RECT 0.5530 1.4230 0.6630 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.3770 0.7050 1.4190 ; + RECT 0.3590 1.3770 0.4010 1.4190 ; + END + ANTENNAGATEAREA 0.0264 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.2240 1.1560 0.3290 1.2060 ; + RECT 0.7350 1.0600 0.9370 1.1100 ; + RECT 1.6470 1.2720 1.6970 1.6420 ; + RECT 0.2790 0.7310 0.3290 1.1560 ; + RECT 0.2240 1.2060 0.2740 1.6420 ; + RECT 0.7350 0.8140 0.7850 1.0600 ; + RECT 0.8870 0.8140 0.9370 1.0600 ; + RECT 0.8100 1.1100 0.8600 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.6510 1.4020 1.6930 1.4440 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 1.6510 1.4020 1.6930 1.4440 ; + RECT 0.7390 0.9410 0.7810 0.9830 ; + RECT 0.8910 0.8490 0.9330 0.8910 ; + RECT 0.8910 1.0330 0.9330 1.0750 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 0.8490 0.7810 0.8910 ; + RECT 0.7390 0.8490 0.7810 0.8910 ; + RECT 0.8910 1.0330 0.9330 1.0750 ; + RECT 0.8910 0.8490 0.9330 0.8910 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.6510 1.3100 1.6930 1.3520 ; + RECT 1.6510 1.3100 1.6930 1.3520 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.6510 1.4940 1.6930 1.5360 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 1.6510 1.4940 1.6930 1.5360 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.7390 0.9410 0.7810 0.9830 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.0390 0.1900 1.6970 0.2400 ; + RECT 0.2790 0.0300 0.3290 0.5420 ; + RECT 1.0390 0.2400 1.0890 0.5520 ; + RECT 1.1920 0.2400 1.2420 0.5520 ; + RECT 1.6470 0.2400 1.6970 0.3680 ; + RECT 1.6470 0.0300 1.6970 0.1900 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.6510 0.1730 1.6930 0.2150 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + RECT 0.2830 0.4750 0.3250 0.5170 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + RECT 1.1950 0.4750 1.2370 0.5170 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.1950 0.3830 1.2370 0.4250 ; + RECT 1.1950 0.3830 1.2370 0.4250 ; + RECT 1.6510 0.1730 1.6930 0.2150 ; + RECT 1.6510 0.2710 1.6930 0.3130 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.8540 0.6930 2.0330 0.8150 ; + RECT 1.7990 1.1340 1.8490 1.4720 ; + RECT 1.7990 0.1110 1.8490 0.4370 ; + RECT 1.8540 0.8150 1.9040 1.0840 ; + RECT 1.8540 0.4870 1.9040 0.6930 ; + RECT 1.4950 0.4370 1.9040 0.4870 ; + RECT 1.4950 1.0840 1.9040 1.1340 ; + RECT 1.4950 1.1340 1.5450 1.4720 ; + RECT 1.4950 0.2980 1.5450 0.4370 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.3180 1.5410 0.3600 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 0.4100 1.5410 0.4520 ; + RECT 1.4990 0.3180 1.5410 0.3600 ; + RECT 1.4990 0.4100 1.5410 0.4520 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 0.3300 1.8450 0.3720 ; + RECT 1.8030 0.4220 1.8450 0.4640 ; + RECT 1.8030 0.1460 1.8450 0.1880 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 0.1460 1.8450 0.1880 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.8030 0.2380 1.8450 0.2800 ; + RECT 1.8030 0.3300 1.8450 0.3720 ; + END + ANTENNADIFFAREA 0.2222 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9320 1.4030 1.1190 1.4530 ; + RECT 1.0090 1.3130 1.1190 1.4030 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.4070 1.0090 1.4490 ; + END + ANTENNAGATEAREA 0.0243 ; + END A + OBS + LAYER CO ; + RECT 1.7270 0.5410 1.7690 0.5830 ; + RECT 0.8910 0.3780 0.9330 0.4200 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 0.7390 0.3780 0.7810 0.4200 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 1.3470 0.4830 1.3890 0.5250 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.7270 0.7490 1.7690 0.7910 ; + RECT 1.7270 0.7490 1.7690 0.7910 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 0.5870 0.3830 0.6290 0.4250 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 0.7390 0.4700 0.7810 0.5120 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.2710 0.0920 1.3130 0.1340 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 1.2710 0.0920 1.3130 0.1340 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.5750 0.5410 1.6170 0.5830 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 0.5870 0.3830 0.6290 0.4250 ; + RECT 0.8910 0.4700 0.9330 0.5120 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + LAYER NWELL ; + RECT -0.1120 0.6790 2.2400 1.7730 ; + LAYER M1 ; + RECT 1.4580 0.5370 1.8040 0.5570 ; + RECT 1.4570 0.5570 1.8040 0.5870 ; + RECT 0.7350 0.5010 0.9370 0.5510 ; + RECT 0.8870 0.3430 0.9370 0.5010 ; + RECT 1.3430 0.6520 1.3930 0.9340 ; + RECT 1.3430 0.3480 1.3930 0.6020 ; + RECT 1.4570 0.5870 1.5070 0.6020 ; + RECT 0.8120 0.6020 1.5070 0.6520 ; + RECT 0.8120 0.5510 0.8620 0.6020 ; + RECT 0.7350 0.3430 0.7850 0.5010 ; + RECT 1.5400 0.7450 1.8040 0.7950 ; + RECT 1.0390 0.7640 1.0890 1.2020 ; + RECT 0.5830 0.7140 1.2420 0.7640 ; + RECT 1.1920 0.7640 1.2420 0.9840 ; + RECT 1.1920 0.7130 1.2420 0.7140 ; + RECT 1.1920 0.9840 1.6210 1.0340 ; + RECT 1.5710 0.7950 1.6210 0.9840 ; + RECT 0.5830 0.3480 0.6330 0.7140 ; + RECT 0.5830 0.7640 0.6330 1.0180 ; + RECT 0.4310 0.0880 1.3480 0.1380 ; + RECT 0.4310 0.1380 0.4810 1.1190 ; + LAYER PO ; + RECT 1.5810 0.0660 1.6110 0.6150 ; + RECT 1.5810 0.7170 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 0.6150 ; + RECT 1.7330 0.7170 1.7630 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END TNBUFFX2_HVT + +MACRO TNBUFFX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 10.488 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6020 0.4360 0.6520 ; + RECT 0.0970 0.6520 0.2070 0.6630 ; + RECT 0.0970 0.5530 0.2070 0.6020 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6060 0.4010 0.6480 ; + END + ANTENNAGATEAREA 0.0264 ; + END A + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6600 1.3330 0.7100 ; + RECT 1.1610 0.7100 1.2710 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + END + ANTENNAGATEAREA 0.0732 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 10.4880 1.7020 ; + RECT 1.1910 1.4190 1.6970 1.4690 ; + RECT 10.0070 1.2720 10.0570 1.6420 ; + RECT 9.7030 1.2720 9.7530 1.6420 ; + RECT 9.3990 1.2720 9.4490 1.6420 ; + RECT 9.0950 1.2720 9.1450 1.6420 ; + RECT 8.7910 1.2720 8.8410 1.6420 ; + RECT 8.4870 1.2720 8.5370 1.6420 ; + RECT 8.1830 1.2720 8.2330 1.6420 ; + RECT 7.8790 1.2750 7.9290 1.6420 ; + RECT 7.5750 1.2720 7.6250 1.6420 ; + RECT 7.2710 1.2720 7.3210 1.6420 ; + RECT 6.9670 1.2720 7.0170 1.6420 ; + RECT 6.6630 1.2720 6.7130 1.6420 ; + RECT 6.3590 1.2720 6.4090 1.6420 ; + RECT 6.0550 1.2720 6.1050 1.6420 ; + RECT 5.7510 1.2720 5.8010 1.6420 ; + RECT 5.4470 1.2750 5.4970 1.6420 ; + RECT 0.2790 0.7460 0.3290 1.6420 ; + RECT 0.7350 0.9350 0.7850 1.6420 ; + RECT 1.6470 1.2950 1.6970 1.4190 ; + RECT 1.1910 1.2950 1.2410 1.4190 ; + RECT 1.6020 1.4690 1.6520 1.6420 ; + RECT 2.1030 1.2630 4.1840 1.3130 ; + RECT 3.3190 1.3130 3.3690 1.4940 ; + RECT 3.3190 0.8600 3.3690 1.2630 ; + RECT 3.6230 1.3130 3.6730 1.4940 ; + RECT 3.6230 0.8600 3.6730 1.2630 ; + RECT 3.9270 1.3130 3.9770 1.4940 ; + RECT 3.9270 0.8600 3.9770 1.2630 ; + RECT 2.1030 0.9380 2.1530 1.2630 ; + RECT 2.7110 0.9380 2.7610 1.2630 ; + RECT 2.4070 0.9380 2.4570 1.2630 ; + RECT 4.1340 1.3130 4.1840 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 3.3230 1.2480 3.3650 1.2900 ; + RECT 3.3230 1.2480 3.3650 1.2900 ; + RECT 3.6270 1.2480 3.6690 1.2900 ; + RECT 3.6270 1.2480 3.6690 1.2900 ; + RECT 3.9310 1.2480 3.9730 1.2900 ; + RECT 3.9310 1.2480 3.9730 1.2900 ; + RECT 3.3230 1.3400 3.3650 1.3820 ; + RECT 3.3230 1.4320 3.3650 1.4740 ; + RECT 3.3230 1.4320 3.3650 1.4740 ; + RECT 3.3230 1.3400 3.3650 1.3820 ; + RECT 3.6270 1.3400 3.6690 1.3820 ; + RECT 3.6270 1.4320 3.6690 1.4740 ; + RECT 3.6270 1.4320 3.6690 1.4740 ; + RECT 3.6270 1.3400 3.6690 1.3820 ; + RECT 3.9310 1.3400 3.9730 1.3820 ; + RECT 3.9310 1.4320 3.9730 1.4740 ; + RECT 2.1070 0.9580 2.1490 1.0000 ; + RECT 3.9310 1.4320 3.9730 1.4740 ; + RECT 3.9310 1.3400 3.9730 1.3820 ; + RECT 2.1070 0.9580 2.1490 1.0000 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.3230 0.9720 3.3650 1.0140 ; + RECT 3.3230 0.8800 3.3650 0.9220 ; + RECT 3.3230 0.8800 3.3650 0.9220 ; + RECT 3.3230 1.0640 3.3650 1.1060 ; + RECT 3.3230 1.1560 3.3650 1.1980 ; + RECT 3.3230 1.1560 3.3650 1.1980 ; + RECT 3.3230 1.0640 3.3650 1.1060 ; + RECT 3.3230 0.9720 3.3650 1.0140 ; + RECT 3.6270 0.9720 3.6690 1.0140 ; + RECT 3.6270 1.0640 3.6690 1.1060 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.7390 1.3380 0.7810 1.3800 ; + RECT 0.7390 1.3380 0.7810 1.3800 ; + RECT 0.7390 1.4300 0.7810 1.4720 ; + RECT 0.7390 1.2460 0.7810 1.2880 ; + RECT 0.7390 1.4300 0.7810 1.4720 ; + RECT 0.7390 1.2460 0.7810 1.2880 ; + RECT 0.7390 0.9700 0.7810 1.0120 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.7390 0.9700 0.7810 1.0120 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.6270 1.1560 3.6690 1.1980 ; + RECT 3.6270 1.1560 3.6690 1.1980 ; + RECT 3.6270 1.0640 3.6690 1.1060 ; + RECT 3.6270 0.8800 3.6690 0.9220 ; + RECT 3.6270 0.8800 3.6690 0.9220 ; + RECT 3.6270 0.9720 3.6690 1.0140 ; + RECT 3.9310 0.9720 3.9730 1.0140 ; + RECT 3.9310 1.0640 3.9730 1.1060 ; + RECT 3.9310 1.1560 3.9730 1.1980 ; + RECT 3.9310 1.1560 3.9730 1.1980 ; + RECT 3.9310 1.0640 3.9730 1.1060 ; + RECT 3.9310 0.8800 3.9730 0.9220 ; + RECT 3.9310 0.8800 3.9730 0.9220 ; + RECT 3.9310 0.9720 3.9730 1.0140 ; + RECT 2.1070 1.0500 2.1490 1.0920 ; + RECT 2.1070 1.0500 2.1490 1.0920 ; + RECT 2.1070 1.2340 2.1490 1.2760 ; + RECT 2.1070 1.2340 2.1490 1.2760 ; + RECT 2.1070 1.1420 2.1490 1.1840 ; + RECT 2.1070 1.1420 2.1490 1.1840 ; + RECT 2.7150 1.0500 2.7570 1.0920 ; + RECT 2.7150 1.0500 2.7570 1.0920 ; + RECT 2.7150 0.9580 2.7570 1.0000 ; + RECT 2.7150 0.9580 2.7570 1.0000 ; + RECT 2.7150 1.2340 2.7570 1.2760 ; + RECT 2.7150 1.2340 2.7570 1.2760 ; + RECT 2.7150 1.1420 2.7570 1.1840 ; + RECT 2.7150 1.1420 2.7570 1.1840 ; + RECT 2.4110 0.9580 2.4530 1.0000 ; + RECT 2.4110 0.9580 2.4530 1.0000 ; + RECT 2.4110 1.0500 2.4530 1.0920 ; + RECT 2.4110 1.0500 2.4530 1.0920 ; + RECT 2.4110 1.2340 2.4530 1.2760 ; + RECT 2.4110 1.2340 2.4530 1.2760 ; + RECT 2.4110 1.1420 2.4530 1.1840 ; + RECT 2.4110 1.1420 2.4530 1.1840 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.7070 1.3100 9.7490 1.3520 ; + RECT 9.7070 1.3100 9.7490 1.3520 ; + RECT 9.4030 1.3100 9.4450 1.3520 ; + RECT 9.4030 1.3100 9.4450 1.3520 ; + RECT 10.0110 1.3100 10.0530 1.3520 ; + RECT 10.0110 1.3100 10.0530 1.3520 ; + RECT 8.1870 1.4940 8.2290 1.5360 ; + RECT 8.1870 1.4940 8.2290 1.5360 ; + RECT 8.1870 1.4020 8.2290 1.4440 ; + RECT 8.1870 1.4020 8.2290 1.4440 ; + RECT 7.8830 1.4940 7.9250 1.5360 ; + RECT 8.1870 1.3100 8.2290 1.3520 ; + RECT 8.1870 1.3100 8.2290 1.3520 ; + RECT 7.8830 1.3100 7.9250 1.3520 ; + RECT 7.8830 1.3100 7.9250 1.3520 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.8830 1.4940 7.9250 1.5360 ; + RECT 7.8830 1.4020 7.9250 1.4440 ; + RECT 7.8830 1.4020 7.9250 1.4440 ; + RECT 9.0990 1.4020 9.1410 1.4440 ; + RECT 9.0990 1.4020 9.1410 1.4440 ; + RECT 9.0990 1.4940 9.1410 1.5360 ; + RECT 9.0990 1.4940 9.1410 1.5360 ; + RECT 8.7950 1.4020 8.8370 1.4440 ; + RECT 8.7950 1.4020 8.8370 1.4440 ; + RECT 8.7950 1.4940 8.8370 1.5360 ; + RECT 8.7950 1.4940 8.8370 1.5360 ; + RECT 8.4910 1.4940 8.5330 1.5360 ; + RECT 8.4910 1.4940 8.5330 1.5360 ; + RECT 8.4910 1.4020 8.5330 1.4440 ; + RECT 8.4910 1.4020 8.5330 1.4440 ; + RECT 9.7070 1.4940 9.7490 1.5360 ; + RECT 9.7070 1.4940 9.7490 1.5360 ; + RECT 9.7070 1.4020 9.7490 1.4440 ; + RECT 9.7070 1.4020 9.7490 1.4440 ; + RECT 9.4030 1.4940 9.4450 1.5360 ; + RECT 9.4030 1.4940 9.4450 1.5360 ; + RECT 9.4030 1.4020 9.4450 1.4440 ; + RECT 9.4030 1.4020 9.4450 1.4440 ; + RECT 1.6510 1.3150 1.6930 1.3570 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.5790 1.4020 7.6210 1.4440 ; + RECT 7.5790 1.4020 7.6210 1.4440 ; + RECT 7.5790 1.4940 7.6210 1.5360 ; + RECT 7.5790 1.4940 7.6210 1.5360 ; + RECT 7.5790 1.3100 7.6210 1.3520 ; + RECT 7.5790 1.3100 7.6210 1.3520 ; + RECT 8.7950 1.3100 8.8370 1.3520 ; + RECT 8.7950 1.3100 8.8370 1.3520 ; + RECT 8.4910 1.3100 8.5330 1.3520 ; + RECT 8.4910 1.3100 8.5330 1.3520 ; + RECT 9.0990 1.3100 9.1410 1.3520 ; + RECT 9.0990 1.3100 9.1410 1.3520 ; + RECT 5.7550 1.3100 5.7970 1.3520 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3150 1.6930 1.3570 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 6.3630 1.4020 6.4050 1.4440 ; + RECT 6.3630 1.4020 6.4050 1.4440 ; + RECT 6.3630 1.4940 6.4050 1.5360 ; + RECT 6.3630 1.4940 6.4050 1.5360 ; + RECT 6.3630 1.3100 6.4050 1.3520 ; + RECT 6.3630 1.3100 6.4050 1.3520 ; + RECT 6.0590 1.3100 6.1010 1.3520 ; + RECT 6.0590 1.3100 6.1010 1.3520 ; + RECT 6.0590 1.4940 6.1010 1.5360 ; + RECT 6.0590 1.4940 6.1010 1.5360 ; + RECT 6.0590 1.4020 6.1010 1.4440 ; + RECT 6.0590 1.4020 6.1010 1.4440 ; + RECT 7.2750 1.4940 7.3170 1.5360 ; + RECT 7.2750 1.4940 7.3170 1.5360 ; + RECT 7.2750 1.3100 7.3170 1.3520 ; + RECT 7.2750 1.3100 7.3170 1.3520 ; + RECT 7.2750 1.4020 7.3170 1.4440 ; + RECT 7.2750 1.4020 7.3170 1.4440 ; + RECT 6.9710 1.3100 7.0130 1.3520 ; + RECT 6.9710 1.3100 7.0130 1.3520 ; + RECT 6.9710 1.4940 7.0130 1.5360 ; + RECT 6.9710 1.4940 7.0130 1.5360 ; + RECT 6.9710 1.4020 7.0130 1.4440 ; + RECT 6.9710 1.4020 7.0130 1.4440 ; + RECT 6.6670 1.4020 6.7090 1.4440 ; + RECT 6.6670 1.4020 6.7090 1.4440 ; + RECT 6.6670 1.3100 6.7090 1.3520 ; + RECT 6.6670 1.3100 6.7090 1.3520 ; + RECT 6.6670 1.4940 6.7090 1.5360 ; + RECT 6.6670 1.4940 6.7090 1.5360 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.3150 1.2370 1.3570 ; + RECT 1.1950 1.3150 1.2370 1.3570 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 5.4510 1.3100 5.4930 1.3520 ; + RECT 5.4510 1.3100 5.4930 1.3520 ; + RECT 10.0110 1.4940 10.0530 1.5360 ; + RECT 5.4510 1.4940 5.4930 1.5360 ; + RECT 10.0110 1.4940 10.0530 1.5360 ; + RECT 10.0110 1.4020 10.0530 1.4440 ; + RECT 5.4510 1.4940 5.4930 1.5360 ; + RECT 10.0110 1.4020 10.0530 1.4440 ; + RECT 5.4510 1.4020 5.4930 1.4440 ; + RECT 5.4510 1.4020 5.4930 1.4440 ; + RECT 5.7550 1.3100 5.7970 1.3520 ; + RECT 5.7550 1.4940 5.7970 1.5360 ; + RECT 5.7550 1.4940 5.7970 1.5360 ; + RECT 5.7550 1.4020 5.7970 1.4440 ; + RECT 5.7550 1.4020 5.7970 1.4440 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 10.4880 0.0300 ; + RECT 3.1670 0.1910 5.4970 0.2410 ; + RECT 5.7510 0.0300 5.8010 0.3380 ; + RECT 7.5750 0.0300 7.6250 0.3380 ; + RECT 7.2710 0.0300 7.3210 0.3380 ; + RECT 6.9670 0.0300 7.0170 0.3380 ; + RECT 6.6630 0.0300 6.7130 0.3380 ; + RECT 1.1910 0.0300 1.2410 0.3800 ; + RECT 6.3590 0.0300 6.4090 0.3380 ; + RECT 6.0550 0.0300 6.1050 0.3380 ; + RECT 0.2790 0.0300 0.3290 0.4720 ; + RECT 0.7350 0.0300 0.7850 0.3270 ; + RECT 1.6470 0.0300 1.6970 0.2230 ; + RECT 7.8790 0.0300 7.9290 0.3380 ; + RECT 8.1830 0.0300 8.2330 0.3380 ; + RECT 10.0070 0.0300 10.0570 0.3380 ; + RECT 9.7030 0.0300 9.7530 0.3380 ; + RECT 9.3990 0.0300 9.4490 0.3380 ; + RECT 9.0950 0.0300 9.1450 0.3380 ; + RECT 8.7910 0.0300 8.8410 0.3380 ; + RECT 8.4870 0.0300 8.5370 0.3380 ; + RECT 3.1670 0.2410 3.2170 0.3950 ; + RECT 4.9920 0.2410 5.0420 0.4450 ; + RECT 3.4710 0.2410 3.5210 0.3950 ; + RECT 3.7750 0.2410 3.8250 0.3950 ; + RECT 4.0790 0.2410 4.1290 0.3950 ; + RECT 4.3840 0.2410 4.4340 0.4450 ; + RECT 4.6880 0.2410 4.7380 0.4450 ; + RECT 5.4470 0.2410 5.4970 0.3380 ; + RECT 5.4470 0.0300 5.4970 0.1910 ; + END + PORT + LAYER CO ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.6510 0.1460 1.6930 0.1880 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 0.2830 0.3030 0.3250 0.3450 ; + RECT 0.7390 0.2380 0.7810 0.2800 ; + RECT 0.7390 0.1460 0.7810 0.1880 ; + RECT 0.7390 0.1460 0.7810 0.1880 ; + RECT 1.6510 0.1460 1.6930 0.1880 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.1950 0.3030 1.2370 0.3450 ; + RECT 1.1950 0.2110 1.2370 0.2530 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.4750 0.3180 3.5170 0.3600 ; + RECT 3.4750 0.3180 3.5170 0.3600 ; + RECT 3.4750 0.2260 3.5170 0.2680 ; + RECT 3.7790 0.2260 3.8210 0.2680 ; + RECT 3.7790 0.3180 3.8210 0.3600 ; + RECT 3.7790 0.3180 3.8210 0.3600 ; + RECT 4.0830 0.2260 4.1250 0.2680 ; + RECT 4.0830 0.3180 4.1250 0.3600 ; + RECT 4.0830 0.3180 4.1250 0.3600 ; + RECT 7.8830 0.1690 7.9250 0.2110 ; + RECT 7.8830 0.2610 7.9250 0.3030 ; + RECT 9.0990 0.1690 9.1410 0.2110 ; + RECT 9.0990 0.1690 9.1410 0.2110 ; + RECT 9.0990 0.2610 9.1410 0.3030 ; + RECT 8.7950 0.1690 8.8370 0.2110 ; + RECT 8.7950 0.1690 8.8370 0.2110 ; + RECT 8.7950 0.2610 8.8370 0.3030 ; + RECT 8.4910 0.2610 8.5330 0.3030 ; + RECT 8.4910 0.1690 8.5330 0.2110 ; + RECT 8.4910 0.1690 8.5330 0.2110 ; + RECT 9.7070 0.2610 9.7490 0.3030 ; + RECT 9.7070 0.1690 9.7490 0.2110 ; + RECT 9.7070 0.1690 9.7490 0.2110 ; + RECT 9.4030 0.2610 9.4450 0.3030 ; + RECT 9.4030 0.1690 9.4450 0.2110 ; + RECT 9.4030 0.1690 9.4450 0.2110 ; + RECT 10.0110 0.1690 10.0530 0.2110 ; + RECT 10.0110 0.1690 10.0530 0.2110 ; + RECT 10.0110 0.2610 10.0530 0.3030 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 4.3870 0.2670 4.4290 0.3090 ; + RECT 4.3870 0.3590 4.4290 0.4010 ; + RECT 4.3870 0.3590 4.4290 0.4010 ; + RECT 4.3870 0.2670 4.4290 0.3090 ; + RECT 4.6910 0.2670 4.7330 0.3090 ; + RECT 4.6910 0.2670 4.7330 0.3090 ; + RECT 4.6910 0.3590 4.7330 0.4010 ; + RECT 4.6910 0.3590 4.7330 0.4010 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 8.1870 0.2610 8.2290 0.3030 ; + RECT 8.1870 0.1690 8.2290 0.2110 ; + RECT 8.1870 0.1690 8.2290 0.2110 ; + RECT 7.8830 0.1690 7.9250 0.2110 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.5790 0.1690 7.6210 0.2110 ; + RECT 7.5790 0.1690 7.6210 0.2110 ; + RECT 6.3630 0.1690 6.4050 0.2110 ; + RECT 6.3630 0.1690 6.4050 0.2110 ; + RECT 6.3630 0.2610 6.4050 0.3030 ; + RECT 6.0590 0.2610 6.1010 0.3030 ; + RECT 6.0590 0.1690 6.1010 0.2110 ; + RECT 6.0590 0.1690 6.1010 0.2110 ; + RECT 7.5790 0.2610 7.6210 0.3030 ; + RECT 7.2750 0.2610 7.3170 0.3030 ; + RECT 7.2750 0.1690 7.3170 0.2110 ; + RECT 7.2750 0.1690 7.3170 0.2110 ; + RECT 6.9710 0.2610 7.0130 0.3030 ; + RECT 6.9710 0.1690 7.0130 0.2110 ; + RECT 6.9710 0.1690 7.0130 0.2110 ; + RECT 6.6670 0.1690 6.7090 0.2110 ; + RECT 6.6670 0.1690 6.7090 0.2110 ; + RECT 6.6670 0.2610 6.7090 0.3030 ; + RECT 5.4510 0.1690 5.4930 0.2110 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.9950 0.2670 5.0370 0.3090 ; + RECT 3.1710 0.2260 3.2130 0.2680 ; + RECT 4.9950 0.2670 5.0370 0.3090 ; + RECT 5.7550 0.2610 5.7970 0.3030 ; + RECT 5.7550 0.1690 5.7970 0.2110 ; + RECT 5.7550 0.1690 5.7970 0.2110 ; + RECT 3.1710 0.3180 3.2130 0.3600 ; + RECT 3.1710 0.3180 3.2130 0.3600 ; + RECT 4.9950 0.3590 5.0370 0.4010 ; + RECT 4.9950 0.3590 5.0370 0.4010 ; + RECT 5.4510 0.1690 5.4930 0.2110 ; + RECT 1.1950 0.2110 1.2370 0.2530 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.4510 0.2610 5.4930 0.3030 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.2140 0.8570 10.3910 0.9670 ; + RECT 10.2140 0.9670 10.2640 1.0840 ; + RECT 10.2140 0.4380 10.2640 0.8570 ; + RECT 9.8550 1.1340 9.9050 1.5090 ; + RECT 9.8550 0.8450 9.9050 1.0840 ; + RECT 9.5510 1.1340 9.6010 1.5090 ; + RECT 9.5510 0.8450 9.6010 1.0840 ; + RECT 9.2470 1.1340 9.2970 1.5090 ; + RECT 9.2470 0.8450 9.2970 1.0840 ; + RECT 8.9430 1.1340 8.9930 1.5090 ; + RECT 8.9430 0.8450 8.9930 1.0840 ; + RECT 8.6390 1.1340 8.6890 1.5090 ; + RECT 8.6390 0.8450 8.6890 1.0840 ; + RECT 8.3350 1.1340 8.3850 1.5090 ; + RECT 8.3350 0.8450 8.3850 1.0840 ; + RECT 8.0310 1.1340 8.0810 1.5090 ; + RECT 8.0310 0.8450 8.0810 1.0840 ; + RECT 10.1590 1.1340 10.2090 1.4720 ; + RECT 7.7270 1.1340 7.7770 1.5090 ; + RECT 7.7270 0.8450 7.7770 1.0840 ; + RECT 8.9430 0.1420 8.9930 0.3880 ; + RECT 8.6390 0.1420 8.6890 0.3880 ; + RECT 8.3350 0.1420 8.3850 0.3880 ; + RECT 10.1590 0.1420 10.2090 0.3880 ; + RECT 7.7270 0.1420 7.7770 0.3880 ; + RECT 8.0310 0.1420 8.0810 0.3880 ; + RECT 9.8550 0.1420 9.9050 0.3880 ; + RECT 9.5510 0.1420 9.6010 0.3880 ; + RECT 9.2470 0.1420 9.2970 0.3880 ; + RECT 7.4230 1.1340 7.4730 1.5090 ; + RECT 7.4230 0.8450 7.4730 1.0840 ; + RECT 7.1190 1.1340 7.1690 1.5090 ; + RECT 7.1190 0.8450 7.1690 1.0840 ; + RECT 6.8150 1.1340 6.8650 1.5090 ; + RECT 6.8150 0.8450 6.8650 1.0840 ; + RECT 6.5110 1.1340 6.5610 1.5090 ; + RECT 6.5110 0.8450 6.5610 1.0840 ; + RECT 6.2070 1.1340 6.2570 1.5090 ; + RECT 6.2070 0.8450 6.2570 1.0840 ; + RECT 5.9030 1.1340 5.9530 1.5090 ; + RECT 5.9030 0.8450 5.9530 1.0840 ; + RECT 5.5990 1.1340 5.6490 1.5090 ; + RECT 5.5990 0.8450 5.6490 1.0840 ; + RECT 5.2950 1.0840 10.2640 1.1340 ; + RECT 5.2950 1.1340 5.3450 1.5090 ; + RECT 5.2950 0.3880 10.2640 0.4380 ; + RECT 5.2950 0.2910 5.3450 0.3880 ; + RECT 7.4230 0.1420 7.4730 0.3880 ; + RECT 7.1190 0.1420 7.1690 0.3880 ; + RECT 6.8150 0.1420 6.8650 0.3880 ; + RECT 6.5110 0.1420 6.5610 0.3880 ; + RECT 6.2070 0.1420 6.2570 0.3880 ; + RECT 5.9030 0.1420 5.9530 0.3880 ; + RECT 5.5990 0.1420 5.6490 0.3880 ; + END + PORT + LAYER CO ; + RECT 8.3390 1.4320 8.3810 1.4740 ; + RECT 8.3390 1.4320 8.3810 1.4740 ; + RECT 5.2990 1.4320 5.3410 1.4740 ; + RECT 5.6030 1.4320 5.6450 1.4740 ; + RECT 5.6030 1.4320 5.6450 1.4740 ; + RECT 6.8190 1.4320 6.8610 1.4740 ; + RECT 6.8190 1.4320 6.8610 1.4740 ; + RECT 6.5150 1.4320 6.5570 1.4740 ; + RECT 6.2110 1.4320 6.2530 1.4740 ; + RECT 7.7310 0.9720 7.7730 1.0140 ; + RECT 7.7310 0.8800 7.7730 0.9220 ; + RECT 7.7310 1.3400 7.7730 1.3820 ; + RECT 7.7310 1.3400 7.7730 1.3820 ; + RECT 8.3390 0.3610 8.3810 0.4030 ; + RECT 8.3390 0.2690 8.3810 0.3110 ; + RECT 8.3390 0.1770 8.3810 0.2190 ; + RECT 8.6430 1.4320 8.6850 1.4740 ; + RECT 8.6430 1.4320 8.6850 1.4740 ; + RECT 7.1230 1.4320 7.1650 1.4740 ; + RECT 8.0350 0.1770 8.0770 0.2190 ; + RECT 9.2510 0.3610 9.2930 0.4030 ; + RECT 9.2510 0.2690 9.2930 0.3110 ; + RECT 9.2510 0.1770 9.2930 0.2190 ; + RECT 8.9470 0.1770 8.9890 0.2190 ; + RECT 8.9470 0.2690 8.9890 0.3110 ; + RECT 8.9470 0.3610 8.9890 0.4030 ; + RECT 8.6430 0.1770 8.6850 0.2190 ; + RECT 8.6430 0.2690 8.6850 0.3110 ; + RECT 8.6430 0.3610 8.6850 0.4030 ; + RECT 9.5550 0.3610 9.5970 0.4030 ; + RECT 9.5550 0.2690 9.5970 0.3110 ; + RECT 9.5550 0.1770 9.5970 0.2190 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 9.8590 0.2690 9.9010 0.3110 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 10.1630 0.1770 10.2050 0.2190 ; + RECT 10.1630 0.2690 10.2050 0.3110 ; + RECT 10.1630 0.3610 10.2050 0.4030 ; + RECT 10.1630 1.3030 10.2050 1.3450 ; + RECT 10.1630 1.3030 10.2050 1.3450 ; + RECT 10.1630 1.2110 10.2050 1.2530 ; + RECT 10.1630 1.2110 10.2050 1.2530 ; + RECT 10.1630 1.1190 10.2050 1.1610 ; + RECT 10.1630 1.1190 10.2050 1.1610 ; + RECT 10.1630 1.3950 10.2050 1.4370 ; + RECT 10.1630 1.3950 10.2050 1.4370 ; + RECT 7.7310 0.1770 7.7730 0.2190 ; + RECT 7.7310 0.2690 7.7730 0.3110 ; + RECT 7.7310 0.3610 7.7730 0.4030 ; + RECT 8.9470 1.1560 8.9890 1.1980 ; + RECT 8.9470 1.1560 8.9890 1.1980 ; + RECT 8.9470 1.0640 8.9890 1.1060 ; + RECT 8.9470 1.0640 8.9890 1.1060 ; + RECT 8.9470 0.9720 8.9890 1.0140 ; + RECT 9.5550 0.9720 9.5970 1.0140 ; + RECT 9.5550 1.0640 9.5970 1.1060 ; + RECT 9.5550 1.0640 9.5970 1.1060 ; + RECT 9.5550 1.1560 9.5970 1.1980 ; + RECT 9.5550 1.1560 9.5970 1.1980 ; + RECT 9.5550 1.2480 9.5970 1.2900 ; + RECT 9.5550 1.2480 9.5970 1.2900 ; + RECT 9.5550 0.9720 9.5970 1.0140 ; + RECT 9.5550 0.8800 9.5970 0.9220 ; + RECT 9.5550 0.8800 9.5970 0.9220 ; + RECT 9.8590 0.9720 9.9010 1.0140 ; + RECT 9.8590 0.8800 9.9010 0.9220 ; + RECT 9.8590 0.8800 9.9010 0.9220 ; + RECT 9.8590 1.2480 9.9010 1.2900 ; + RECT 9.8590 1.2480 9.9010 1.2900 ; + RECT 9.8590 1.1560 9.9010 1.1980 ; + RECT 9.8590 1.1560 9.9010 1.1980 ; + RECT 9.8590 1.0640 9.9010 1.1060 ; + RECT 9.8590 1.0640 9.9010 1.1060 ; + RECT 9.8590 0.9720 9.9010 1.0140 ; + RECT 8.3390 1.3400 8.3810 1.3820 ; + RECT 8.3390 1.3400 8.3810 1.3820 ; + RECT 8.0350 1.3400 8.0770 1.3820 ; + RECT 8.0350 1.3400 8.0770 1.3820 ; + RECT 7.7310 0.8800 7.7730 0.9220 ; + RECT 7.7310 1.2480 7.7730 1.2900 ; + RECT 8.3390 0.8800 8.3810 0.9220 ; + RECT 8.3390 0.8800 8.3810 0.9220 ; + RECT 8.3390 0.9720 8.3810 1.0140 ; + RECT 8.3390 0.9720 8.3810 1.0140 ; + RECT 8.3390 1.0640 8.3810 1.1060 ; + RECT 8.3390 1.0640 8.3810 1.1060 ; + RECT 8.3390 1.1560 8.3810 1.1980 ; + RECT 8.3390 1.1560 8.3810 1.1980 ; + RECT 8.3390 1.2480 8.3810 1.2900 ; + RECT 8.3390 1.2480 8.3810 1.2900 ; + RECT 8.0350 0.8800 8.0770 0.9220 ; + RECT 8.0350 0.8800 8.0770 0.9220 ; + RECT 8.0350 0.9720 8.0770 1.0140 ; + RECT 8.0350 0.9720 8.0770 1.0140 ; + RECT 8.0350 1.0640 8.0770 1.1060 ; + RECT 8.0350 1.0640 8.0770 1.1060 ; + RECT 8.0350 1.1560 8.0770 1.1980 ; + RECT 8.0350 1.1560 8.0770 1.1980 ; + RECT 8.0350 1.2480 8.0770 1.2900 ; + RECT 8.0350 1.2480 8.0770 1.2900 ; + RECT 7.7310 1.0640 7.7730 1.1060 ; + RECT 7.7310 1.1560 7.7730 1.1980 ; + RECT 7.7310 1.1560 7.7730 1.1980 ; + RECT 7.7310 1.2480 7.7730 1.2900 ; + RECT 7.7310 0.9720 7.7730 1.0140 ; + RECT 7.7310 1.0640 7.7730 1.1060 ; + RECT 8.0350 0.3610 8.0770 0.4030 ; + RECT 8.0350 0.2690 8.0770 0.3110 ; + RECT 7.1230 1.2480 7.1650 1.2900 ; + RECT 7.1230 1.2480 7.1650 1.2900 ; + RECT 7.1230 0.9720 7.1650 1.0140 ; + RECT 7.1230 0.8800 7.1650 0.9220 ; + RECT 7.1230 0.8800 7.1650 0.9220 ; + RECT 7.1230 0.3610 7.1650 0.4030 ; + RECT 7.1230 0.2690 7.1650 0.3110 ; + RECT 7.1230 0.1770 7.1650 0.2190 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 6.8190 1.0640 6.8610 1.1060 ; + RECT 6.8190 1.0640 6.8610 1.1060 ; + RECT 6.8190 1.3400 6.8610 1.3820 ; + RECT 6.8190 1.1560 6.8610 1.1980 ; + RECT 6.8190 1.3400 6.8610 1.3820 ; + RECT 6.8190 1.1560 6.8610 1.1980 ; + RECT 6.8190 1.2480 6.8610 1.2900 ; + RECT 6.8190 1.2480 6.8610 1.2900 ; + RECT 6.8190 0.3610 6.8610 0.4030 ; + RECT 6.8190 0.2690 6.8610 0.3110 ; + RECT 6.8190 0.1770 6.8610 0.2190 ; + RECT 6.8190 0.8800 6.8610 0.9220 ; + RECT 6.8190 0.8800 6.8610 0.9220 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 9.2510 1.3400 9.2930 1.3820 ; + RECT 9.2510 1.3400 9.2930 1.3820 ; + RECT 8.9470 1.3400 8.9890 1.3820 ; + RECT 8.9470 1.3400 8.9890 1.3820 ; + RECT 8.6430 1.3400 8.6850 1.3820 ; + RECT 8.6430 1.3400 8.6850 1.3820 ; + RECT 8.6430 0.9720 8.6850 1.0140 ; + RECT 8.6430 0.8800 8.6850 0.9220 ; + RECT 8.6430 0.8800 8.6850 0.9220 ; + RECT 8.6430 1.2480 8.6850 1.2900 ; + RECT 8.6430 1.2480 8.6850 1.2900 ; + RECT 8.6430 1.1560 8.6850 1.1980 ; + RECT 8.6430 1.1560 8.6850 1.1980 ; + RECT 8.6430 1.0640 8.6850 1.1060 ; + RECT 8.6430 1.0640 8.6850 1.1060 ; + RECT 8.6430 0.9720 8.6850 1.0140 ; + RECT 9.2510 0.9720 9.2930 1.0140 ; + RECT 9.2510 1.0640 9.2930 1.1060 ; + RECT 9.2510 1.0640 9.2930 1.1060 ; + RECT 9.2510 1.1560 9.2930 1.1980 ; + RECT 9.2510 1.1560 9.2930 1.1980 ; + RECT 9.2510 1.2480 9.2930 1.2900 ; + RECT 9.2510 1.2480 9.2930 1.2900 ; + RECT 9.2510 0.8800 9.2930 0.9220 ; + RECT 9.2510 0.8800 9.2930 0.9220 ; + RECT 9.2510 0.9720 9.2930 1.0140 ; + RECT 8.9470 0.8800 8.9890 0.9220 ; + RECT 8.9470 0.8800 8.9890 0.9220 ; + RECT 8.9470 0.9720 8.9890 1.0140 ; + RECT 8.9470 1.2480 8.9890 1.2900 ; + RECT 8.9470 1.2480 8.9890 1.2900 ; + RECT 5.6030 1.1560 5.6450 1.1980 ; + RECT 5.6030 1.2480 5.6450 1.2900 ; + RECT 5.6030 1.2480 5.6450 1.2900 ; + RECT 6.5150 0.1770 6.5570 0.2190 ; + RECT 6.5150 0.2690 6.5570 0.3110 ; + RECT 6.5150 0.3610 6.5570 0.4030 ; + RECT 6.5150 0.8800 6.5570 0.9220 ; + RECT 6.5150 0.8800 6.5570 0.9220 ; + RECT 6.5150 0.9720 6.5570 1.0140 ; + RECT 6.5150 1.2480 6.5570 1.2900 ; + RECT 6.5150 1.2480 6.5570 1.2900 ; + RECT 6.5150 1.1560 6.5570 1.1980 ; + RECT 6.5150 1.3400 6.5570 1.3820 ; + RECT 6.5150 1.1560 6.5570 1.1980 ; + RECT 6.5150 1.3400 6.5570 1.3820 ; + RECT 6.5150 1.0640 6.5570 1.1060 ; + RECT 6.5150 1.0640 6.5570 1.1060 ; + RECT 6.5150 0.9720 6.5570 1.0140 ; + RECT 9.5550 1.3400 9.5970 1.3820 ; + RECT 9.5550 1.3400 9.5970 1.3820 ; + RECT 6.2110 0.9720 6.2530 1.0140 ; + RECT 6.2110 0.8800 6.2530 0.9220 ; + RECT 6.2110 0.8800 6.2530 0.9220 ; + RECT 6.2110 0.1770 6.2530 0.2190 ; + RECT 6.2110 0.2690 6.2530 0.3110 ; + RECT 6.2110 0.3610 6.2530 0.4030 ; + RECT 6.2110 1.2480 6.2530 1.2900 ; + RECT 6.2110 1.2480 6.2530 1.2900 ; + RECT 6.2110 1.1560 6.2530 1.1980 ; + RECT 6.2110 1.3400 6.2530 1.3820 ; + RECT 6.2110 1.1560 6.2530 1.1980 ; + RECT 6.2110 1.3400 6.2530 1.3820 ; + RECT 6.2110 1.0640 6.2530 1.1060 ; + RECT 6.2110 1.0640 6.2530 1.1060 ; + RECT 6.2110 0.9720 6.2530 1.0140 ; + RECT 5.9070 0.3610 5.9490 0.4030 ; + RECT 5.9070 0.2690 5.9490 0.3110 ; + RECT 5.9070 0.1770 5.9490 0.2190 ; + RECT 5.9070 0.8800 5.9490 0.9220 ; + RECT 5.9070 0.8800 5.9490 0.9220 ; + RECT 5.9070 0.9720 5.9490 1.0140 ; + RECT 5.9070 0.9720 5.9490 1.0140 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 5.9070 1.3400 5.9490 1.3820 ; + RECT 5.9070 1.1560 5.9490 1.1980 ; + RECT 5.9070 1.3400 5.9490 1.3820 ; + RECT 5.9070 1.1560 5.9490 1.1980 ; + RECT 5.9070 1.2480 5.9490 1.2900 ; + RECT 5.9070 1.2480 5.9490 1.2900 ; + RECT 7.4270 0.9720 7.4690 1.0140 ; + RECT 7.4270 0.8800 7.4690 0.9220 ; + RECT 7.4270 0.8800 7.4690 0.9220 ; + RECT 7.4270 0.1770 7.4690 0.2190 ; + RECT 7.4270 0.2690 7.4690 0.3110 ; + RECT 7.4270 0.3610 7.4690 0.4030 ; + RECT 7.4270 1.2480 7.4690 1.2900 ; + RECT 7.4270 1.2480 7.4690 1.2900 ; + RECT 7.4270 1.1560 7.4690 1.1980 ; + RECT 7.4270 1.3400 7.4690 1.3820 ; + RECT 7.4270 1.1560 7.4690 1.1980 ; + RECT 7.4270 1.3400 7.4690 1.3820 ; + RECT 7.4270 1.0640 7.4690 1.1060 ; + RECT 7.4270 1.0640 7.4690 1.1060 ; + RECT 7.4270 0.9720 7.4690 1.0140 ; + RECT 7.1230 0.9720 7.1650 1.0140 ; + RECT 7.1230 1.0640 7.1650 1.1060 ; + RECT 7.1230 1.0640 7.1650 1.1060 ; + RECT 7.1230 1.3400 7.1650 1.3820 ; + RECT 7.1230 1.1560 7.1650 1.1980 ; + RECT 7.1230 1.3400 7.1650 1.3820 ; + RECT 7.1230 1.1560 7.1650 1.1980 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 8.9470 1.4320 8.9890 1.4740 ; + RECT 8.9470 1.4320 8.9890 1.4740 ; + RECT 9.2510 1.4320 9.2930 1.4740 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 5.6030 0.8800 5.6450 0.9220 ; + RECT 5.6030 0.8800 5.6450 0.9220 ; + RECT 5.6030 0.9720 5.6450 1.0140 ; + RECT 5.6030 0.9720 5.6450 1.0140 ; + RECT 9.5550 1.4320 9.5970 1.4740 ; + RECT 9.8590 1.4320 9.9010 1.4740 ; + RECT 5.9070 1.4320 5.9490 1.4740 ; + RECT 6.2110 1.4320 6.2530 1.4740 ; + RECT 9.8590 1.4320 9.9010 1.4740 ; + RECT 6.5150 1.4320 6.5570 1.4740 ; + RECT 7.4270 1.4320 7.4690 1.4740 ; + RECT 5.2990 0.3260 5.3410 0.3680 ; + RECT 5.9070 1.4320 5.9490 1.4740 ; + RECT 5.2990 1.4320 5.3410 1.4740 ; + RECT 9.5550 1.4320 9.5970 1.4740 ; + RECT 5.6030 1.0640 5.6450 1.1060 ; + RECT 5.6030 1.0640 5.6450 1.1060 ; + RECT 5.6030 1.3400 5.6450 1.3820 ; + RECT 5.6030 1.1560 5.6450 1.1980 ; + RECT 5.6030 1.3400 5.6450 1.3820 ; + RECT 5.6030 0.3610 5.6450 0.4030 ; + RECT 5.6030 0.2690 5.6450 0.3110 ; + RECT 5.6030 0.1770 5.6450 0.2190 ; + RECT 9.8590 1.3400 9.9010 1.3820 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 9.8590 1.3400 9.9010 1.3820 ; + RECT 7.7310 1.4320 7.7730 1.4740 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 8.0350 1.4320 8.0770 1.4740 ; + RECT 8.0350 1.4320 8.0770 1.4740 ; + RECT 7.4270 1.4320 7.4690 1.4740 ; + RECT 7.7310 1.4320 7.7730 1.4740 ; + RECT 7.1230 1.4320 7.1650 1.4740 ; + RECT 9.2510 1.4320 9.2930 1.4740 ; + END + ANTENNADIFFAREA 2.2151 ; + END Y + OBS + LAYER CO ; + RECT 1.3470 0.8990 1.3890 0.9410 ; + RECT 1.0430 0.3040 1.0850 0.3460 ; + RECT 1.0430 0.3040 1.0850 0.3460 ; + RECT 1.0430 0.2120 1.0850 0.2540 ; + RECT 1.0430 0.2120 1.0850 0.2540 ; + RECT 1.3470 0.8990 1.3890 0.9410 ; + RECT 0.5870 0.2910 0.6290 0.3330 ; + RECT 0.5870 0.1990 0.6290 0.2410 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.4350 0.3030 0.4770 0.3450 ; + RECT 0.8910 0.1990 0.9330 0.2410 ; + RECT 0.8910 0.1990 0.9330 0.2410 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 2.8670 0.8490 2.9090 0.8910 ; + RECT 2.8670 0.8490 2.9090 0.8910 ; + RECT 2.5630 0.8490 2.6050 0.8910 ; + RECT 2.5630 0.8490 2.6050 0.8910 ; + RECT 1.9550 0.7730 1.9970 0.8150 ; + RECT 1.9550 0.7730 1.9970 0.8150 ; + RECT 1.9550 0.8650 1.9970 0.9070 ; + RECT 1.9550 0.8650 1.9970 0.9070 ; + RECT 0.8910 0.3830 0.9330 0.4250 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 2.9430 1.5310 2.9850 1.5730 ; + RECT 2.9430 1.5310 2.9850 1.5730 ; + RECT 2.2590 1.1250 2.3010 1.1670 ; + RECT 2.2590 0.2770 2.3010 0.3190 ; + RECT 3.1710 0.8490 3.2130 0.8910 ; + RECT 3.1710 0.8490 3.2130 0.8910 ; + RECT 3.1710 1.0330 3.2130 1.0750 ; + RECT 3.1710 0.9410 3.2130 0.9830 ; + RECT 3.1710 0.9410 3.2130 0.9830 ; + RECT 3.1710 1.1250 3.2130 1.1670 ; + RECT 3.1710 1.1250 3.2130 1.1670 ; + RECT 3.1710 1.0330 3.2130 1.0750 ; + RECT 1.5750 0.6060 1.6170 0.6480 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.8910 0.3830 0.9330 0.4250 ; + RECT 0.8910 0.2910 0.9330 0.3330 ; + RECT 0.8910 0.2910 0.9330 0.3330 ; + RECT 4.7670 0.0920 4.8090 0.1340 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 0.5870 0.1990 0.6290 0.2410 ; + RECT 0.5870 1.3380 0.6290 1.3800 ; + RECT 0.8150 0.6060 0.8570 0.6480 ; + RECT 0.5870 1.3380 0.6290 1.3800 ; + RECT 0.6630 0.6060 0.7050 0.6480 ; + RECT 0.5870 1.4300 0.6290 1.4720 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 0.5870 1.4300 0.6290 1.4720 ; + RECT 1.4990 0.8180 1.5410 0.8600 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 0.8910 1.4300 0.9330 1.4720 ; + RECT 0.8910 1.2460 0.9330 1.2880 ; + RECT 0.8910 1.4300 0.9330 1.4720 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 1.4990 0.9100 1.5410 0.9520 ; + RECT 0.8910 1.3380 0.9330 1.3800 ; + RECT 0.8910 1.3380 0.9330 1.3800 ; + RECT 0.8910 1.2460 0.9330 1.2880 ; + RECT 0.8910 0.9700 0.9330 1.0120 ; + RECT 0.8910 0.9700 0.9330 1.0120 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 1.4990 0.9100 1.5410 0.9520 ; + RECT 2.1070 0.3560 2.1490 0.3980 ; + RECT 2.1070 0.3560 2.1490 0.3980 ; + RECT 2.1070 0.4480 2.1490 0.4900 ; + RECT 2.1070 0.4480 2.1490 0.4900 ; + RECT 1.0430 1.0830 1.0850 1.1250 ; + RECT 1.0430 0.9910 1.0850 1.0330 ; + RECT 1.0430 0.9910 1.0850 1.0330 ; + RECT 1.0430 1.0830 1.0850 1.1250 ; + RECT 1.0430 0.4880 1.0850 0.5300 ; + RECT 1.0430 0.4880 1.0850 0.5300 ; + RECT 1.3470 0.3960 1.3890 0.4380 ; + RECT 1.3470 0.4880 1.3890 0.5300 ; + RECT 1.3470 0.4880 1.3890 0.5300 ; + RECT 4.7670 0.0920 4.8090 0.1340 ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 3.3230 0.3770 3.3650 0.4190 ; + RECT 3.4750 1.0330 3.5170 1.0750 ; + RECT 3.4750 0.9410 3.5170 0.9830 ; + RECT 3.4750 0.9410 3.5170 0.9830 ; + RECT 3.4750 1.1250 3.5170 1.1670 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 1.3470 0.9910 1.3890 1.0330 ; + RECT 3.4750 1.1250 3.5170 1.1670 ; + RECT 3.4750 1.0330 3.5170 1.0750 ; + RECT 3.4750 0.8490 3.5170 0.8910 ; + RECT 3.4750 0.8490 3.5170 0.8910 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 1.0430 0.8990 1.0850 0.9410 ; + RECT 3.7790 0.8490 3.8210 0.8910 ; + RECT 3.7790 0.8490 3.8210 0.8910 ; + RECT 3.7790 1.0330 3.8210 1.0750 ; + RECT 3.7790 1.1250 3.8210 1.1670 ; + RECT 1.3470 0.9910 1.3890 1.0330 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.7790 1.1250 3.8210 1.1670 ; + RECT 3.7790 0.9410 3.8210 0.9830 ; + RECT 3.7790 0.9410 3.8210 0.9830 ; + RECT 3.7790 1.0330 3.8210 1.0750 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 1.0430 0.8990 1.0850 0.9410 ; + RECT 4.0830 0.8490 4.1250 0.8910 ; + RECT 4.0830 0.8490 4.1250 0.8910 ; + RECT 4.0830 1.0330 4.1250 1.0750 ; + RECT 4.0830 1.1250 4.1250 1.1670 ; + RECT 3.3230 0.4690 3.3650 0.5110 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 3.8550 0.6640 3.8970 0.7060 ; + RECT 4.0830 1.1250 4.1250 1.1670 ; + RECT 4.0830 0.9410 4.1250 0.9830 ; + RECT 4.0830 0.9410 4.1250 0.9830 ; + RECT 4.0830 1.0330 4.1250 1.0750 ; + RECT 2.2590 0.8490 2.3010 0.8910 ; + RECT 2.7150 0.3560 2.7570 0.3980 ; + RECT 2.7150 0.4480 2.7570 0.4900 ; + RECT 2.4110 0.4480 2.4530 0.4900 ; + RECT 2.2590 0.8490 2.3010 0.8910 ; + RECT 2.1830 1.3950 2.2250 1.4370 ; + RECT 1.3470 1.0830 1.3890 1.1250 ; + RECT 2.1830 1.3950 2.2250 1.4370 ; + RECT 2.4110 0.3560 2.4530 0.3980 ; + RECT 2.4110 0.3560 2.4530 0.3980 ; + RECT 2.4110 0.4480 2.4530 0.4900 ; + RECT 2.3350 1.3950 2.3770 1.4370 ; + RECT 2.6390 1.3950 2.6810 1.4370 ; + RECT 2.0310 1.3950 2.0730 1.4370 ; + RECT 2.0310 1.3950 2.0730 1.4370 ; + RECT 2.6390 1.3950 2.6810 1.4370 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 2.7910 1.3950 2.8330 1.4370 ; + RECT 2.7910 1.3950 2.8330 1.4370 ; + RECT 2.2590 0.9410 2.3010 0.9830 ; + RECT 2.2590 0.3690 2.3010 0.4110 ; + RECT 2.2590 1.1250 2.3010 1.1670 ; + RECT 2.2590 1.0330 2.3010 1.0750 ; + RECT 2.2590 1.0330 2.3010 1.0750 ; + RECT 8.2630 0.5430 8.3050 0.5850 ; + RECT 8.1110 0.5430 8.1530 0.5850 ; + RECT 8.2630 0.7490 8.3050 0.7910 ; + RECT 8.2630 0.7490 8.3050 0.7910 ; + RECT 7.9590 0.5430 8.0010 0.5850 ; + RECT 4.2350 0.8490 4.2770 0.8910 ; + RECT 4.2350 1.0330 4.2770 1.0750 ; + RECT 4.2350 1.0330 4.2770 1.0750 ; + RECT 4.2350 0.9410 4.2770 0.9830 ; + RECT 4.2350 0.9410 4.2770 0.9830 ; + RECT 4.2350 0.8490 4.2770 0.8910 ; + RECT 4.8430 0.9410 4.8850 0.9830 ; + RECT 4.8430 0.9410 4.8850 0.9830 ; + RECT 4.8430 1.0330 4.8850 1.0750 ; + RECT 4.8430 1.0330 4.8850 1.0750 ; + RECT 4.8430 0.8490 4.8850 0.8910 ; + RECT 4.2350 0.3830 4.2770 0.4250 ; + RECT 4.2350 0.3830 4.2770 0.4250 ; + RECT 4.5390 0.3830 4.5810 0.4250 ; + RECT 4.5390 0.3830 4.5810 0.4250 ; + RECT 4.8430 0.3830 4.8850 0.4250 ; + RECT 4.8430 0.3830 4.8850 0.4250 ; + RECT 4.3870 0.9410 4.4290 0.9830 ; + RECT 4.5390 0.8490 4.5810 0.8910 ; + RECT 4.3870 1.1250 4.4290 1.1670 ; + RECT 4.3870 1.1250 4.4290 1.1670 ; + RECT 4.3870 1.0330 4.4290 1.0750 ; + RECT 4.3870 1.0330 4.4290 1.0750 ; + RECT 4.3870 0.9410 4.4290 0.9830 ; + RECT 4.5390 1.0330 4.5810 1.0750 ; + RECT 4.5390 0.9410 4.5810 0.9830 ; + RECT 4.5390 0.9410 4.5810 0.9830 ; + RECT 4.5390 0.8490 4.5810 0.8910 ; + RECT 4.6910 1.0330 4.7330 1.0750 ; + RECT 4.6910 1.0330 4.7330 1.0750 ; + RECT 4.6910 1.1250 4.7330 1.1670 ; + RECT 4.6910 1.1250 4.7330 1.1670 ; + RECT 4.6910 0.9410 4.7330 0.9830 ; + RECT 4.6910 0.9410 4.7330 0.9830 ; + RECT 7.8070 0.7490 7.8490 0.7910 ; + RECT 7.8070 0.7490 7.8490 0.7910 ; + RECT 8.1110 0.7490 8.1530 0.7910 ; + RECT 7.8070 0.5430 7.8490 0.5850 ; + RECT 8.1110 0.7490 8.1530 0.7910 ; + RECT 7.9590 0.7490 8.0010 0.7910 ; + RECT 7.9590 0.7490 8.0010 0.7910 ; + RECT 9.1750 0.5430 9.2170 0.5850 ; + RECT 9.0230 0.7490 9.0650 0.7910 ; + RECT 9.0230 0.7490 9.0650 0.7910 ; + RECT 9.0230 0.5430 9.0650 0.5850 ; + RECT 9.1750 0.7490 9.2170 0.7910 ; + RECT 9.1750 0.7490 9.2170 0.7910 ; + RECT 8.5670 0.5430 8.6090 0.5850 ; + RECT 8.4150 0.5430 8.4570 0.5850 ; + RECT 8.8710 0.5430 8.9130 0.5850 ; + RECT 8.7190 0.5430 8.7610 0.5850 ; + RECT 8.8710 0.7490 8.9130 0.7910 ; + RECT 8.8710 0.7490 8.9130 0.7910 ; + RECT 8.4150 0.7490 8.4570 0.7910 ; + RECT 8.4150 0.7490 8.4570 0.7910 ; + RECT 8.7190 0.7490 8.7610 0.7910 ; + RECT 8.7190 0.7490 8.7610 0.7910 ; + RECT 8.5670 0.7490 8.6090 0.7910 ; + RECT 8.5670 0.7490 8.6090 0.7910 ; + RECT 9.7830 0.5430 9.8250 0.5850 ; + RECT 9.6310 0.5430 9.6730 0.5850 ; + RECT 9.9350 0.5430 9.9770 0.5850 ; + RECT 9.6310 0.7490 9.6730 0.7910 ; + RECT 9.6310 0.7490 9.6730 0.7910 ; + RECT 9.9350 0.7490 9.9770 0.7910 ; + RECT 9.9350 0.7490 9.9770 0.7910 ; + RECT 9.7830 0.7490 9.8250 0.7910 ; + RECT 9.7830 0.7490 9.8250 0.7910 ; + RECT 9.4790 0.5430 9.5210 0.5850 ; + RECT 9.3270 0.5430 9.3690 0.5850 ; + RECT 9.4790 0.7490 9.5210 0.7910 ; + RECT 9.4790 0.7490 9.5210 0.7910 ; + RECT 9.3270 0.7490 9.3690 0.7910 ; + RECT 9.3270 0.7490 9.3690 0.7910 ; + RECT 10.0870 0.5430 10.1290 0.5850 ; + RECT 10.0870 0.7490 10.1290 0.7910 ; + RECT 10.0870 0.7490 10.1290 0.7910 ; + RECT 6.7430 0.5430 6.7850 0.5850 ; + RECT 6.5910 0.7490 6.6330 0.7910 ; + RECT 6.5910 0.7490 6.6330 0.7910 ; + RECT 6.5910 0.5430 6.6330 0.5850 ; + RECT 6.7430 0.7490 6.7850 0.7910 ; + RECT 6.7430 0.7490 6.7850 0.7910 ; + RECT 7.3510 0.5430 7.3930 0.5850 ; + RECT 7.1990 0.5430 7.2410 0.5850 ; + RECT 7.5030 0.5430 7.5450 0.5850 ; + RECT 7.1990 0.7490 7.2410 0.7910 ; + RECT 7.1990 0.7490 7.2410 0.7910 ; + RECT 7.5030 0.7490 7.5450 0.7910 ; + RECT 7.5030 0.7490 7.5450 0.7910 ; + RECT 7.3510 0.7490 7.3930 0.7910 ; + RECT 7.3510 0.7490 7.3930 0.7910 ; + RECT 7.0470 0.5430 7.0890 0.5850 ; + RECT 6.8950 0.5430 6.9370 0.5850 ; + RECT 7.0470 0.7490 7.0890 0.7910 ; + RECT 7.0470 0.7490 7.0890 0.7910 ; + RECT 6.8950 0.7490 6.9370 0.7910 ; + RECT 6.8950 0.7490 6.9370 0.7910 ; + RECT 7.6550 0.5430 7.6970 0.5850 ; + RECT 7.6550 0.7490 7.6970 0.7910 ; + RECT 7.6550 0.7490 7.6970 0.7910 ; + RECT 5.3750 0.7490 5.4170 0.7910 ; + RECT 5.3750 0.7490 5.4170 0.7910 ; + RECT 2.8670 0.3690 2.9090 0.4110 ; + RECT 5.1470 0.3830 5.1890 0.4250 ; + RECT 5.6790 0.7490 5.7210 0.7910 ; + RECT 0.5870 0.3830 0.6290 0.4250 ; + RECT 1.4990 0.8180 1.5410 0.8600 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 3.8550 0.6640 3.8970 0.7060 ; + RECT 0.5870 0.2910 0.6290 0.3330 ; + RECT 3.6270 0.3770 3.6690 0.4190 ; + RECT 1.8030 0.4110 1.8450 0.4530 ; + RECT 5.1470 0.8490 5.1890 0.8910 ; + RECT 5.1470 0.8490 5.1890 0.8910 ; + RECT 4.8430 0.8490 4.8850 0.8910 ; + RECT 1.9550 1.0490 1.9970 1.0910 ; + RECT 1.9550 1.0490 1.9970 1.0910 ; + RECT 1.4990 0.4110 1.5410 0.4530 ; + RECT 2.8670 0.9410 2.9090 0.9830 ; + RECT 2.5630 1.0330 2.6050 1.0750 ; + RECT 1.9550 0.2260 1.9970 0.2680 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 2.4870 1.3950 2.5290 1.4370 ; + RECT 4.9950 0.9410 5.0370 0.9830 ; + RECT 5.1470 0.3830 5.1890 0.4250 ; + RECT 5.0710 0.0920 5.1130 0.1340 ; + RECT 1.8030 0.7870 1.8450 0.8290 ; + RECT 2.2590 0.9410 2.3010 0.9830 ; + RECT 6.1350 0.5430 6.1770 0.5850 ; + RECT 5.9830 0.5430 6.0250 0.5850 ; + RECT 6.4390 0.5430 6.4810 0.5850 ; + RECT 6.2870 0.5430 6.3290 0.5850 ; + RECT 6.4390 0.7490 6.4810 0.7910 ; + RECT 6.4390 0.7490 6.4810 0.7910 ; + RECT 5.9830 0.7490 6.0250 0.7910 ; + RECT 5.9830 0.7490 6.0250 0.7910 ; + RECT 6.2870 0.7490 6.3290 0.7910 ; + RECT 6.2870 0.7490 6.3290 0.7910 ; + RECT 6.1350 0.7490 6.1770 0.7910 ; + RECT 6.1350 0.7490 6.1770 0.7910 ; + RECT 4.9950 1.0330 5.0370 1.0750 ; + RECT 4.9950 1.0330 5.0370 1.0750 ; + RECT 4.9950 1.1250 5.0370 1.1670 ; + RECT 4.9950 1.1250 5.0370 1.1670 ; + RECT 1.0430 0.3960 1.0850 0.4380 ; + RECT 3.6270 0.4690 3.6690 0.5110 ; + RECT 1.9550 0.4100 1.9970 0.4520 ; + RECT 1.9550 0.4100 1.9970 0.4520 ; + RECT 1.9550 0.3180 1.9970 0.3600 ; + RECT 1.9550 0.3180 1.9970 0.3600 ; + RECT 2.8670 0.2770 2.9090 0.3190 ; + RECT 2.5630 0.9410 2.6050 0.9830 ; + RECT 2.5630 0.3690 2.6050 0.4110 ; + RECT 2.5630 0.2770 2.6050 0.3190 ; + RECT 2.4870 1.3950 2.5290 1.4370 ; + RECT 2.8670 1.1250 2.9090 1.1670 ; + RECT 2.8670 1.0330 2.9090 1.0750 ; + RECT 2.8670 1.0330 2.9090 1.0750 ; + RECT 2.8670 1.1250 2.9090 1.1670 ; + RECT 5.8310 0.5430 5.8730 0.5850 ; + RECT 5.6790 0.5430 5.7210 0.5850 ; + RECT 1.9550 1.2330 1.9970 1.2750 ; + RECT 1.9550 1.2330 1.9970 1.2750 ; + RECT 1.9550 0.9570 1.9970 0.9990 ; + RECT 1.9550 0.9570 1.9970 0.9990 ; + RECT 1.3470 1.0830 1.3890 1.1250 ; + RECT 3.9310 0.3770 3.9730 0.4190 ; + RECT 3.9310 0.4690 3.9730 0.5110 ; + RECT 2.5630 1.1250 2.6050 1.1670 ; + RECT 2.5630 0.9410 2.6050 0.9830 ; + RECT 2.5630 1.1250 2.6050 1.1670 ; + RECT 2.5630 1.0330 2.6050 1.0750 ; + RECT 1.9550 1.1410 1.9970 1.1830 ; + RECT 5.0710 0.0920 5.1130 0.1340 ; + RECT 5.3750 0.5430 5.4170 0.5850 ; + RECT 4.3110 0.0900 4.3530 0.1320 ; + RECT 2.3350 1.3950 2.3770 1.4370 ; + RECT 4.5390 1.0330 4.5810 1.0750 ; + RECT 4.9950 0.9410 5.0370 0.9830 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 1.0430 0.3960 1.0850 0.4380 ; + RECT 1.9550 1.1410 1.9970 1.1830 ; + RECT 5.6790 0.7490 5.7210 0.7910 ; + RECT 2.8670 0.9410 2.9090 0.9830 ; + RECT 1.9550 0.2260 1.9970 0.2680 ; + RECT 5.5270 0.7490 5.5690 0.7910 ; + RECT 5.5270 0.7490 5.5690 0.7910 ; + RECT 1.7270 0.6060 1.7690 0.6480 ; + RECT 1.8030 0.7870 1.8450 0.8290 ; + RECT 5.8310 0.7490 5.8730 0.7910 ; + RECT 5.8310 0.7490 5.8730 0.7910 ; + RECT 5.5270 0.5430 5.5690 0.5850 ; + LAYER PO ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 7.9650 0.7170 7.9950 1.6060 ; + RECT 8.1170 0.7170 8.1470 1.6060 ; + RECT 8.2690 0.7170 8.2990 1.6060 ; + RECT 7.8130 0.7170 7.8430 1.6060 ; + RECT 7.8130 0.0660 7.8430 0.6170 ; + RECT 8.2690 0.0660 8.2990 0.6170 ; + RECT 8.1170 0.0660 8.1470 0.6170 ; + RECT 7.9650 0.0660 7.9950 0.6170 ; + RECT 10.0930 0.7170 10.1230 1.6060 ; + RECT 9.7890 0.7170 9.8190 1.6060 ; + RECT 9.6370 0.7170 9.6670 1.6060 ; + RECT 9.9410 0.7170 9.9710 1.6060 ; + RECT 9.4850 0.7170 9.5150 1.6060 ; + RECT 9.3330 0.7170 9.3630 1.6060 ; + RECT 9.1810 0.7170 9.2110 1.6060 ; + RECT 9.0290 0.7170 9.0590 1.6060 ; + RECT 8.5730 0.7170 8.6030 1.6060 ; + RECT 8.8770 0.7170 8.9070 1.6060 ; + RECT 8.7250 0.7170 8.7550 1.6060 ; + RECT 8.4210 0.7170 8.4510 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6170 ; + RECT 9.7890 0.0660 9.8190 0.6170 ; + RECT 9.6370 0.0660 9.6670 0.6170 ; + RECT 9.9410 0.0660 9.9710 0.6170 ; + RECT 9.4850 0.0660 9.5150 0.6170 ; + RECT 9.3330 0.0660 9.3630 0.6170 ; + RECT 9.1810 0.0660 9.2110 0.6170 ; + RECT 9.0290 0.0660 9.0590 0.6170 ; + RECT 8.5730 0.0660 8.6030 0.6170 ; + RECT 8.8770 0.0660 8.9070 0.6170 ; + RECT 8.7250 0.0660 8.7550 0.6170 ; + RECT 8.4210 0.0660 8.4510 0.6170 ; + RECT 7.6610 0.0660 7.6910 0.6170 ; + RECT 7.6610 0.7170 7.6910 1.6060 ; + RECT 7.3570 0.0660 7.3870 0.6170 ; + RECT 7.3570 0.7170 7.3870 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6170 ; + RECT 7.2050 0.7170 7.2350 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6170 ; + RECT 7.5090 0.7170 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6170 ; + RECT 7.0530 0.7170 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6170 ; + RECT 6.9010 0.7170 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6170 ; + RECT 6.7490 0.7170 6.7790 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6170 ; + RECT 6.5970 0.7170 6.6270 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6170 ; + RECT 6.1410 0.7170 6.1710 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.6170 ; + RECT 6.4450 0.7170 6.4750 1.6060 ; + RECT 6.2930 0.0660 6.3230 0.6170 ; + RECT 6.2930 0.7170 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6170 ; + RECT 5.9890 0.7170 6.0190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 5.5330 0.7170 5.5630 1.6060 ; + RECT 5.6850 0.7170 5.7150 1.6060 ; + RECT 5.8370 0.7170 5.8670 1.6060 ; + RECT 5.3810 0.7170 5.4110 1.6060 ; + RECT 5.3810 0.0660 5.4110 0.6170 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 5.8370 0.0660 5.8670 0.6170 ; + RECT 5.6850 0.0660 5.7150 0.6170 ; + RECT 5.5330 0.0660 5.5630 0.6170 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + LAYER NWELL ; + RECT -0.1120 0.6790 10.6000 1.7730 ; + LAYER M1 ; + RECT 5.2430 0.5460 10.1640 0.5890 ; + RECT 2.1030 0.5390 10.1640 0.5460 ; + RECT 2.1030 0.2880 2.1530 0.4960 ; + RECT 2.4070 0.2880 2.4570 0.4960 ; + RECT 2.7110 0.2880 2.7610 0.4960 ; + RECT 3.3190 0.3420 3.3690 0.4960 ; + RECT 3.6230 0.3420 3.6730 0.4960 ; + RECT 3.9270 0.3420 3.9770 0.4960 ; + RECT 4.2310 0.2910 4.2810 0.4960 ; + RECT 4.2310 0.8030 4.2810 1.1020 ; + RECT 4.5350 0.2910 4.5850 0.4960 ; + RECT 4.5350 0.8030 4.5850 1.1020 ; + RECT 4.8390 0.2910 4.8890 0.4960 ; + RECT 4.8390 0.8030 4.8890 1.1020 ; + RECT 4.2310 0.7530 5.1930 0.8030 ; + RECT 5.1430 0.8030 5.1930 0.9340 ; + RECT 2.1030 0.4960 5.2930 0.5390 ; + RECT 5.1430 0.5460 5.1930 0.7530 ; + RECT 5.1430 0.2910 5.1930 0.4960 ; + RECT 5.3400 0.7450 10.1640 0.7950 ; + RECT 1.9510 0.8100 2.0010 1.3130 ; + RECT 1.9510 0.2380 2.0010 0.7600 ; + RECT 2.2550 0.8100 2.3050 1.2130 ; + RECT 2.2550 0.2380 2.3050 0.4460 ; + RECT 2.5590 0.8100 2.6090 1.2130 ; + RECT 2.5590 0.2380 2.6090 0.4460 ; + RECT 2.8630 0.8100 2.9130 1.2130 ; + RECT 2.8630 0.2380 2.9130 0.4460 ; + RECT 1.9510 0.1880 2.9130 0.2380 ; + RECT 3.1670 0.8100 3.2170 1.2130 ; + RECT 3.4710 0.8100 3.5210 1.2120 ; + RECT 3.7750 0.8100 3.8250 1.2120 ; + RECT 1.9500 0.7600 4.1290 0.8100 ; + RECT 4.0790 0.8100 4.1290 1.1520 ; + RECT 4.3840 0.8530 4.4340 1.1520 ; + RECT 4.6880 0.8530 4.7380 1.1520 ; + RECT 4.0790 1.1520 5.0420 1.2020 ; + RECT 4.9920 1.0340 5.0420 1.1520 ; + RECT 4.9920 0.8530 5.0420 0.9840 ; + RECT 4.9920 0.9840 5.3900 1.0340 ; + RECT 5.3400 0.7950 5.3900 0.9840 ; + RECT 1.8490 1.3900 2.8680 1.4400 ; + RECT 1.4950 0.8330 1.5450 0.9870 ; + RECT 1.4640 0.4070 1.8990 0.4570 ; + RECT 1.4950 0.7830 1.8990 0.8330 ; + RECT 1.8490 0.8330 1.8990 1.3900 ; + RECT 1.8490 0.4570 1.8990 0.7830 ; + RECT 1.8330 0.0880 5.1480 0.1380 ; + RECT 1.0390 0.1920 1.0890 0.5000 ; + RECT 1.0390 0.9150 1.0890 1.1450 ; + RECT 1.0390 0.5000 1.4330 0.5500 ; + RECT 1.3830 0.5500 1.4330 0.6020 ; + RECT 1.0390 0.8650 1.4330 0.9150 ; + RECT 1.3830 0.6520 1.4330 0.8650 ; + RECT 1.3430 0.3570 1.3930 0.4990 ; + RECT 1.3430 0.4990 1.4330 0.5000 ; + RECT 1.3430 0.9150 1.4330 0.9200 ; + RECT 1.3430 0.9200 1.3930 1.1450 ; + RECT 1.3430 0.3070 1.8830 0.3570 ; + RECT 1.8330 0.1380 1.8830 0.3070 ; + RECT 1.3830 0.6020 1.7890 0.6520 ; + RECT 1.7470 1.5270 3.0050 1.5770 ; + RECT 0.8870 1.1950 1.7970 1.2450 ; + RECT 1.7470 1.2450 1.7970 1.5270 ; + RECT 0.5830 0.1640 0.6330 0.4330 ; + RECT 0.5830 0.8750 0.6330 1.5070 ; + RECT 0.8870 0.1660 0.9370 0.4330 ; + RECT 0.5830 0.4330 0.9770 0.4830 ; + RECT 0.5830 0.8250 0.9770 0.8750 ; + RECT 0.8870 1.2450 0.9370 1.5070 ; + RECT 0.8870 0.8750 0.9370 1.1950 ; + RECT 0.9270 0.4830 0.9770 0.8250 ; + RECT 0.4860 0.6020 0.8770 0.6520 ; + RECT 0.4310 0.7860 0.4810 1.2110 ; + RECT 0.4310 0.7520 0.5350 0.7860 ; + RECT 0.4310 0.7360 0.5360 0.7520 ; + RECT 0.4860 0.6520 0.5360 0.7360 ; + RECT 0.4860 0.5520 0.5360 0.6020 ; + RECT 0.4310 0.1760 0.4810 0.5020 ; + RECT 0.4310 0.5020 0.5360 0.5520 ; + RECT 2.9220 0.6600 4.0840 0.7100 ; + END +END TNBUFFX32_HVT + +MACRO TNBUFFX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.3730 0.7360 1.4230 ; + RECT 0.5530 1.4230 0.6630 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.3770 0.7050 1.4190 ; + RECT 0.3590 1.3770 0.4010 1.4190 ; + END + ANTENNAGATEAREA 0.0339 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 0.2240 1.2060 0.3290 1.2560 ; + RECT 0.7350 1.2710 0.9370 1.3210 ; + RECT 1.9510 1.2720 2.0010 1.6420 ; + RECT 1.6470 1.2750 1.6970 1.6420 ; + RECT 0.2790 0.7310 0.3290 1.2060 ; + RECT 0.2240 1.2560 0.2740 1.6420 ; + RECT 0.8870 1.0900 0.9370 1.2710 ; + RECT 0.7350 1.0900 0.7850 1.2710 ; + RECT 0.8870 1.3210 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.4930 0.9330 1.5350 ; + RECT 0.8910 1.1250 0.9330 1.1670 ; + RECT 0.8910 1.1250 0.9330 1.1670 ; + RECT 0.7390 1.2170 0.7810 1.2590 ; + RECT 0.8910 1.2170 0.9330 1.2590 ; + RECT 0.8910 1.2170 0.9330 1.2590 ; + RECT 0.7390 1.2170 0.7810 1.2590 ; + RECT 0.7390 1.1250 0.7810 1.1670 ; + RECT 0.8910 1.4010 0.9330 1.4430 ; + RECT 0.8910 1.4010 0.9330 1.4430 ; + RECT 0.7390 1.1250 0.7810 1.1670 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 1.6510 1.4020 1.6930 1.4440 ; + RECT 0.8910 1.4930 0.9330 1.5350 ; + RECT 0.8910 1.3090 0.9330 1.3510 ; + RECT 0.8910 1.3090 0.9330 1.3510 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.6510 1.3100 1.6930 1.3520 ; + RECT 1.6510 1.3100 1.6930 1.3520 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.6510 1.4940 1.6930 1.5360 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.6510 1.4940 1.6930 1.5360 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.6510 1.4020 1.6930 1.4440 ; + RECT 1.9550 1.3100 1.9970 1.3520 ; + RECT 1.9550 1.3100 1.9970 1.3520 ; + RECT 1.9550 1.4940 1.9970 1.5360 ; + RECT 1.9550 1.4940 1.9970 1.5360 ; + RECT 1.9550 1.4020 1.9970 1.4440 ; + RECT 1.9550 1.4020 1.9970 1.4440 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 1.0390 0.1910 1.6970 0.2410 ; + RECT 0.2790 0.0300 0.3290 0.5420 ; + RECT 1.9510 0.0300 2.0010 0.3680 ; + RECT 1.0390 0.2410 1.0890 0.4530 ; + RECT 1.1920 0.2410 1.2420 0.4530 ; + RECT 1.6470 0.2410 1.6970 0.3680 ; + RECT 1.6470 0.0300 1.6970 0.1910 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.6510 0.1790 1.6930 0.2210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + RECT 0.2830 0.4750 0.3250 0.5170 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + RECT 1.1950 0.2670 1.2370 0.3090 ; + RECT 1.0430 0.2670 1.0850 0.3090 ; + RECT 1.1950 0.2670 1.2370 0.3090 ; + RECT 1.9550 0.2710 1.9970 0.3130 ; + RECT 1.9550 0.1790 1.9970 0.2210 ; + RECT 1.9550 0.1790 1.9970 0.2210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.0430 0.3590 1.0850 0.4010 ; + RECT 1.0430 0.3590 1.0850 0.4010 ; + RECT 1.1950 0.3590 1.2370 0.4010 ; + RECT 1.1950 0.3590 1.2370 0.4010 ; + RECT 1.6510 0.1790 1.6930 0.2210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.6510 0.2710 1.6930 0.3130 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1650 0.4880 2.3350 0.6630 ; + RECT 2.1030 0.1550 2.1530 0.4380 ; + RECT 2.1030 1.1340 2.1530 1.4720 ; + RECT 2.1650 0.6630 2.2150 1.0840 ; + RECT 1.7990 0.1420 1.8490 0.4380 ; + RECT 1.4950 0.4380 2.2150 0.4880 ; + RECT 1.4950 0.2910 1.5450 0.4380 ; + RECT 1.7990 1.1340 1.8490 1.4720 ; + RECT 1.7990 0.9000 1.8490 1.0840 ; + RECT 1.4950 1.0840 2.2150 1.1340 ; + RECT 1.4950 1.1340 1.5450 1.4720 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.8030 0.9350 1.8450 0.9770 ; + RECT 1.8030 0.9350 1.8450 0.9770 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.4990 0.3260 1.5410 0.3680 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 0.3610 1.8450 0.4030 ; + RECT 1.8030 0.2690 1.8450 0.3110 ; + RECT 1.8030 0.1770 1.8450 0.2190 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 0.1900 2.1490 0.2320 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 2.1070 0.2820 2.1490 0.3240 ; + RECT 2.1070 0.3740 2.1490 0.4160 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 0.3740 2.1490 0.4160 ; + RECT 2.1070 0.1900 2.1490 0.2320 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + END + ANTENNADIFFAREA 0.3552 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6460 1.0440 0.6960 ; + RECT 0.8570 0.6960 0.9670 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6500 1.0090 0.6920 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + OBS + LAYER CO ; + RECT 1.7270 0.5430 1.7690 0.5850 ; + RECT 0.8910 0.3780 0.9330 0.4200 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 0.8910 0.2860 0.9330 0.3280 ; + RECT 0.5870 1.2260 0.6290 1.2680 ; + RECT 0.7390 0.3820 0.7810 0.4240 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.8790 0.7490 1.9210 0.7910 ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 1.3470 0.4830 1.3890 0.5250 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 0.5870 0.9500 0.6290 0.9920 ; + RECT 0.5870 0.9500 0.6290 0.9920 ; + RECT 1.1950 1.0330 1.2370 1.0750 ; + RECT 1.1950 1.0330 1.2370 1.0750 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 1.0430 1.4010 1.0850 1.4430 ; + RECT 1.0430 1.2170 1.0850 1.2590 ; + RECT 1.0430 1.2170 1.0850 1.2590 ; + RECT 1.0430 1.3090 1.0850 1.3510 ; + RECT 0.5870 0.5020 0.6290 0.5440 ; + RECT 0.5870 0.5020 0.6290 0.5440 ; + RECT 0.5870 0.4100 0.6290 0.4520 ; + RECT 0.5870 0.4100 0.6290 0.4520 ; + RECT 0.5870 0.3180 0.6290 0.3600 ; + RECT 0.5870 0.3180 0.6290 0.3600 ; + RECT 1.0430 1.3090 1.0850 1.3510 ; + RECT 0.7390 0.2900 0.7810 0.3320 ; + RECT 2.0310 0.5430 2.0730 0.5850 ; + RECT 1.8790 0.5430 1.9210 0.5850 ; + RECT 0.5870 1.1340 0.6290 1.1760 ; + RECT 0.5870 1.1340 0.6290 1.1760 ; + RECT 0.5870 1.2260 0.6290 1.2680 ; + RECT 0.5870 0.8580 0.6290 0.9000 ; + RECT 0.5870 0.8580 0.6290 0.9000 ; + RECT 0.5870 0.7660 0.6290 0.8080 ; + RECT 0.5870 0.7660 0.6290 0.8080 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 1.0430 1.4010 1.0850 1.4430 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 0.5870 0.2260 0.6290 0.2680 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.2710 0.0940 1.3130 0.1360 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 1.2710 0.0940 1.3130 0.1360 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.5750 0.5430 1.6170 0.5850 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 1.8790 0.7490 1.9210 0.7910 ; + RECT 0.5870 0.2260 0.6290 0.2680 ; + RECT 1.7270 0.7490 1.7690 0.7910 ; + RECT 1.7270 0.7490 1.7690 0.7910 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 2.0310 0.7490 2.0730 0.7910 ; + RECT 2.0310 0.7490 2.0730 0.7910 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + LAYER NWELL ; + RECT -0.1120 0.6790 2.5440 1.7730 ; + LAYER M1 ; + RECT 0.8870 0.5390 2.1080 0.5890 ; + RECT 0.7350 0.4390 0.9370 0.4890 ; + RECT 0.8870 0.2550 0.9370 0.4390 ; + RECT 0.8870 0.5890 0.9370 0.5900 ; + RECT 0.8870 0.4890 0.9370 0.5390 ; + RECT 0.7350 0.2550 0.7850 0.4390 ; + RECT 1.3430 0.5890 1.3930 0.9340 ; + RECT 1.3430 0.2910 1.3930 0.5390 ; + RECT 1.5400 0.7450 2.1080 0.7950 ; + RECT 0.5830 1.0340 0.6330 1.3230 ; + RECT 0.5830 0.1910 0.6330 0.9840 ; + RECT 1.0390 1.0340 1.0890 1.4780 ; + RECT 1.0390 0.8050 1.0890 0.9840 ; + RECT 1.1920 1.0340 1.2420 1.2020 ; + RECT 1.1920 0.7130 1.2420 0.9840 ; + RECT 0.5830 0.9840 1.6210 1.0340 ; + RECT 1.5710 0.7950 1.6210 0.9840 ; + RECT 0.4310 0.0910 1.3480 0.1410 ; + RECT 0.4310 0.1410 0.4810 1.2110 ; + LAYER PO ; + RECT 1.7330 0.7170 1.7630 1.6060 ; + RECT 1.8850 0.7170 1.9150 1.6060 ; + RECT 2.0370 0.7170 2.0670 1.6060 ; + RECT 1.5810 0.7170 1.6110 1.6060 ; + RECT 1.5810 0.0660 1.6110 0.6170 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.0370 0.0660 2.0670 0.6170 ; + RECT 1.8850 0.0660 1.9150 0.6170 ; + RECT 1.7330 0.0660 1.7630 0.6170 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END TNBUFFX4_HVT + +MACRO TNBUFFX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.3730 0.8880 1.4230 ; + RECT 0.7050 1.4230 0.8150 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.3770 0.7050 1.4190 ; + RECT 0.8150 1.3770 0.8570 1.4190 ; + RECT 0.3590 1.3770 0.4010 1.4190 ; + END + ANTENNAGATEAREA 0.0522 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 0.2240 1.2060 0.3290 1.2560 ; + RECT 0.5830 1.2710 1.2410 1.3210 ; + RECT 3.0150 1.2720 3.0650 1.6420 ; + RECT 2.7110 1.2720 2.7610 1.6420 ; + RECT 2.4070 1.2720 2.4570 1.6420 ; + RECT 2.1030 1.2750 2.1530 1.6420 ; + RECT 0.2790 0.7310 0.3290 1.2060 ; + RECT 0.2240 1.2560 0.2740 1.6420 ; + RECT 0.5830 0.8140 0.6330 1.2710 ; + RECT 0.8870 1.0840 0.9370 1.2710 ; + RECT 1.1910 1.3210 1.2410 1.6420 ; + RECT 1.1910 1.0840 1.2410 1.2710 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.0190 1.4020 3.0610 1.4440 ; + RECT 3.0190 1.4020 3.0610 1.4440 ; + RECT 3.0190 1.4940 3.0610 1.5360 ; + RECT 3.0190 1.4940 3.0610 1.5360 ; + RECT 3.0190 1.3100 3.0610 1.3520 ; + RECT 3.0190 1.3100 3.0610 1.3520 ; + RECT 2.7150 1.3100 2.7570 1.3520 ; + RECT 2.7150 1.3100 2.7570 1.3520 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 1.1950 1.4930 1.2370 1.5350 ; + RECT 0.8910 1.2170 0.9330 1.2590 ; + RECT 2.1070 1.3100 2.1490 1.3520 ; + RECT 2.1070 1.3100 2.1490 1.3520 ; + RECT 1.1950 1.3090 1.2370 1.3510 ; + RECT 2.1070 1.4940 2.1490 1.5360 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 0.5870 1.2170 0.6290 1.2590 ; + RECT 1.1950 1.2170 1.2370 1.2590 ; + RECT 1.1950 1.2170 1.2370 1.2590 ; + RECT 0.5870 1.2170 0.6290 1.2590 ; + RECT 0.5870 1.1250 0.6290 1.1670 ; + RECT 0.5870 1.0330 0.6290 1.0750 ; + RECT 0.5870 1.0330 0.6290 1.0750 ; + RECT 0.5870 1.1250 0.6290 1.1670 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 2.4110 1.3100 2.4530 1.3520 ; + RECT 2.4110 1.3100 2.4530 1.3520 ; + RECT 2.4110 1.4940 2.4530 1.5360 ; + RECT 2.4110 1.4940 2.4530 1.5360 ; + RECT 2.4110 1.4020 2.4530 1.4440 ; + RECT 2.4110 1.4020 2.4530 1.4440 ; + RECT 2.7150 1.4940 2.7570 1.5360 ; + RECT 2.7150 1.4940 2.7570 1.5360 ; + RECT 2.7150 1.4020 2.7570 1.4440 ; + RECT 2.7150 1.4020 2.7570 1.4440 ; + RECT 2.1070 1.4940 2.1490 1.5360 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 0.8910 1.1250 0.9330 1.1670 ; + RECT 2.1070 1.4020 2.1490 1.4440 ; + RECT 2.1070 1.4020 2.1490 1.4440 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.8910 1.1250 0.9330 1.1670 ; + RECT 1.1950 1.4010 1.2370 1.4430 ; + RECT 1.1950 1.3090 1.2370 1.3510 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 1.1950 1.4930 1.2370 1.5350 ; + RECT 1.1950 1.4010 1.2370 1.4430 ; + RECT 0.8910 1.2170 0.9330 1.2590 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 1.0390 0.1910 2.1530 0.2410 ; + RECT 3.0150 0.0300 3.0650 0.3680 ; + RECT 2.7110 0.0300 2.7610 0.3680 ; + RECT 0.2790 0.0300 0.3290 0.5740 ; + RECT 2.4070 0.0300 2.4570 0.3680 ; + RECT 1.3430 0.2410 1.3930 0.4360 ; + RECT 1.6480 0.2410 1.6980 0.4880 ; + RECT 1.0390 0.2410 1.0890 0.3950 ; + RECT 2.1030 0.2410 2.1530 0.3680 ; + RECT 2.1030 0.0300 2.1530 0.1910 ; + END + PORT + LAYER CO ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.6510 0.2670 1.6930 0.3090 ; + RECT 1.3470 0.2670 1.3890 0.3090 ; + RECT 1.6510 0.2670 1.6930 0.3090 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.0190 0.1790 3.0610 0.2210 ; + RECT 3.0190 0.1790 3.0610 0.2210 ; + RECT 3.0190 0.2710 3.0610 0.3130 ; + RECT 2.7150 0.2710 2.7570 0.3130 ; + RECT 2.7150 0.1790 2.7570 0.2210 ; + RECT 2.7150 0.1790 2.7570 0.2210 ; + RECT 1.3470 0.3590 1.3890 0.4010 ; + RECT 1.6510 0.3590 1.6930 0.4010 ; + RECT 1.6510 0.3590 1.6930 0.4010 ; + RECT 2.1070 0.1790 2.1490 0.2210 ; + RECT 1.0430 0.2260 1.0850 0.2680 ; + RECT 2.4110 0.2710 2.4530 0.3130 ; + RECT 2.4110 0.1790 2.4530 0.2210 ; + RECT 2.4110 0.1790 2.4530 0.2210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 2.1070 0.1790 2.1490 0.2210 ; + RECT 1.0430 0.3180 1.0850 0.3600 ; + RECT 1.0430 0.3180 1.0850 0.3600 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 2.1070 0.2710 2.1490 0.3130 ; + RECT 0.2830 0.4870 0.3250 0.5290 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 0.2830 0.3030 0.3250 0.3450 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 1.3470 0.3590 1.3890 0.4010 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2290 0.6580 3.4040 0.8270 ; + RECT 3.1670 1.1340 3.2170 1.4720 ; + RECT 3.2290 0.8270 3.2790 1.0840 ; + RECT 3.2290 0.4890 3.2790 0.6580 ; + RECT 3.1670 0.4880 3.2790 0.4890 ; + RECT 3.1670 0.1550 3.2170 0.4380 ; + RECT 2.8630 1.1340 2.9130 1.4720 ; + RECT 2.8630 0.9000 2.9130 1.0840 ; + RECT 2.5590 1.1340 2.6090 1.4720 ; + RECT 2.5590 0.9000 2.6090 1.0840 ; + RECT 2.2550 1.1340 2.3050 1.4720 ; + RECT 2.2550 0.9000 2.3050 1.0840 ; + RECT 1.9510 1.0840 3.2790 1.1340 ; + RECT 1.9510 1.1340 2.0010 1.4720 ; + RECT 2.8630 0.1420 2.9130 0.4380 ; + RECT 2.5590 0.1420 2.6090 0.4380 ; + RECT 2.2550 0.1420 2.3050 0.4380 ; + RECT 1.9510 0.4380 3.2410 0.4390 ; + RECT 1.9510 0.2910 2.0010 0.4380 ; + RECT 1.9510 0.4390 3.2790 0.4880 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.2590 0.9350 2.3010 0.9770 ; + RECT 2.2590 0.9350 2.3010 0.9770 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 0.3610 2.3010 0.4030 ; + RECT 2.2590 0.2690 2.3010 0.3110 ; + RECT 2.2590 0.1770 2.3010 0.2190 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 0.3260 1.9970 0.3680 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 0.9350 2.9090 0.9770 ; + RECT 2.8670 0.9350 2.9090 0.9770 ; + RECT 2.8670 0.1770 2.9090 0.2190 ; + RECT 2.8670 0.2690 2.9090 0.3110 ; + RECT 2.8670 0.3610 2.9090 0.4030 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.5630 0.3610 2.6050 0.4030 ; + RECT 2.5630 0.2690 2.6050 0.3110 ; + RECT 2.5630 0.1770 2.6050 0.2190 ; + RECT 2.5630 0.9350 2.6050 0.9770 ; + RECT 2.5630 0.9350 2.6050 0.9770 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 0.1900 3.2130 0.2320 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 3.1710 0.2820 3.2130 0.3240 ; + RECT 3.1710 0.3740 3.2130 0.4160 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 0.3740 3.2130 0.4160 ; + RECT 3.1710 0.1900 3.2130 0.2320 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + END + ANTENNADIFFAREA 0.6212 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6600 1.3480 0.7100 ; + RECT 1.0090 0.7100 1.1190 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + END + ANTENNAGATEAREA 0.0732 ; + END A + OBS + LAYER CO ; + RECT 2.7910 0.5430 2.8330 0.5850 ; + RECT 2.6390 0.5430 2.6810 0.5850 ; + RECT 3.0950 0.5430 3.1370 0.5850 ; + RECT 2.9430 0.5430 2.9850 0.5850 ; + RECT 3.0950 0.7490 3.1370 0.7910 ; + RECT 3.0950 0.7490 3.1370 0.7910 ; + RECT 2.6390 0.7490 2.6810 0.7910 ; + RECT 2.6390 0.7490 2.6810 0.7910 ; + RECT 2.9430 0.7490 2.9850 0.7910 ; + RECT 2.9430 0.7490 2.9850 0.7910 ; + RECT 2.7910 0.7490 2.8330 0.7910 ; + RECT 2.7910 0.7490 2.8330 0.7910 ; + RECT 0.4350 0.3030 0.4770 0.3450 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 1.6510 1.0330 1.6930 1.0750 ; + RECT 1.6510 1.0330 1.6930 1.0750 ; + RECT 1.6510 1.1250 1.6930 1.1670 ; + RECT 1.6510 1.1250 1.6930 1.1670 ; + RECT 1.3470 1.4010 1.3890 1.4430 ; + RECT 1.3470 1.2170 1.3890 1.2590 ; + RECT 1.3470 1.2170 1.3890 1.2590 ; + RECT 1.3470 1.3090 1.3890 1.3510 ; + RECT 0.7390 0.5020 0.7810 0.5440 ; + RECT 0.7390 0.5020 0.7810 0.5440 ; + RECT 0.7390 0.4100 0.7810 0.4520 ; + RECT 0.7390 0.4100 0.7810 0.4520 ; + RECT 1.3470 1.3090 1.3890 1.3510 ; + RECT 1.5750 0.0950 1.6170 0.1370 ; + RECT 1.5750 0.0950 1.6170 0.1370 ; + RECT 1.6510 1.2170 1.6930 1.2590 ; + RECT 1.6510 1.2170 1.6930 1.2590 ; + RECT 1.4990 0.3830 1.5410 0.4250 ; + RECT 1.4990 0.3830 1.5410 0.4250 ; + RECT 0.7390 1.0420 0.7810 1.0840 ; + RECT 1.7270 0.0950 1.7690 0.1370 ; + RECT 1.4990 0.8880 1.5410 0.9300 ; + RECT 2.0310 0.5430 2.0730 0.5850 ; + RECT 1.3470 1.0330 1.3890 1.0750 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.8910 0.2260 0.9330 0.2680 ; + RECT 2.4870 0.5430 2.5290 0.5850 ; + RECT 2.3350 0.5430 2.3770 0.5850 ; + RECT 0.5870 0.4100 0.6290 0.4520 ; + RECT 0.7390 0.8580 0.7810 0.9000 ; + RECT 0.7390 0.8580 0.7810 0.9000 ; + RECT 0.7390 0.7660 0.7810 0.8080 ; + RECT 0.7390 0.7660 0.7810 0.8080 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 0.4350 1.1340 0.4770 1.1760 ; + RECT 1.3470 1.4010 1.3890 1.4430 ; + RECT 2.4870 0.7490 2.5290 0.7910 ; + RECT 2.4870 0.7490 2.5290 0.7910 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 1.3470 1.1250 1.3890 1.1670 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 2.1830 0.5430 2.2250 0.5850 ; + RECT 1.1950 0.4550 1.2370 0.4970 ; + RECT 2.0310 0.7490 2.0730 0.7910 ; + RECT 2.0310 0.7490 2.0730 0.7910 ; + RECT 1.1950 0.3630 1.2370 0.4050 ; + RECT 0.8910 0.3180 0.9330 0.3600 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 0.8910 0.4100 0.9330 0.4520 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 1.8030 0.3830 1.8450 0.4250 ; + RECT 1.4990 0.4830 1.5410 0.5250 ; + RECT 2.3350 0.7490 2.3770 0.7910 ; + RECT 1.3470 1.1250 1.3890 1.1670 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.7390 1.0420 0.7810 1.0840 ; + RECT 2.3350 0.7490 2.3770 0.7910 ; + RECT 0.5870 0.3180 0.6290 0.3600 ; + RECT 2.1830 0.7490 2.2250 0.7910 ; + RECT 2.1830 0.7490 2.2250 0.7910 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.4350 0.4870 0.4770 0.5290 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 1.8030 0.4830 1.8450 0.5250 ; + RECT 1.8030 0.7570 1.8450 0.7990 ; + RECT 1.8030 0.7570 1.8450 0.7990 ; + RECT 1.8030 0.8490 1.8450 0.8910 ; + RECT 1.8030 0.8490 1.8450 0.8910 ; + RECT 0.7390 0.9500 0.7810 0.9920 ; + RECT 0.7390 0.9500 0.7810 0.9920 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 0.5870 0.2260 0.6290 0.2680 ; + RECT 1.3470 0.9410 1.3890 0.9830 ; + RECT 1.4990 0.8880 1.5410 0.9300 ; + RECT 1.3470 0.9410 1.3890 0.9830 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.8030 0.3830 1.8450 0.4250 ; + RECT 1.7270 0.0950 1.7690 0.1370 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.3470 1.0330 1.3890 1.0750 ; + LAYER NWELL ; + RECT -0.1120 0.6790 3.6110 1.7730 ; + LAYER M1 ; + RECT 0.8870 0.5390 3.1720 0.5890 ; + RECT 0.5830 0.1910 0.9370 0.2410 ; + RECT 0.5830 0.2410 0.6330 0.4870 ; + RECT 0.8870 0.2410 0.9370 0.5390 ; + RECT 1.1910 0.3280 1.2410 0.5390 ; + RECT 1.4950 0.3480 1.5450 0.5390 ; + RECT 1.4640 0.8840 1.8490 0.9340 ; + RECT 1.7990 0.3480 1.8490 0.5390 ; + RECT 1.7990 0.5890 1.8490 0.8840 ; + RECT 1.9960 0.7450 3.1720 0.7950 ; + RECT 0.7350 1.0340 0.7850 1.1190 ; + RECT 0.7350 0.3750 0.7850 0.9840 ; + RECT 1.0390 1.0340 1.0890 1.2020 ; + RECT 1.0390 0.9060 1.0890 0.9840 ; + RECT 1.6480 1.0340 1.6980 1.2940 ; + RECT 0.7350 0.9840 2.0770 1.0340 ; + RECT 2.0270 0.7950 2.0770 0.9840 ; + RECT 1.3430 1.0340 1.3930 1.4780 ; + RECT 1.3430 0.8140 1.3930 0.9840 ; + RECT 0.4310 0.0910 1.8040 0.1410 ; + RECT 0.4310 0.1410 0.4810 1.2110 ; + LAYER PO ; + RECT 2.4930 0.0660 2.5230 0.6170 ; + RECT 2.3410 0.0660 2.3710 0.6170 ; + RECT 2.1890 0.0660 2.2190 0.6170 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.7970 0.0660 2.8270 0.6170 ; + RECT 2.7970 0.7170 2.8270 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6170 ; + RECT 3.1010 0.7170 3.1310 1.6060 ; + RECT 2.9490 0.0660 2.9790 0.6170 ; + RECT 2.9490 0.7170 2.9790 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6170 ; + RECT 2.6450 0.7170 2.6750 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.1890 0.7170 2.2190 1.6060 ; + RECT 2.3410 0.7170 2.3710 1.6060 ; + RECT 2.4930 0.7170 2.5230 1.6060 ; + RECT 2.0370 0.7170 2.0670 1.6060 ; + RECT 2.0370 0.0660 2.0670 0.6170 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + END +END TNBUFFX8_HVT + +MACRO XNOR2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 1.0390 1.2180 1.0890 1.6420 ; + RECT 0.2790 1.1290 0.3290 1.6420 ; + RECT 2.1030 0.8140 2.1530 1.6420 ; + RECT 1.6470 1.2180 1.6970 1.6420 ; + RECT 0.5830 1.2180 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 0.5870 1.2530 0.6290 1.2950 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 0.2830 1.3330 0.3250 1.3750 ; + RECT 0.2830 1.2410 0.3250 1.2830 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.2410 0.3250 1.2830 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 1.0430 1.2530 1.0850 1.2950 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.2830 1.1490 0.3250 1.1910 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 1.3330 0.3250 1.3750 ; + RECT 0.2830 1.1490 0.3250 1.1910 ; + RECT 1.6510 1.2530 1.6930 1.2950 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6580 0.7050 0.8150 0.8030 ; + RECT 0.6580 0.8030 1.6550 0.8530 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7450 0.7050 0.7870 ; + RECT 1.5750 0.8070 1.6170 0.8490 ; + END + ANTENNAGATEAREA 0.0378 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9620 0.6720 1.9410 0.7220 ; + RECT 0.9620 0.5530 1.1190 0.6720 ; + END + PORT + LAYER CO ; + RECT 1.8790 0.6750 1.9210 0.7170 ; + RECT 0.9670 0.6100 1.0090 0.6520 ; + END + ANTENNAGATEAREA 0.0405 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.2790 0.3710 0.6120 0.4210 ; + RECT 1.6160 0.2700 1.7850 0.3200 ; + RECT 0.5620 0.2700 1.1200 0.3200 ; + RECT 2.1030 0.0300 2.1530 0.5260 ; + RECT 1.7350 0.0300 1.7850 0.2700 ; + RECT 0.2790 0.0300 0.3290 0.3710 ; + RECT 0.5620 0.3200 0.6120 0.3710 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.1070 0.4460 2.1490 0.4880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.1070 0.3540 2.1490 0.3960 ; + RECT 1.6510 0.2740 1.6930 0.3160 ; + RECT 0.2830 0.2750 0.3250 0.3170 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1070 0.2620 2.1490 0.3040 ; + RECT 2.1070 0.1700 2.1490 0.2120 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.5870 0.2740 0.6290 0.3160 ; + RECT 1.0430 0.2740 1.0850 0.3160 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2550 0.9170 2.4870 0.9670 ; + RECT 2.3100 0.8570 2.4870 0.9170 ; + RECT 2.2550 0.1480 2.3050 0.5040 ; + RECT 2.3100 0.5540 2.3600 0.8570 ; + RECT 2.2550 0.5040 2.3600 0.5540 ; + RECT 2.2550 0.9670 2.3050 1.5460 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.2750 2.3010 0.3170 ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + END + ANTENNADIFFAREA 0.1234 ; + END Y + OBS + LAYER CO ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + RECT 2.1830 0.6080 2.2250 0.6500 ; + RECT 1.3470 1.0120 1.3890 1.0540 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.8030 1.2430 1.8450 1.2850 ; + RECT 0.8910 0.9850 0.9330 1.0270 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 1.1950 1.2430 1.2370 1.2850 ; + RECT 1.9550 0.9610 1.9970 1.0030 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 1.9550 0.9610 1.9970 1.0030 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + RECT 1.8030 0.3750 1.8450 0.4170 ; + RECT 0.7390 0.3900 0.7810 0.4320 ; + RECT 1.3470 0.3290 1.3890 0.3710 ; + RECT 1.9550 1.1740 1.9970 1.2160 ; + RECT 0.4350 0.2750 0.4770 0.3170 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 1.2710 0.5760 1.3130 0.6180 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 1.1950 0.2640 1.2370 0.3060 ; + RECT 1.4990 1.2430 1.5410 1.2850 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7810 ; + LAYER M1 ; + RECT 0.3550 1.0180 0.8160 1.0680 ; + RECT 0.7350 0.3700 0.7850 0.4710 ; + RECT 0.3550 0.4710 0.7850 0.5210 ; + RECT 0.3240 0.6040 0.4050 0.6540 ; + RECT 0.3550 0.5210 0.4050 0.6040 ; + RECT 0.3550 0.6540 0.4050 1.0180 ; + RECT 0.8460 0.4080 1.2240 0.4580 ; + RECT 1.1740 0.4580 1.2240 0.5720 ; + RECT 1.1740 0.5720 1.3500 0.6220 ; + RECT 0.4800 0.5710 0.8960 0.6210 ; + RECT 0.4800 0.9180 0.9370 0.9680 ; + RECT 0.8870 0.9680 0.9370 1.0680 ; + RECT 0.8460 0.4580 0.8960 0.5710 ; + RECT 0.4800 0.6210 0.5300 0.9180 ; + RECT 1.9910 0.6040 2.2600 0.6540 ; + RECT 1.3600 0.3750 1.4100 0.4710 ; + RECT 1.3270 0.3250 1.4100 0.3750 ; + RECT 1.9510 0.2400 2.0010 0.4710 ; + RECT 1.9910 0.6540 2.0410 0.8090 ; + RECT 1.9510 0.8090 2.0410 0.8590 ; + RECT 1.9510 1.0580 2.0010 1.3050 ; + RECT 1.3120 1.0080 2.0010 1.0580 ; + RECT 1.9510 0.8590 2.0010 1.0080 ; + RECT 1.9910 0.5210 2.0410 0.6040 ; + RECT 1.3600 0.4710 2.0410 0.5210 ; + RECT 0.4620 0.1530 1.2410 0.2030 ; + RECT 1.1910 0.2030 1.2410 0.3260 ; + RECT 0.4000 0.2710 0.5120 0.3210 ; + RECT 0.4620 0.2030 0.5120 0.2710 ; + RECT 1.4640 0.3710 1.8800 0.4210 ; + RECT 1.1600 1.2390 1.5760 1.2890 ; + RECT 0.4310 1.1180 1.8490 1.1680 ; + RECT 1.7990 1.1680 1.8490 1.3200 ; + RECT 0.4310 1.1680 0.4810 1.3200 ; + LAYER PO ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + END +END XNOR2X1_HVT + +MACRO XNOR2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.736 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6580 0.8030 1.6210 0.8530 ; + RECT 0.6580 0.6440 0.8150 0.8030 ; + RECT 1.5710 0.6270 1.6210 0.8030 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.6620 1.6170 0.7040 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + END + ANTENNAGATEAREA 0.066 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4190 0.4690 1.9250 0.5190 ; + RECT 0.9620 0.5530 1.1190 0.6720 ; + RECT 0.9620 0.6720 1.4690 0.7220 ; + RECT 1.8750 0.5190 1.9250 0.6530 ; + RECT 1.4190 0.5190 1.4690 0.6720 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6100 1.0090 0.6520 ; + RECT 1.8790 0.5760 1.9210 0.6180 ; + END + ANTENNAGATEAREA 0.0708 ; + END A1 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.7360 1.7020 ; + RECT 2.4070 1.0750 2.4570 1.6420 ; + RECT 2.1030 0.8140 2.1530 1.6420 ; + RECT 0.2790 1.1930 0.3290 1.6420 ; + RECT 1.0390 1.2850 1.0890 1.6420 ; + RECT 1.6470 1.2850 1.6970 1.6420 ; + RECT 0.5830 1.2850 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4890 1.0850 1.5310 ; + RECT 1.6510 1.3050 1.6930 1.3470 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 1.0430 1.4890 1.0850 1.5310 ; + RECT 1.0430 1.3050 1.0850 1.3470 ; + RECT 1.6510 1.4890 1.6930 1.5310 ; + RECT 1.6510 1.3970 1.6930 1.4390 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 1.6510 1.3970 1.6930 1.4390 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 2.4110 1.4930 2.4530 1.5350 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.4110 1.3090 2.4530 1.3510 ; + RECT 2.4110 1.1250 2.4530 1.1670 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.4110 1.2170 2.4530 1.2590 ; + RECT 2.4110 1.4010 2.4530 1.4430 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 2.4110 1.4010 2.4530 1.4430 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 1.0430 1.3970 1.0850 1.4390 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 0.2830 1.2130 0.3250 1.2550 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 1.0430 1.3970 1.0850 1.4390 ; + RECT 1.6510 1.3050 1.6930 1.3470 ; + RECT 2.4110 1.2170 2.4530 1.2590 ; + RECT 2.4110 1.1250 2.4530 1.1670 ; + RECT 2.4110 1.3090 2.4530 1.3510 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.4110 1.4930 2.4530 1.5350 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.6510 1.4890 1.6930 1.5310 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.0430 1.3050 1.0850 1.3470 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.7360 0.0300 ; + RECT 2.1030 0.0300 2.1530 0.5200 ; + RECT 2.4070 0.0300 2.4570 0.4160 ; + RECT 0.2790 0.2130 0.3290 0.2380 ; + RECT 0.2790 0.1630 1.1200 0.2130 ; + RECT 0.2790 0.0300 0.3290 0.1630 ; + RECT 1.6940 0.0300 1.7440 0.1620 ; + RECT 1.6180 0.1620 1.7440 0.2120 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.4110 0.3540 2.4530 0.3960 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.1070 0.4460 2.1490 0.4880 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4110 0.2620 2.4530 0.3040 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.1670 0.6290 0.2090 ; + RECT 1.0430 0.1670 1.0850 0.2090 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.4110 0.1700 2.4530 0.2120 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.1070 0.3540 2.1490 0.3960 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.6510 0.1660 1.6930 0.2080 ; + RECT 0.5870 0.1670 0.6290 0.2090 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.1680 0.3250 0.2100 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.1070 0.2620 2.1490 0.3040 ; + RECT 2.1070 0.1700 2.1490 0.2120 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2550 0.9840 2.3050 1.5460 ; + RECT 2.2550 0.1480 2.3050 0.5040 ; + RECT 2.3770 0.8570 2.5220 0.9340 ; + RECT 2.2550 0.5040 2.5220 0.5540 ; + RECT 2.4720 0.5540 2.5220 0.8570 ; + RECT 2.2550 0.9340 2.5220 0.9840 ; + END + PORT + LAYER CO ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + RECT 2.2590 0.2750 2.3010 0.3170 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + END + ANTENNADIFFAREA 0.1464 ; + END Y + OBS + LAYER CO ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 1.9550 1.1250 1.9970 1.1670 ; + RECT 1.9550 0.8490 1.9970 0.8910 ; + RECT 0.4350 0.2670 0.4770 0.3090 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 1.9550 1.4010 1.9970 1.4430 ; + RECT 1.9550 1.3090 1.9970 1.3510 ; + RECT 1.1950 0.2670 1.2370 0.3090 ; + RECT 1.9550 1.4930 1.9970 1.5350 ; + RECT 1.4990 1.2430 1.5410 1.2850 ; + RECT 0.7390 0.3670 0.7810 0.4090 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.9550 1.1250 1.9970 1.1670 ; + RECT 1.9550 0.9410 1.9970 0.9830 ; + RECT 2.1830 0.6080 2.2250 0.6500 ; + RECT 0.4350 1.2130 0.4770 1.2550 ; + RECT 1.3470 1.0120 1.3890 1.0540 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 1.9550 1.2170 1.9970 1.2590 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.2710 0.5760 1.3130 0.6180 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.4990 0.2660 1.5410 0.3080 ; + RECT 1.9550 1.3090 1.9970 1.3510 ; + RECT 1.8030 1.2430 1.8450 1.2850 ; + RECT 0.8910 0.9220 0.9330 0.9640 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 1.1950 1.2430 1.2370 1.2850 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 1.9550 1.4010 1.9970 1.4430 ; + RECT 1.9550 1.4930 1.9970 1.5350 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 1.9550 1.0330 1.9970 1.0750 ; + RECT 1.9550 0.9410 1.9970 0.9830 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 1.9550 1.0330 1.9970 1.0750 ; + RECT 1.8030 0.2660 1.8450 0.3080 ; + RECT 1.9550 1.2170 1.9970 1.2590 ; + RECT 1.3470 0.3660 1.3890 0.4080 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.8510 1.7810 ; + LAYER M1 ; + RECT 0.3240 1.0180 0.8160 1.0680 ; + RECT 0.3240 0.3630 0.8010 0.4130 ; + RECT 0.3240 0.6040 0.4210 0.6540 ; + RECT 0.3240 0.6540 0.3740 1.0180 ; + RECT 0.3240 0.4130 0.3740 0.6040 ; + RECT 0.8710 0.4080 1.2240 0.4580 ; + RECT 1.1740 0.4580 1.2240 0.5720 ; + RECT 1.1740 0.5720 1.3500 0.6220 ; + RECT 0.4800 0.9180 0.9530 0.9680 ; + RECT 0.4800 0.4630 0.9210 0.5130 ; + RECT 0.8710 0.4580 0.9210 0.4630 ; + RECT 0.4800 0.5130 0.5300 0.9180 ; + RECT 1.9890 0.6040 2.4140 0.6540 ; + RECT 1.9510 0.2400 2.0010 0.3620 ; + RECT 1.9890 0.6540 2.0390 0.8090 ; + RECT 1.9510 0.8090 2.0390 0.8590 ; + RECT 1.9510 1.0580 2.0010 1.5550 ; + RECT 1.3120 1.0080 2.0010 1.0580 ; + RECT 1.9510 0.8590 2.0010 1.0080 ; + RECT 1.9890 0.4120 2.0390 0.6040 ; + RECT 1.3070 0.3620 2.0390 0.4120 ; + RECT 1.4640 0.2620 1.8800 0.3120 ; + RECT 1.1600 1.2390 1.5760 1.2890 ; + RECT 0.4310 1.1180 1.8490 1.1680 ; + RECT 1.7990 1.1680 1.8490 1.3200 ; + RECT 0.4310 1.1680 0.4810 1.5510 ; + RECT 0.4010 0.2630 1.2570 0.3130 ; + LAYER PO ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + END +END XNOR2X2_HVT + +MACRO XNOR3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.4670 0.1160 2.6200 0.1660 ; + RECT 2.8330 0.5530 2.9900 0.6190 ; + RECT 2.7060 0.6190 2.9900 0.6690 ; + RECT 2.7060 0.4000 2.7560 0.6190 ; + RECT 2.5700 0.3500 2.7560 0.4000 ; + RECT 2.5700 0.1660 2.6200 0.3500 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.1210 2.5290 0.1630 ; + RECT 2.9430 0.5910 2.9850 0.6330 ; + END + ANTENNAGATEAREA 0.0465 ; + END A3 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.3990 0.5120 3.4490 0.8020 ; + RECT 3.3190 0.8020 3.4490 0.8520 ; + RECT 3.3190 0.4620 3.5510 0.5120 ; + RECT 3.4330 0.4010 3.5510 0.4620 ; + RECT 3.3190 0.8520 3.3690 1.5460 ; + RECT 3.3190 0.1280 3.3690 0.4620 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.1570 3.3650 0.1990 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.2490 3.3650 0.2910 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + END + ANTENNADIFFAREA 0.1142 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 1.6470 1.3050 1.6970 1.6420 ; + RECT 0.2790 0.9440 0.3290 1.6420 ; + RECT 2.1030 1.2150 2.1530 1.6420 ; + RECT 3.1670 1.2990 3.2170 1.6420 ; + RECT 2.8470 1.2490 3.2170 1.2990 ; + RECT 0.5830 1.3710 0.6330 1.6420 ; + RECT 0.5830 1.3210 1.1050 1.3710 ; + RECT 0.5830 1.3040 0.6330 1.3210 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 1.0430 1.3250 1.0850 1.3670 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.2830 1.2570 0.3250 1.2990 ; + RECT 0.2830 1.2570 0.3250 1.2990 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 2.1070 1.2500 2.1490 1.2920 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 1.6510 1.3250 1.6930 1.3670 ; + RECT 0.5870 1.3250 0.6290 1.3670 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 2.8670 1.2530 2.9090 1.2950 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 0.2790 0.3910 0.5810 0.4410 ; + RECT 1.6310 0.2340 1.7850 0.2840 ; + RECT 2.8470 0.2740 3.2170 0.3240 ; + RECT 0.5310 0.2700 1.1050 0.3200 ; + RECT 2.1030 0.0300 2.1530 0.3430 ; + RECT 1.7350 0.0300 1.7850 0.2340 ; + RECT 0.2790 0.0300 0.3290 0.3910 ; + RECT 3.1670 0.0300 3.2170 0.2740 ; + RECT 0.5310 0.3200 0.5810 0.3910 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.0430 0.2740 1.0850 0.3160 ; + RECT 0.5870 0.2740 0.6290 0.3160 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.1710 0.1570 3.2130 0.1990 ; + RECT 0.2830 0.2750 0.3250 0.3170 ; + RECT 1.6510 0.2380 1.6930 0.2800 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.8670 0.2780 2.9090 0.3200 ; + RECT 2.1070 0.2660 2.1490 0.3080 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.1710 0.2490 3.2130 0.2910 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9620 1.4650 1.1190 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.4970 1.0090 1.5390 ; + END + ANTENNAGATEAREA 0.057 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.7050 0.8150 0.8260 ; + RECT 0.6600 0.8260 1.6210 0.8760 ; + RECT 1.5710 0.7030 1.6210 0.8260 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.7380 1.6170 0.7800 ; + RECT 0.6630 0.7570 0.7050 0.7990 ; + END + ANTENNAGATEAREA 0.0555 ; + END A2 + OBS + LAYER CO ; + RECT 2.7910 1.4890 2.8330 1.5310 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 2.7150 0.2380 2.7570 0.2800 ; + RECT 1.4990 0.3380 1.5410 0.3800 ; + RECT 1.2710 0.5970 1.3130 0.6390 ; + RECT 1.8790 0.6770 1.9210 0.7190 ; + RECT 1.1950 0.2380 1.2370 0.2800 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 1.3470 0.3290 1.3890 0.3710 ; + RECT 0.7390 0.4120 0.7810 0.4540 ; + RECT 2.5630 1.1530 2.6050 1.1950 ; + RECT 2.6390 0.7230 2.6810 0.7650 ; + RECT 3.0190 0.9930 3.0610 1.0350 ; + RECT 1.9550 1.3110 1.9970 1.3530 ; + RECT 1.1950 1.3250 1.2370 1.3670 ; + RECT 1.8030 1.2080 1.8450 1.2500 ; + RECT 1.8030 0.3380 1.8450 0.3800 ; + RECT 2.2590 0.2510 2.3010 0.2930 ; + RECT 1.9550 1.0350 1.9970 1.0770 ; + RECT 2.7910 0.1150 2.8330 0.1570 ; + RECT 0.9670 0.7070 1.0090 0.7490 ; + RECT 2.4110 1.2530 2.4530 1.2950 ; + RECT 2.5630 0.4540 2.6050 0.4960 ; + RECT 1.4990 1.3250 1.5410 1.3670 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 2.1830 0.8130 2.2250 0.8550 ; + RECT 2.4110 0.2510 2.4530 0.2930 ; + RECT 0.8910 1.0030 0.9330 1.0450 ; + RECT 1.3470 1.1080 1.3890 1.1500 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 3.0190 0.3780 3.0610 0.4200 ; + RECT 1.9550 1.2190 1.9970 1.2610 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 1.9550 1.1270 1.9970 1.1690 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 2.5630 1.1530 2.6050 1.1950 ; + RECT 2.7150 0.9880 2.7570 1.0300 ; + RECT 2.2590 0.9880 2.3010 1.0300 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.7630 1.7810 ; + LAYER M1 ; + RECT 1.4180 0.5340 1.9250 0.5840 ; + RECT 1.8750 0.5840 1.9250 0.7540 ; + RECT 0.9470 0.7030 1.4680 0.7530 ; + RECT 1.4180 0.5840 1.4680 0.7030 ; + RECT 2.6870 1.4850 2.8530 1.5350 ; + RECT 1.3590 0.3750 1.4090 0.4340 ; + RECT 1.3260 0.3250 1.4090 0.3750 ; + RECT 1.9510 1.1650 2.0010 1.3770 ; + RECT 1.9510 0.2440 2.0010 0.4340 ; + RECT 1.3590 0.4340 2.0560 0.4840 ; + RECT 2.0060 0.4840 2.0560 0.8090 ; + RECT 1.9510 0.8590 2.0010 1.1040 ; + RECT 1.3270 1.1040 2.0010 1.1150 ; + RECT 2.3580 1.1650 2.4080 1.2490 ; + RECT 1.9510 0.8090 2.2450 0.8590 ; + RECT 1.9510 1.1540 2.4080 1.1650 ; + RECT 1.3270 1.1150 2.4080 1.1540 ; + RECT 2.3580 1.2490 2.7370 1.2990 ; + RECT 2.6870 1.2990 2.7370 1.4850 ; + RECT 0.4340 1.0990 0.8010 1.1490 ; + RECT 0.7350 0.3770 0.7850 0.4910 ; + RECT 0.4340 0.4910 0.7850 0.5410 ; + RECT 0.3390 0.6040 0.4840 0.6540 ; + RECT 0.4340 0.6540 0.4840 1.0990 ; + RECT 0.4340 0.5410 0.4840 0.6040 ; + RECT 0.5490 0.9990 0.9530 1.0490 ; + RECT 0.5490 0.5910 0.8880 0.6410 ; + RECT 1.1860 0.5930 1.3500 0.6430 ; + RECT 0.8380 0.4080 1.2360 0.4580 ; + RECT 1.1860 0.4580 1.2360 0.5930 ; + RECT 0.8380 0.4580 0.8880 0.5910 ; + RECT 0.5490 0.6410 0.5990 0.9990 ; + RECT 0.4310 1.2040 1.8650 1.2540 ; + RECT 0.4310 1.2540 0.4810 1.3200 ; + RECT 2.7110 0.1110 2.8530 0.1610 ; + RECT 2.7110 0.1610 2.7610 0.3000 ; + RECT 3.1570 0.6040 3.3090 0.6540 ; + RECT 2.5430 1.1490 3.2070 1.1990 ; + RECT 3.1570 0.6540 3.2070 1.1490 ; + RECT 2.3950 0.8220 2.8840 0.8720 ; + RECT 2.8340 0.8720 2.8840 1.1490 ; + RECT 2.3950 0.4500 2.6250 0.5000 ; + RECT 2.3950 0.5000 2.4450 0.8220 ; + RECT 0.4310 0.1530 1.2410 0.2030 ; + RECT 1.1910 0.2030 1.2410 0.3000 ; + RECT 0.4310 0.2030 0.4810 0.3410 ; + RECT 2.9990 0.9890 3.0900 1.0390 ; + RECT 2.9990 0.3740 3.0900 0.4240 ; + RECT 2.6040 0.7190 3.0900 0.7690 ; + RECT 3.0400 0.4240 3.0900 0.7190 ; + RECT 3.0400 0.7690 3.0900 0.9890 ; + RECT 2.2380 0.9840 2.7770 1.0340 ; + RECT 2.2390 0.2470 2.4730 0.2970 ; + RECT 2.2950 0.2970 2.3450 0.9840 ; + RECT 1.4790 0.3340 1.8650 0.3840 ; + RECT 1.1750 1.3210 1.5610 1.3710 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + END +END XNOR3X1_HVT + +MACRO XNOR3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.8 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9620 1.4650 1.1190 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5010 1.0090 1.5430 ; + END + ANTENNAGATEAREA 0.0531 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7060 0.6190 2.9910 0.6690 ; + RECT 2.4670 0.1140 2.6200 0.1640 ; + RECT 2.8330 0.5530 2.9900 0.6190 ; + RECT 2.7060 0.4100 2.7560 0.6190 ; + RECT 2.5700 0.3600 2.7560 0.4100 ; + RECT 2.5700 0.1640 2.6200 0.3600 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.5910 2.9850 0.6330 ; + RECT 2.4870 0.1190 2.5290 0.1610 ; + RECT 2.9430 0.5910 2.9850 0.6330 ; + END + ANTENNAGATEAREA 0.0504 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.8000 1.7020 ; + RECT 3.4710 0.9210 3.5210 1.6420 ; + RECT 1.6470 1.2430 1.6970 1.6420 ; + RECT 0.2790 0.9440 0.3290 1.6420 ; + RECT 2.1030 1.2150 2.1530 1.6420 ; + RECT 3.1670 1.2990 3.2170 1.6420 ; + RECT 2.8470 1.2490 3.2170 1.2990 ; + RECT 0.5830 1.3090 0.6330 1.6420 ; + RECT 0.5830 1.2590 1.1050 1.3090 ; + RECT 0.5830 1.2420 0.6330 1.2590 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.2500 2.1490 1.2920 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 0.5870 1.2630 0.6290 1.3050 ; + RECT 3.4750 1.4930 3.5170 1.5350 ; + RECT 3.4750 1.3090 3.5170 1.3510 ; + RECT 3.4750 1.1250 3.5170 1.1670 ; + RECT 3.4750 1.2170 3.5170 1.2590 ; + RECT 3.4750 1.4010 3.5170 1.4430 ; + RECT 3.4750 1.4010 3.5170 1.4430 ; + RECT 3.4750 1.4930 3.5170 1.5350 ; + RECT 3.4750 1.2170 3.5170 1.2590 ; + RECT 3.4750 1.1250 3.5170 1.1670 ; + RECT 3.4750 1.3090 3.5170 1.3510 ; + RECT 3.4750 1.0330 3.5170 1.0750 ; + RECT 3.4750 0.9410 3.5170 0.9830 ; + RECT 3.4750 1.0330 3.5170 1.0750 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.4750 0.9410 3.5170 0.9830 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 2.8670 1.2530 2.9090 1.2950 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.1710 1.4010 3.2130 1.4430 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.1710 1.4010 3.2130 1.4430 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.1710 1.4930 3.2130 1.5350 ; + RECT 3.1710 1.4930 3.2130 1.5350 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 1.0430 1.2630 1.0850 1.3050 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.8000 0.0300 ; + RECT 0.2790 0.3910 0.5810 0.4410 ; + RECT 0.5310 0.2700 1.1200 0.3200 ; + RECT 3.4710 0.0300 3.5210 0.3190 ; + RECT 2.1030 0.0300 2.1530 0.3430 ; + RECT 1.6470 0.0300 1.6970 0.2730 ; + RECT 0.2790 0.0300 0.3290 0.3910 ; + RECT 3.1670 0.3080 3.2170 0.3240 ; + RECT 2.8470 0.2580 3.2170 0.3080 ; + RECT 3.1670 0.0300 3.2170 0.2580 ; + RECT 0.5310 0.3200 0.5810 0.3910 ; + END + PORT + LAYER CO ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.8670 0.2620 2.9090 0.3040 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1070 0.2660 2.1490 0.3080 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.0430 0.2740 1.0850 0.3160 ; + RECT 0.5870 0.2740 0.6290 0.3160 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.4750 0.1650 3.5170 0.2070 ; + RECT 3.1710 0.2620 3.2130 0.3040 ; + RECT 3.1710 0.1700 3.2130 0.2120 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.4750 0.2570 3.5170 0.2990 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.2830 0.2750 0.3250 0.3170 ; + RECT 1.6510 0.2110 1.6930 0.2530 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.7050 0.8150 0.8260 ; + RECT 0.6600 0.8260 1.6210 0.8760 ; + RECT 1.5710 0.7030 1.6210 0.8260 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.7380 1.6170 0.7800 ; + RECT 0.6630 0.7570 0.7050 0.7990 ; + END + ANTENNAGATEAREA 0.0531 ; + END A2 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.4410 0.4010 3.5510 0.5040 ; + RECT 3.3190 0.1480 3.3690 0.5040 ; + RECT 3.3190 0.5040 3.5610 0.5540 ; + RECT 3.3190 0.8320 3.3690 1.5460 ; + RECT 3.3190 0.7820 3.5610 0.8320 ; + RECT 3.5110 0.5540 3.5610 0.7820 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.3490 3.3650 1.3910 ; + RECT 3.3230 1.2570 3.3650 1.2990 ; + RECT 3.3230 1.0730 3.3650 1.1150 ; + RECT 3.3230 1.3490 3.3650 1.3910 ; + RECT 3.3230 0.9810 3.3650 1.0230 ; + RECT 3.3230 1.1650 3.3650 1.2070 ; + RECT 3.3230 1.0730 3.3650 1.1150 ; + RECT 3.3230 1.4410 3.3650 1.4830 ; + RECT 3.3230 1.1650 3.3650 1.2070 ; + RECT 3.3230 0.9810 3.3650 1.0230 ; + RECT 3.3230 0.8890 3.3650 0.9310 ; + RECT 3.3230 0.2750 3.3650 0.3170 ; + RECT 3.3230 0.1830 3.3650 0.2250 ; + RECT 3.3230 0.3670 3.3650 0.4090 ; + RECT 3.3230 0.3670 3.3650 0.4090 ; + RECT 3.3230 0.4590 3.3650 0.5010 ; + RECT 3.3230 0.1830 3.3650 0.2250 ; + RECT 3.3230 1.4410 3.3650 1.4830 ; + RECT 3.3230 1.2570 3.3650 1.2990 ; + RECT 3.3230 0.8890 3.3650 0.9310 ; + END + ANTENNADIFFAREA 0.1464 ; + END Y + OBS + LAYER CO ; + RECT 2.1830 0.6080 2.2250 0.6500 ; + RECT 1.9550 1.2530 1.9970 1.2950 ; + RECT 2.4110 0.2510 2.4530 0.2930 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 1.3470 1.0410 1.3890 1.0830 ; + RECT 0.7390 1.0410 0.7810 1.0830 ; + RECT 3.0190 0.4220 3.0610 0.4640 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 1.9550 1.1610 1.9970 1.2030 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 1.9550 1.0690 1.9970 1.1110 ; + RECT 1.9550 1.0690 1.9970 1.1110 ; + RECT 2.7910 1.4660 2.8330 1.5080 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 2.7150 0.9330 2.7570 0.9750 ; + RECT 2.2590 0.9330 2.3010 0.9750 ; + RECT 0.4350 1.1760 0.4770 1.2180 ; + RECT 2.7910 1.4660 2.8330 1.5080 ; + RECT 1.9550 0.9770 1.9970 1.0190 ; + RECT 1.9550 0.9770 1.9970 1.0190 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.4990 0.3380 1.5410 0.3800 ; + RECT 0.9670 0.7070 1.0090 0.7490 ; + RECT 1.2710 0.5970 1.3130 0.6390 ; + RECT 1.8790 0.6770 1.9210 0.7190 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 1.3470 0.3290 1.3890 0.3710 ; + RECT 0.7390 0.4120 0.7810 0.4540 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 2.6390 0.7230 2.6810 0.7650 ; + RECT 3.0190 0.9250 3.0610 0.9670 ; + RECT 2.7910 0.1150 2.8330 0.1570 ; + RECT 2.4110 1.2260 2.4530 1.2680 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.8030 1.1760 1.8450 1.2180 ; + RECT 1.8030 0.3380 1.8450 0.3800 ; + RECT 2.7150 0.2110 2.7570 0.2530 ; + RECT 2.2590 0.2510 2.3010 0.2930 ; + RECT 2.7910 0.1150 2.8330 0.1570 ; + RECT 2.4110 1.2260 2.4530 1.2680 ; + RECT 2.5630 0.4960 2.6050 0.5380 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.9550 1.1610 1.9970 1.2030 ; + RECT 1.9550 1.2530 1.9970 1.2950 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.9150 1.7810 ; + LAYER M1 ; + RECT 1.4180 0.5340 1.9250 0.5840 ; + RECT 1.8750 0.5840 1.9250 0.7540 ; + RECT 0.9470 0.7030 1.4680 0.7530 ; + RECT 1.4180 0.5840 1.4680 0.7030 ; + RECT 3.2190 0.6040 3.4610 0.6540 ; + RECT 2.5420 1.0890 3.2690 1.1390 ; + RECT 2.4290 0.8220 2.9290 0.8720 ; + RECT 3.2190 0.6540 3.2690 1.0890 ; + RECT 2.8790 0.8720 2.9290 1.0890 ; + RECT 2.4290 0.4920 2.6250 0.5420 ; + RECT 2.4290 0.5420 2.4790 0.8220 ; + RECT 2.6870 1.4620 2.8530 1.5120 ; + RECT 1.3610 0.3750 1.4110 0.4340 ; + RECT 1.3270 0.3250 1.4110 0.3750 ; + RECT 1.9510 1.1650 2.0010 1.3150 ; + RECT 1.9510 0.8090 2.0560 0.8590 ; + RECT 1.9510 1.0870 2.0010 1.1150 ; + RECT 1.3120 1.0370 2.0010 1.0870 ; + RECT 2.0060 0.6540 2.0560 0.8090 ; + RECT 1.3610 0.4340 2.0560 0.4840 ; + RECT 1.9510 0.2030 2.0010 0.4340 ; + RECT 1.9510 0.8590 2.0010 1.0370 ; + RECT 2.0060 0.4840 2.0560 0.6040 ; + RECT 2.3910 1.1650 2.4410 1.2220 ; + RECT 1.9510 1.1150 2.4410 1.1650 ; + RECT 2.0060 0.6040 2.2450 0.6540 ; + RECT 2.3910 1.2220 2.7370 1.2720 ; + RECT 2.6870 1.2720 2.7370 1.4620 ; + RECT 0.3900 1.0370 0.8160 1.0870 ; + RECT 0.7350 0.3770 0.7850 0.4910 ; + RECT 0.3900 0.4910 0.7850 0.5410 ; + RECT 0.3240 0.6040 0.4400 0.6540 ; + RECT 0.3900 0.6540 0.4400 1.0370 ; + RECT 0.3900 0.5410 0.4400 0.6040 ; + RECT 0.5280 0.9370 0.9530 0.9870 ; + RECT 0.5280 0.5910 0.9020 0.6410 ; + RECT 1.1940 0.5930 1.3500 0.6430 ; + RECT 0.8520 0.4080 1.2440 0.4580 ; + RECT 1.1940 0.4580 1.2440 0.5930 ; + RECT 0.8520 0.4580 0.9020 0.5910 ; + RECT 0.5280 0.6410 0.5780 0.9370 ; + RECT 2.7110 0.1110 2.8530 0.1610 ; + RECT 2.7110 0.1610 2.7610 0.2730 ; + RECT 1.1600 1.2590 1.5760 1.3090 ; + RECT 0.4310 1.1370 1.8490 1.1870 ; + RECT 1.7990 1.1870 1.8490 1.2530 ; + RECT 0.4310 1.1870 0.4810 1.2530 ; + RECT 2.2190 0.9290 2.7770 0.9790 ; + RECT 2.2390 0.2470 2.4880 0.2970 ; + RECT 2.2950 0.2970 2.3450 0.9290 ; + RECT 0.4310 0.1530 1.2410 0.2030 ; + RECT 1.1910 0.2030 1.2410 0.3080 ; + RECT 0.4310 0.2030 0.4810 0.3410 ; + RECT 2.9980 0.9210 3.1270 0.9710 ; + RECT 3.0770 0.7690 3.1270 0.9210 ; + RECT 2.6190 0.7190 3.1270 0.7690 ; + RECT 3.0770 0.4680 3.1270 0.7190 ; + RECT 2.9840 0.4180 3.1270 0.4680 ; + RECT 1.4790 0.3340 1.8700 0.3840 ; + LAYER PO ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + END +END XNOR3X2_HVT + +MACRO XOR2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2550 0.7650 2.4870 0.8150 ; + RECT 2.3100 0.7050 2.4870 0.7650 ; + RECT 2.2550 0.5040 2.3600 0.5540 ; + RECT 2.2550 0.8150 2.3050 1.5460 ; + RECT 2.2550 0.1480 2.3050 0.5040 ; + RECT 2.3100 0.5540 2.3600 0.7050 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 1.0730 2.3010 1.1150 ; + RECT 2.2590 0.3670 2.3010 0.4090 ; + RECT 2.2590 0.2750 2.3010 0.3170 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 0.1830 2.3010 0.2250 ; + RECT 2.2590 1.1650 2.3010 1.2070 ; + RECT 2.2590 1.4410 2.3010 1.4830 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 1.2570 2.3010 1.2990 ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + RECT 2.2590 1.3490 2.3010 1.3910 ; + END + ANTENNADIFFAREA 0.1193 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4000 0.7050 1.6520 0.7550 ; + RECT 0.5530 0.7050 0.7110 0.7810 ; + RECT 1.4000 0.7550 1.4500 0.7810 ; + RECT 0.5530 0.7810 1.4500 0.8150 ; + RECT 0.5550 0.8150 1.4500 0.8310 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7350 0.7050 0.7770 ; + RECT 1.5750 0.7090 1.6170 0.7510 ; + END + ANTENNAGATEAREA 0.0342 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.5530 1.0510 0.6630 ; + RECT 1.0010 0.6630 1.0510 0.6710 ; + RECT 1.0010 0.6710 1.3480 0.7210 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6130 1.0090 0.6550 ; + RECT 1.2710 0.6750 1.3130 0.7170 ; + END + ANTENNAGATEAREA 0.0336 ; + END A1 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 0.2790 0.9440 0.3290 1.6420 ; + RECT 1.6470 1.2080 1.6970 1.6420 ; + RECT 0.5830 1.2080 0.6330 1.6420 ; + RECT 1.0390 1.2080 1.0890 1.6420 ; + RECT 2.1030 0.8140 2.1530 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 1.0430 1.2430 1.0850 1.2850 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 1.6510 1.2430 1.6930 1.2850 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 0.5870 1.2430 0.6290 1.2850 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.2790 0.4020 0.5960 0.4520 ; + RECT 1.7350 0.1480 1.7850 0.2700 ; + RECT 1.6160 0.2700 1.7850 0.3200 ; + RECT 0.5460 0.3000 1.1200 0.3500 ; + RECT 2.1030 0.1480 2.1530 0.4280 ; + RECT 1.7350 0.0980 2.1530 0.1480 ; + RECT 2.1030 0.0300 2.1530 0.0980 ; + RECT 0.2790 0.0300 0.3290 0.4020 ; + RECT 0.5460 0.3500 0.5960 0.4020 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2830 0.2750 0.3250 0.3170 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.5870 0.3040 0.6290 0.3460 ; + RECT 1.0430 0.3040 1.0850 0.3460 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.1070 0.2620 2.1490 0.3040 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1070 0.1700 2.1490 0.2120 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.6510 0.2740 1.6930 0.3160 ; + RECT 2.1070 0.3540 2.1490 0.3960 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 0.7390 1.0120 0.7810 1.0540 ; + RECT 1.8030 1.1510 1.8450 1.1930 ; + RECT 0.8910 1.0120 0.9330 1.0540 ; + RECT 2.1830 0.6080 2.2250 0.6500 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + RECT 1.8790 0.5750 1.9210 0.6170 ; + RECT 1.9550 1.1740 1.9970 1.2160 ; + RECT 1.3470 0.3290 1.3890 0.3710 ; + RECT 1.1950 0.2640 1.2370 0.3060 ; + RECT 1.4990 1.2430 1.5410 1.2850 ; + RECT 1.1950 1.2430 1.2370 1.2850 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + RECT 0.4350 1.1510 0.4770 1.1930 ; + RECT 0.4350 0.2750 0.4770 0.3170 ; + RECT 1.8030 1.2430 1.8450 1.2850 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 1.8030 0.3750 1.8450 0.4170 ; + RECT 1.3470 1.0120 1.3890 1.0540 ; + RECT 0.7390 0.4220 0.7810 0.4640 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7810 ; + LAYER M1 ; + RECT 0.4030 0.5190 0.7850 0.5690 ; + RECT 0.4030 1.0080 0.8010 1.0580 ; + RECT 0.7350 0.4020 0.7850 0.5190 ; + RECT 0.3240 0.6040 0.4530 0.6540 ; + RECT 0.4030 0.5690 0.4530 0.6040 ; + RECT 0.4030 0.6540 0.4530 1.0080 ; + RECT 0.4310 1.1080 1.8490 1.1580 ; + RECT 1.7990 1.1580 1.8490 1.3200 ; + RECT 0.4310 1.1580 0.4810 1.3200 ; + RECT 1.1700 0.5710 1.9560 0.6210 ; + RECT 1.1130 0.8900 1.7520 0.9400 ; + RECT 1.7020 0.6210 1.7520 0.8900 ; + RECT 0.8710 0.4080 1.2200 0.4580 ; + RECT 0.8710 1.0080 1.1630 1.0580 ; + RECT 1.1700 0.4580 1.2200 0.5710 ; + RECT 1.1130 0.9400 1.1630 1.0080 ; + RECT 2.0060 0.6040 2.2600 0.6540 ; + RECT 1.3430 0.2940 1.3930 0.4710 ; + RECT 1.3430 0.4710 2.0560 0.5210 ; + RECT 1.9510 0.7040 2.0560 0.7540 ; + RECT 2.0060 0.6540 2.0560 0.7040 ; + RECT 2.0060 0.5210 2.0560 0.6040 ; + RECT 1.9510 0.2400 2.0010 0.4710 ; + RECT 1.9510 1.0580 2.0010 1.3150 ; + RECT 1.3120 1.0080 2.0010 1.0580 ; + RECT 1.9510 0.7540 2.0010 1.0080 ; + RECT 1.1600 1.2390 1.5760 1.2890 ; + RECT 1.4640 0.3710 1.8800 0.4210 ; + RECT 0.4310 0.1530 1.2410 0.2030 ; + RECT 1.1910 0.2030 1.2410 0.3260 ; + RECT 0.4310 0.2030 0.4810 0.3520 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + END +END XOR2X1_HVT + +MACRO XOR2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.736 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2540 0.8320 2.3040 1.5460 ; + RECT 2.2540 0.1480 2.3040 0.5000 ; + RECT 2.3770 0.7050 2.4970 0.7820 ; + RECT 2.2540 0.5000 2.4970 0.5500 ; + RECT 2.4470 0.5500 2.4970 0.7050 ; + RECT 2.2540 0.7820 2.4970 0.8320 ; + END + PORT + LAYER CO ; + RECT 2.2590 1.2170 2.3010 1.2590 ; + RECT 2.2590 1.3090 2.3010 1.3510 ; + RECT 2.2590 0.3540 2.3010 0.3960 ; + RECT 2.2590 1.1250 2.3010 1.1670 ; + RECT 2.2590 1.4010 2.3010 1.4430 ; + RECT 2.2590 1.1250 2.3010 1.1670 ; + RECT 2.2590 0.9410 2.3010 0.9830 ; + RECT 2.2590 1.0330 2.3010 1.0750 ; + RECT 2.2590 1.2170 2.3010 1.2590 ; + RECT 2.2590 1.4010 2.3010 1.4430 ; + RECT 2.2590 0.9410 2.3010 0.9830 ; + RECT 2.2590 1.3090 2.3010 1.3510 ; + RECT 2.2590 0.4460 2.3010 0.4880 ; + RECT 2.2590 1.0330 2.3010 1.0750 ; + RECT 2.2590 0.2620 2.3010 0.3040 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.5530 1.0510 0.6630 ; + RECT 1.0010 0.6630 1.0510 0.6710 ; + RECT 1.0010 0.6710 1.3480 0.7210 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6750 1.3130 0.7170 ; + RECT 0.9670 0.6130 1.0090 0.6550 ; + END + ANTENNAGATEAREA 0.0594 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4000 0.7050 1.6520 0.7550 ; + RECT 0.5530 0.7050 0.7110 0.7810 ; + RECT 1.4000 0.7550 1.4500 0.7810 ; + RECT 0.5530 0.7810 1.4500 0.8150 ; + RECT 0.5550 0.8150 1.4500 0.8310 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7350 0.7050 0.7770 ; + RECT 1.5750 0.7090 1.6170 0.7510 ; + END + ANTENNAGATEAREA 0.0606 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.7360 1.7020 ; + RECT 2.1030 0.8140 2.1530 1.6420 ; + RECT 2.4070 0.9740 2.4570 1.6420 ; + RECT 0.2790 0.9440 0.3290 1.6420 ; + RECT 1.0390 1.2080 1.0890 1.6420 ; + RECT 1.6470 1.2080 1.6970 1.6420 ; + RECT 0.5830 1.2080 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.4110 1.2170 2.4530 1.2590 ; + RECT 2.4110 1.2170 2.4530 1.2590 ; + RECT 2.4110 1.4930 2.4530 1.5350 ; + RECT 2.4110 1.0330 2.4530 1.0750 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 0.5870 1.2430 0.6290 1.2850 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 2.4110 1.3090 2.4530 1.3510 ; + RECT 2.4110 1.1250 2.4530 1.1670 ; + RECT 2.4110 1.4010 2.4530 1.4430 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.4110 1.0330 2.4530 1.0750 ; + RECT 0.2830 0.9810 0.3250 1.0230 ; + RECT 2.4110 1.3090 2.4530 1.3510 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 1.0430 1.2430 1.0850 1.2850 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 2.1070 1.4930 2.1490 1.5350 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 2.1070 1.3090 2.1490 1.3510 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.1070 0.8490 2.1490 0.8910 ; + RECT 2.4110 1.4930 2.4530 1.5350 ; + RECT 2.4110 1.1250 2.4530 1.1670 ; + RECT 2.4110 1.4010 2.4530 1.4430 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 0.9410 2.1490 0.9830 ; + RECT 2.1070 1.4010 2.1490 1.4430 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 1.6510 1.2430 1.6930 1.2850 ; + RECT 2.1070 1.2170 2.1490 1.2590 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.7360 0.0300 ; + RECT 0.2790 0.4020 0.5960 0.4520 ; + RECT 1.7350 0.1480 1.7850 0.2700 ; + RECT 1.6160 0.2700 1.7850 0.3200 ; + RECT 0.5460 0.2700 1.1200 0.3200 ; + RECT 2.4070 0.0300 2.4570 0.4370 ; + RECT 2.1030 0.1480 2.1530 0.4160 ; + RECT 1.7350 0.0980 2.1530 0.1480 ; + RECT 2.1030 0.0300 2.1530 0.0980 ; + RECT 0.2790 0.0300 0.3290 0.4020 ; + RECT 0.5460 0.3200 0.5960 0.4020 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.3540 2.1490 0.3960 ; + RECT 2.4110 0.1700 2.4530 0.2120 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.4110 0.3540 2.4530 0.3960 ; + RECT 0.5870 0.2740 0.6290 0.3160 ; + RECT 2.1070 0.1700 2.1490 0.2120 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.2830 0.2750 0.3250 0.3170 ; + RECT 1.6510 0.2740 1.6930 0.3160 ; + RECT 2.1070 0.2620 2.1490 0.3040 ; + RECT 1.0430 0.2740 1.0850 0.3160 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.4110 0.2620 2.4530 0.3040 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.1950 0.2640 1.2370 0.3060 ; + RECT 1.1950 1.2430 1.2370 1.2850 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + RECT 1.4990 1.2430 1.5410 1.2850 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 1.9550 0.2750 1.9970 0.3170 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 1.8030 1.2430 1.8450 1.2850 ; + RECT 1.3470 1.0120 1.3890 1.0540 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + RECT 1.9550 1.1740 1.9970 1.2160 ; + RECT 0.7390 1.0120 0.7810 1.0540 ; + RECT 1.8030 1.1510 1.8450 1.1930 ; + RECT 0.7390 0.4120 0.7810 0.4540 ; + RECT 0.8910 1.0120 0.9330 1.0540 ; + RECT 1.9550 1.0730 1.9970 1.1150 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 1.3470 0.3290 1.3890 0.3710 ; + RECT 1.8790 0.5750 1.9210 0.6170 ; + RECT 1.9550 0.3670 1.9970 0.4090 ; + RECT 2.1830 0.6080 2.2250 0.6500 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.4350 1.1510 0.4770 1.1930 ; + RECT 1.8030 0.3750 1.8450 0.4170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.8510 1.7810 ; + LAYER M1 ; + RECT 0.4030 1.0080 0.8010 1.0580 ; + RECT 0.7350 0.3770 0.7850 0.5190 ; + RECT 0.4030 0.5190 0.7850 0.5690 ; + RECT 0.3240 0.6040 0.4530 0.6540 ; + RECT 0.4030 0.6540 0.4530 1.0080 ; + RECT 0.4030 0.5690 0.4530 0.6040 ; + RECT 2.0060 0.6040 2.3970 0.6540 ; + RECT 1.3430 0.2940 1.3930 0.4710 ; + RECT 1.9510 0.2400 2.0010 0.4710 ; + RECT 2.0060 0.5210 2.0560 0.6040 ; + RECT 1.3430 0.4710 2.0560 0.5210 ; + RECT 2.0060 0.6540 2.0560 0.7040 ; + RECT 1.9510 0.7040 2.0560 0.7540 ; + RECT 1.9510 1.0580 2.0010 1.3150 ; + RECT 1.3120 1.0080 2.0010 1.0580 ; + RECT 1.9510 0.7540 2.0010 1.0080 ; + RECT 1.1600 1.2390 1.5760 1.2890 ; + RECT 1.1130 0.8900 1.7520 0.9400 ; + RECT 1.1700 0.5710 1.9560 0.6210 ; + RECT 1.7020 0.6210 1.7520 0.8900 ; + RECT 0.8710 1.0080 1.1630 1.0580 ; + RECT 0.8710 0.4080 1.2200 0.4580 ; + RECT 1.1130 0.9400 1.1630 1.0080 ; + RECT 1.1700 0.4580 1.2200 0.5710 ; + RECT 0.4310 1.1080 1.8490 1.1580 ; + RECT 1.7990 1.1580 1.8490 1.3200 ; + RECT 0.4310 1.1580 0.4810 1.3200 ; + RECT 0.4310 0.1530 1.2410 0.2030 ; + RECT 1.1910 0.2030 1.2410 0.3260 ; + RECT 0.4310 0.2030 0.4810 0.3260 ; + RECT 1.4640 0.3710 1.8800 0.4210 ; + LAYER PO ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + END +END XOR2X2_HVT + +MACRO XOR3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 0.7350 1.2180 0.7850 1.6420 ; + RECT 1.9510 1.2180 2.0010 1.6420 ; + RECT 1.1910 1.2180 1.2410 1.6420 ; + RECT 0.4310 1.2230 0.4810 1.6420 ; + RECT 2.7110 1.2150 2.7610 1.6420 ; + RECT 3.7750 1.4070 3.8250 1.6420 ; + RECT 3.4550 1.3570 3.8250 1.4070 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.2530 0.7810 1.2950 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.7790 1.4930 3.8210 1.5350 ; + RECT 3.7790 1.4010 3.8210 1.4430 ; + RECT 3.7790 1.4930 3.8210 1.5350 ; + RECT 3.4750 1.3610 3.5170 1.4030 ; + RECT 0.4350 1.3350 0.4770 1.3770 ; + RECT 3.7790 1.4010 3.8210 1.4430 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + RECT 1.1950 1.2530 1.2370 1.2950 ; + RECT 0.4350 1.3350 0.4770 1.3770 ; + RECT 2.7150 1.2500 2.7570 1.2920 ; + RECT 0.4350 1.2430 0.4770 1.2850 ; + RECT 1.9550 1.2530 1.9970 1.2950 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5460 0.8570 3.7050 0.9730 ; + RECT 3.0910 0.6190 3.5970 0.6690 ; + RECT 3.0910 0.6690 3.1410 0.7310 ; + RECT 3.5470 0.6690 3.5970 0.8570 ; + END + PORT + LAYER CO ; + RECT 3.0950 0.6500 3.1370 0.6920 ; + RECT 3.5510 0.8800 3.5930 0.9220 ; + END + ANTENNAGATEAREA 0.0498 ; + END A3 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.9270 1.2490 4.0570 1.2990 ; + RECT 3.9270 0.4920 4.0570 0.5420 ; + RECT 4.0070 1.0090 4.1590 1.1190 ; + RECT 3.9270 1.2990 3.9770 1.5460 ; + RECT 4.0070 1.1190 4.0570 1.2490 ; + RECT 4.0070 0.5420 4.0570 1.0090 ; + RECT 3.9270 0.1270 3.9770 0.4920 ; + END + PORT + LAYER CO ; + RECT 3.9310 0.1570 3.9730 0.1990 ; + RECT 3.9310 0.3410 3.9730 0.3830 ; + RECT 3.9310 0.2490 3.9730 0.2910 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.4330 3.9730 0.4750 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 3.4500 0.2110 3.8430 0.2610 ; + RECT 1.9200 0.2630 2.2410 0.3130 ; + RECT 0.6830 0.2700 1.2720 0.3200 ; + RECT 2.7110 0.0300 2.7610 0.3260 ; + RECT 2.1910 0.0300 2.2410 0.2630 ; + RECT 0.4310 0.3000 0.4810 0.3910 ; + RECT 0.1620 0.0300 0.2120 0.3910 ; + RECT 0.1620 0.3910 0.7330 0.4410 ; + RECT 3.7750 0.0300 3.8250 0.2110 ; + RECT 0.6830 0.3200 0.7330 0.3910 ; + END + PORT + LAYER CO ; + RECT 3.4750 0.2150 3.5170 0.2570 ; + RECT 2.7150 0.2640 2.7570 0.3060 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.7790 0.1570 3.8210 0.1990 ; + RECT 1.1950 0.2740 1.2370 0.3160 ; + RECT 0.7390 0.2740 0.7810 0.3160 ; + RECT 0.4350 0.3300 0.4770 0.3720 ; + RECT 0.4350 0.3300 0.4770 0.3720 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.9550 0.2670 1.9970 0.3090 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1140 0.6640 1.7720 0.7140 ; + RECT 1.1140 0.5530 1.2710 0.6640 ; + RECT 2.4830 0.6420 2.5330 0.7540 ; + RECT 1.7220 0.6420 1.7720 0.6640 ; + RECT 1.7220 0.5920 2.5330 0.6420 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.5960 2.3770 0.6380 ; + RECT 1.1190 0.6150 1.1610 0.6570 ; + RECT 2.4870 0.6770 2.5290 0.7190 ; + END + ANTENNAGATEAREA 0.0783 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8120 0.7050 0.9670 0.8030 ; + RECT 0.8120 0.8030 2.0770 0.8530 ; + RECT 2.0270 0.7030 2.0770 0.8030 ; + RECT 1.8750 0.7030 1.9250 0.8030 ; + END + PORT + LAYER CO ; + RECT 2.0310 0.7380 2.0730 0.7800 ; + RECT 1.8790 0.7380 1.9210 0.7800 ; + RECT 0.8150 0.7570 0.8570 0.7990 ; + END + ANTENNAGATEAREA 0.0753 ; + END A2 + OBS + LAYER CO ; + RECT 2.5630 0.9590 2.6050 1.0010 ; + RECT 2.5630 0.2750 2.6050 0.3170 ; + RECT 2.5630 0.8670 2.6050 0.9090 ; + RECT 0.5110 0.6080 0.5530 0.6500 ; + RECT 1.4230 0.5680 1.4650 0.6100 ; + RECT 2.2590 1.0120 2.3010 1.0540 ; + RECT 2.2590 0.4680 2.3010 0.5100 ; + RECT 2.1070 0.9080 2.1490 0.9500 ; + RECT 2.1070 0.3680 2.1490 0.4100 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 2.5630 1.2350 2.6050 1.2770 ; + RECT 2.5630 1.1430 2.6050 1.1850 ; + RECT 3.1710 1.1530 3.2130 1.1950 ; + RECT 3.0190 0.9840 3.0610 1.0260 ; + RECT 2.8670 0.9840 2.9090 1.0260 ; + RECT 0.5870 1.2430 0.6290 1.2850 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 2.5630 0.3670 2.6050 0.4090 ; + RECT 3.0190 0.2640 3.0610 0.3060 ; + RECT 1.8030 0.3680 1.8450 0.4100 ; + RECT 1.5750 0.5680 1.6170 0.6100 ; + RECT 1.3470 0.2380 1.3890 0.2800 ; + RECT 1.0430 0.4120 1.0850 0.4540 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 1.6510 0.9080 1.6930 0.9500 ; + RECT 1.6510 0.2380 1.6930 0.2800 ; + RECT 1.4990 0.3290 1.5410 0.3710 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 3.1710 1.1530 3.2130 1.1950 ; + RECT 3.2470 0.7230 3.2890 0.7650 ; + RECT 3.6270 1.0330 3.6690 1.0750 ; + RECT 0.2830 1.2430 0.3250 1.2850 ; + RECT 1.3470 0.9080 1.3890 0.9500 ; + RECT 2.4110 1.2430 2.4530 1.2850 ; + RECT 2.4110 0.3680 2.4530 0.4100 ; + RECT 2.8670 0.4220 2.9090 0.4640 ; + RECT 2.5630 1.0510 2.6050 1.0930 ; + RECT 3.3990 0.1150 3.4410 0.1570 ; + RECT 3.3230 1.2530 3.3650 1.2950 ; + RECT 3.1710 0.3220 3.2130 0.3640 ; + RECT 1.8030 0.9080 1.8450 0.9500 ; + RECT 2.5630 0.3670 2.6050 0.4090 ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 3.3230 0.4220 3.3650 0.4640 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 1.4990 1.0120 1.5410 1.0540 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 3.6270 0.4220 3.6690 0.4640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.3710 1.7730 ; + LAYER M1 ; + RECT 3.3210 1.5340 3.4610 1.5840 ; + RECT 1.4790 0.3250 1.5800 0.3750 ; + RECT 1.5300 0.3750 1.5800 0.4640 ; + RECT 1.5300 0.4640 2.6640 0.5140 ; + RECT 2.5590 1.1650 2.6090 1.3050 ; + RECT 2.5590 0.8090 2.6640 0.8590 ; + RECT 2.5590 1.0580 2.6090 1.1150 ; + RECT 1.4640 1.0080 2.6090 1.0580 ; + RECT 2.6140 0.6540 2.6640 0.8090 ; + RECT 2.5590 0.2410 2.6090 0.4640 ; + RECT 2.6140 0.5140 2.6640 0.6040 ; + RECT 2.5590 0.8590 2.6090 1.0080 ; + RECT 3.0070 1.2490 3.3850 1.2990 ; + RECT 3.3210 1.2990 3.3710 1.5340 ; + RECT 3.0070 1.1650 3.0570 1.2490 ; + RECT 2.5590 1.1150 3.0570 1.1650 ; + RECT 2.7870 0.6540 2.8370 0.6850 ; + RECT 2.7870 0.5730 2.8370 0.6040 ; + RECT 2.6140 0.6040 2.8370 0.6540 ; + RECT 0.3970 1.0180 0.9530 1.0680 ; + RECT 0.3970 0.4910 0.9370 0.5410 ; + RECT 0.8870 0.3770 0.9370 0.4910 ; + RECT 0.3390 0.6040 0.5730 0.6540 ; + RECT 0.3970 0.5410 0.4470 0.6040 ; + RECT 0.3970 0.6540 0.4470 1.0180 ; + RECT 0.9990 0.4080 1.4080 0.4580 ; + RECT 1.3580 0.4580 1.4080 0.5640 ; + RECT 1.3580 0.5640 1.6540 0.6140 ; + RECT 0.6320 0.9180 1.0890 0.9680 ; + RECT 1.0390 0.9680 1.0890 1.0680 ; + RECT 0.6320 0.5910 1.0490 0.6410 ; + RECT 0.9990 0.4580 1.0490 0.5910 ; + RECT 0.6320 0.6410 0.6820 0.9180 ; + RECT 0.2790 1.1180 2.4570 1.1680 ; + RECT 2.4070 1.1680 2.4570 1.3200 ; + RECT 0.2790 1.1680 0.3290 1.3200 ; + RECT 0.5830 1.1680 0.6330 1.3200 ; + RECT 0.2790 0.1530 1.6970 0.2030 ; + RECT 1.3430 0.2030 1.3930 0.3000 ; + RECT 1.6470 0.2030 1.6970 0.3000 ; + RECT 0.2790 0.2030 0.3290 0.3410 ; + RECT 0.5830 0.2030 0.6330 0.3410 ; + RECT 3.5920 0.4180 3.7450 0.4680 ; + RECT 3.2980 1.0290 3.8220 1.0790 ; + RECT 3.6950 0.4680 3.7450 0.7100 ; + RECT 3.6950 0.7100 3.8220 0.7600 ; + RECT 3.7720 0.7600 3.8220 1.0290 ; + RECT 3.2980 0.7690 3.3480 1.0290 ; + RECT 3.2120 0.7190 3.3480 0.7690 ; + RECT 1.7830 0.3640 2.4880 0.4140 ; + RECT 3.8210 0.6040 3.9320 0.6540 ; + RECT 3.1500 1.1490 3.9310 1.1990 ; + RECT 3.8810 0.6540 3.9310 1.1490 ; + RECT 2.9870 0.5690 3.0370 0.8220 ; + RECT 2.9870 0.8220 3.2300 0.8720 ; + RECT 2.9870 0.5190 3.4850 0.5690 ; + RECT 3.4350 0.3680 3.4850 0.5190 ; + RECT 3.1310 0.3180 3.4850 0.3680 ; + RECT 3.1800 0.8720 3.2300 1.1490 ; + RECT 3.0150 0.1110 3.4610 0.1610 ; + RECT 3.0150 0.1610 3.0650 0.3260 ; + RECT 2.8270 0.9800 3.0960 1.0300 ; + RECT 2.8470 0.4180 3.3850 0.4680 ; + RECT 2.8870 0.4680 2.9370 0.9800 ; + RECT 1.3270 0.9040 2.1690 0.9540 ; + LAYER PO ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + END +END XOR3X1_HVT + +MACRO XOR3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.9270 0.9670 3.9770 1.5460 ; + RECT 3.9270 0.9170 4.1930 0.9670 ; + RECT 3.9270 0.1280 3.9770 0.4450 ; + RECT 4.1430 0.4950 4.1930 0.8560 ; + RECT 3.9270 0.4450 4.1930 0.4950 ; + RECT 4.0490 0.8560 4.1930 0.9170 ; + END + PORT + LAYER CO ; + RECT 3.9310 0.1480 3.9730 0.1900 ; + RECT 3.9310 0.2400 3.9730 0.2820 ; + RECT 3.9310 0.1480 3.9730 0.1900 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.2400 3.9730 0.2820 ; + RECT 3.9310 0.3320 3.9730 0.3740 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 0.3320 3.9730 0.3740 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.4240 3.9730 0.4660 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 0.4240 3.9730 0.4660 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + END + ANTENNADIFFAREA 0.1464 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0260 0.7050 2.1830 0.8290 ; + RECT 2.0260 0.5770 2.5640 0.6270 ; + RECT 2.0260 0.6270 2.0760 0.7050 ; + END + PORT + LAYER CO ; + RECT 2.0310 0.7670 2.0730 0.8090 ; + RECT 2.3350 0.5810 2.3770 0.6230 ; + RECT 2.4870 0.5810 2.5290 0.6230 ; + END + ANTENNAGATEAREA 0.0765 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.4410 1.5110 3.5980 1.5750 ; + RECT 3.0600 1.4610 3.5990 1.5110 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5030 3.5930 1.5450 ; + RECT 3.0950 1.4650 3.1370 1.5070 ; + END + ANTENNAGATEAREA 0.036 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 1.6110 1.2060 2.1690 1.2560 ; + RECT 4.0790 1.3560 4.1290 1.6420 ; + RECT 2.7110 1.0830 2.7610 1.6420 ; + RECT 0.4310 1.2600 0.4810 1.6420 ; + RECT 0.8870 1.2180 0.9370 1.6420 ; + RECT 2.1080 1.2560 2.1580 1.6420 ; + RECT 3.7750 1.1410 3.8250 1.6420 ; + RECT 3.4550 1.0910 3.8250 1.1410 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7150 1.1630 2.7570 1.2050 ; + RECT 2.7150 1.1630 2.7570 1.2050 ; + RECT 0.4350 1.4880 0.4770 1.5300 ; + RECT 0.4350 1.4880 0.4770 1.5300 ; + RECT 0.4350 1.3960 0.4770 1.4380 ; + RECT 3.7790 1.4930 3.8210 1.5350 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.0830 1.4930 4.1250 1.5350 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.4750 1.0950 3.5170 1.1370 ; + RECT 2.1070 1.2100 2.1490 1.2520 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.0830 1.4930 4.1250 1.5350 ; + RECT 0.4350 1.3960 0.4770 1.4380 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.7790 1.4010 3.8210 1.4430 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7790 1.4010 3.8210 1.4430 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.7790 1.4930 3.8210 1.5350 ; + RECT 0.4350 1.3040 0.4770 1.3460 ; + RECT 0.4350 1.3040 0.4770 1.3460 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.6510 1.2100 1.6930 1.2520 ; + RECT 0.8910 1.2530 0.9330 1.2950 ; + RECT 4.0830 1.4010 4.1250 1.4430 ; + RECT 4.0830 1.4010 4.1250 1.4430 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 3.7750 0.0300 3.8250 0.2000 ; + RECT 4.0790 0.0300 4.1290 0.2250 ; + RECT 2.7110 0.1410 2.7610 0.2660 ; + RECT 2.7110 0.0910 3.4760 0.1410 ; + RECT 2.7110 0.0300 2.7610 0.0910 ; + RECT 0.1350 0.3120 0.9760 0.3620 ; + RECT 0.1350 0.0300 0.1850 0.3120 ; + RECT 0.9260 0.4450 1.5130 0.4950 ; + RECT 0.9260 0.3620 0.9760 0.4450 ; + RECT 1.4630 0.3120 2.1840 0.3620 ; + RECT 1.4630 0.3620 1.5130 0.4450 ; + END + PORT + LAYER CO ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.6510 0.3160 1.6930 0.3580 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.4350 0.3160 0.4770 0.3580 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.3160 0.9330 0.3580 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 3.7790 0.1380 3.8210 0.1800 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.3990 0.0950 3.4410 0.1370 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.0830 0.1480 4.1250 0.1900 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1070 0.3160 2.1490 0.3580 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.7150 0.1890 2.7570 0.2310 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8100 0.5530 0.9670 0.6630 ; + RECT 0.8100 0.7320 1.7970 0.7820 ; + RECT 0.8100 0.6630 0.8600 0.7320 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.7360 1.6170 0.7780 ; + RECT 1.7270 0.7360 1.7690 0.7780 ; + RECT 0.8150 0.6050 0.8570 0.6470 ; + END + ANTENNAGATEAREA 0.0735 ; + END A2 + OBS + LAYER CO ; + RECT 1.3470 1.2530 1.3890 1.2950 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.2830 1.3070 0.3250 1.3490 ; + RECT 3.6270 0.4230 3.6690 0.4650 ; + RECT 3.3230 0.9870 3.3650 1.0290 ; + RECT 2.4110 1.1650 2.4530 1.2070 ; + RECT 0.2830 0.2000 0.3250 0.2420 ; + RECT 2.4110 1.1650 2.4530 1.2070 ; + RECT 1.4990 0.2130 1.5410 0.2550 ; + RECT 3.0190 0.8870 3.0610 0.9290 ; + RECT 1.3470 0.2830 1.3890 0.3250 ; + RECT 2.5630 0.2620 2.6050 0.3040 ; + RECT 2.5630 0.2620 2.6050 0.3040 ; + RECT 2.4110 0.2110 2.4530 0.2530 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.5110 0.6080 0.5530 0.6500 ; + RECT 0.5870 1.2150 0.6290 1.2570 ; + RECT 1.2710 0.6090 1.3130 0.6510 ; + RECT 1.8030 0.2130 1.8450 0.2550 ; + RECT 3.3230 0.4200 3.3650 0.4620 ; + RECT 1.0430 1.2530 1.0850 1.2950 ; + RECT 3.1710 0.3100 3.2130 0.3520 ; + RECT 2.5630 1.1850 2.6050 1.2270 ; + RECT 2.5630 0.9090 2.6050 0.9510 ; + RECT 2.5630 1.1850 2.6050 1.2270 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 1.9550 0.9100 1.9970 0.9520 ; + RECT 3.1710 0.8810 3.2130 0.9230 ; + RECT 2.7910 0.6520 2.8330 0.6940 ; + RECT 3.6270 0.8700 3.6690 0.9120 ; + RECT 2.8670 0.8870 2.9090 0.9290 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 3.3990 0.5810 3.4410 0.6230 ; + RECT 1.8030 1.4520 1.8450 1.4940 ; + RECT 0.3590 0.6080 0.4010 0.6500 ; + RECT 1.9550 0.4160 1.9970 0.4580 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 2.5630 1.0010 2.6050 1.0430 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.5630 0.9090 2.6050 0.9510 ; + RECT 2.5630 1.0010 2.6050 1.0430 ; + RECT 0.2830 1.2150 0.3250 1.2570 ; + RECT 1.1950 0.1730 1.2370 0.2150 ; + RECT 1.0430 0.2000 1.0850 0.2420 ; + RECT 2.2590 0.3200 2.3010 0.3620 ; + RECT 3.2470 0.6840 3.2890 0.7260 ; + RECT 3.1710 0.8810 3.2130 0.9230 ; + RECT 2.8670 0.4200 2.9090 0.4620 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 1.1950 0.8880 1.2370 0.9300 ; + RECT 0.7390 0.4280 0.7810 0.4700 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 1.4990 1.2530 1.5410 1.2950 ; + RECT 3.4750 0.4510 3.5170 0.4930 ; + RECT 0.5870 1.2150 0.6290 1.2570 ; + RECT 0.2830 1.2150 0.3250 1.2570 ; + RECT 3.0190 0.3200 3.0610 0.3620 ; + RECT 1.1190 0.6090 1.1610 0.6510 ; + RECT 0.2830 1.3070 0.3250 1.3490 ; + RECT 0.7390 0.9740 0.7810 1.0160 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + RECT 2.2590 1.0100 2.3010 1.0520 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7810 ; + LAYER M1 ; + RECT 2.2390 0.3160 3.0840 0.3660 ; + RECT 2.6160 0.6480 2.8780 0.6980 ; + RECT 1.4190 1.0060 3.3850 1.0330 ; + RECT 2.5590 0.9830 3.3850 1.0060 ; + RECT 1.1910 0.1530 1.2410 0.2350 ; + RECT 1.4190 0.9340 1.4690 1.0060 ; + RECT 1.1550 0.8840 1.4690 0.9340 ; + RECT 1.1910 0.1030 2.6090 0.1530 ; + RECT 2.5590 0.4530 2.6660 0.5030 ; + RECT 2.6160 0.5030 2.6660 0.6480 ; + RECT 2.6160 0.6980 2.6660 0.8630 ; + RECT 2.5590 0.8630 2.6660 0.9130 ; + RECT 2.5590 0.3660 2.6090 0.4530 ; + RECT 2.5590 0.1530 2.6090 0.3160 ; + RECT 2.5590 1.0560 2.6090 1.2640 ; + RECT 1.4190 1.0330 2.6090 1.0560 ; + RECT 2.5590 0.9130 2.6090 0.9830 ; + RECT 0.2530 0.1960 1.0890 0.2460 ; + RECT 1.0390 0.1630 1.0890 0.1960 ; + RECT 1.3430 0.2460 1.3930 0.3340 ; + RECT 1.0390 0.2460 1.0890 0.3340 ; + RECT 1.0390 0.3340 1.3930 0.3840 ; + RECT 1.8910 0.4120 2.0370 0.4620 ; + RECT 1.8910 0.9060 2.0350 0.9560 ; + RECT 1.0830 0.6050 1.9410 0.6550 ; + RECT 1.8910 0.6550 1.9410 0.9060 ; + RECT 1.8910 0.4620 1.9410 0.6050 ; + RECT 2.8270 0.8830 3.0810 0.9330 ; + RECT 2.8270 0.4160 3.4000 0.4660 ; + RECT 2.9360 0.4660 2.9860 0.8830 ; + RECT 1.0390 1.4480 1.8660 1.4980 ; + RECT 1.0390 1.2180 1.0890 1.4480 ; + RECT 1.3430 1.2180 1.3930 1.4480 ; + RECT 1.4950 1.2170 1.5450 1.4480 ; + RECT 3.2430 0.7430 3.7250 0.7930 ; + RECT 3.2430 0.6490 3.2930 0.7430 ; + RECT 3.6230 0.7930 3.6730 0.9320 ; + RECT 3.6750 0.4690 3.7250 0.7430 ; + RECT 3.5920 0.4190 3.7250 0.4690 ; + RECT 3.7850 0.6040 4.0840 0.6540 ; + RECT 3.1460 0.8770 3.5400 0.9270 ; + RECT 3.4900 0.9910 3.8350 1.0410 ; + RECT 3.7850 0.6540 3.8350 0.9910 ; + RECT 3.7850 0.3560 3.8350 0.6040 ; + RECT 3.1490 0.3060 3.8350 0.3560 ; + RECT 3.4900 0.9270 3.5400 0.9910 ; + RECT 3.4710 0.4190 3.5210 0.5770 ; + RECT 3.3790 0.5770 3.5210 0.6270 ; + RECT 0.2790 1.1060 2.4570 1.1560 ; + RECT 2.4070 1.1560 2.4570 1.2670 ; + RECT 0.2790 1.1560 0.3290 1.4610 ; + RECT 0.5830 1.1560 0.6330 1.4610 ; + RECT 0.6530 0.9700 0.8160 1.0200 ; + RECT 0.6530 0.4240 0.8160 0.4740 ; + RECT 0.6530 0.6540 0.7030 0.9700 ; + RECT 0.3240 0.6040 0.7030 0.6540 ; + RECT 0.6530 0.4740 0.7030 0.6040 ; + RECT 1.4790 0.2070 2.4880 0.2570 ; + LAYER PO ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + END +END XOR3X2_HVT + +MACRO SDFFSSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.84 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.6330 0.0970 6.7430 0.2070 ; + RECT 6.3590 0.2700 6.7250 0.3200 ; + RECT 6.3590 0.1480 6.4090 0.2700 ; + RECT 6.3590 0.9680 6.4090 1.5460 ; + RECT 6.3590 0.9180 6.7250 0.9680 ; + RECT 6.6750 0.3200 6.7250 0.9180 ; + RECT 6.6750 0.2070 6.7250 0.2700 ; + END + PORT + LAYER CO ; + RECT 6.3630 0.1950 6.4050 0.2370 ; + RECT 6.3630 0.1950 6.4050 0.2370 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0550 0.3740 6.6010 0.4240 ; + RECT 6.0550 0.1480 6.1050 0.3740 ; + RECT 6.0550 0.8540 6.1050 1.5460 ; + RECT 6.0550 0.8040 6.6010 0.8540 ; + RECT 6.5510 0.5110 6.6010 0.8040 ; + RECT 6.4810 0.4240 6.6010 0.5110 ; + END + PORT + LAYER CO ; + RECT 6.0590 1.2080 6.1010 1.2500 ; + RECT 6.0590 1.1160 6.1010 1.1580 ; + RECT 6.0590 1.1160 6.1010 1.1580 ; + RECT 6.0590 1.2080 6.1010 1.2500 ; + RECT 6.0590 1.3000 6.1010 1.3420 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 6.0590 1.2080 6.1010 1.2500 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 6.0590 1.3000 6.1010 1.3420 ; + RECT 6.0590 1.3000 6.1010 1.3420 ; + RECT 6.0590 0.9320 6.1010 0.9740 ; + RECT 6.0590 1.1160 6.1010 1.1580 ; + RECT 6.0590 1.3000 6.1010 1.3420 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 6.0590 1.2080 6.1010 1.2500 ; + RECT 6.0590 0.9320 6.1010 0.9740 ; + RECT 6.0590 1.1160 6.1010 1.1580 ; + RECT 6.0590 0.1950 6.1010 0.2370 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 0.1950 6.1010 0.2370 ; + RECT 6.0590 0.2870 6.1010 0.3290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.8400 1.7020 ; + RECT 5.2790 1.4160 5.8410 1.4660 ; + RECT 3.1670 1.3020 3.5370 1.3520 ; + RECT 2.2150 1.2350 2.3210 1.2850 ; + RECT 0.2790 1.2960 1.1250 1.3460 ; + RECT 4.3830 1.3160 4.5850 1.3660 ; + RECT 5.9030 0.9120 5.9530 1.6420 ; + RECT 6.2070 0.9600 6.2570 1.6420 ; + RECT 6.5110 1.0520 6.5610 1.6420 ; + RECT 5.7510 1.1920 5.8010 1.4160 ; + RECT 5.7910 1.4660 5.8410 1.6420 ; + RECT 3.1670 1.3520 3.2170 1.6420 ; + RECT 2.2150 1.2850 2.2650 1.6420 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 4.3830 1.1520 4.4330 1.3160 ; + RECT 4.5350 1.3660 4.5850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 6.2110 1.1640 6.2530 1.2060 ; + RECT 6.2110 1.2560 6.2530 1.2980 ; + RECT 6.2110 1.1640 6.2530 1.2060 ; + RECT 6.2110 1.0720 6.2530 1.1140 ; + RECT 6.2110 1.0720 6.2530 1.1140 ; + RECT 6.2110 1.2560 6.2530 1.2980 ; + RECT 6.2110 0.9800 6.2530 1.0220 ; + RECT 6.5150 1.0720 6.5570 1.1140 ; + RECT 6.5150 1.1640 6.5570 1.2060 ; + RECT 6.5150 1.1640 6.5570 1.2060 ; + RECT 6.5150 1.0720 6.5570 1.1140 ; + RECT 6.5150 1.2560 6.5570 1.2980 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.2590 1.2390 2.3010 1.2810 ; + RECT 5.7550 1.2360 5.7970 1.2780 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 6.5150 1.2560 6.5570 1.2980 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 6.2110 1.3480 6.2530 1.3900 ; + RECT 6.2110 1.3480 6.2530 1.3900 ; + RECT 6.2110 1.4400 6.2530 1.4820 ; + RECT 6.5150 1.3480 6.5570 1.3900 ; + RECT 6.5150 1.3480 6.5570 1.3900 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 5.7550 1.3280 5.7970 1.3700 ; + RECT 4.5390 1.4280 4.5810 1.4700 ; + RECT 4.5390 1.3360 4.5810 1.3780 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 4.3870 1.3040 4.4290 1.3460 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 3.4750 1.3060 3.5170 1.3480 ; + RECT 5.7550 1.4200 5.7970 1.4620 ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 3.1710 1.3280 3.2130 1.3700 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 5.2990 1.4200 5.3410 1.4620 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.7550 ; + RECT 0.2490 0.7550 0.3590 0.8290 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7090 0.4010 0.7510 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2890 1.4650 3.4610 1.5760 ; + END + PORT + LAYER CO ; + RECT 3.3990 1.5320 3.4410 1.5740 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.8400 0.0300 ; + RECT 5.2550 0.2040 5.3610 0.2540 ; + RECT 3.1270 0.3010 4.5850 0.3510 ; + RECT 5.7510 0.0300 5.8010 0.4010 ; + RECT 0.7350 0.0300 0.7850 0.2430 ; + RECT 2.2550 0.0300 2.3050 0.3590 ; + RECT 5.9030 0.0300 5.9530 0.4080 ; + RECT 6.2070 0.0300 6.2570 0.3190 ; + RECT 6.5110 0.0300 6.5610 0.2200 ; + RECT 0.2790 0.0300 0.3290 0.5130 ; + RECT 1.0390 0.0300 1.0890 0.2520 ; + RECT 5.2550 0.0300 5.3050 0.2040 ; + RECT 4.3830 0.3510 4.4330 0.4750 ; + RECT 4.5350 0.3510 4.5850 0.4750 ; + RECT 3.4710 0.3510 3.5210 0.5760 ; + RECT 3.1270 0.0300 3.1770 0.3010 ; + END + PORT + LAYER CO ; + RECT 6.5150 0.1580 6.5570 0.2000 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 5.9070 0.3420 5.9490 0.3840 ; + RECT 5.9070 0.3420 5.9490 0.3840 ; + RECT 5.9070 0.1580 5.9490 0.2000 ; + RECT 5.9070 0.2500 5.9490 0.2920 ; + RECT 5.9070 0.3420 5.9490 0.3840 ; + RECT 5.9070 0.3420 5.9490 0.3840 ; + RECT 5.9070 0.2500 5.9490 0.2920 ; + RECT 6.2110 0.2500 6.2530 0.2920 ; + RECT 6.2110 0.1580 6.2530 0.2000 ; + RECT 4.5390 0.4130 4.5810 0.4550 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 5.7550 0.2470 5.7970 0.2890 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.3870 0.4130 4.4290 0.4550 ; + RECT 5.2990 0.2080 5.3410 0.2500 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.5390 0.3210 4.5810 0.3630 ; + RECT 6.2110 0.2500 6.2530 0.2920 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2830 0.4060 0.3250 0.4480 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.7390 0.1680 0.7810 0.2100 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.3870 0.3210 4.4290 0.3630 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.0430 0.1770 1.0850 0.2190 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.1710 0.3050 3.2130 0.3470 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.2590 0.2970 2.3010 0.3390 ; + RECT 5.7550 0.3390 5.7970 0.3810 ; + END + END VSS + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0930 0.8280 3.2470 0.9670 ; + END + PORT + LAYER CO ; + RECT 3.0950 0.8480 3.1370 0.8900 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7040 0.7050 2.1000 0.8370 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.7410 1.7690 0.7830 ; + RECT 1.8790 0.7410 1.9210 0.7830 ; + RECT 2.0310 0.7410 2.0730 0.7830 ; + END + ANTENNAGATEAREA 0.09639 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 1.4160 2.7010 1.4660 ; + RECT 2.3770 1.3130 2.4870 1.4160 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.4200 2.5290 1.4620 ; + RECT 2.3350 1.4200 2.3770 1.4620 ; + RECT 2.6390 1.4200 2.6810 1.4620 ; + END + ANTENNAGATEAREA 0.039 ; + END SE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.3460 0.8210 0.3960 ; + RECT 0.4010 0.1780 0.5730 0.2280 ; + RECT 0.4010 0.0970 0.5110 0.1780 ; + RECT 0.7710 0.3960 0.8210 0.6610 ; + RECT 0.7710 0.6610 1.1810 0.7110 ; + RECT 0.5070 0.2280 0.5570 0.3460 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + RECT 0.9670 0.6650 1.0090 0.7070 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.5110 0.1820 0.5530 0.2240 ; + END + ANTENNAGATEAREA 0.09639 ; + END RSTB + OBS + LAYER CO ; + RECT 6.4390 0.6080 6.4810 0.6500 ; + RECT 6.2870 0.6080 6.3290 0.6500 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 1.8030 0.3070 1.8450 0.3490 ; + RECT 3.3230 0.8140 3.3650 0.8560 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 3.6270 0.9060 3.6690 0.9480 ; + RECT 2.4870 0.2080 2.5290 0.2500 ; + RECT 1.4230 1.5330 1.4650 1.5750 ; + RECT 5.1470 0.3080 5.1890 0.3500 ; + RECT 2.5630 1.0520 2.6050 1.0940 ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 1.8030 1.2930 1.8450 1.3350 ; + RECT 3.7790 0.4500 3.8210 0.4920 ; + RECT 4.8430 1.2130 4.8850 1.2550 ; + RECT 4.0070 1.4210 4.0490 1.4630 ; + RECT 1.6510 1.1010 1.6930 1.1430 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 3.9310 1.0820 3.9730 1.1240 ; + RECT 2.5630 1.1440 2.6050 1.1860 ; + RECT 1.8030 1.2010 1.8450 1.2430 ; + RECT 2.6390 0.1050 2.6810 0.1470 ; + RECT 3.0190 1.1060 3.0610 1.1480 ; + RECT 3.3230 0.9980 3.3650 1.0400 ; + RECT 4.9190 1.5320 4.9610 1.5740 ; + RECT 1.3470 1.1930 1.3890 1.2350 ; + RECT 3.7790 1.0820 3.8210 1.1240 ; + RECT 0.8150 0.8100 0.8570 0.8520 ; + RECT 4.9950 1.1990 5.0370 1.2410 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 3.7790 0.4500 3.8210 0.4920 ; + RECT 4.8430 0.3200 4.8850 0.3620 ; + RECT 3.9310 0.4500 3.9730 0.4920 ; + RECT 1.8030 1.3850 1.8450 1.4270 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 6.1350 0.6080 6.1770 0.6500 ; + RECT 6.1350 0.6080 6.1770 0.6500 ; + RECT 6.1350 0.6080 6.1770 0.6500 ; + RECT 2.5630 0.3690 2.6050 0.4110 ; + RECT 2.8670 0.3690 2.9090 0.4110 ; + RECT 1.8030 1.2010 1.8450 1.2430 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 2.8670 1.0900 2.9090 1.1320 ; + RECT 2.7150 1.0530 2.7570 1.0950 ; + RECT 3.6270 0.8140 3.6690 0.8560 ; + RECT 1.8030 1.2930 1.8450 1.3350 ; + RECT 1.9550 0.5050 1.9970 0.5470 ; + RECT 4.8430 1.3050 4.8850 1.3470 ; + RECT 1.2710 1.5330 1.3130 1.5750 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.6510 1.1930 1.6930 1.2350 ; + RECT 2.5630 1.0520 2.6050 1.0940 ; + RECT 2.7150 1.0530 2.7570 1.0950 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 2.9430 0.1050 2.9850 0.1470 ; + RECT 5.4510 0.4810 5.4930 0.5230 ; + RECT 1.9550 1.1930 1.9970 1.2350 ; + RECT 3.9310 0.9900 3.9730 1.0320 ; + RECT 1.3470 1.2850 1.3890 1.3270 ; + RECT 1.3470 0.9780 1.3890 1.0200 ; + RECT 3.6270 0.8140 3.6690 0.8560 ; + RECT 4.3110 1.4210 4.3530 1.4630 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 4.6150 0.5770 4.6570 0.6190 ; + RECT 4.8430 1.1210 4.8850 1.1630 ; + RECT 3.9310 0.4500 3.9730 0.4920 ; + RECT 4.7670 1.5320 4.8090 1.5740 ; + RECT 3.8550 1.5320 3.8970 1.5740 ; + RECT 5.0710 1.5320 5.1130 1.5740 ; + RECT 1.3470 1.1930 1.3890 1.2350 ; + RECT 3.3230 0.8140 3.3650 0.8560 ; + RECT 1.6510 0.5050 1.6930 0.5470 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 4.6910 0.3200 4.7330 0.3620 ; + RECT 3.6270 0.9980 3.6690 1.0400 ; + RECT 1.6510 1.2850 1.6930 1.3270 ; + RECT 1.1190 0.8100 1.1610 0.8520 ; + RECT 1.6510 1.1010 1.6930 1.1430 ; + RECT 4.0830 1.1840 4.1250 1.2260 ; + RECT 3.9310 1.1740 3.9730 1.2160 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 3.3230 0.9060 3.3650 0.9480 ; + RECT 3.8550 0.1550 3.8970 0.1970 ; + RECT 3.3230 0.5130 3.3650 0.5550 ; + RECT 4.6910 0.4120 4.7330 0.4540 ; + RECT 3.6270 0.4500 3.6690 0.4920 ; + RECT 3.3230 0.4210 3.3650 0.4630 ; + RECT 3.7790 0.4500 3.8210 0.4920 ; + RECT 4.1590 0.7770 4.2010 0.8190 ; + RECT 0.8910 1.4210 0.9330 1.4630 ; + RECT 1.8030 1.1090 1.8450 1.1510 ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 5.6790 0.6810 5.7210 0.7230 ; + RECT 1.8030 1.1090 1.8450 1.1510 ; + RECT 3.2470 0.6300 3.2890 0.6720 ; + RECT 3.6270 1.0900 3.6690 1.1320 ; + RECT 5.5270 0.5810 5.5690 0.6230 ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.4110 0.9400 2.4530 0.9820 ; + RECT 2.7150 1.1450 2.7570 1.1870 ; + RECT 3.0190 0.3690 3.0610 0.4110 ; + RECT 4.0830 0.4500 4.1250 0.4920 ; + RECT 3.7790 1.1740 3.8210 1.2160 ; + RECT 4.3110 0.6770 4.3530 0.7190 ; + RECT 5.1470 1.1040 5.1890 1.1460 ; + RECT 1.3470 0.5050 1.3890 0.5470 ; + RECT 1.1950 1.1090 1.2370 1.1510 ; + RECT 1.4990 1.3850 1.5410 1.4270 ; + RECT 1.1950 1.3850 1.2370 1.4270 ; + RECT 2.7150 0.3690 2.7570 0.4110 ; + RECT 1.1950 1.2010 1.2370 1.2430 ; + RECT 1.4990 1.2010 1.5410 1.2430 ; + RECT 1.4990 1.1090 1.5410 1.1510 ; + RECT 1.4990 1.2930 1.5410 1.3350 ; + RECT 1.1950 1.1090 1.2370 1.1510 ; + RECT 3.0190 0.4610 3.0610 0.5030 ; + RECT 1.5750 1.5330 1.6170 1.5750 ; + RECT 1.5750 1.5330 1.6170 1.5750 ; + RECT 0.8910 0.4050 0.9330 0.4470 ; + RECT 1.4990 1.2930 1.5410 1.3350 ; + RECT 3.9310 0.4500 3.9730 0.4920 ; + RECT 0.9670 0.8100 1.0090 0.8520 ; + RECT 2.4110 0.3930 2.4530 0.4350 ; + RECT 3.2470 0.1080 3.2890 0.1500 ; + RECT 1.9550 1.1930 1.9970 1.2350 ; + RECT 1.4990 1.2010 1.5410 1.2430 ; + RECT 1.3470 0.9780 1.3890 1.0200 ; + RECT 3.6270 0.9980 3.6690 1.0400 ; + RECT 1.1950 1.2010 1.2370 1.2430 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 5.5270 0.1080 5.5690 0.1500 ; + RECT 3.3230 0.9060 3.3650 0.9480 ; + RECT 1.1950 1.2930 1.2370 1.3350 ; + RECT 2.4110 0.9400 2.4530 0.9820 ; + RECT 4.8430 0.4120 4.8850 0.4540 ; + RECT 3.7790 0.9900 3.8210 1.0320 ; + RECT 5.2230 0.6580 5.2650 0.7000 ; + RECT 1.4990 0.4050 1.5410 0.4470 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 1.3470 1.1010 1.3890 1.1430 ; + RECT 4.3110 0.9980 4.3530 1.0400 ; + RECT 1.4990 1.1090 1.5410 1.1510 ; + RECT 3.7030 0.6300 3.7450 0.6720 ; + RECT 1.9550 1.0090 1.9970 1.0510 ; + RECT 1.3470 1.1010 1.3890 1.1430 ; + RECT 5.6790 1.5320 5.7210 1.5740 ; + RECT 1.9550 1.1010 1.9970 1.1430 ; + RECT 1.9550 1.0090 1.9970 1.0510 ; + RECT 3.5510 0.6300 3.5930 0.6720 ; + RECT 2.7910 0.2080 2.8330 0.2500 ; + RECT 0.5110 1.5330 0.5530 1.5750 ; + RECT 5.3750 0.1080 5.4170 0.1500 ; + RECT 2.7910 1.4200 2.8330 1.4620 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 1.1950 0.4050 1.2370 0.4470 ; + RECT 2.9430 1.4200 2.9850 1.4620 ; + RECT 4.6910 1.1040 4.7330 1.1460 ; + RECT 3.6270 0.4500 3.6690 0.4920 ; + RECT 4.9190 0.1550 4.9610 0.1970 ; + RECT 3.0190 0.4610 3.0610 0.5030 ; + RECT 5.4510 1.2200 5.4930 1.2620 ; + RECT 5.0710 0.1080 5.1130 0.1500 ; + RECT 3.3230 1.0900 3.3650 1.1320 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 1.9550 1.1010 1.9970 1.1430 ; + RECT 2.4110 0.4850 2.4530 0.5270 ; + RECT 1.1950 1.2930 1.2370 1.3350 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 0.8910 1.4210 0.9330 1.4630 ; + RECT 1.6510 1.1930 1.6930 1.2350 ; + RECT 1.4230 1.5330 1.4650 1.5750 ; + RECT 3.6270 0.4500 3.6690 0.4920 ; + RECT 4.3110 0.1550 4.3530 0.1970 ; + RECT 2.7150 1.1450 2.7570 1.1870 ; + RECT 3.6270 0.9060 3.6690 0.9480 ; + RECT 2.5630 1.1440 2.6050 1.1860 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 4.9950 0.4120 5.0370 0.4540 ; + RECT 4.9950 0.3200 5.0370 0.3620 ; + RECT 3.3230 0.9980 3.3650 1.0400 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + LAYER PO ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + RECT 3.5570 0.0760 3.5870 1.6060 ; + RECT 4.6210 0.0760 4.6510 1.6060 ; + RECT 1.1250 0.8070 1.1550 1.6060 ; + RECT 5.6850 1.1320 5.7150 1.6060 ; + RECT 4.3170 0.0760 4.3470 0.7510 ; + RECT 5.2290 0.0760 5.2590 1.6060 ; + RECT 5.5330 0.0760 5.5630 1.6060 ; + RECT 4.0130 0.0760 4.0430 1.6060 ; + RECT 5.3810 0.0760 5.4110 1.6060 ; + RECT 3.2530 0.0760 3.2830 1.6060 ; + RECT 4.9250 0.0760 4.9550 0.5970 ; + RECT 4.7730 0.0760 4.8030 1.6060 ; + RECT 1.7330 0.0540 1.7630 1.6060 ; + RECT 5.0770 0.0760 5.1070 1.6060 ; + RECT 0.9730 0.8070 1.0030 1.6060 ; + RECT 2.0370 0.0540 2.0670 1.6060 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 2.7970 0.8700 2.8270 1.6060 ; + RECT 1.1250 0.0540 1.1550 0.7070 ; + RECT 0.8210 0.8070 0.8510 1.6060 ; + RECT 0.2130 0.0760 0.2430 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 4.3170 0.9660 4.3470 1.6060 ; + RECT 3.4050 0.0760 3.4350 1.6060 ; + RECT 1.4290 0.0540 1.4590 0.7120 ; + RECT 4.4690 0.0760 4.4990 1.6060 ; + RECT 2.7970 0.0760 2.8270 0.6000 ; + RECT 1.8850 0.0540 1.9150 1.6060 ; + RECT 1.5810 0.0540 1.6110 0.7100 ; + RECT 1.2770 0.8120 1.3070 1.6060 ; + RECT 3.8610 0.0760 3.8910 0.5970 ; + RECT 4.9250 1.0320 4.9550 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 1.5810 0.8100 1.6110 1.6060 ; + RECT 1.4290 0.8120 1.4590 1.6060 ; + RECT 2.6450 0.8700 2.6750 1.6060 ; + RECT 5.6850 0.0760 5.7150 0.7550 ; + RECT 1.2770 0.0540 1.3070 0.7090 ; + RECT 2.1890 0.0760 2.2190 1.6060 ; + RECT 0.8210 0.0540 0.8510 0.7070 ; + RECT 5.8370 0.0760 5.8670 1.6060 ; + RECT 3.8610 0.9200 3.8910 1.6060 ; + RECT 3.7090 0.0760 3.7390 1.6060 ; + RECT 0.0610 0.0760 0.0910 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 0.9730 0.0540 1.0030 0.7070 ; + RECT 0.6690 0.0760 0.6990 1.6060 ; + RECT 2.6450 0.0760 2.6750 0.6000 ; + RECT 4.1650 0.0760 4.1950 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 2.4930 0.0760 2.5230 1.6060 ; + LAYER NWELL ; + RECT -0.1090 0.6900 6.9550 1.7730 ; + RECT -0.1090 0.6790 0.6820 0.6900 ; + RECT 2.2060 0.6790 6.9550 0.6900 ; + LAYER M1 ; + RECT 3.6230 0.7260 3.7250 0.7760 ; + RECT 3.6750 0.6260 3.7650 0.6760 ; + RECT 3.6070 0.4460 3.7250 0.4960 ; + RECT 3.6230 0.7760 3.6730 1.1520 ; + RECT 3.6750 0.6760 3.7250 0.7260 ; + RECT 3.6750 0.4960 3.7250 0.6260 ; + RECT 6.2670 0.6040 6.5010 0.6540 ; + RECT 5.4070 0.4770 6.3170 0.5270 ; + RECT 6.2670 0.5270 6.3170 0.6040 ; + RECT 4.9910 1.2160 5.5370 1.2660 ; + RECT 4.9910 0.3000 5.0410 1.2160 ; + RECT 5.2030 0.6540 5.4570 0.6770 ; + RECT 5.4070 0.7040 5.5370 0.7270 ; + RECT 5.2030 0.6770 5.5370 0.7040 ; + RECT 5.4870 0.7270 5.5370 1.2160 ; + RECT 5.4070 0.5270 5.4570 0.6540 ; + RECT 5.3550 0.1040 5.5890 0.1540 ; + RECT 5.1030 0.3040 5.5060 0.3540 ; + RECT 5.4560 0.1540 5.5060 0.3040 ; + RECT 5.1030 0.8080 5.1930 0.8580 ; + RECT 5.1430 0.8580 5.1930 1.1660 ; + RECT 5.1030 0.3540 5.1530 0.8080 ; + RECT 0.4310 0.8060 1.4690 0.8560 ; + RECT 1.2510 0.6610 1.6370 0.7110 ; + RECT 1.4190 0.7110 1.4690 0.8060 ; + RECT 0.4310 0.8560 0.4810 1.2460 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.6510 0.5210 0.8060 ; + RECT 0.8710 0.4010 1.5610 0.4510 ; + RECT 1.4950 0.1930 2.1530 0.2430 ; + RECT 2.1030 0.2430 2.1530 0.3930 ; + RECT 1.7990 0.2430 1.8490 0.3940 ; + RECT 1.4950 0.2430 1.5450 0.4010 ; + RECT 5.6510 0.6770 5.7410 0.7270 ; + RECT 4.9390 1.3160 5.7010 1.3660 ; + RECT 5.6510 0.7270 5.7010 1.3160 ; + RECT 4.6950 1.5280 4.9890 1.5780 ; + RECT 4.5310 1.2160 4.7450 1.2660 ; + RECT 4.2910 0.9940 4.5810 1.0440 ; + RECT 4.9390 1.3660 4.9890 1.5280 ; + RECT 4.6950 1.2660 4.7450 1.5280 ; + RECT 4.5310 1.0440 4.5810 1.2160 ; + RECT 1.9510 1.0520 2.6090 1.1020 ; + RECT 2.5590 1.1020 2.6090 1.2520 ; + RECT 2.5590 0.3490 2.6090 1.0520 ; + RECT 1.3080 0.5010 2.3140 0.5510 ; + RECT 2.2640 0.5510 2.3140 1.0520 ; + RECT 1.3070 0.9740 2.0010 1.0240 ; + RECT 1.9510 1.1020 2.0010 1.2550 ; + RECT 1.9510 1.0240 2.0010 1.0520 ; + RECT 1.6470 1.0240 1.6970 1.3550 ; + RECT 1.3430 1.0240 1.3930 1.3550 ; + RECT 3.9270 0.5730 4.6770 0.6230 ; + RECT 3.9270 1.1960 4.1290 1.2460 ; + RECT 3.9270 0.4300 3.9770 0.5730 ; + RECT 4.0790 0.4300 4.1290 0.5730 ; + RECT 4.0790 1.1380 4.1290 1.1960 ; + RECT 3.9270 0.6230 3.9770 1.1960 ; + RECT 3.9870 1.4170 4.3730 1.4670 ; + RECT 1.7990 1.3250 2.1530 1.3750 ; + RECT 2.1030 1.2010 2.1530 1.3250 ; + RECT 1.7990 1.0740 1.8490 1.3250 ; + RECT 1.7990 1.3750 1.8490 1.4170 ; + RECT 0.8510 1.4170 1.8490 1.4670 ; + RECT 1.1910 1.0740 1.2410 1.4170 ; + RECT 1.4950 1.0740 1.5450 1.4170 ; + RECT 2.7110 1.2020 3.8250 1.2520 ; + RECT 3.7750 0.7260 3.8650 0.7760 ; + RECT 3.7750 0.5260 3.8650 0.5760 ; + RECT 3.7750 0.7760 3.8250 1.2020 ; + RECT 3.7750 0.4300 3.8250 0.5260 ; + RECT 3.8150 0.5760 3.8650 0.7260 ; + RECT 2.7110 0.3490 2.7610 1.2020 ; + RECT 4.0270 0.8800 4.2290 0.9300 ; + RECT 4.0270 0.6730 4.3730 0.7230 ; + RECT 3.6830 1.5280 3.9370 1.5780 ; + RECT 3.8870 1.3670 3.9370 1.5280 ; + RECT 3.8870 1.3170 4.2290 1.3670 ; + RECT 4.1790 0.9300 4.2290 1.3170 ; + RECT 4.0270 0.7230 4.0770 0.8800 ; + RECT 4.1340 0.7730 4.8890 0.8230 ; + RECT 4.6870 0.4520 4.8890 0.5020 ; + RECT 4.6870 0.3000 4.7370 0.4520 ; + RECT 4.8390 0.8230 4.8890 1.3800 ; + RECT 4.6870 0.8230 4.7370 1.1660 ; + RECT 4.8390 0.5020 4.8890 0.7730 ; + RECT 4.8390 0.3000 4.8890 0.4520 ; + RECT 4.2910 0.1540 5.0350 0.2010 ; + RECT 4.9850 0.1040 5.1330 0.1510 ; + RECT 4.2910 0.1510 5.1330 0.1540 ; + RECT 0.4850 1.5290 1.6610 1.5790 ; + RECT 3.2270 0.6260 3.6130 0.6760 ; + RECT 3.3190 0.6760 3.3690 1.1520 ; + RECT 3.3190 0.4010 3.3690 0.6260 ; + RECT 2.8630 0.3490 3.0650 0.3990 ; + RECT 2.8630 1.1020 3.0810 1.1520 ; + RECT 3.0150 0.3990 3.0650 0.5370 ; + RECT 2.8630 0.3990 2.9130 1.1020 ; + RECT 5.0510 1.5280 5.7410 1.5780 ; + RECT 5.5070 0.5770 5.9720 0.6040 ; + RECT 5.5070 0.6040 6.1970 0.6270 ; + RECT 5.9220 0.6270 6.1970 0.6540 ; + RECT 2.3550 0.3190 2.4570 0.3690 ; + RECT 2.3550 0.1010 3.0050 0.1510 ; + RECT 2.4070 0.3690 2.4570 1.0020 ; + RECT 2.3550 0.1510 2.4050 0.3190 ; + RECT 2.4670 0.2040 2.8530 0.2540 ; + RECT 2.7710 1.4160 3.0100 1.4660 ; + RECT 3.2270 0.1040 4.0690 0.1540 ; + RECT 3.8510 0.1540 3.9010 0.2170 ; + END +END SDFFSSRX1_HVT + +MACRO SDFFSSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.776 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.7760 1.7020 ; + RECT 4.2150 1.4160 4.7770 1.4660 ; + RECT 2.1030 1.3020 2.4730 1.3520 ; + RECT 1.1510 1.2350 1.2570 1.2850 ; + RECT 0.2790 1.2960 0.6330 1.3460 ; + RECT 3.3190 1.3160 3.5210 1.3660 ; + RECT 5.1430 0.9600 5.1930 1.6420 ; + RECT 4.8390 0.9120 4.8890 1.6420 ; + RECT 5.4470 1.0520 5.4970 1.6420 ; + RECT 4.6870 1.1920 4.7370 1.4160 ; + RECT 4.7270 1.4660 4.7770 1.6420 ; + RECT 2.1030 1.3520 2.1530 1.6420 ; + RECT 1.1510 1.2850 1.2010 1.6420 ; + RECT 0.5830 0.9670 0.6330 1.2960 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 3.3190 1.1730 3.3690 1.3160 ; + RECT 3.4710 1.3660 3.5210 1.6420 ; + END + PORT + LAYER CO ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 3.4750 1.3360 3.5170 1.3780 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 5.1470 0.9800 5.1890 1.0220 ; + RECT 4.6910 1.3280 4.7330 1.3700 ; + RECT 3.4750 1.4280 3.5170 1.4700 ; + RECT 0.5870 1.1710 0.6290 1.2130 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.5870 1.2630 0.6290 1.3050 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 3.3230 1.3040 3.3650 1.3460 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 1.1950 1.2390 1.2370 1.2810 ; + RECT 4.6910 1.2360 4.7330 1.2780 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 2.4110 1.3060 2.4530 1.3480 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 4.6910 1.4200 4.7330 1.4620 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 5.1470 1.4400 5.1890 1.4820 ; + RECT 2.1070 1.3280 2.1490 1.3700 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 1.1710 0.6290 1.2130 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 4.2350 1.4200 4.2770 1.4620 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.4650 2.3970 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.7760 0.0300 ; + RECT 0.2790 0.3550 0.6330 0.4050 ; + RECT 4.1910 0.2040 4.2970 0.2540 ; + RECT 2.0630 0.3010 3.5210 0.3510 ; + RECT 1.1910 0.0300 1.2410 0.3590 ; + RECT 4.6870 0.0300 4.7370 0.4010 ; + RECT 5.4470 0.0300 5.4970 0.2200 ; + RECT 5.1430 0.0300 5.1930 0.3190 ; + RECT 4.8390 0.0300 4.8890 0.4080 ; + RECT 0.5830 0.4050 0.6330 0.5290 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 4.1910 0.0300 4.2410 0.2040 ; + RECT 3.4710 0.3510 3.5210 0.4750 ; + RECT 2.4070 0.3510 2.4570 0.5760 ; + RECT 3.3190 0.3510 3.3690 0.4750 ; + RECT 2.0630 0.0300 2.1130 0.3010 ; + END + PORT + LAYER CO ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.4750 0.3210 3.5170 0.3630 ; + RECT 2.4110 0.3960 2.4530 0.4380 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.4670 0.6290 0.5090 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 5.4510 0.1580 5.4930 0.2000 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 4.8430 0.1580 4.8850 0.2000 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 3.4750 0.4130 3.5170 0.4550 ; + RECT 4.6910 0.2470 4.7330 0.2890 ; + RECT 2.4110 0.3040 2.4530 0.3460 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.5870 0.3750 0.6290 0.4170 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 3.3230 0.3210 3.3650 0.3630 ; + RECT 2.1070 0.3050 2.1490 0.3470 ; + RECT 3.3230 0.4130 3.3650 0.4550 ; + RECT 4.2350 0.2080 4.2770 0.2500 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 2.4110 0.4880 2.4530 0.5300 ; + RECT 1.1950 0.2970 1.2370 0.3390 ; + RECT 4.6910 0.3390 4.7330 0.3810 ; + END + END VSS + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0290 0.8280 2.1830 0.9670 ; + END + PORT + LAYER CO ; + RECT 2.0310 0.8480 2.0730 0.8900 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.5530 1.1190 0.6730 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.5770 1.0090 0.6190 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2510 1.4160 1.6370 1.4660 ; + RECT 1.3130 1.3130 1.4230 1.4160 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.4200 1.4650 1.4620 ; + RECT 1.2710 1.4200 1.3130 1.4620 ; + RECT 1.5750 1.4200 1.6170 1.4620 ; + END + ANTENNAGATEAREA 0.039 ; + END SE + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.5690 0.0970 5.6790 0.2070 ; + RECT 5.2950 0.2700 5.6610 0.3200 ; + RECT 5.2950 0.1480 5.3450 0.2700 ; + RECT 5.2950 0.9680 5.3450 1.5460 ; + RECT 5.2950 0.9180 5.6610 0.9680 ; + RECT 5.6110 0.3200 5.6610 0.9180 ; + RECT 5.6110 0.2070 5.6610 0.2700 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.2010 0.7250 0.2510 ; + RECT 0.4010 0.0970 0.5110 0.2010 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.2050 0.7050 0.2470 ; + RECT 0.5110 0.2050 0.5530 0.2470 ; + END + ANTENNAGATEAREA 0.0255 ; + END RSTB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9910 0.3740 5.5370 0.4240 ; + RECT 4.9910 0.1480 5.0410 0.3740 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 4.9910 0.8040 5.5370 0.8540 ; + RECT 5.4870 0.5110 5.5370 0.8040 ; + RECT 5.4170 0.4240 5.5370 0.5110 ; + END + PORT + LAYER CO ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 0.2870 5.0370 0.3290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + OBS + LAYER CO ; + RECT 1.6510 0.4610 1.6930 0.5030 ; + RECT 0.6630 0.8110 0.7050 0.8530 ; + RECT 2.7150 0.4500 2.7570 0.4920 ; + RECT 3.7790 0.3200 3.8210 0.3620 ; + RECT 2.8670 0.4500 2.9090 0.4920 ; + RECT 0.7390 1.2630 0.7810 1.3050 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 2.5630 0.8140 2.6050 0.8560 ; + RECT 3.2470 1.4210 3.2890 1.4630 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 3.5510 0.5770 3.5930 0.6190 ; + RECT 3.7790 1.1210 3.8210 1.1630 ; + RECT 2.8670 0.4500 2.9090 0.4920 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 2.7910 1.5320 2.8330 1.5740 ; + RECT 4.0070 1.5320 4.0490 1.5740 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.2590 0.8140 2.3010 0.8560 ; + RECT 1.6510 0.3690 1.6930 0.4110 ; + RECT 1.9550 0.4610 1.9970 0.5030 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 0.7390 0.3050 0.7810 0.3470 ; + RECT 2.2590 0.8140 2.3010 0.8560 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 2.5630 0.9060 2.6050 0.9480 ; + RECT 1.4230 0.2080 1.4650 0.2500 ; + RECT 4.0830 0.3080 4.1250 0.3500 ; + RECT 1.4990 1.0520 1.5410 1.0940 ; + RECT 1.6510 1.0530 1.6930 1.0950 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.5630 0.8140 2.6050 0.8560 ; + RECT 0.7390 1.1710 0.7810 1.2130 ; + RECT 0.8910 0.4470 0.9330 0.4890 ; + RECT 3.7790 1.3050 3.8210 1.3470 ; + RECT 0.8150 0.5770 0.8570 0.6190 ; + RECT 1.4990 1.0520 1.5410 1.0940 ; + RECT 1.6510 1.0530 1.6930 1.0950 ; + RECT 1.8790 0.1050 1.9210 0.1470 ; + RECT 4.3870 0.4810 4.4290 0.5230 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 3.6270 0.3200 3.6690 0.3620 ; + RECT 2.5630 0.9980 2.6050 1.0400 ; + RECT 3.0190 1.1840 3.0610 1.2260 ; + RECT 2.8670 1.1840 2.9090 1.2260 ; + RECT 1.8030 0.4610 1.8450 0.5030 ; + RECT 2.2590 0.9060 2.3010 0.9480 ; + RECT 2.7910 0.1550 2.8330 0.1970 ; + RECT 2.2590 0.5130 2.3010 0.5550 ; + RECT 3.6270 0.4120 3.6690 0.4540 ; + RECT 2.5630 0.4500 2.6050 0.4920 ; + RECT 2.2590 0.4210 2.3010 0.4630 ; + RECT 2.7150 0.4500 2.7570 0.4920 ; + RECT 3.0950 0.7770 3.1370 0.8190 ; + RECT 0.7390 0.9870 0.7810 1.0290 ; + RECT 1.4990 0.4610 1.5410 0.5030 ; + RECT 4.6150 0.6810 4.6570 0.7230 ; + RECT 0.7390 0.9870 0.7810 1.0290 ; + RECT 2.1830 0.6300 2.2250 0.6720 ; + RECT 2.5630 1.0900 2.6050 1.1320 ; + RECT 4.4630 0.5810 4.5050 0.6230 ; + RECT 2.9430 0.1080 2.9850 0.1500 ; + RECT 1.3470 0.9400 1.3890 0.9820 ; + RECT 1.6510 1.1450 1.6930 1.1870 ; + RECT 1.9550 0.3690 1.9970 0.4110 ; + RECT 3.0190 0.4500 3.0610 0.4920 ; + RECT 2.7150 1.1900 2.7570 1.2320 ; + RECT 3.2470 0.6770 3.2890 0.7190 ; + RECT 4.0830 1.1040 4.1250 1.1460 ; + RECT 2.4870 0.6300 2.5290 0.6720 ; + RECT 1.7270 0.2080 1.7690 0.2500 ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 4.3110 0.1080 4.3530 0.1500 ; + RECT 1.7270 1.4200 1.7690 1.4620 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 1.4990 0.4610 1.5410 0.5030 ; + RECT 0.7390 1.1710 0.7810 1.2130 ; + RECT 2.7150 0.4500 2.7570 0.4920 ; + RECT 3.7790 1.2130 3.8210 1.2550 ; + RECT 2.9430 1.4210 2.9850 1.4630 ; + RECT 1.6510 0.4610 1.6930 0.5030 ; + RECT 2.8670 1.0920 2.9090 1.1340 ; + RECT 1.4990 1.1440 1.5410 1.1860 ; + RECT 0.7390 1.0790 0.7810 1.1210 ; + RECT 1.5750 0.1050 1.6170 0.1470 ; + RECT 1.9550 1.1060 1.9970 1.1480 ; + RECT 2.2590 0.9980 2.3010 1.0400 ; + RECT 3.8550 1.5320 3.8970 1.5740 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.7150 1.0980 2.7570 1.1400 ; + RECT 3.9310 1.1990 3.9730 1.2410 ; + RECT 0.8910 1.1710 0.9330 1.2130 ; + RECT 2.8670 0.4500 2.9090 0.4920 ; + RECT 1.3470 0.3930 1.3890 0.4350 ; + RECT 2.1830 0.1080 2.2250 0.1500 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 0.8910 1.1710 0.9330 1.2130 ; + RECT 2.5630 0.9980 2.6050 1.0400 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 4.4630 0.1080 4.5050 0.1500 ; + RECT 2.2590 0.9060 2.3010 0.9480 ; + RECT 1.3470 0.9400 1.3890 0.9820 ; + RECT 3.7790 0.4120 3.8210 0.4540 ; + RECT 4.1590 0.6580 4.2010 0.7000 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.2470 0.9980 3.2890 1.0400 ; + RECT 2.6390 0.6300 2.6810 0.6720 ; + RECT 0.8910 0.9870 0.9330 1.0290 ; + RECT 4.6150 1.5320 4.6570 1.5740 ; + RECT 0.8910 1.0790 0.9330 1.1210 ; + RECT 0.8910 0.9870 0.9330 1.0290 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 1.8790 1.4200 1.9210 1.4620 ; + RECT 3.6270 1.1040 3.6690 1.1460 ; + RECT 2.5630 0.4500 2.6050 0.4920 ; + RECT 3.8550 0.1550 3.8970 0.1970 ; + RECT 1.9550 0.4610 1.9970 0.5030 ; + RECT 4.3870 1.2200 4.4290 1.2620 ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.2590 1.0900 2.3010 1.1320 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.8910 1.0790 0.9330 1.1210 ; + RECT 1.3470 0.4850 1.3890 0.5270 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 2.5630 0.4500 2.6050 0.4920 ; + RECT 3.2470 0.1550 3.2890 0.1970 ; + RECT 1.6510 1.1450 1.6930 1.1870 ; + RECT 2.5630 0.9060 2.6050 0.9480 ; + RECT 1.4990 1.1440 1.5410 1.1860 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 3.9310 0.4120 3.9730 0.4540 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + RECT 3.9310 0.3200 3.9730 0.3620 ; + RECT 2.2590 0.9980 2.3010 1.0400 ; + RECT 1.0430 0.3050 1.0850 0.3470 ; + RECT 1.4990 0.3690 1.5410 0.4110 ; + RECT 1.8030 0.3690 1.8450 0.4110 ; + RECT 0.7390 1.0790 0.7810 1.1210 ; + RECT 1.8030 0.4610 1.8450 0.5030 ; + RECT 1.8030 1.0900 1.8450 1.1320 ; + LAYER PO ; + RECT 0.8210 0.8330 0.8510 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 2.7970 0.0760 2.8270 0.5970 ; + RECT 3.8610 1.0320 3.8910 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 1.5810 0.8700 1.6110 1.6060 ; + RECT 4.6210 0.0760 4.6510 0.7550 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.6510 ; + RECT 2.7970 0.9200 2.8270 1.6060 ; + RECT 2.6450 0.0760 2.6750 1.6060 ; + RECT 0.0610 0.0760 0.0910 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 1.5810 0.0760 1.6110 0.6000 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 2.4930 0.0760 2.5230 1.6060 ; + RECT 3.5570 0.0760 3.5870 1.6060 ; + RECT 4.6210 1.1320 4.6510 1.6060 ; + RECT 3.2530 0.0760 3.2830 0.7510 ; + RECT 4.1650 0.0760 4.1950 1.6060 ; + RECT 4.4690 0.0760 4.4990 1.6060 ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + RECT 4.3170 0.0760 4.3470 1.6060 ; + RECT 2.1890 0.0760 2.2190 1.6060 ; + RECT 3.8610 0.0760 3.8910 0.5970 ; + RECT 3.7090 0.0760 3.7390 1.6060 ; + RECT 0.6690 0.0760 0.6990 0.5970 ; + RECT 0.6690 0.8010 0.6990 1.6060 ; + RECT 4.0130 0.0760 4.0430 1.6060 ; + RECT 0.9730 0.0760 1.0030 1.6060 ; + RECT 2.0370 0.0760 2.0670 1.6060 ; + RECT 1.7330 0.8700 1.7630 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 0.2130 0.0760 0.2430 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 3.2530 0.9660 3.2830 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 3.4050 0.0760 3.4350 1.6060 ; + RECT 1.7330 0.0760 1.7630 0.6000 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.8910 1.7730 ; + LAYER M1 ; + RECT 2.5590 0.7260 2.6610 0.7760 ; + RECT 2.6110 0.6260 2.7010 0.6760 ; + RECT 2.5430 0.4460 2.6610 0.4960 ; + RECT 2.5590 0.7760 2.6090 1.1520 ; + RECT 2.6110 0.6760 2.6610 0.7260 ; + RECT 2.6110 0.4960 2.6610 0.6260 ; + RECT 5.2030 0.6040 5.4370 0.6540 ; + RECT 4.3430 0.4770 5.2530 0.5270 ; + RECT 5.2030 0.5270 5.2530 0.6040 ; + RECT 3.9270 1.2160 4.4730 1.2660 ; + RECT 3.9270 0.3000 3.9770 1.2160 ; + RECT 4.1390 0.6540 4.3930 0.6770 ; + RECT 4.3430 0.7040 4.4730 0.7270 ; + RECT 4.1390 0.6770 4.4730 0.7040 ; + RECT 4.4230 0.7270 4.4730 1.2160 ; + RECT 4.3430 0.5270 4.3930 0.6540 ; + RECT 3.0700 0.7730 3.8250 0.8230 ; + RECT 3.6230 0.4520 3.8250 0.5020 ; + RECT 3.6230 0.3000 3.6730 0.4520 ; + RECT 3.7750 0.8230 3.8250 1.3800 ; + RECT 3.6230 0.8230 3.6730 1.1660 ; + RECT 3.7750 0.5020 3.8250 0.7730 ; + RECT 3.7750 0.3000 3.8250 0.4520 ; + RECT 0.7000 0.5730 0.8770 0.6230 ; + RECT 0.4310 0.8070 0.7500 0.8570 ; + RECT 0.7000 0.6230 0.7500 0.8070 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.8570 0.4810 1.2460 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.6510 0.5210 0.8070 ; + RECT 4.2910 0.1040 4.5250 0.1540 ; + RECT 4.0390 0.3040 4.4420 0.3540 ; + RECT 4.3920 0.1540 4.4420 0.3040 ; + RECT 4.0390 0.8080 4.1290 0.8580 ; + RECT 4.0790 0.8580 4.1290 1.1660 ; + RECT 4.0390 0.3540 4.0890 0.8080 ; + RECT 2.9630 0.8800 3.1650 0.9300 ; + RECT 2.9630 0.6730 3.3090 0.7230 ; + RECT 2.8230 1.3170 3.1650 1.3670 ; + RECT 3.1150 0.9300 3.1650 1.3170 ; + RECT 2.8230 1.3670 2.8730 1.5280 ; + RECT 2.6190 1.5280 2.8730 1.5780 ; + RECT 2.9630 0.7230 3.0130 0.8800 ; + RECT 0.8870 1.0520 1.5450 1.1020 ; + RECT 0.8710 0.4430 1.2500 0.4930 ; + RECT 1.4950 1.1020 1.5450 1.2520 ; + RECT 1.4950 0.3490 1.5450 1.0520 ; + RECT 1.2000 0.4930 1.2500 1.0520 ; + RECT 0.8870 1.1020 0.9370 1.2330 ; + RECT 0.8870 0.9670 0.9370 1.0520 ; + RECT 3.2270 0.1540 3.9710 0.2010 ; + RECT 3.9210 0.1040 4.0690 0.1510 ; + RECT 3.2270 0.1510 4.0690 0.1540 ; + RECT 3.8750 1.3160 4.6370 1.3660 ; + RECT 4.5870 0.6770 4.6770 0.7270 ; + RECT 4.5870 0.7270 4.6370 1.3160 ; + RECT 3.6310 1.5280 3.9250 1.5780 ; + RECT 3.4670 1.2160 3.6810 1.2660 ; + RECT 3.2270 0.9940 3.5170 1.0440 ; + RECT 3.8750 1.3660 3.9250 1.5280 ; + RECT 3.6310 1.2660 3.6810 1.5280 ; + RECT 3.4670 1.0440 3.5170 1.2160 ; + RECT 2.8630 0.5730 3.6130 0.6230 ; + RECT 2.8630 1.1960 3.0650 1.2460 ; + RECT 3.0150 1.1420 3.0650 1.1960 ; + RECT 3.0150 0.4300 3.0650 0.5730 ; + RECT 2.8630 0.6230 2.9130 1.1960 ; + RECT 2.8630 0.4300 2.9130 0.5730 ; + RECT 0.4910 1.5340 0.8770 1.5840 ; + RECT 2.7110 0.7260 2.8010 0.7760 ; + RECT 1.6470 1.2020 2.7610 1.2520 ; + RECT 2.7110 0.5260 2.8010 0.5760 ; + RECT 2.7110 0.7760 2.7610 1.2020 ; + RECT 2.7110 0.4300 2.7610 0.5260 ; + RECT 2.7510 0.5760 2.8010 0.7260 ; + RECT 1.6470 0.3490 1.6970 1.2020 ; + RECT 2.1630 0.6260 2.5490 0.6760 ; + RECT 2.2550 0.6760 2.3050 1.1520 ; + RECT 2.2550 0.4010 2.3050 0.6260 ; + RECT 1.7990 0.3490 2.0010 0.3990 ; + RECT 1.7990 1.1020 2.0170 1.1520 ; + RECT 1.9510 0.3990 2.0010 0.5370 ; + RECT 1.7990 0.3990 1.8490 1.1020 ; + RECT 3.9870 1.5280 4.6770 1.5780 ; + RECT 4.8580 0.6270 5.1330 0.6540 ; + RECT 4.4430 0.6040 5.1330 0.6270 ; + RECT 4.4430 0.5770 4.9080 0.6040 ; + RECT 1.2910 0.3190 1.3930 0.3690 ; + RECT 1.2910 0.1010 1.9410 0.1510 ; + RECT 1.3430 0.3690 1.3930 1.0020 ; + RECT 1.2910 0.1510 1.3410 0.3190 ; + RECT 0.7190 0.3010 1.1050 0.3510 ; + RECT 1.4030 0.2040 1.7890 0.2540 ; + RECT 0.7350 1.3030 1.0890 1.3530 ; + RECT 0.7350 0.9670 0.7850 1.3030 ; + RECT 1.0390 1.1790 1.0890 1.3030 ; + RECT 1.7070 1.4160 1.9460 1.4660 ; + RECT 2.1630 0.1040 3.0050 0.1540 ; + RECT 2.7870 0.1540 2.8370 0.2170 ; + RECT 2.9230 1.4170 3.3090 1.4670 ; + END +END SDFFSSRX2_HVT + +MACRO SDFFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.84 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.4810 1.0090 6.5910 1.1190 ; + RECT 6.2070 0.1480 6.2570 0.3090 ; + RECT 6.2070 0.3090 6.5910 0.3590 ; + RECT 6.2070 0.8540 6.2570 1.5460 ; + RECT 6.5410 0.8540 6.5910 1.0090 ; + RECT 6.2070 0.8040 6.5910 0.8540 ; + RECT 6.5410 0.3590 6.5910 0.8040 ; + END + PORT + LAYER CO ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 0.2870 6.2530 0.3290 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 0.1950 6.2530 0.2370 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.6330 1.1610 6.7440 1.2210 ; + RECT 6.4950 0.1540 6.7430 0.2040 ; + RECT 6.5110 1.2210 6.7440 1.2710 ; + RECT 6.5110 1.2710 6.5610 1.5460 ; + RECT 6.6930 0.2040 6.7430 1.1610 ; + END + PORT + LAYER CO ; + RECT 6.5150 0.1580 6.5570 0.2000 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.8400 1.7020 ; + RECT 0.5670 1.1500 0.9730 1.2000 ; + RECT 5.5270 1.3080 5.6650 1.3580 ; + RECT 6.0370 1.3080 6.1450 1.3580 ; + RECT 4.2140 1.2780 4.6090 1.3280 ; + RECT 2.3910 1.1500 2.7610 1.2000 ; + RECT 6.3590 1.0190 6.4090 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 0.8870 1.2000 0.9370 1.6420 ; + RECT 5.5270 1.3580 5.5770 1.6420 ; + RECT 6.0950 1.3580 6.1450 1.6420 ; + RECT 4.5590 1.3280 4.6090 1.6420 ; + RECT 2.7110 1.2000 2.7610 1.6420 ; + RECT 2.7110 1.1340 2.7610 1.1500 ; + RECT 3.0150 1.0880 3.0650 1.3040 ; + RECT 3.1670 1.3540 3.2170 1.6420 ; + RECT 3.0150 1.3040 3.2170 1.3540 ; + RECT 3.1670 1.0880 3.2170 1.3040 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.7150 1.1540 2.7570 1.1960 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.1710 1.1220 3.2130 1.1640 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.2350 1.2820 4.2770 1.3240 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 5.6030 1.3120 5.6450 1.3540 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.1710 1.2140 3.2130 1.2560 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 6.0590 1.3120 6.1010 1.3540 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.1710 1.3980 3.2130 1.4400 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 2.4110 1.1540 2.4530 1.1960 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.1710 1.3060 3.2130 1.3480 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.8400 0.0300 ; + RECT 5.5830 0.3300 6.1210 0.3800 ; + RECT 4.2310 0.1620 4.6210 0.2120 ; + RECT 0.5830 0.0300 0.6330 0.3160 ; + RECT 0.8870 0.0300 0.9370 0.3160 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 6.3590 0.0300 6.4090 0.2200 ; + RECT 2.3710 0.1570 2.7610 0.1960 ; + RECT 2.7110 0.2070 3.2170 0.2460 ; + RECT 2.3710 0.1960 3.2170 0.2070 ; + RECT 6.0550 0.0300 6.1050 0.3300 ; + RECT 4.2310 0.2120 4.2810 0.3730 ; + RECT 4.2310 0.0300 4.2810 0.1620 ; + RECT 3.0150 0.2460 3.0650 0.4500 ; + RECT 3.1670 0.2460 3.2170 0.4500 ; + RECT 2.7110 0.0300 2.7610 0.1570 ; + RECT 2.7110 0.2460 2.7610 0.4080 ; + END + PORT + LAYER CO ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.4110 0.1610 2.4530 0.2030 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 5.6030 0.3340 5.6450 0.3760 ; + RECT 3.0190 0.3880 3.0610 0.4300 ; + RECT 0.5870 0.1450 0.6290 0.1870 ; + RECT 0.5870 0.2370 0.6290 0.2790 ; + RECT 6.3630 0.1580 6.4050 0.2000 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.8910 0.2370 0.9330 0.2790 ; + RECT 3.1710 0.3880 3.2130 0.4300 ; + RECT 6.0590 0.3340 6.1010 0.3760 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.7150 0.3390 2.7570 0.3810 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.8910 0.1450 0.9330 0.1870 ; + RECT 4.5390 0.1660 4.5810 0.2080 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.0190 0.2000 3.0610 0.2420 ; + RECT 3.1710 0.2750 3.2130 0.3170 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.2350 0.2190 4.2770 0.2610 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.2350 0.3110 4.2770 0.3530 ; + END + END VSS + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 1.0290 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + END + ANTENNAGATEAREA 0.1068 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.2360 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.2560 1.9210 1.2980 ; + END + ANTENNAGATEAREA 0.0267 ; + END SI + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.6800 1.6660 0.7300 ; + RECT 1.1610 0.7300 1.3150 0.8150 ; + RECT 1.1610 0.6640 1.3150 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + END + ANTENNAGATEAREA 0.0801 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8330 1.4240 3.0050 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.5300 2.9850 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 6.4390 0.6080 6.4810 0.6500 ; + RECT 6.2870 0.6080 6.3290 0.6500 ; + RECT 5.4510 0.9820 5.4930 1.0240 ; + RECT 1.9550 0.9920 1.9970 1.0340 ; + RECT 3.3230 0.8520 3.3650 0.8940 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 3.3230 0.7600 3.3650 0.8020 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 3.7790 1.2520 3.8210 1.2940 ; + RECT 1.4990 0.1710 1.5410 0.2130 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 5.1470 1.1070 5.1890 1.1490 ; + RECT 3.7030 0.1000 3.7450 0.1420 ; + RECT 3.4750 0.2350 3.5170 0.2770 ; + RECT 4.1590 1.4820 4.2010 1.5240 ; + RECT 5.1470 0.4770 5.1890 0.5190 ; + RECT 1.0430 0.5160 1.0850 0.5580 ; + RECT 5.2990 0.4900 5.3410 0.5320 ; + RECT 4.7670 1.5260 4.8090 1.5680 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.1950 0.1710 1.2370 0.2130 ; + RECT 4.7670 0.6440 4.8090 0.6860 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 3.8550 1.5030 3.8970 1.5450 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 3.0950 0.6220 3.1370 0.6640 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 4.6910 0.4770 4.7330 0.5190 ; + RECT 3.3990 0.6490 3.4410 0.6910 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 3.2470 0.6220 3.2890 0.6640 ; + RECT 4.6910 0.2770 4.7330 0.3190 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.5630 0.2770 2.6050 0.3190 ; + RECT 5.6790 0.1200 5.7210 0.1620 ; + RECT 2.2590 0.2610 2.3010 0.3030 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 3.3230 0.5060 3.3650 0.5480 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 3.7790 1.1600 3.8210 1.2020 ; + RECT 3.9310 0.4380 3.9730 0.4800 ; + RECT 3.3230 0.4140 3.3650 0.4560 ; + RECT 4.3110 0.5690 4.3530 0.6110 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.4990 1.1540 1.5410 1.1960 ; + RECT 2.8670 0.7600 2.9090 0.8020 ; + RECT 2.8670 0.8520 2.9090 0.8940 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 3.6270 1.1600 3.6690 1.2020 ; + RECT 1.9550 0.4740 1.9970 0.5160 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 4.9950 0.3770 5.0370 0.4190 ; + RECT 4.1590 0.5690 4.2010 0.6110 ; + RECT 2.5630 0.9130 2.6050 0.9550 ; + RECT 5.4510 0.5420 5.4930 0.5840 ; + RECT 4.3870 1.1820 4.4290 1.2240 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.8030 1.0920 1.8450 1.1340 ; + RECT 4.3870 0.3720 4.4290 0.4140 ; + RECT 5.9830 1.5250 6.0250 1.5670 ; + RECT 0.7390 1.0140 0.7810 1.0560 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.9310 1.1620 3.9730 1.2040 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 3.8550 0.1000 3.8970 0.1420 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 5.0710 0.0950 5.1130 0.1370 ; + RECT 3.5510 0.1000 3.5930 0.1420 ; + RECT 5.6790 1.5250 5.7210 1.5670 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 4.3110 1.5210 4.3530 1.5630 ; + RECT 4.6150 0.7020 4.6570 0.7440 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.6270 1.2520 3.6690 1.2940 ; + RECT 4.1590 0.9050 4.2010 0.9470 ; + RECT 0.7390 1.0140 0.7810 1.0560 ; + RECT 5.5270 0.7030 5.5690 0.7450 ; + RECT 4.0070 1.0050 4.0490 1.0470 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 5.2990 1.1030 5.3410 1.1450 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 4.4630 0.7020 4.5050 0.7440 ; + RECT 3.7030 1.4900 3.7450 1.5320 ; + RECT 1.8030 0.5160 1.8450 0.5580 ; + RECT 5.2230 0.0950 5.2650 0.1370 ; + RECT 3.3990 1.5300 3.4410 1.5720 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 5.2230 1.5260 5.2650 1.5680 ; + RECT 5.9830 0.7130 6.0250 0.7550 ; + RECT 1.4990 1.1540 1.5410 1.1960 ; + RECT 5.7550 0.4980 5.7970 0.5400 ; + RECT 5.7550 1.2120 5.7970 1.2540 ; + RECT 5.1470 1.1990 5.1890 1.2410 ; + RECT 5.1470 1.2910 5.1890 1.3330 ; + LAYER PO ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6120 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 5.2290 0.8820 5.2590 1.6060 ; + RECT 4.1650 0.0680 4.1950 0.6490 ; + RECT 5.9890 0.0680 6.0190 0.7870 ; + RECT 3.7090 0.9900 3.7390 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6420 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.2290 0.0680 5.2590 0.6220 ; + RECT 5.9890 1.0120 6.0190 1.6060 ; + RECT 4.1650 0.8520 4.1950 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 6.9550 1.7730 ; + LAYER M1 ; + RECT 5.5980 0.4940 6.4850 0.5440 ; + RECT 6.0950 0.7040 6.4850 0.7540 ; + RECT 6.4350 0.5440 6.4850 0.7040 ; + RECT 5.5070 0.6990 5.6480 0.7490 ; + RECT 5.7350 1.2080 6.1460 1.2580 ; + RECT 5.2950 0.4630 5.6480 0.4880 ; + RECT 5.2940 0.4380 5.6480 0.4630 ; + RECT 5.5980 0.5440 5.6480 0.6990 ; + RECT 6.0950 0.7540 6.1450 1.2080 ; + RECT 5.5980 0.4880 5.6480 0.4940 ; + RECT 4.9750 0.3730 5.3440 0.4230 ; + RECT 5.2950 0.4880 5.3450 1.1650 ; + RECT 5.2940 0.4230 5.3440 0.4380 ; + RECT 5.2030 0.1530 5.7250 0.2030 ; + RECT 5.6750 0.0880 5.7250 0.1530 ; + RECT 4.8540 0.1420 4.9040 0.3730 ; + RECT 4.1390 0.5650 4.5980 0.6150 ; + RECT 4.8540 0.0920 5.2640 0.0930 ; + RECT 4.8540 0.0930 5.2850 0.1420 ; + RECT 5.2030 0.1420 5.2850 0.1530 ; + RECT 4.5480 0.3730 4.9040 0.4230 ; + RECT 4.5480 0.4230 4.5980 0.5650 ; + RECT 3.7750 0.7000 4.6770 0.7500 ; + RECT 3.7750 0.4340 3.9930 0.4840 ; + RECT 3.7750 1.1580 3.9930 1.2080 ; + RECT 3.4550 0.2310 3.8250 0.2810 ; + RECT 3.7750 0.2810 3.8250 0.4340 ; + RECT 3.7750 0.4840 3.8250 0.7000 ; + RECT 3.7750 1.2080 3.8250 1.3140 ; + RECT 3.7750 0.7500 3.8250 1.1580 ; + RECT 4.1390 0.9010 4.8130 0.9510 ; + RECT 4.7630 0.5870 4.8130 0.9010 ; + RECT 3.6230 0.3500 3.7130 0.4320 ; + RECT 3.6230 1.0380 3.6730 1.3140 ; + RECT 3.6470 0.4320 3.6970 0.9880 ; + RECT 2.7140 0.9880 3.6970 1.0380 ; + RECT 1.3270 0.9880 2.2120 1.0380 ; + RECT 1.3270 0.4120 2.0010 0.4620 ; + RECT 2.1620 0.8060 2.2120 0.9880 ; + RECT 1.9510 0.4620 2.0010 0.5040 ; + RECT 1.9510 0.5040 2.8010 0.5540 ; + RECT 2.7140 0.7260 2.8010 0.7560 ; + RECT 2.1620 0.7760 2.7640 0.8060 ; + RECT 2.1620 0.7560 2.8010 0.7760 ; + RECT 2.7510 0.5540 2.8010 0.7260 ; + RECT 2.7140 0.8060 2.7640 0.9880 ; + RECT 2.0600 0.6040 2.7010 0.6060 ; + RECT 2.0580 0.6060 2.7010 0.6540 ; + RECT 0.2050 0.8880 2.1100 0.9380 ; + RECT 2.0580 0.6540 2.1080 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.9820 1.0010 5.2330 1.0510 ; + RECT 4.3670 1.1780 4.7370 1.2280 ; + RECT 4.6870 1.3080 5.1930 1.3580 ; + RECT 5.1430 1.0510 5.1930 1.3080 ; + RECT 4.6560 0.4730 5.2330 0.5230 ; + RECT 4.6870 1.2280 4.7370 1.3080 ; + RECT 4.6870 1.1660 4.7370 1.1780 ; + RECT 5.1830 0.5230 5.2330 1.0010 ; + RECT 3.8510 1.4780 4.2210 1.5280 ; + RECT 3.8510 1.5280 3.9010 1.5650 ; + RECT 5.6580 1.5210 6.0450 1.5710 ; + RECT 5.8220 0.7090 6.0450 0.7590 ; + RECT 5.2430 1.2720 5.4690 1.3220 ; + RECT 4.7470 1.5220 5.2930 1.5720 ; + RECT 5.2430 1.3220 5.2930 1.5220 ; + RECT 5.4190 1.1490 5.4690 1.2720 ; + RECT 5.8220 0.7590 5.8720 1.0990 ; + RECT 5.4190 1.0990 5.8720 1.1490 ; + RECT 3.3790 1.5260 3.7490 1.5760 ; + RECT 3.6990 1.3780 4.3570 1.4280 ; + RECT 4.3070 1.4280 4.3570 1.5830 ; + RECT 3.6990 1.4280 3.7490 1.5260 ; + RECT 5.7120 0.6040 6.3570 0.6540 ; + RECT 5.4070 0.5880 5.4570 0.9780 ; + RECT 5.4070 0.5380 5.5370 0.5880 ; + RECT 5.4300 1.0270 5.7620 1.0280 ; + RECT 5.7120 1.0280 5.7620 1.0290 ; + RECT 5.7120 0.6540 5.7620 0.9780 ; + RECT 5.4070 0.9780 5.7620 1.0270 ; + RECT 2.8630 0.6180 3.3090 0.6680 ; + RECT 2.8630 0.6680 2.9130 0.9140 ; + RECT 2.8630 0.4220 2.9130 0.6180 ; + RECT 3.0750 0.0960 3.9230 0.1460 ; + RECT 0.7190 0.5120 1.8650 0.5620 ; + RECT 1.1910 0.2570 2.6090 0.3070 ; + RECT 2.5590 0.3070 2.6090 0.3390 ; + RECT 1.4950 0.1280 1.5450 0.2570 ; + RECT 1.1910 0.1280 1.2410 0.2570 ; + RECT 1.0390 1.0890 1.5450 1.1390 ; + RECT 0.6990 1.0100 1.0890 1.0600 ; + RECT 1.4950 1.1390 1.5450 1.2160 ; + RECT 1.0390 1.1390 1.0890 1.2160 ; + RECT 1.0390 1.0600 1.0890 1.0890 ; + RECT 3.3190 0.5180 3.4450 0.5680 ; + RECT 3.3190 0.3940 3.3690 0.5180 ; + RECT 3.3190 0.7680 3.3690 0.9140 ; + RECT 3.3190 0.7180 3.4450 0.7680 ; + RECT 3.3950 0.5680 3.4450 0.7180 ; + RECT 2.2910 0.9090 2.6450 0.9590 ; + RECT 1.7830 1.0880 2.3410 1.1380 ; + RECT 2.2910 0.9590 2.3410 1.0880 ; + RECT 4.3820 0.3230 4.4320 0.4340 ; + RECT 4.3820 0.2730 4.7530 0.3230 ; + END +END SDFFX1_HVT + +MACRO SDFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.144 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.3590 0.1480 6.4090 0.3940 ; + RECT 6.7850 0.4440 6.9050 0.5110 ; + RECT 6.3590 0.8540 6.4090 1.5460 ; + RECT 6.8550 0.5110 6.9050 0.8040 ; + RECT 6.3590 0.8040 6.9050 0.8540 ; + RECT 6.3590 0.3940 6.9050 0.4440 ; + END + PORT + LAYER CO ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 0.1950 6.4050 0.2370 ; + RECT 6.3630 0.9320 6.4050 0.9740 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 0.2870 6.4050 0.3290 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 0.1950 6.4050 0.2370 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 6.3630 0.9320 6.4050 0.9740 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8330 1.4240 3.0050 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.5300 2.9850 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.1440 1.7020 ; + RECT 0.5670 1.1500 0.9730 1.2000 ; + RECT 6.0370 1.3080 6.1450 1.3580 ; + RECT 5.5270 1.3080 5.6650 1.3580 ; + RECT 4.2140 1.2780 4.6090 1.3280 ; + RECT 2.3910 1.1500 2.7610 1.2000 ; + RECT 6.8150 1.0520 6.8650 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 6.5110 0.9600 6.5610 1.6420 ; + RECT 6.2070 0.8520 6.2570 1.6420 ; + RECT 0.8870 1.2000 0.9370 1.6420 ; + RECT 6.0950 1.3580 6.1450 1.6420 ; + RECT 5.5270 1.3580 5.5770 1.6420 ; + RECT 4.5590 1.3280 4.6090 1.6420 ; + RECT 3.0150 1.0880 3.0650 1.3040 ; + RECT 3.1670 1.3540 3.2170 1.6420 ; + RECT 3.0150 1.3040 3.2170 1.3540 ; + RECT 3.1670 1.0880 3.2170 1.3040 ; + RECT 2.7110 1.2000 2.7610 1.6420 ; + RECT 2.7110 1.1340 2.7610 1.1500 ; + END + PORT + LAYER CO ; + RECT 6.8190 1.2560 6.8610 1.2980 ; + RECT 6.8190 1.0720 6.8610 1.1140 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.5150 1.3480 6.5570 1.3900 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.8190 1.0720 6.8610 1.1140 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.6030 1.3120 5.6450 1.3540 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.1710 1.2140 3.2130 1.2560 ; + RECT 3.1710 1.1220 3.2130 1.1640 ; + RECT 6.5150 1.2560 6.5570 1.2980 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.5150 1.2560 6.5570 1.2980 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.5150 1.0720 6.5570 1.1140 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 6.5150 1.0720 6.5570 1.1140 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 6.8190 1.3480 6.8610 1.3900 ; + RECT 3.1710 1.3980 3.2130 1.4400 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 4.2350 1.2820 4.2770 1.3240 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5150 1.3480 6.5570 1.3900 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 6.8190 1.3480 6.8610 1.3900 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.5150 0.9800 6.5570 1.0220 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 6.5150 1.4400 6.5570 1.4820 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 2.4110 1.1540 2.4530 1.1960 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 6.8190 1.1640 6.8610 1.2060 ; + RECT 6.8190 1.1640 6.8610 1.2060 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.5150 1.1640 6.5570 1.2060 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 6.8190 1.2560 6.8610 1.2980 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 3.1710 1.3060 3.2130 1.3480 ; + RECT 2.7150 1.1540 2.7570 1.1960 ; + RECT 6.0590 1.3120 6.1010 1.3540 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 6.5150 1.1640 6.5570 1.2060 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.9370 0.0970 7.0470 0.2070 ; + RECT 6.6630 0.1480 6.7130 0.2700 ; + RECT 6.6630 0.9180 7.0290 0.9680 ; + RECT 6.6630 0.9680 6.7130 1.5460 ; + RECT 6.6630 0.2700 7.0290 0.3200 ; + RECT 6.9790 0.2070 7.0290 0.2700 ; + RECT 6.9790 0.3200 7.0290 0.9180 ; + END + PORT + LAYER CO ; + RECT 6.6670 1.3000 6.7090 1.3420 ; + RECT 6.6670 1.3920 6.7090 1.4340 ; + RECT 6.6670 1.4840 6.7090 1.5260 ; + RECT 6.6670 1.3000 6.7090 1.3420 ; + RECT 6.6670 1.2080 6.7090 1.2500 ; + RECT 6.6670 1.1160 6.7090 1.1580 ; + RECT 6.6670 1.2080 6.7090 1.2500 ; + RECT 6.6670 1.3000 6.7090 1.3420 ; + RECT 6.6670 1.2080 6.7090 1.2500 ; + RECT 6.6670 0.1950 6.7090 0.2370 ; + RECT 6.6670 1.1160 6.7090 1.1580 ; + RECT 6.6670 1.4840 6.7090 1.5260 ; + RECT 6.6670 1.1160 6.7090 1.1580 ; + RECT 6.6670 1.0240 6.7090 1.0660 ; + RECT 6.6670 1.1160 6.7090 1.1580 ; + RECT 6.6670 1.0240 6.7090 1.0660 ; + RECT 6.6670 1.3920 6.7090 1.4340 ; + RECT 6.6670 1.0240 6.7090 1.0660 ; + RECT 6.6670 1.3920 6.7090 1.4340 ; + RECT 6.6670 1.4840 6.7090 1.5260 ; + RECT 6.6670 1.3920 6.7090 1.4340 ; + RECT 6.6670 1.2080 6.7090 1.2500 ; + RECT 6.6670 0.1950 6.7090 0.2370 ; + RECT 6.6670 1.3000 6.7090 1.3420 ; + RECT 6.6670 1.0240 6.7090 1.0660 ; + RECT 6.6670 1.4840 6.7090 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.6800 1.6660 0.7300 ; + RECT 1.1610 0.7300 1.3150 0.8150 ; + RECT 1.1610 0.6640 1.3150 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + END + ANTENNAGATEAREA 0.0801 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 1.0290 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.1068 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.2360 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.2560 1.9210 1.2980 ; + END + ANTENNAGATEAREA 0.0267 ; + END SI + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.1440 0.0300 ; + RECT 4.2310 0.1620 4.6210 0.2120 ; + RECT 5.5830 0.3300 6.1210 0.3800 ; + RECT 6.2070 0.0300 6.2570 0.4080 ; + RECT 0.8870 0.0300 0.9370 0.3160 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 0.5830 0.0300 0.6330 0.3160 ; + RECT 6.8150 0.0300 6.8650 0.2200 ; + RECT 6.5110 0.0300 6.5610 0.3190 ; + RECT 2.3710 0.1570 2.7610 0.1960 ; + RECT 2.7110 0.2070 3.2170 0.2460 ; + RECT 2.3710 0.1960 3.2170 0.2070 ; + RECT 4.2310 0.2120 4.2810 0.3730 ; + RECT 4.2310 0.0300 4.2810 0.1620 ; + RECT 6.0550 0.0300 6.1050 0.3300 ; + RECT 3.1670 0.2460 3.2170 0.4500 ; + RECT 3.0150 0.2460 3.0650 0.4500 ; + RECT 2.7110 0.0300 2.7610 0.1570 ; + RECT 2.7110 0.2460 2.7610 0.4080 ; + END + PORT + LAYER CO ; + RECT 6.2110 0.1580 6.2530 0.2000 ; + RECT 5.6030 0.3340 5.6450 0.3760 ; + RECT 6.2110 0.2500 6.2530 0.2920 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 6.8190 0.1580 6.8610 0.2000 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.0190 0.3880 3.0610 0.4300 ; + RECT 3.1710 0.3880 3.2130 0.4300 ; + RECT 3.0190 0.2000 3.0610 0.2420 ; + RECT 3.1710 0.2750 3.2130 0.3170 ; + RECT 4.2350 0.2190 4.2770 0.2610 ; + RECT 4.5390 0.1660 4.5810 0.2080 ; + RECT 4.2350 0.3110 4.2770 0.3530 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5150 0.1580 6.5570 0.2000 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.4110 0.1610 2.4530 0.2030 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 6.2110 0.3420 6.2530 0.3840 ; + RECT 6.2110 0.3420 6.2530 0.3840 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 6.2110 0.3420 6.2530 0.3840 ; + RECT 0.8910 0.2370 0.9330 0.2790 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.8910 0.1450 0.9330 0.1870 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.2370 0.6290 0.2790 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 6.2110 0.2500 6.2530 0.2920 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.5870 0.1450 0.6290 0.1870 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 6.0590 0.3340 6.1010 0.3760 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 2.7150 0.3390 2.7570 0.3810 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.2110 0.3420 6.2530 0.3840 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 6.5150 0.2500 6.5570 0.2920 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 6.5150 0.2500 6.5570 0.2920 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 4.6150 0.7040 4.6570 0.7460 ; + RECT 4.7670 0.6440 4.8090 0.6860 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 3.7790 1.1600 3.8210 1.2020 ; + RECT 3.3990 0.6490 3.4410 0.6910 ; + RECT 4.1590 0.9050 4.2010 0.9470 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 5.1470 1.2910 5.1890 1.3330 ; + RECT 3.2470 0.6220 3.2890 0.6640 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 4.0070 1.0050 4.0490 1.0470 ; + RECT 5.9830 1.5250 6.0250 1.5670 ; + RECT 5.0710 0.0950 5.1130 0.1370 ; + RECT 2.8670 0.7600 2.9090 0.8020 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 5.2230 0.0950 5.2650 0.1370 ; + RECT 5.6790 0.1200 5.7210 0.1620 ; + RECT 5.7550 0.4980 5.7970 0.5400 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 3.3990 1.5300 3.4410 1.5720 ; + RECT 5.9830 0.7130 6.0250 0.7550 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 4.9950 0.3770 5.0370 0.4190 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 3.6270 0.3700 3.6690 0.4120 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 3.3230 0.5060 3.3650 0.5480 ; + RECT 3.3230 0.4140 3.3650 0.4560 ; + RECT 4.1590 0.5690 4.2010 0.6110 ; + RECT 3.9310 0.4380 3.9730 0.4800 ; + RECT 3.8550 0.1000 3.8970 0.1420 ; + RECT 4.3110 0.5690 4.3530 0.6110 ; + RECT 3.7030 0.1000 3.7450 0.1420 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 3.7790 0.3750 3.8210 0.4170 ; + RECT 5.2990 0.4900 5.3410 0.5320 ; + RECT 4.6910 0.4770 4.7330 0.5190 ; + RECT 6.7430 0.6080 6.7850 0.6500 ; + RECT 5.1470 0.4770 5.1890 0.5190 ; + RECT 5.7550 1.2120 5.7970 1.2540 ; + RECT 2.8670 0.8520 2.9090 0.8940 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 5.2230 1.5260 5.2650 1.5680 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.4990 1.1540 1.5410 1.1960 ; + RECT 4.3110 1.5220 4.3530 1.5640 ; + RECT 3.7030 1.4900 3.7450 1.5320 ; + RECT 1.8030 0.5160 1.8450 0.5580 ; + RECT 4.3870 1.1820 4.4290 1.2240 ; + RECT 3.4750 0.2350 3.5170 0.2770 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 3.5510 0.1000 3.5930 0.1420 ; + RECT 2.5630 0.2770 2.6050 0.3190 ; + RECT 2.2590 0.2610 2.3010 0.3030 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 5.4510 0.5420 5.4930 0.5840 ; + RECT 5.5270 0.7030 5.5690 0.7450 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 4.4630 0.7040 4.5050 0.7460 ; + RECT 3.8550 1.5030 3.8970 1.5450 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 4.3870 0.3720 4.4290 0.4140 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.0430 0.5160 1.0850 0.5580 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 6.5910 0.6080 6.6330 0.6500 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 1.4990 0.1710 1.5410 0.2130 ; + RECT 3.0950 0.6220 3.1370 0.6640 ; + RECT 3.6270 1.1600 3.6690 1.2020 ; + RECT 2.5630 0.9130 2.6050 0.9550 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 5.1470 1.1070 5.1890 1.1490 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 1.8030 1.0920 1.8450 1.1340 ; + RECT 0.7390 1.0140 0.7810 1.0560 ; + RECT 4.6910 0.2770 4.7330 0.3190 ; + RECT 3.3230 0.7600 3.3650 0.8020 ; + RECT 5.6790 1.5250 5.7210 1.5670 ; + RECT 3.9310 1.1620 3.9730 1.2040 ; + RECT 5.2990 1.1030 5.3410 1.1450 ; + RECT 3.3230 0.8520 3.3650 0.8940 ; + RECT 5.1470 1.1990 5.1890 1.2410 ; + RECT 1.9550 0.9920 1.9970 1.0340 ; + RECT 4.7670 1.5260 4.8090 1.5680 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 4.1590 1.4820 4.2010 1.5240 ; + RECT 0.7390 1.0140 0.7810 1.0560 ; + RECT 3.6270 1.2520 3.6690 1.2940 ; + RECT 6.4390 0.6080 6.4810 0.6500 ; + RECT 5.4510 0.9820 5.4930 1.0240 ; + RECT 6.2870 0.6080 6.3290 0.6500 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.7790 1.2520 3.8210 1.2940 ; + RECT 1.1950 0.1710 1.2370 0.2130 ; + RECT 1.4990 1.1540 1.5410 1.1960 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.9550 0.4740 1.9970 0.5160 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + LAYER PO ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6120 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 5.2290 0.8820 5.2590 1.6060 ; + RECT 4.1650 0.0680 4.1950 0.6490 ; + RECT 5.9890 0.0680 6.0190 0.7870 ; + RECT 3.7090 0.9900 3.7390 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6420 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 4.1650 0.8520 4.1950 1.6060 ; + RECT 5.2290 0.0680 5.2590 0.6220 ; + RECT 5.9890 1.0120 6.0190 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 7.2590 1.7730 ; + LAYER M1 ; + RECT 6.5680 0.6040 6.8050 0.6540 ; + RECT 5.5980 0.4940 6.6180 0.5440 ; + RECT 6.1050 0.7040 6.6180 0.7540 ; + RECT 6.5680 0.6540 6.6180 0.7040 ; + RECT 6.5680 0.5440 6.6180 0.6040 ; + RECT 4.9750 0.3730 5.3440 0.4230 ; + RECT 5.2940 0.4230 5.3440 0.4380 ; + RECT 5.2950 0.4880 5.3450 1.1650 ; + RECT 5.5070 0.6990 5.6480 0.7490 ; + RECT 5.7350 1.2080 6.1560 1.2580 ; + RECT 5.2940 0.4380 5.6480 0.4630 ; + RECT 5.2950 0.4630 5.6480 0.4880 ; + RECT 6.1060 0.7540 6.1560 1.2080 ; + RECT 5.5980 0.5440 5.6480 0.6990 ; + RECT 5.5980 0.4880 5.6480 0.4940 ; + RECT 5.2030 0.1530 5.7250 0.2030 ; + RECT 5.6750 0.0880 5.7250 0.1530 ; + RECT 4.8540 0.1420 4.9040 0.3730 ; + RECT 4.1390 0.5650 4.5980 0.6150 ; + RECT 4.8540 0.0920 5.2640 0.0930 ; + RECT 4.8540 0.0930 5.2850 0.1420 ; + RECT 5.2030 0.1420 5.2850 0.1530 ; + RECT 4.5480 0.3730 4.9040 0.4230 ; + RECT 4.5480 0.4230 4.5980 0.5650 ; + RECT 2.0600 0.6040 2.7010 0.6060 ; + RECT 2.0580 0.6060 2.7010 0.6540 ; + RECT 0.2050 0.8880 2.1100 0.9380 ; + RECT 2.0580 0.6540 2.1080 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 4.1390 0.9010 4.8130 0.9510 ; + RECT 4.7630 0.5870 4.8130 0.9010 ; + RECT 3.7750 0.7000 4.6770 0.7500 ; + RECT 3.7750 0.4340 3.9930 0.4840 ; + RECT 3.7750 1.1580 3.9930 1.2080 ; + RECT 3.4550 0.2310 3.8250 0.2810 ; + RECT 3.7750 0.2810 3.8250 0.4340 ; + RECT 3.7750 0.4840 3.8250 0.7000 ; + RECT 3.7750 1.2080 3.8250 1.3140 ; + RECT 3.7750 0.7500 3.8250 1.1580 ; + RECT 3.6230 0.3500 3.7130 0.4320 ; + RECT 3.6230 1.0380 3.6730 1.3140 ; + RECT 3.6470 0.4320 3.6970 0.9880 ; + RECT 2.7140 0.9880 3.6970 1.0380 ; + RECT 1.3270 0.9880 2.2120 1.0380 ; + RECT 1.3270 0.4120 2.0010 0.4620 ; + RECT 2.1620 0.8060 2.2120 0.9880 ; + RECT 1.9510 0.4620 2.0010 0.5040 ; + RECT 1.9510 0.5040 2.8010 0.5540 ; + RECT 2.1620 0.7760 2.7640 0.8060 ; + RECT 2.7140 0.7260 2.8010 0.7560 ; + RECT 2.1620 0.7560 2.8010 0.7760 ; + RECT 2.7510 0.5540 2.8010 0.7260 ; + RECT 2.7140 0.8060 2.7640 0.9880 ; + RECT 0.7190 0.5120 1.8650 0.5620 ; + RECT 2.2910 0.9090 2.6450 0.9590 ; + RECT 1.7830 1.0880 2.3410 1.1380 ; + RECT 2.2910 0.9590 2.3410 1.0880 ; + RECT 1.0390 1.0890 1.5450 1.1390 ; + RECT 0.6990 1.0100 1.0890 1.0600 ; + RECT 1.0390 1.1390 1.0890 1.2160 ; + RECT 1.4950 1.1390 1.5450 1.2160 ; + RECT 1.0390 1.0600 1.0890 1.0890 ; + RECT 1.1910 0.2570 2.6090 0.3070 ; + RECT 2.5590 0.3070 2.6090 0.3390 ; + RECT 1.1910 0.1280 1.2410 0.2570 ; + RECT 1.4950 0.1280 1.5450 0.2570 ; + RECT 4.3670 1.1780 4.7370 1.2280 ; + RECT 3.9820 1.0010 5.2330 1.0510 ; + RECT 4.6870 1.1660 4.7370 1.1780 ; + RECT 4.6870 1.2280 4.7370 1.3080 ; + RECT 4.6870 1.3080 5.1930 1.3580 ; + RECT 5.1430 1.0510 5.1930 1.3080 ; + RECT 4.6560 0.4730 5.2330 0.5230 ; + RECT 5.1830 0.5230 5.2330 1.0010 ; + RECT 3.0750 0.0960 3.9230 0.1460 ; + RECT 2.8630 0.6180 3.3090 0.6680 ; + RECT 2.8630 0.6680 2.9130 0.9140 ; + RECT 2.8630 0.4220 2.9130 0.6180 ; + RECT 3.3190 0.5180 3.4450 0.5680 ; + RECT 3.3190 0.3940 3.3690 0.5180 ; + RECT 3.3190 0.7680 3.3690 0.9140 ; + RECT 3.3190 0.7180 3.4450 0.7680 ; + RECT 3.3950 0.5680 3.4450 0.7180 ; + RECT 4.3820 0.3230 4.4320 0.4340 ; + RECT 4.3820 0.2730 4.7530 0.3230 ; + RECT 5.7120 0.6040 6.5010 0.6540 ; + RECT 5.4070 0.5880 5.4570 0.9780 ; + RECT 5.4070 0.5380 5.5370 0.5880 ; + RECT 5.4300 1.0270 5.7620 1.0280 ; + RECT 5.7120 1.0280 5.7620 1.0290 ; + RECT 5.7120 0.6540 5.7620 0.9780 ; + RECT 5.4070 0.9780 5.7620 1.0270 ; + RECT 5.8220 0.7090 6.0450 0.7590 ; + RECT 5.2430 1.2720 5.4690 1.3220 ; + RECT 4.7470 1.5220 5.2930 1.5720 ; + RECT 5.4190 1.1490 5.4690 1.2720 ; + RECT 5.2430 1.3220 5.2930 1.5220 ; + RECT 5.4190 1.0990 5.8720 1.1490 ; + RECT 5.8220 0.7590 5.8720 1.0990 ; + RECT 3.3790 1.5260 3.7490 1.5760 ; + RECT 4.3070 1.4280 4.3570 1.5840 ; + RECT 3.6990 1.3780 4.3570 1.4280 ; + RECT 3.6990 1.4280 3.7490 1.5260 ; + RECT 3.8510 1.4780 4.2210 1.5280 ; + RECT 3.8510 1.5280 3.9010 1.5650 ; + RECT 5.6580 1.5210 6.0450 1.5710 ; + END +END SDFFX2_HVT + +MACRO SHFILL128_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 19.456 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 19.4560 1.7020 ; + END + PORT + LAYER CO ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 19.0550 1.6510 19.0970 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 19.3590 1.6510 19.4010 1.6930 ; + RECT 19.2070 1.6510 19.2490 1.6930 ; + RECT 18.5990 1.6510 18.6410 1.6930 ; + RECT 18.1430 1.6510 18.1850 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 18.9030 1.6510 18.9450 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 18.2950 1.6510 18.3370 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 18.4470 1.6510 18.4890 1.6930 ; + RECT 18.7510 1.6510 18.7930 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 19.4560 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 18.4470 -0.0210 18.4890 0.0210 ; + RECT 18.1430 -0.0210 18.1850 0.0210 ; + RECT 18.5990 -0.0210 18.6410 0.0210 ; + RECT 18.9030 -0.0210 18.9450 0.0210 ; + RECT 19.0550 -0.0210 19.0970 0.0210 ; + RECT 19.2070 -0.0210 19.2490 0.0210 ; + RECT 19.3590 -0.0210 19.4010 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 18.2950 -0.0210 18.3370 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 18.7510 -0.0210 18.7930 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + END + END VSS + OBS + LAYER PO ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 18.1490 0.0660 18.1790 1.6060 ; + RECT 17.9970 0.0660 18.0270 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 18.9090 0.0660 18.9390 1.6060 ; + RECT 19.0610 0.0660 19.0910 1.6060 ; + RECT 19.2130 0.0660 19.2430 1.6060 ; + RECT 19.3650 0.0660 19.3950 1.6060 ; + RECT 18.7570 0.0660 18.7870 1.6060 ; + RECT 18.6050 0.0660 18.6350 1.6060 ; + RECT 18.4530 0.0660 18.4830 1.6060 ; + RECT 18.3010 0.0660 18.3310 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 19.5710 1.7730 ; + END +END SHFILL128_HVT + +MACRO SHFILL1_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.152 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.1520 1.7020 ; + RECT 0.0510 1.6240 0.1010 1.6420 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.1520 0.0300 ; + RECT 0.0510 0.0300 0.1010 0.0480 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1300 0.6790 0.2820 1.7730 ; + LAYER PO ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END SHFILL1_HVT + +MACRO SHFILL2_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.304 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.3040 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.3040 0.0300 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.4190 1.7730 ; + LAYER PO ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END SHFILL2_HVT + +MACRO SHFILL3_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.456 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.4560 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.4560 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.5710 1.7730 ; + LAYER PO ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + END +END SHFILL3_HVT + +MACRO SHFILL64_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 9.728 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 9.7280 1.7020 ; + END + PORT + LAYER CO ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 9.7280 0.0300 ; + END + PORT + LAYER CO ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 9.8430 1.7730 ; + LAYER PO ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + END +END SHFILL64_HVT + +MACRO TIEH_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.1610 0.5140 1.2740 ; + RECT 0.4310 1.2740 0.4810 1.5490 ; + RECT 0.4310 0.8230 0.4810 1.1610 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + END + ANTENNADIFFAREA 0.0816 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.2490 1.3130 0.3620 1.4230 ; + RECT 0.2790 0.8230 0.3290 1.3130 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.2790 1.4230 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5390 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3850 0.3250 0.4270 ; + RECT 0.2830 0.4770 0.3250 0.5190 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.4770 0.3250 0.5190 ; + RECT 0.2830 0.2930 0.3250 0.3350 ; + RECT 0.2830 0.2010 0.3250 0.2430 ; + RECT 0.2830 0.3850 0.3250 0.4270 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.2010 0.3250 0.2430 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER M1 ; + RECT 0.4310 0.1910 0.4810 0.6970 ; + RECT 0.3390 0.6470 0.4810 0.6970 ; + LAYER PO ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + LAYER CO ; + RECT 0.4350 0.4870 0.4770 0.5290 ; + RECT 0.4350 0.4870 0.4770 0.5290 ; + RECT 0.4350 0.3030 0.4770 0.3450 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.3590 0.6510 0.4010 0.6930 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + END +END TIEH_HVT + +MACRO TIEL_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.2490 0.5140 0.3590 ; + RECT 0.4310 0.3590 0.4810 0.6100 ; + RECT 0.4310 0.2450 0.4810 0.2490 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.4560 0.4770 0.4980 ; + RECT 0.4350 0.4560 0.4770 0.4980 ; + RECT 0.4350 0.3630 0.4770 0.4050 ; + RECT 0.4350 0.3630 0.4770 0.4050 ; + RECT 0.4350 0.2650 0.4770 0.3070 ; + RECT 0.4350 0.5480 0.4770 0.5900 ; + RECT 0.4350 0.5480 0.4770 0.5900 ; + END + ANTENNADIFFAREA 0.0428 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.2790 0.8230 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.2490 0.4010 0.3620 0.5110 ; + RECT 0.2790 0.5110 0.3290 0.5390 ; + RECT 0.2790 0.0300 0.3290 0.4010 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.2930 0.3250 0.3350 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.2010 0.3250 0.2430 ; + RECT 0.2830 0.4770 0.3250 0.5190 ; + RECT 0.2830 0.4770 0.3250 0.5190 ; + RECT 0.2830 0.2930 0.3250 0.3350 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3850 0.3250 0.4270 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.3850 0.3250 0.4270 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER M1 ; + RECT 0.4310 0.6850 0.4810 1.5490 ; + RECT 0.3390 0.6600 0.4810 0.7100 ; + LAYER PO ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + LAYER CO ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + END +END TIEL_HVT + +MACRO TNBUFFX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.168 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4210 0.6630 1.4230 ; + RECT 0.5530 1.3130 0.6630 1.3710 ; + RECT 0.3310 1.0940 0.4860 1.1440 ; + RECT 0.3310 0.6600 0.4210 0.7100 ; + RECT 0.4360 1.1440 0.4860 1.3710 ; + RECT 0.4360 1.3710 1.0400 1.4210 ; + RECT 0.3310 0.7100 0.3810 1.0940 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.3750 1.0090 1.4170 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.6630 1.3750 0.7050 1.4170 ; + RECT 0.8150 1.3750 0.8570 1.4170 ; + END + ANTENNAGATEAREA 0.0753 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.1680 1.7020 ; + RECT 0.7350 1.2710 1.5450 1.3210 ; + RECT 4.6870 1.2720 4.7370 1.6420 ; + RECT 4.3830 1.2720 4.4330 1.6420 ; + RECT 4.0790 1.2720 4.1290 1.6420 ; + RECT 3.7750 1.2720 3.8250 1.6420 ; + RECT 3.4710 1.2720 3.5210 1.6420 ; + RECT 3.1670 1.2720 3.2170 1.6420 ; + RECT 2.8630 1.2720 2.9130 1.6420 ; + RECT 0.2790 1.2140 0.3290 1.6420 ; + RECT 2.5590 1.2750 2.6090 1.6420 ; + RECT 1.1910 1.0900 1.2410 1.2710 ; + RECT 1.0390 1.0900 1.0890 1.2710 ; + RECT 0.7350 1.0900 0.7850 1.2710 ; + RECT 1.4950 1.0900 1.5450 1.2710 ; + RECT 1.1910 1.3210 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 1.1950 1.1250 1.2370 1.1670 ; + RECT 1.1950 1.2170 1.2370 1.2590 ; + RECT 1.1950 1.4930 1.2370 1.5350 ; + RECT 1.1950 1.4930 1.2370 1.5350 ; + RECT 1.1950 1.4010 1.2370 1.4430 ; + RECT 1.0430 1.2170 1.0850 1.2590 ; + RECT 1.0430 1.2170 1.0850 1.2590 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 0.2830 1.2460 0.3250 1.2880 ; + RECT 0.2830 1.4300 0.3250 1.4720 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.6910 1.4020 4.7330 1.4440 ; + RECT 4.6910 1.4020 4.7330 1.4440 ; + RECT 4.6910 1.4940 4.7330 1.5360 ; + RECT 4.6910 1.4940 4.7330 1.5360 ; + RECT 4.6910 1.3100 4.7330 1.3520 ; + RECT 4.6910 1.3100 4.7330 1.3520 ; + RECT 4.3870 1.4940 4.4290 1.5360 ; + RECT 2.8670 1.3100 2.9090 1.3520 ; + RECT 2.8670 1.3100 2.9090 1.3520 ; + RECT 2.8670 1.4940 2.9090 1.5360 ; + RECT 2.8670 1.4940 2.9090 1.5360 ; + RECT 2.8670 1.4020 2.9090 1.4440 ; + RECT 2.8670 1.4020 2.9090 1.4440 ; + RECT 0.2830 1.2460 0.3250 1.2880 ; + RECT 0.2830 1.4300 0.3250 1.4720 ; + RECT 0.2830 1.3380 0.3250 1.3800 ; + RECT 0.2830 1.3380 0.3250 1.3800 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 3.4750 1.4020 3.5170 1.4440 ; + RECT 3.4750 1.4020 3.5170 1.4440 ; + RECT 3.4750 1.4940 3.5170 1.5360 ; + RECT 3.4750 1.4940 3.5170 1.5360 ; + RECT 3.4750 1.3100 3.5170 1.3520 ; + RECT 3.4750 1.3100 3.5170 1.3520 ; + RECT 3.1710 1.3100 3.2130 1.3520 ; + RECT 3.1710 1.3100 3.2130 1.3520 ; + RECT 3.1710 1.4940 3.2130 1.5360 ; + RECT 3.1710 1.4940 3.2130 1.5360 ; + RECT 3.1710 1.4020 3.2130 1.4440 ; + RECT 3.1710 1.4020 3.2130 1.4440 ; + RECT 4.3870 1.4940 4.4290 1.5360 ; + RECT 4.3870 1.3100 4.4290 1.3520 ; + RECT 4.3870 1.3100 4.4290 1.3520 ; + RECT 4.3870 1.4020 4.4290 1.4440 ; + RECT 4.3870 1.4020 4.4290 1.4440 ; + RECT 4.0830 1.3100 4.1250 1.3520 ; + RECT 4.0830 1.3100 4.1250 1.3520 ; + RECT 4.0830 1.4940 4.1250 1.5360 ; + RECT 4.0830 1.4940 4.1250 1.5360 ; + RECT 4.0830 1.4020 4.1250 1.4440 ; + RECT 4.0830 1.4020 4.1250 1.4440 ; + RECT 3.7790 1.4020 3.8210 1.4440 ; + RECT 3.7790 1.4020 3.8210 1.4440 ; + RECT 3.7790 1.3100 3.8210 1.3520 ; + RECT 3.7790 1.3100 3.8210 1.3520 ; + RECT 3.7790 1.4940 3.8210 1.5360 ; + RECT 3.7790 1.4940 3.8210 1.5360 ; + RECT 2.5630 1.4020 2.6050 1.4440 ; + RECT 2.5630 1.4020 2.6050 1.4440 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 1.4990 1.1250 1.5410 1.1670 ; + RECT 1.4990 1.1250 1.5410 1.1670 ; + RECT 0.7390 1.2170 0.7810 1.2590 ; + RECT 1.4990 1.2170 1.5410 1.2590 ; + RECT 1.4990 1.2170 1.5410 1.2590 ; + RECT 0.7390 1.2170 0.7810 1.2590 ; + RECT 0.7390 1.1250 0.7810 1.1670 ; + RECT 1.1950 1.3090 1.2370 1.3510 ; + RECT 1.1950 1.3090 1.2370 1.3510 ; + RECT 0.7390 1.1250 0.7810 1.1670 ; + RECT 2.5630 1.3100 2.6050 1.3520 ; + RECT 2.5630 1.3100 2.6050 1.3520 ; + RECT 1.1950 1.2170 1.2370 1.2590 ; + RECT 1.1950 1.4010 1.2370 1.4430 ; + RECT 2.5630 1.4940 2.6050 1.5360 ; + RECT 1.0430 1.1250 1.0850 1.1670 ; + RECT 2.5630 1.4940 2.6050 1.5360 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.1680 0.0300 ; + RECT 1.3430 0.2000 2.6090 0.2500 ; + RECT 2.8630 0.0300 2.9130 0.3680 ; + RECT 4.6870 0.0300 4.7370 0.3680 ; + RECT 4.3830 0.0300 4.4330 0.3680 ; + RECT 4.0790 0.0300 4.1290 0.3680 ; + RECT 3.7750 0.0300 3.8250 0.3680 ; + RECT 3.4710 0.0300 3.5210 0.3680 ; + RECT 3.1670 0.0300 3.2170 0.3680 ; + RECT 0.2790 0.0300 0.3290 0.5740 ; + RECT 1.8000 0.2500 1.8500 0.4320 ; + RECT 1.3430 0.2500 1.3930 0.4370 ; + RECT 1.6470 0.2500 1.6970 0.4330 ; + RECT 2.1040 0.2500 2.1540 0.4330 ; + RECT 2.5590 0.2500 2.6090 0.3680 ; + RECT 2.5590 0.0300 2.6090 0.2000 ; + END + PORT + LAYER CO ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.8030 0.2660 1.8450 0.3080 ; + RECT 1.8030 0.2660 1.8450 0.3080 ; + RECT 1.8030 0.3580 1.8450 0.4000 ; + RECT 1.8030 0.3580 1.8450 0.4000 ; + RECT 1.3470 0.2520 1.3890 0.2940 ; + RECT 1.3470 0.3440 1.3890 0.3860 ; + RECT 1.3470 0.3440 1.3890 0.3860 ; + RECT 4.6910 0.1790 4.7330 0.2210 ; + RECT 4.6910 0.1790 4.7330 0.2210 ; + RECT 4.6910 0.2710 4.7330 0.3130 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.4750 0.1790 3.5170 0.2210 ; + RECT 3.4750 0.1790 3.5170 0.2210 ; + RECT 3.4750 0.2710 3.5170 0.3130 ; + RECT 3.1710 0.2710 3.2130 0.3130 ; + RECT 3.1710 0.1790 3.2130 0.2210 ; + RECT 3.1710 0.1790 3.2130 0.2210 ; + RECT 4.3870 0.2710 4.4290 0.3130 ; + RECT 4.3870 0.1790 4.4290 0.2210 ; + RECT 4.3870 0.1790 4.4290 0.2210 ; + RECT 4.0830 0.2710 4.1250 0.3130 ; + RECT 4.0830 0.1790 4.1250 0.2210 ; + RECT 4.0830 0.1790 4.1250 0.2210 ; + RECT 3.7790 0.1790 3.8210 0.2210 ; + RECT 3.7790 0.1790 3.8210 0.2210 ; + RECT 3.7790 0.2710 3.8210 0.3130 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 2.5630 0.1790 2.6050 0.2210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 0.2830 0.4870 0.3250 0.5290 ; + RECT 0.2830 0.3950 0.3250 0.4370 ; + RECT 0.2830 0.3030 0.3250 0.3450 ; + RECT 0.2830 0.2110 0.3250 0.2530 ; + RECT 1.6510 0.3590 1.6930 0.4010 ; + RECT 1.6510 0.3590 1.6930 0.4010 ; + RECT 2.1070 0.3590 2.1490 0.4010 ; + RECT 2.1070 0.3590 2.1490 0.4010 ; + RECT 2.1070 0.2670 2.1490 0.3090 ; + RECT 1.6510 0.2670 1.6930 0.3090 ; + RECT 2.1070 0.2670 2.1490 0.3090 ; + RECT 2.8670 0.2710 2.9090 0.3130 ; + RECT 2.8670 0.1790 2.9090 0.2210 ; + RECT 2.8670 0.1790 2.9090 0.2210 ; + RECT 2.5630 0.1790 2.6050 0.2210 ; + RECT 2.5630 0.2710 2.6050 0.3130 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9110 0.5530 5.0710 0.6630 ; + RECT 4.8390 1.1340 4.8890 1.4720 ; + RECT 4.9110 0.6630 4.9610 1.0840 ; + RECT 4.9110 0.4890 4.9610 0.5530 ; + RECT 4.8390 0.4880 4.9610 0.4890 ; + RECT 4.8390 0.1550 4.8890 0.4380 ; + RECT 4.5350 1.1340 4.5850 1.4720 ; + RECT 4.5350 0.9000 4.5850 1.0840 ; + RECT 4.2310 1.1340 4.2810 1.4720 ; + RECT 4.2310 0.9000 4.2810 1.0840 ; + RECT 3.9270 1.1340 3.9770 1.4720 ; + RECT 3.9270 0.9000 3.9770 1.0840 ; + RECT 3.6230 1.1340 3.6730 1.4720 ; + RECT 3.6230 0.9000 3.6730 1.0840 ; + RECT 3.3190 1.1340 3.3690 1.4720 ; + RECT 3.3190 0.9000 3.3690 1.0840 ; + RECT 3.0150 1.1340 3.0650 1.4720 ; + RECT 3.0150 0.9000 3.0650 1.0840 ; + RECT 2.7110 1.1340 2.7610 1.4720 ; + RECT 2.7110 0.9000 2.7610 1.0840 ; + RECT 2.4070 1.0840 4.9610 1.1340 ; + RECT 2.4070 1.1340 2.4570 1.4720 ; + RECT 2.4070 0.4380 4.9130 0.4390 ; + RECT 2.4070 0.3410 2.4570 0.4380 ; + RECT 2.4070 0.4390 4.9610 0.4880 ; + RECT 4.5350 0.1420 4.5850 0.4380 ; + RECT 4.2310 0.1420 4.2810 0.4380 ; + RECT 3.9270 0.1420 3.9770 0.4380 ; + RECT 3.6230 0.1420 3.6730 0.4380 ; + RECT 3.3190 0.1420 3.3690 0.4380 ; + RECT 3.0150 0.1420 3.0650 0.4380 ; + RECT 2.7110 0.1420 2.7610 0.4380 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.2690 4.5810 0.3110 ; + RECT 4.5390 0.3610 4.5810 0.4030 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 0.9350 4.2770 0.9770 ; + RECT 4.2350 0.9350 4.2770 0.9770 ; + RECT 4.2350 0.3610 4.2770 0.4030 ; + RECT 4.2350 0.2690 4.2770 0.3110 ; + RECT 4.2350 0.1770 4.2770 0.2190 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 0.3610 3.9730 0.4030 ; + RECT 3.9310 0.2690 3.9730 0.3110 ; + RECT 3.9310 0.1770 3.9730 0.2190 ; + RECT 3.9310 0.9350 3.9730 0.9770 ; + RECT 3.9310 0.9350 3.9730 0.9770 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.6270 0.1770 3.6690 0.2190 ; + RECT 3.6270 0.2690 3.6690 0.3110 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 3.6270 0.3610 3.6690 0.4030 ; + RECT 3.6270 0.9350 3.6690 0.9770 ; + RECT 3.6270 0.9350 3.6690 0.9770 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 0.9350 3.3650 0.9770 ; + RECT 3.3230 0.9350 3.3650 0.9770 ; + RECT 3.3230 0.1770 3.3650 0.2190 ; + RECT 3.3230 0.2690 3.3650 0.3110 ; + RECT 3.3230 0.3610 3.3650 0.4030 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.0190 0.3610 3.0610 0.4030 ; + RECT 3.0190 0.2690 3.0610 0.3110 ; + RECT 3.0190 0.1770 3.0610 0.2190 ; + RECT 3.0190 0.9350 3.0610 0.9770 ; + RECT 3.0190 0.9350 3.0610 0.9770 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 0.9350 4.5810 0.9770 ; + RECT 4.5390 0.9350 4.5810 0.9770 ; + RECT 4.5390 0.1770 4.5810 0.2190 ; + RECT 4.8430 0.1900 4.8850 0.2320 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.7150 0.3610 2.7570 0.4030 ; + RECT 2.7150 0.2690 2.7570 0.3110 ; + RECT 2.7150 0.9350 2.7570 0.9770 ; + RECT 2.7150 0.9350 2.7570 0.9770 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.4110 0.3650 2.4530 0.4070 ; + RECT 2.7150 0.1770 2.7570 0.2190 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 0.1900 4.8850 0.2320 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 4.8430 0.2820 4.8850 0.3240 ; + RECT 4.8430 0.3740 4.8850 0.4160 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 0.3740 4.8850 0.4160 ; + END + ANTENNADIFFAREA 1.1532 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.6510 1.6520 0.7010 ; + RECT 1.1610 0.7010 1.2710 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.6550 1.6170 0.6970 ; + RECT 1.2710 0.6550 1.3130 0.6970 ; + RECT 1.4230 0.6550 1.4650 0.6970 ; + END + ANTENNAGATEAREA 0.1098 ; + END A + OBS + LAYER CO ; + RECT 1.9550 0.5040 1.9970 0.5460 ; + RECT 1.1950 0.2710 1.2370 0.3130 ; + RECT 1.9550 0.4040 1.9970 0.4460 ; + RECT 1.9550 0.4040 1.9970 0.4460 ; + RECT 1.1950 0.4550 1.2370 0.4970 ; + RECT 1.1950 0.3630 1.2370 0.4050 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.3470 1.1250 1.3890 1.1670 ; + RECT 0.7390 0.3180 0.7810 0.3600 ; + RECT 0.7390 0.3180 0.7810 0.3600 ; + RECT 0.7390 0.5020 0.7810 0.5440 ; + RECT 0.7390 0.5020 0.7810 0.5440 ; + RECT 0.7390 0.4100 0.7810 0.4520 ; + RECT 0.7390 0.4100 0.7810 0.4520 ; + RECT 0.8910 1.1340 0.9330 1.1760 ; + RECT 0.8910 1.1340 0.9330 1.1760 ; + RECT 0.8910 0.7660 0.9330 0.8080 ; + RECT 0.8910 0.7660 0.9330 0.8080 ; + RECT 0.8910 0.8580 0.9330 0.9000 ; + RECT 0.8910 0.8580 0.9330 0.9000 ; + RECT 0.8910 0.4100 0.9330 0.4520 ; + RECT 0.8910 0.4100 0.9330 0.4520 ; + RECT 0.8910 0.5020 0.9330 0.5440 ; + RECT 0.8910 0.5020 0.9330 0.5440 ; + RECT 1.3470 1.0330 1.3890 1.0750 ; + RECT 3.8550 0.5430 3.8970 0.5850 ; + RECT 3.7030 0.7490 3.7450 0.7910 ; + RECT 3.7030 0.7490 3.7450 0.7910 ; + RECT 3.7030 0.5430 3.7450 0.5850 ; + RECT 3.8550 0.7490 3.8970 0.7910 ; + RECT 3.8550 0.7490 3.8970 0.7910 ; + RECT 4.4630 0.5430 4.5050 0.5850 ; + RECT 4.3110 0.5430 4.3530 0.5850 ; + RECT 4.6150 0.5430 4.6570 0.5850 ; + RECT 4.3110 0.7490 4.3530 0.7910 ; + RECT 4.3110 0.7490 4.3530 0.7910 ; + RECT 4.6150 0.7490 4.6570 0.7910 ; + RECT 4.6150 0.7490 4.6570 0.7910 ; + RECT 4.4630 0.7490 4.5050 0.7910 ; + RECT 4.4630 0.7490 4.5050 0.7910 ; + RECT 4.1590 0.5430 4.2010 0.5850 ; + RECT 4.0070 0.5430 4.0490 0.5850 ; + RECT 4.1590 0.7490 4.2010 0.7910 ; + RECT 4.1590 0.7490 4.2010 0.7910 ; + RECT 4.0070 0.7490 4.0490 0.7910 ; + RECT 4.0070 0.7490 4.0490 0.7910 ; + RECT 4.7670 0.5430 4.8090 0.5850 ; + RECT 4.7670 0.7490 4.8090 0.7910 ; + RECT 4.7670 0.7490 4.8090 0.7910 ; + RECT 1.9550 0.7570 1.9970 0.7990 ; + RECT 1.9550 0.7570 1.9970 0.7990 ; + RECT 0.5870 1.1340 0.6290 1.1760 ; + RECT 1.3470 1.0330 1.3890 1.0750 ; + RECT 0.5870 0.8580 0.6290 0.9000 ; + RECT 0.5870 0.8580 0.6290 0.9000 ; + RECT 0.5870 0.7660 0.6290 0.8080 ; + RECT 0.5870 0.7660 0.6290 0.8080 ; + RECT 1.6510 1.4010 1.6930 1.4430 ; + RECT 2.9430 0.7490 2.9850 0.7910 ; + RECT 2.9430 0.7490 2.9850 0.7910 ; + RECT 3.2470 0.5430 3.2890 0.5850 ; + RECT 3.0950 0.5430 3.1370 0.5850 ; + RECT 3.5510 0.5430 3.5930 0.5850 ; + RECT 3.3990 0.5430 3.4410 0.5850 ; + RECT 3.5510 0.7490 3.5930 0.7910 ; + RECT 2.6390 0.7490 2.6810 0.7910 ; + RECT 2.6390 0.7490 2.6810 0.7910 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 1.6510 1.1250 1.6930 1.1670 ; + RECT 2.6390 0.5430 2.6810 0.5850 ; + RECT 1.4990 0.4550 1.5410 0.4970 ; + RECT 2.4870 0.7490 2.5290 0.7910 ; + RECT 2.4870 0.7490 2.5290 0.7910 ; + RECT 1.4990 0.3630 1.5410 0.4050 ; + RECT 1.0430 0.3820 1.0850 0.4240 ; + RECT 1.8790 0.1020 1.9210 0.1440 ; + RECT 2.0310 0.1020 2.0730 0.1440 ; + RECT 1.8790 0.1020 1.9210 0.1440 ; + RECT 1.9550 0.8490 1.9970 0.8910 ; + RECT 1.8030 1.1250 1.8450 1.1670 ; + RECT 2.2590 0.3830 2.3010 0.4250 ; + RECT 2.7910 0.7490 2.8330 0.7910 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 0.4350 0.4870 0.4770 0.5290 ; + RECT 0.4350 0.8240 0.4770 0.8660 ; + RECT 0.4350 0.8240 0.4770 0.8660 ; + RECT 1.8030 1.0330 1.8450 1.0750 ; + RECT 1.8030 1.1250 1.8450 1.1670 ; + RECT 2.2590 0.4830 2.3010 0.5250 ; + RECT 2.2590 0.7570 2.3010 0.7990 ; + RECT 2.2590 0.7570 2.3010 0.7990 ; + RECT 2.2590 0.8490 2.3010 0.8910 ; + RECT 2.2590 0.8490 2.3010 0.8910 ; + RECT 0.5870 0.9500 0.6290 0.9920 ; + RECT 0.5870 0.9500 0.6290 0.9920 ; + RECT 1.6510 0.8490 1.6930 0.8910 ; + RECT 1.3470 0.9410 1.3890 0.9830 ; + RECT 1.6510 0.9410 1.6930 0.9830 ; + RECT 1.6510 0.9410 1.6930 0.9830 ; + RECT 1.9550 0.8490 1.9970 0.8910 ; + RECT 1.6510 0.8490 1.6930 0.8910 ; + RECT 2.2590 0.3830 2.3010 0.4250 ; + RECT 2.1830 0.1020 2.2250 0.1440 ; + RECT 1.6510 1.0330 1.6930 1.0750 ; + RECT 0.8910 1.0420 0.9330 1.0840 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 3.5510 0.7490 3.5930 0.7910 ; + RECT 3.0950 0.7490 3.1370 0.7910 ; + RECT 3.0950 0.7490 3.1370 0.7910 ; + RECT 3.3990 0.7490 3.4410 0.7910 ; + RECT 3.3990 0.7490 3.4410 0.7910 ; + RECT 3.2470 0.7490 3.2890 0.7910 ; + RECT 3.2470 0.7490 3.2890 0.7910 ; + RECT 0.4350 0.3030 0.4770 0.3450 ; + RECT 0.4350 0.2110 0.4770 0.2530 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.1070 1.0330 2.1490 1.0750 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 2.1070 1.1250 2.1490 1.1670 ; + RECT 1.6510 1.4010 1.6930 1.4430 ; + RECT 1.6510 1.2170 1.6930 1.2590 ; + RECT 1.6510 1.2170 1.6930 1.2590 ; + RECT 1.6510 1.3090 1.6930 1.3510 ; + RECT 0.5870 0.5020 0.6290 0.5440 ; + RECT 0.5870 0.5020 0.6290 0.5440 ; + RECT 0.5870 0.4100 0.6290 0.4520 ; + RECT 0.5870 0.4100 0.6290 0.4520 ; + RECT 0.5870 0.3180 0.6290 0.3600 ; + RECT 0.5870 0.3180 0.6290 0.3600 ; + RECT 1.6510 1.3090 1.6930 1.3510 ; + RECT 1.0430 0.2900 1.0850 0.3320 ; + RECT 2.9430 0.5430 2.9850 0.5850 ; + RECT 2.7910 0.5430 2.8330 0.5850 ; + RECT 0.5870 1.1340 0.6290 1.1760 ; + RECT 2.1830 0.1020 2.2250 0.1440 ; + RECT 2.4870 0.5430 2.5290 0.5850 ; + RECT 1.6510 1.0330 1.6930 1.0750 ; + RECT 0.8910 1.0420 0.9330 1.0840 ; + RECT 0.8910 0.9500 0.9330 0.9920 ; + RECT 0.8910 0.9500 0.9330 0.9920 ; + RECT 0.4350 0.3950 0.4770 0.4370 ; + RECT 1.6510 1.1250 1.6930 1.1670 ; + RECT 0.4350 0.9160 0.4770 0.9580 ; + RECT 0.4350 0.9160 0.4770 0.9580 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 2.7910 0.7490 2.8330 0.7910 ; + RECT 2.0310 0.1020 2.0730 0.1440 ; + RECT 1.8030 1.0330 1.8450 1.0750 ; + RECT 1.3470 1.1250 1.3890 1.1670 ; + RECT 1.3470 0.9410 1.3890 0.9830 ; + LAYER NWELL ; + RECT -0.1120 0.6790 5.2800 1.7730 ; + LAYER M1 ; + RECT 2.4520 0.7450 4.8440 0.7950 ; + RECT 0.5830 1.0340 0.6330 1.2110 ; + RECT 0.5830 0.2830 0.6330 0.9840 ; + RECT 0.8870 1.0340 0.9370 1.2110 ; + RECT 0.8870 0.3710 0.9370 0.9840 ; + RECT 1.3430 1.0340 1.3930 1.2020 ; + RECT 1.3430 0.8000 1.3930 0.9840 ; + RECT 1.6470 1.0340 1.6970 1.4780 ; + RECT 1.6470 0.8000 1.6970 0.9840 ; + RECT 1.8000 1.0340 1.8500 1.2020 ; + RECT 2.1040 1.0340 2.1540 1.2020 ; + RECT 0.5830 0.9840 2.5330 1.0340 ; + RECT 2.4830 0.7950 2.5330 0.9840 ; + RECT 0.4310 0.1000 2.2600 0.1500 ; + RECT 0.4310 0.5600 0.5210 0.6100 ; + RECT 0.4310 0.1500 0.4810 0.5600 ; + RECT 0.4710 0.6100 0.5210 0.7890 ; + RECT 0.4310 0.8400 0.4810 1.0160 ; + RECT 0.4310 0.7890 0.5210 0.8400 ; + RECT 1.0390 0.5390 4.8440 0.5890 ; + RECT 1.1910 0.2360 1.2410 0.5390 ; + RECT 0.7350 0.2000 1.0890 0.2500 ; + RECT 0.7350 0.2500 0.7850 0.6010 ; + RECT 1.0390 0.2500 1.0890 0.5390 ; + RECT 1.4950 0.3280 1.5450 0.5390 ; + RECT 1.9510 0.7720 2.0010 0.9110 ; + RECT 1.9510 0.3690 2.0010 0.5390 ; + RECT 2.2550 0.7720 2.3050 0.9260 ; + RECT 1.9510 0.7220 2.3050 0.7720 ; + RECT 2.2550 0.5890 2.3050 0.7220 ; + RECT 2.2550 0.3480 2.3050 0.5390 ; + LAYER PO ; + RECT 4.7730 0.0660 4.8030 0.6170 ; + RECT 4.7730 0.7170 4.8030 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6170 ; + RECT 4.4690 0.7170 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 0.6170 ; + RECT 4.3170 0.7170 4.3470 1.6060 ; + RECT 4.6210 0.0660 4.6510 0.6170 ; + RECT 4.6210 0.7170 4.6510 1.6060 ; + RECT 4.1650 0.0660 4.1950 0.6170 ; + RECT 4.1650 0.7170 4.1950 1.6060 ; + RECT 4.0130 0.0660 4.0430 0.6170 ; + RECT 4.0130 0.7170 4.0430 1.6060 ; + RECT 3.8610 0.0660 3.8910 0.6170 ; + RECT 3.8610 0.7170 3.8910 1.6060 ; + RECT 3.7090 0.0660 3.7390 0.6170 ; + RECT 3.7090 0.7170 3.7390 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 3.2530 0.0660 3.2830 0.6170 ; + RECT 3.2530 0.7170 3.2830 1.6060 ; + RECT 3.5570 0.0660 3.5870 0.6170 ; + RECT 3.5570 0.7170 3.5870 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6170 ; + RECT 3.4050 0.7170 3.4350 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6170 ; + RECT 3.1010 0.7170 3.1310 1.6060 ; + RECT 2.6450 0.7170 2.6750 1.6060 ; + RECT 2.7970 0.7170 2.8270 1.6060 ; + RECT 2.9490 0.7170 2.9790 1.6060 ; + RECT 2.4930 0.7170 2.5230 1.6060 ; + RECT 2.4930 0.0660 2.5230 0.6170 ; + RECT 2.9490 0.0660 2.9790 0.6170 ; + RECT 2.7970 0.0660 2.8270 0.6170 ; + RECT 2.6450 0.0660 2.6750 0.6170 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END TNBUFFX16_HVT + +MACRO TNBUFFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.3730 0.7360 1.4230 ; + RECT 0.5530 1.4230 0.6630 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.3770 0.7050 1.4190 ; + RECT 0.3590 1.3770 0.4010 1.4190 ; + END + ANTENNAGATEAREA 0.0252 ; + END EN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.2240 1.1560 0.3290 1.2060 ; + RECT 0.7350 1.0600 0.9370 1.1100 ; + RECT 1.4950 1.0840 1.5450 1.6420 ; + RECT 0.2790 0.7310 0.3290 1.1560 ; + RECT 0.2240 1.2060 0.2740 1.6420 ; + RECT 0.7350 0.8140 0.7850 1.0600 ; + RECT 0.8870 0.8140 0.9370 1.0600 ; + RECT 0.8100 1.1100 0.8600 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.7390 0.9410 0.7810 0.9830 ; + RECT 0.8910 0.8490 0.9330 0.8910 ; + RECT 0.8910 1.0330 0.9330 1.0750 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.7390 0.8490 0.7810 0.8910 ; + RECT 0.7390 0.8490 0.7810 0.8910 ; + RECT 0.8910 1.0330 0.9330 1.0750 ; + RECT 0.8910 0.8490 0.9330 0.8910 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 0.7390 0.9410 0.7810 0.9830 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.8580 0.3250 0.9000 ; + RECT 0.2830 0.7660 0.3250 0.8080 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.0390 0.2480 1.5450 0.2980 ; + RECT 0.2790 0.0300 0.3290 0.5420 ; + RECT 1.0390 0.2980 1.0890 0.5520 ; + RECT 1.1920 0.2980 1.2420 0.5520 ; + RECT 1.4950 0.2980 1.5450 0.4870 ; + RECT 1.4950 0.0300 1.5450 0.2480 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.4750 1.2370 0.5170 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.1950 0.3830 1.2370 0.4250 ; + RECT 1.1950 0.3830 1.2370 0.4250 ; + RECT 1.4990 0.4180 1.5410 0.4600 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4990 0.3260 1.5410 0.3680 ; + RECT 1.4990 0.3260 1.5410 0.3680 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + RECT 0.2830 0.4750 0.3250 0.5170 ; + RECT 0.2830 0.3830 0.3250 0.4250 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7020 0.6780 1.8820 0.8150 ; + RECT 1.6470 1.0840 1.7520 1.1340 ; + RECT 1.6470 0.3670 1.7520 0.4170 ; + RECT 1.6470 1.1340 1.6970 1.4720 ; + RECT 1.7020 0.8150 1.7520 1.0840 ; + RECT 1.7020 0.4170 1.7520 0.6780 ; + RECT 1.6470 0.1030 1.6970 0.3670 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 0.1560 1.6930 0.1980 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 1.6510 0.3400 1.6930 0.3820 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 0.3400 1.6930 0.3820 ; + RECT 1.6510 0.1560 1.6930 0.1980 ; + END + ANTENNADIFFAREA 0.1111 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9320 1.4030 1.1190 1.4530 ; + RECT 1.0090 1.3130 1.1190 1.4030 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.4070 1.0090 1.4490 ; + END + ANTENNAGATEAREA 0.0186 ; + END A + OBS + LAYER CO ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 0.4350 0.8580 0.4770 0.9000 ; + RECT 1.3470 0.4830 1.3890 0.5250 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.7570 1.3890 0.7990 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.3470 0.8490 1.3890 0.8910 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 0.5870 0.3830 0.6290 0.4250 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.7570 1.2370 0.7990 ; + RECT 1.0430 0.9410 1.0850 0.9830 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 0.7390 0.4750 0.7810 0.5170 ; + RECT 1.0430 0.8490 1.0850 0.8910 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.2710 0.0940 1.3130 0.1360 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 1.2710 0.0940 1.3130 0.1360 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.5750 0.5410 1.6170 0.5830 ; + RECT 1.0430 1.0330 1.0850 1.0750 ; + RECT 1.1950 0.8490 1.2370 0.8910 ; + RECT 1.1950 0.9410 1.2370 0.9830 ; + RECT 0.4350 0.3830 0.4770 0.4250 ; + RECT 0.8910 0.4750 0.9330 0.5170 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.4350 0.9500 0.4770 0.9920 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 0.5870 0.3830 0.6290 0.4250 ; + RECT 0.8910 0.3830 0.9330 0.4250 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 1.0420 0.4770 1.0840 ; + RECT 0.4350 0.7660 0.4770 0.8080 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 1.5750 0.7490 1.6170 0.7910 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 0.7390 0.3830 0.7810 0.4250 ; + LAYER NWELL ; + RECT -0.1120 0.6790 2.0880 1.7730 ; + LAYER M1 ; + RECT 1.4430 0.5370 1.6520 0.5870 ; + RECT 0.7350 0.5020 0.9370 0.5520 ; + RECT 0.8870 0.3480 0.9370 0.5020 ; + RECT 0.8120 0.6020 1.4930 0.6520 ; + RECT 1.4430 0.5870 1.4930 0.6020 ; + RECT 1.3430 0.6520 1.3930 0.9340 ; + RECT 1.3430 0.3480 1.3930 0.6020 ; + RECT 0.8120 0.5520 0.8620 0.6020 ; + RECT 0.7350 0.3480 0.7850 0.5020 ; + RECT 1.4860 0.7450 1.6520 0.7950 ; + RECT 1.1920 0.9840 1.5360 1.0340 ; + RECT 1.4860 0.7950 1.5360 0.9840 ; + RECT 1.4860 0.7440 1.5360 0.7450 ; + RECT 0.5830 0.3480 0.6330 0.7140 ; + RECT 0.5830 0.7640 0.6330 1.0180 ; + RECT 1.0390 0.7640 1.0890 1.1100 ; + RECT 0.5830 0.7140 1.2420 0.7640 ; + RECT 1.1920 0.7640 1.2420 0.9840 ; + RECT 1.1920 0.7130 1.2420 0.7140 ; + RECT 0.4310 0.0910 1.3480 0.1410 ; + RECT 0.4310 0.1410 0.4810 1.1190 ; + LAYER PO ; + RECT 1.5810 0.7170 1.6110 1.6060 ; + RECT 1.5810 0.0640 1.6110 0.6150 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END TNBUFFX1_HVT + +MACRO SDFFASRSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.448 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.3290 0.2340 7.2570 0.2840 ; + RECT 6.3290 0.2840 6.4390 0.3590 ; + RECT 6.8150 1.1560 7.2570 1.2060 ; + RECT 6.8150 1.2060 6.8650 1.5460 ; + RECT 7.2070 0.2840 7.2570 1.1560 ; + END + PORT + LAYER CO ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.8190 0.2380 6.8610 0.2800 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.5110 1.0500 7.1570 1.1000 ; + RECT 6.6310 1.1000 6.7510 1.1190 ; + RECT 6.6310 1.0090 6.7510 1.0500 ; + RECT 6.5110 1.1000 6.5610 1.5460 ; + RECT 7.1070 0.3840 7.1570 1.0500 ; + RECT 6.4920 0.3340 7.1570 0.3840 ; + END + PORT + LAYER CO ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 0.3380 6.5570 0.3800 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.4480 1.7020 ; + RECT 3.9100 1.2780 4.6390 1.3280 ; + RECT 5.2660 1.3080 6.1450 1.3580 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 2.4070 1.1340 2.4570 1.6420 ; + RECT 6.9670 1.2700 7.0170 1.6420 ; + RECT 6.3590 1.3630 6.4090 1.6420 ; + RECT 6.6630 1.2230 6.7130 1.6420 ; + RECT 0.4310 1.0000 0.4810 1.6420 ; + RECT 4.1030 1.3280 4.1530 1.6420 ; + RECT 2.7110 1.0880 2.7610 1.3040 ; + RECT 2.8630 1.3540 2.9130 1.6420 ; + RECT 2.7110 1.3040 2.9130 1.3540 ; + RECT 2.8630 1.1010 2.9130 1.3040 ; + RECT 6.0950 1.3580 6.1450 1.6420 ; + END + PORT + LAYER CO ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 6.9710 1.3940 7.0130 1.4360 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 6.6670 1.3480 6.7090 1.3900 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.6670 1.2560 6.7090 1.2980 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 2.8670 1.1220 2.9090 1.1640 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 6.3630 1.4840 6.4050 1.5260 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.8670 1.3060 2.9090 1.3480 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.6670 1.2560 6.7090 1.2980 ; + RECT 6.9710 1.3940 7.0130 1.4360 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 6.0590 1.3120 6.1010 1.3540 ; + RECT 2.7150 1.2920 2.7570 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.9310 1.2820 3.9730 1.3240 ; + RECT 5.2990 1.3120 5.3410 1.3540 ; + RECT 6.9710 1.4860 7.0130 1.5280 ; + RECT 2.8670 1.3980 2.9090 1.4400 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.8670 1.2140 2.9090 1.2560 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 6.6670 1.3480 6.7090 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.2350 1.2820 4.2770 1.3240 ; + RECT 6.6670 1.4400 6.7090 1.4820 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 6.9710 1.3020 7.0130 1.3440 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 6.9710 1.3020 7.0130 1.3440 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 2.4110 1.1540 2.4530 1.1960 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 2.7150 1.2000 2.7570 1.2420 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 6.9710 1.4860 7.0130 1.5280 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + END + END VDD + + PIN SO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.3270 1.1610 6.4470 1.2710 ; + RECT 6.2070 0.8770 7.0570 0.9270 ; + RECT 6.2070 0.9270 6.2570 1.5460 ; + RECT 6.2070 0.1480 6.2570 0.4340 ; + RECT 7.0070 0.4840 7.0570 0.8770 ; + RECT 6.2070 0.4340 7.0570 0.4840 ; + RECT 6.3590 0.9270 6.4090 1.1610 ; + END + PORT + LAYER CO ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 0.2870 6.2530 0.3290 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 0.1950 6.2530 0.2370 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + END + ANTENNADIFFAREA 0.1244 ; + END SO + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9340 0.6800 1.4670 0.7300 ; + RECT 1.3130 0.7300 1.4670 0.8150 ; + RECT 1.3130 0.6640 1.4670 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.6990 0.0880 5.2850 0.1380 ; + RECT 5.2030 0.1380 5.2850 0.1640 ; + RECT 3.6990 0.1380 3.8550 0.2070 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.1200 5.2650 0.1620 ; + RECT 3.7030 0.1220 3.7450 0.1640 ; + END + ANTENNAGATEAREA 0.039 ; + END RSTB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0495 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.3130 1.7710 1.4250 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.3630 1.7690 1.4050 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8110 0.8460 5.9830 1.0230 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.8900 5.8730 0.9320 ; + END + ANTENNAGATEAREA 0.0735 ; + END SETB + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.4480 0.0300 ; + RECT 2.4070 0.1960 2.9130 0.2460 ; + RECT 5.4310 0.3300 6.1210 0.3800 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 4.6870 0.2340 4.7370 0.2880 ; + RECT 4.0790 0.3380 4.1290 0.3910 ; + RECT 4.0790 0.2870 4.1290 0.2880 ; + RECT 3.9270 0.3380 3.9770 0.3910 ; + RECT 3.9270 0.2870 3.9770 0.2880 ; + RECT 3.5170 0.3380 3.5670 0.3840 ; + RECT 3.5170 0.2880 4.7370 0.3380 ; + RECT 3.5170 0.0300 3.5670 0.2880 ; + RECT 2.8630 0.2460 2.9130 0.4500 ; + RECT 2.7110 0.2460 2.7610 0.4500 ; + RECT 2.4070 0.0300 2.4570 0.1960 ; + RECT 2.4070 0.3850 2.4570 0.4080 ; + RECT 2.0870 0.3350 2.4570 0.3850 ; + RECT 2.4070 0.2460 2.4570 0.3350 ; + RECT 6.3400 0.1340 7.0500 0.1840 ; + RECT 6.0550 0.0300 6.1050 0.3300 ; + RECT 7.0000 0.0300 7.0500 0.1340 ; + END + PORT + LAYER CO ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.7150 0.3880 2.7570 0.4300 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 4.6910 0.2540 4.7330 0.2960 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 6.6670 0.1380 6.7090 0.1800 ; + RECT 6.3630 0.1380 6.4050 0.1800 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 6.9710 0.1380 7.0130 0.1800 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 2.8670 0.3880 2.9090 0.4300 ; + RECT 2.7150 0.2000 2.7570 0.2420 ; + RECT 2.8670 0.2750 2.9090 0.3170 ; + RECT 2.4110 0.3390 2.4530 0.3810 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.1070 0.3390 2.1490 0.3810 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.3630 0.1380 6.4050 0.1800 ; + RECT 3.9310 0.3070 3.9730 0.3490 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.0830 0.3070 4.1250 0.3490 ; + RECT 6.0590 0.3340 6.1010 0.3760 ; + RECT 5.4510 0.3340 5.4930 0.3760 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5290 1.4240 2.7010 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.5300 2.6810 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 4.8430 1.2910 4.8850 1.3330 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.9950 1.1030 5.0370 1.1450 ; + RECT 4.3870 0.4930 4.4290 0.5350 ; + RECT 2.9430 0.6220 2.9850 0.6640 ; + RECT 5.8310 1.5380 5.8730 1.5800 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + RECT 3.8550 0.8200 3.8970 0.8620 ; + RECT 2.0310 0.6820 2.0730 0.7240 ; + RECT 1.9550 0.1970 1.9970 0.2390 ; + RECT 4.6150 0.7080 4.6570 0.7500 ; + RECT 4.1590 0.7080 4.2010 0.7500 ; + RECT 4.5390 0.1920 4.5810 0.2340 ; + RECT 4.2350 0.1920 4.2770 0.2340 ; + RECT 4.4630 1.5400 4.5050 1.5820 ; + RECT 4.6910 1.1700 4.7330 1.2120 ; + RECT 0.8910 0.9920 0.9330 1.0340 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 6.7430 0.6640 6.7850 0.7060 ; + RECT 6.5910 0.6380 6.6330 0.6800 ; + RECT 6.4390 0.6380 6.4810 0.6800 ; + RECT 6.4390 0.6380 6.4810 0.6800 ; + RECT 1.1950 0.4160 1.2370 0.4580 ; + RECT 6.5910 0.6380 6.6330 0.6800 ; + RECT 2.1830 0.6820 2.2250 0.7240 ; + RECT 1.4990 0.9920 1.5410 1.0340 ; + RECT 6.2870 0.6380 6.3290 0.6800 ; + RECT 6.8950 0.6640 6.9370 0.7060 ; + RECT 1.4990 0.4160 1.5410 0.4580 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 1.6510 1.0920 1.6930 1.1340 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 3.2470 0.1000 3.2890 0.1420 ; + RECT 5.4510 0.9820 5.4930 1.0240 ; + RECT 1.1950 0.9920 1.2370 1.0340 ; + RECT 4.0070 1.4820 4.0490 1.5240 ; + RECT 3.1710 1.2520 3.2130 1.2940 ; + RECT 3.0190 0.5060 3.0610 0.5480 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 3.0190 0.4140 3.0610 0.4560 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.8030 0.4740 1.8450 0.5160 ; + RECT 4.3870 1.1700 4.4290 1.2120 ; + RECT 5.9070 1.2120 5.9490 1.2540 ; + RECT 5.7550 1.1120 5.7970 1.1540 ; + RECT 3.6270 1.1620 3.6690 1.2040 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 3.0950 1.5300 3.1370 1.5720 ; + RECT 3.8550 1.4820 3.8970 1.5240 ; + RECT 1.3470 1.1760 1.3890 1.2180 ; + RECT 2.5630 0.7600 2.6050 0.8020 ; + RECT 4.3110 1.5400 4.3530 1.5820 ; + RECT 4.8430 1.1070 4.8850 1.1490 ; + RECT 5.9830 1.4120 6.0250 1.4540 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 1.1760 1.0850 1.2180 ; + RECT 4.7670 1.4380 4.8090 1.4800 ; + RECT 1.6510 0.5160 1.6930 0.5580 ; + RECT 3.2470 1.4900 3.2890 1.5320 ; + RECT 5.5270 1.4120 5.5690 1.4540 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 3.0190 0.8520 3.0610 0.8940 ; + RECT 2.3350 0.6820 2.3770 0.7240 ; + RECT 4.8430 0.4930 4.8850 0.5350 ; + RECT 6.4390 0.6380 6.4810 0.6800 ; + RECT 4.0830 1.1700 4.1250 1.2120 ; + RECT 5.1470 0.9820 5.1890 1.0240 ; + RECT 3.0190 0.7600 3.0610 0.8020 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 2.5630 0.8520 2.6050 0.8940 ; + RECT 5.9830 0.7400 6.0250 0.7820 ; + RECT 1.8030 0.9920 1.8450 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.3470 1.1760 1.3890 1.2180 ; + RECT 1.0430 1.1760 1.0850 1.2180 ; + RECT 6.5910 0.6380 6.6330 0.6800 ; + RECT 5.3750 0.7700 5.4170 0.8120 ; + RECT 5.6030 0.4420 5.6450 0.4840 ; + RECT 4.9950 0.4900 5.0370 0.5320 ; + RECT 4.7670 0.8200 4.8090 0.8620 ; + RECT 5.5270 0.1280 5.5690 0.1700 ; + RECT 4.9190 0.1900 4.9610 0.2320 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.4750 0.4380 3.5170 0.4800 ; + RECT 3.3990 0.1000 3.4410 0.1420 ; + RECT 5.6790 0.6380 5.7210 0.6800 ; + RECT 3.5510 0.9600 3.5930 1.0020 ; + RECT 3.7790 1.2820 3.8210 1.3240 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 3.0950 0.6490 3.1370 0.6910 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 3.1710 1.1600 3.2130 1.2020 ; + RECT 4.9190 1.4380 4.9610 1.4800 ; + RECT 2.2590 0.1970 2.3010 0.2390 ; + RECT 3.3230 1.2520 3.3650 1.2940 ; + RECT 5.1470 0.4500 5.1890 0.4920 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.3990 1.5030 3.4410 1.5450 ; + RECT 4.8430 1.1990 4.8850 1.2410 ; + RECT 5.6030 1.2120 5.6450 1.2540 ; + LAYER PO ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 3.2530 0.0680 3.2830 0.6620 ; + RECT 3.2530 0.7900 3.2830 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 7.3570 0.0680 7.3870 1.6060 ; + RECT 5.9890 0.0680 6.0190 0.7900 ; + RECT 3.8610 0.0680 3.8910 0.7040 ; + RECT 4.9250 0.8820 4.9550 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 7.2050 0.0680 7.2350 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 5.9890 0.9120 6.0190 1.6060 ; + RECT 4.9250 0.0680 4.9550 0.6220 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.8610 0.8040 3.8910 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + LAYER NWELL ; + RECT -0.1120 0.6930 7.5630 1.7730 ; + RECT 2.5050 0.6880 7.5630 0.6930 ; + RECT -0.1120 0.6790 1.7670 0.6930 ; + RECT 2.5140 0.6790 7.5630 0.6880 ; + LAYER M1 ; + RECT 0.2050 0.8880 2.2620 0.9380 ; + RECT 2.0080 0.6780 2.3970 0.7280 ; + RECT 2.2120 0.7280 2.2620 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 5.5230 0.0880 5.5730 0.2300 ; + RECT 4.8840 0.2300 5.5730 0.2800 ; + RECT 3.8130 0.6040 4.0760 0.6540 ; + RECT 3.9250 0.5170 3.9750 0.6040 ; + RECT 4.1790 0.4390 4.2290 0.4670 ; + RECT 3.9250 0.4670 4.2290 0.5170 ; + RECT 4.1790 0.3890 4.9340 0.4390 ; + RECT 4.8840 0.2800 4.9340 0.3890 ; + RECT 4.8990 0.1880 4.9810 0.2020 ; + RECT 4.8840 0.2020 4.9810 0.2300 ; + RECT 3.3190 0.7040 4.6940 0.7540 ; + RECT 3.3190 0.3550 3.3690 0.4340 ; + RECT 3.3190 1.2080 3.3690 1.3140 ; + RECT 3.3190 0.7540 3.3690 1.1580 ; + RECT 3.3190 0.4840 3.3690 0.7040 ; + RECT 3.3190 0.4340 3.5370 0.4840 ; + RECT 3.3190 1.1580 3.6890 1.2080 ; + RECT 3.1670 0.2990 3.2570 0.3810 ; + RECT 3.1670 1.0380 3.2170 1.3140 ; + RECT 3.1910 0.3810 3.2410 0.9880 ; + RECT 0.8710 0.9880 3.2410 1.0380 ; + RECT 0.8710 0.4120 1.8490 0.4620 ; + RECT 1.7990 0.4620 1.8490 0.5040 ; + RECT 2.4100 0.8260 2.4970 0.8760 ; + RECT 1.7990 0.5040 2.4970 0.5540 ; + RECT 2.4100 0.8760 2.4600 0.9880 ; + RECT 2.4470 0.5540 2.4970 0.8260 ; + RECT 3.8350 0.8160 4.8290 0.8660 ; + RECT 6.7200 0.6600 6.9570 0.7100 ; + RECT 5.7350 1.1080 6.1450 1.1580 ; + RECT 6.0950 0.8110 6.1450 1.1080 ; + RECT 6.0950 0.7610 6.7700 0.8110 ; + RECT 5.7420 0.5340 6.7660 0.5600 ; + RECT 5.7420 0.5600 6.7700 0.5840 ; + RECT 6.7200 0.5840 6.7700 0.6600 ; + RECT 6.7200 0.7100 6.7700 0.7610 ; + RECT 5.2940 0.4380 5.7920 0.4880 ; + RECT 5.2940 0.7660 5.4370 0.8160 ; + RECT 5.7420 0.4880 5.7920 0.5340 ; + RECT 4.9910 0.3300 5.3440 0.3800 ; + RECT 4.9910 0.3800 5.0410 1.1650 ; + RECT 5.2940 0.4880 5.3440 0.7660 ; + RECT 5.2940 0.3800 5.3440 0.4380 ; + RECT 5.6250 0.7360 6.0450 0.7860 ; + RECT 5.6250 0.7860 5.6750 1.0990 ; + RECT 5.1150 1.0990 5.6750 1.1490 ; + RECT 4.7470 1.4340 4.9890 1.4840 ; + RECT 4.9390 1.2720 5.1650 1.3220 ; + RECT 4.9390 1.3220 4.9890 1.4340 ; + RECT 5.1150 1.1490 5.1650 1.2720 ; + RECT 1.6310 1.0880 2.3210 1.1380 ; + RECT 0.7350 1.1560 1.3930 1.2060 ; + RECT 1.0390 1.2060 1.0890 1.2590 ; + RECT 1.3430 1.2060 1.3930 1.2590 ; + RECT 0.7350 1.2060 0.7850 1.2160 ; + RECT 0.7350 1.0420 0.7850 1.1560 ; + RECT 1.0390 0.2100 2.3050 0.2600 ; + RECT 1.3430 0.2600 1.3930 0.2920 ; + RECT 1.0390 0.2600 1.0890 0.2920 ; + RECT 1.9510 0.1690 2.0010 0.2100 ; + RECT 2.2550 0.1690 2.3050 0.2100 ; + RECT 4.2550 1.5340 5.9080 1.5840 ; + RECT 3.0750 1.5260 3.2930 1.5760 ; + RECT 3.2430 1.3780 4.0530 1.4280 ; + RECT 4.0030 1.4280 4.0530 1.5440 ; + RECT 3.2430 1.4280 3.2930 1.5260 ; + RECT 5.5830 1.2080 5.9690 1.2580 ; + RECT 4.8390 0.6060 4.9290 0.6560 ; + RECT 4.3600 0.4890 4.9180 0.5390 ; + RECT 4.0620 1.1660 4.8890 1.2160 ; + RECT 3.6540 1.0250 4.9290 1.0750 ; + RECT 4.8790 0.6560 4.9290 1.0250 ; + RECT 4.8390 0.5390 4.8890 0.6060 ; + RECT 4.8390 1.2160 4.8890 1.3580 ; + RECT 4.8390 1.0750 4.8890 1.1660 ; + RECT 3.5260 0.9560 3.7040 1.0060 ; + RECT 3.6540 1.0060 3.7040 1.0250 ; + RECT 3.4550 1.2780 3.8410 1.3280 ; + RECT 5.4870 0.6340 6.6530 0.6840 ; + RECT 5.1260 0.9780 5.5370 1.0280 ; + RECT 5.1260 0.4460 5.2330 0.4960 ; + RECT 5.4870 0.6840 5.5370 0.9780 ; + RECT 5.1260 0.4960 5.1760 0.9780 ; + RECT 5.5060 1.4080 6.0450 1.4580 ; + RECT 3.3950 1.4780 3.9170 1.5280 ; + RECT 3.3950 1.5280 3.4450 1.5650 ; + RECT 2.5590 0.6180 3.0050 0.6680 ; + RECT 2.5590 0.6680 2.6090 0.9140 ; + RECT 2.5590 0.4220 2.6090 0.6180 ; + RECT 3.0150 0.5180 3.1410 0.5680 ; + RECT 3.0150 0.7680 3.0650 0.9140 ; + RECT 3.0150 0.7180 3.1410 0.7680 ; + RECT 3.0150 0.3940 3.0650 0.5180 ; + RECT 3.0910 0.5680 3.1410 0.7180 ; + RECT 4.2020 0.1880 4.6020 0.2380 ; + RECT 0.7140 0.5120 1.7130 0.5620 ; + RECT 2.7710 0.0960 3.4670 0.1460 ; + END +END SDFFASRSX2_HVT + +MACRO SDFFASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 8.664 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1370 1.4240 3.3090 1.5760 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.5300 3.2890 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 8.6640 0.0300 ; + RECT 4.1240 0.3030 4.8890 0.3530 ; + RECT 3.0150 0.1960 3.5210 0.2460 ; + RECT 6.1910 0.3300 7.1970 0.3800 ; + RECT 1.4950 0.0300 1.5450 0.3540 ; + RECT 8.3350 0.0300 8.3850 0.2200 ; + RECT 7.7270 0.0300 7.7770 0.4080 ; + RECT 0.2790 0.0300 0.3290 0.2650 ; + RECT 0.5830 0.0300 0.6330 0.2820 ; + RECT 0.8870 0.0300 0.9370 0.2790 ; + RECT 1.1910 0.0300 1.2410 0.2660 ; + RECT 4.5350 0.3530 4.5850 0.4610 ; + RECT 4.5350 0.2870 4.5850 0.3030 ; + RECT 4.8390 0.3530 4.8890 0.5050 ; + RECT 4.1570 0.0300 4.2070 0.3030 ; + RECT 3.3190 0.2460 3.3690 0.4500 ; + RECT 3.4710 0.2460 3.5210 0.4500 ; + RECT 3.0150 0.0300 3.0650 0.1960 ; + RECT 3.0150 0.2460 3.0650 0.3630 ; + RECT 2.6880 0.4050 3.0560 0.4130 ; + RECT 2.6880 0.3630 3.0650 0.4050 ; + RECT 7.1190 0.0300 7.1690 0.3300 ; + END + PORT + LAYER CO ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 7.1230 0.3340 7.1650 0.3760 ; + RECT 4.8430 0.3230 4.8850 0.3650 ; + RECT 4.5390 0.3070 4.5810 0.3490 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 3.0190 0.3390 3.0610 0.3810 ; + RECT 3.4750 0.2750 3.5170 0.3170 ; + RECT 3.3230 0.2000 3.3650 0.2420 ; + RECT 3.4750 0.3880 3.5170 0.4300 ; + RECT 1.1950 0.1890 1.2370 0.2310 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.3390 0.1580 8.3810 0.2000 ; + RECT 2.7150 0.3670 2.7570 0.4090 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 3.3230 0.3880 3.3650 0.4300 ; + RECT 0.5870 0.2050 0.6290 0.2470 ; + RECT 0.5870 0.2050 0.6290 0.2470 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 1.4990 0.1720 1.5410 0.2140 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.7310 0.2500 7.7730 0.2920 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.7310 0.1580 7.7730 0.2000 ; + RECT 7.7310 0.2500 7.7730 0.2920 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 1.1950 0.1890 1.2370 0.2310 ; + RECT 1.4990 0.2640 1.5410 0.3060 ; + RECT 4.8430 0.4150 4.8850 0.4570 ; + RECT 4.5390 0.3990 4.5810 0.4410 ; + RECT 6.2110 0.3340 6.2530 0.3760 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.8750 0.8140 7.0470 0.9760 ; + END + PORT + LAYER CO ; + RECT 6.8950 0.8410 6.9370 0.8830 ; + END + ANTENNAGATEAREA 0.0825 ; + END SETB + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.2360 2.3790 1.4230 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.2560 2.3770 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3260 0.6800 1.6370 0.7300 ; + RECT 1.1610 0.5530 1.2710 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.5110 0.6840 0.5530 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + END + ANTENNAGATEAREA 0.168 ; + END SE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3070 0.0880 6.0450 0.1380 ; + RECT 4.3070 0.1380 4.4630 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.3110 0.1220 4.3530 0.1640 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + END + ANTENNAGATEAREA 0.054 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 0.6770 2.0750 0.8280 ; + END + PORT + LAYER CO ; + RECT 2.0310 0.6970 2.0730 0.7390 ; + END + ANTENNAGATEAREA 0.06 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 8.6640 1.7020 ; + RECT 0.2620 1.1470 1.2410 1.1970 ; + RECT 3.3190 1.3040 3.5230 1.3540 ; + RECT 4.5120 1.2780 5.2240 1.3280 ; + RECT 1.4940 1.2630 1.5440 1.6420 ; + RECT 7.7270 0.8920 7.7770 1.6420 ; + RECT 8.3350 1.0340 8.3850 1.6420 ; + RECT 3.0150 1.1150 3.0650 1.6420 ; + RECT 1.1910 1.1970 1.2410 1.6420 ; + RECT 1.1910 1.0280 1.2410 1.1470 ; + RECT 3.3190 1.0880 3.3690 1.3040 ; + RECT 3.6230 1.3630 3.6730 1.4130 ; + RECT 4.7170 1.3280 4.7670 1.6420 ; + RECT 6.5450 1.3360 7.6220 1.3760 ; + RECT 6.0260 1.2860 6.5950 1.3260 ; + RECT 6.0260 1.3260 7.6220 1.3360 ; + RECT 7.5580 1.3760 7.6080 1.6420 ; + RECT 3.4570 1.4130 3.6730 1.4630 ; + RECT 3.4570 1.4630 3.5070 1.6420 ; + RECT 3.4570 1.3540 3.5070 1.4130 ; + END + PORT + LAYER CO ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 1.1950 1.0530 1.2370 1.0950 ; + RECT 5.1470 1.2820 5.1890 1.3240 ; + RECT 6.0590 1.2900 6.1010 1.3320 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 3.3230 1.2920 3.3650 1.3340 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.1950 1.1450 1.2370 1.1870 ; + RECT 1.4990 1.2920 1.5410 1.3340 ; + RECT 1.4990 1.2920 1.5410 1.3340 ; + RECT 1.4990 1.3840 1.5410 1.4260 ; + RECT 1.1950 1.0530 1.2370 1.0950 ; + RECT 1.1950 1.1450 1.2370 1.1870 ; + RECT 3.3230 1.2000 3.3650 1.2420 ; + RECT 3.0190 1.1540 3.0610 1.1960 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + RECT 8.3390 1.0720 8.3810 1.1140 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 8.3390 1.1640 8.3810 1.2060 ; + RECT 8.3390 1.3480 8.3810 1.3900 ; + RECT 8.3390 1.3480 8.3810 1.3900 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.1230 1.3300 7.1650 1.3720 ; + RECT 0.8910 1.1510 0.9330 1.1930 ; + RECT 1.4990 1.3840 1.5410 1.4260 ; + RECT 3.6270 1.3980 3.6690 1.4400 ; + RECT 3.3230 1.1080 3.3650 1.1500 ; + RECT 0.5870 1.1510 0.6290 1.1930 ; + RECT 0.2830 1.1510 0.3250 1.1930 ; + RECT 4.8430 1.2820 4.8850 1.3240 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 6.3630 1.2900 6.4050 1.3320 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 8.3390 1.2560 8.3810 1.2980 ; + RECT 8.3390 1.2560 8.3810 1.2980 ; + RECT 8.3390 1.1640 8.3810 1.2060 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 8.3390 1.0720 8.3810 1.1140 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.8790 0.8040 8.4250 0.8540 ; + RECT 7.8790 0.1480 7.9290 0.3940 ; + RECT 7.8790 0.8540 7.9290 1.5540 ; + RECT 8.3750 0.5110 8.4250 0.8040 ; + RECT 8.3050 0.4440 8.4250 0.5110 ; + RECT 7.8790 0.3940 8.4250 0.4440 ; + END + PORT + LAYER CO ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 0.1950 7.9250 0.2370 ; + RECT 7.8830 0.1950 7.9250 0.2370 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 0.9320 7.9250 0.9740 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 0.9320 7.9250 0.9740 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 0.2870 7.9250 0.3290 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.4570 0.0970 8.5670 0.2070 ; + RECT 8.1830 0.2700 8.5490 0.3200 ; + RECT 8.1830 0.1480 8.2330 0.2700 ; + RECT 8.1830 0.9680 8.2330 1.5460 ; + RECT 8.1830 0.9180 8.5490 0.9680 ; + RECT 8.4990 0.3200 8.5490 0.9180 ; + RECT 8.4990 0.2070 8.5490 0.2700 ; + END + PORT + LAYER CO ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 0.1950 8.2290 0.2370 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 0.1950 8.2290 0.2370 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + OBS + LAYER CO ; + RECT 5.6790 1.4320 5.7210 1.4740 ; + RECT 1.6510 0.5160 1.6930 0.5580 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 3.3990 0.1000 3.4410 0.1420 ; + RECT 4.3870 1.2820 4.4290 1.3240 ; + RECT 4.1590 1.0050 4.2010 1.0470 ; + RECT 6.7430 0.6080 6.7850 0.6500 ; + RECT 4.0070 0.1000 4.0490 0.1420 ; + RECT 4.0830 0.4380 4.1250 0.4800 ; + RECT 4.4630 0.5970 4.5050 0.6390 ; + RECT 5.6790 0.2450 5.7210 0.2870 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 5.3750 0.8900 5.4170 0.9320 ; + RECT 5.7550 0.4250 5.7970 0.4670 ; + RECT 6.6670 0.4420 6.7090 0.4840 ; + RECT 6.1350 0.7470 6.1770 0.7890 ; + RECT 4.0830 1.2820 4.1250 1.3240 ; + RECT 6.5910 1.4320 6.6330 1.4740 ; + RECT 3.8550 1.5300 3.8970 1.5720 ; + RECT 2.2590 0.5160 2.3010 0.5580 ; + RECT 5.3750 1.4320 5.4170 1.4740 ; + RECT 1.9550 1.2180 1.9970 1.2600 ; + RECT 1.0430 1.0520 1.0850 1.0940 ; + RECT 7.0470 1.4320 7.0890 1.4740 ; + RECT 5.6030 1.1070 5.6450 1.1490 ; + RECT 4.9190 0.7740 4.9610 0.8160 ; + RECT 3.1710 0.7600 3.2130 0.8020 ; + RECT 1.9550 1.1260 1.9970 1.1680 ; + RECT 4.4630 1.4820 4.5050 1.5240 ; + RECT 3.9310 1.1600 3.9730 1.2020 ; + RECT 4.2350 1.1620 4.2770 1.2040 ; + RECT 6.8190 1.0830 6.8610 1.1250 ; + RECT 5.2990 1.2910 5.3410 1.3330 ; + RECT 6.9710 1.2300 7.0130 1.2720 ; + RECT 5.2990 1.1990 5.3410 1.2410 ; + RECT 2.4110 0.4740 2.4530 0.5160 ; + RECT 3.6270 0.4140 3.6690 0.4560 ; + RECT 1.6510 1.0620 1.6930 1.1040 ; + RECT 3.6270 0.5060 3.6690 0.5480 ; + RECT 1.9550 1.2180 1.9970 1.2600 ; + RECT 1.9550 1.1260 1.9970 1.1680 ; + RECT 5.5270 0.2450 5.5690 0.2870 ; + RECT 1.0430 1.0520 1.0850 1.0940 ; + RECT 1.6510 1.0620 1.6930 1.1040 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 2.4110 0.9920 2.4530 1.0340 ; + RECT 7.0470 0.7080 7.0890 0.7500 ; + RECT 3.1710 0.8520 3.2130 0.8940 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.8550 0.1000 3.8970 0.1420 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 2.5630 0.2510 2.6050 0.2930 ; + RECT 7.1990 1.4320 7.2410 1.4740 ; + RECT 6.4390 1.4320 6.4810 1.4740 ; + RECT 6.1350 1.4320 6.1770 1.4740 ; + RECT 7.3510 1.5320 7.3930 1.5740 ; + RECT 7.5790 1.2300 7.6210 1.2720 ; + RECT 6.2870 0.8490 6.3290 0.8910 ; + RECT 7.2750 1.2300 7.3170 1.2720 ; + RECT 5.9830 0.8490 6.0250 0.8910 ; + RECT 3.7790 1.0970 3.8210 1.1390 ; + RECT 4.6150 1.4820 4.6570 1.5240 ; + RECT 6.2110 1.0220 6.2530 1.0640 ; + RECT 4.4630 0.8900 4.5050 0.9320 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 0.7390 1.0520 0.7810 1.0940 ; + RECT 6.8950 1.5320 6.9370 1.5740 ; + RECT 2.0310 1.5130 2.0730 1.5550 ; + RECT 3.5510 0.6220 3.5930 0.6640 ; + RECT 5.1470 0.4280 5.1890 0.4700 ; + RECT 5.7550 1.2850 5.7970 1.3270 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 5.4510 0.4250 5.4930 0.4670 ; + RECT 3.3990 0.6220 3.4410 0.6640 ; + RECT 5.9070 1.0220 5.9490 1.0640 ; + RECT 4.6910 1.1700 4.7330 1.2120 ; + RECT 5.6030 0.5280 5.6450 0.5700 ; + RECT 2.9430 0.8000 2.9850 0.8420 ; + RECT 1.8790 1.5130 1.9210 1.5550 ; + RECT 3.4750 0.8520 3.5170 0.8940 ; + RECT 7.4270 1.0830 7.4690 1.1250 ; + RECT 2.1070 1.0980 2.1490 1.1400 ; + RECT 3.4750 1.1220 3.5170 1.1640 ; + RECT 1.8030 1.3890 1.8450 1.4310 ; + RECT 0.4350 1.0510 0.4770 1.0930 ; + RECT 2.6390 0.8930 2.6810 0.9350 ; + RECT 0.7390 0.4830 0.7810 0.5250 ; + RECT 0.4350 0.4830 0.4770 0.5250 ; + RECT 7.5030 0.6080 7.5450 0.6500 ; + RECT 5.5270 1.4320 5.5690 1.4740 ; + RECT 4.6910 0.1910 4.7330 0.2330 ; + RECT 4.9950 0.1910 5.0370 0.2330 ; + RECT 2.7910 0.8930 2.8330 0.9350 ; + RECT 4.9950 1.1700 5.0370 1.2120 ; + RECT 5.0710 1.5320 5.1130 1.5740 ; + RECT 4.7670 0.7740 4.8090 0.8160 ; + RECT 5.2990 0.1910 5.3410 0.2330 ; + RECT 1.3470 0.5160 1.3890 0.5580 ; + RECT 7.8070 0.6080 7.8490 0.6500 ; + RECT 1.0430 0.4590 1.0850 0.5010 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 2.8670 1.0920 2.9090 1.1340 ; + RECT 1.9550 0.2510 1.9970 0.2930 ; + RECT 2.1070 0.4160 2.1490 0.4580 ; + RECT 6.6670 1.2300 6.7090 1.2720 ; + RECT 5.4510 1.2850 5.4930 1.3270 ; + RECT 4.0070 1.5030 4.0490 1.5450 ; + RECT 5.2230 1.5320 5.2650 1.5740 ; + RECT 4.6150 0.5970 4.6570 0.6390 ; + RECT 5.9070 0.5420 5.9490 0.5840 ; + RECT 3.9310 1.2520 3.9730 1.2940 ; + RECT 2.8670 0.2510 2.9090 0.2930 ; + RECT 1.8030 0.4160 1.8450 0.4580 ; + RECT 6.5150 1.0220 6.5570 1.0640 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + RECT 1.3470 1.0620 1.3890 1.1040 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + RECT 1.3470 1.0620 1.3890 1.1040 ; + RECT 7.8070 0.6080 7.8490 0.6500 ; + RECT 8.2630 0.6080 8.3050 0.6500 ; + LAYER PO ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.8610 0.9900 3.8910 1.6060 ; + RECT 3.8610 0.0680 3.8910 0.7870 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6090 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 4.4690 0.7800 4.4990 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 5.6850 0.1330 5.7150 0.6870 ; + RECT 7.0530 0.9120 7.0830 1.6060 ; + RECT 5.5330 0.8820 5.5630 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 7.5090 0.0680 7.5390 1.6060 ; + RECT 8.4210 0.0680 8.4510 1.6060 ; + RECT 8.2690 0.0680 8.2990 1.6060 ; + RECT 8.5730 0.0680 8.6030 1.6060 ; + RECT 8.1170 0.0680 8.1470 1.6060 ; + RECT 7.9650 0.0680 7.9950 1.6060 ; + RECT 7.8130 0.0680 7.8430 1.6150 ; + RECT 7.6610 0.0680 7.6910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.5330 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.3570 0.0680 7.3870 1.6060 ; + RECT 5.6850 0.8820 5.7150 1.6060 ; + RECT 7.2050 0.0650 7.2350 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 4.4690 0.0680 4.4990 0.6710 ; + RECT 7.0530 0.0680 7.0830 0.7870 ; + RECT 5.5330 0.1330 5.5630 0.6870 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + LAYER NWELL ; + RECT -0.1040 0.7470 8.7660 1.7730 ; + RECT -0.1040 0.7330 3.7160 0.7470 ; + RECT 4.0670 0.7440 8.7660 0.7470 ; + RECT -0.1040 0.6930 3.6810 0.7330 ; + RECT 4.0670 0.6810 5.8130 0.7440 ; + RECT 6.3590 0.6790 8.7660 0.7440 ; + RECT 3.1180 0.6850 3.6810 0.6930 ; + RECT -0.1040 0.6790 1.2170 0.6930 ; + RECT 3.1700 0.6810 3.6810 0.6850 ; + LAYER M1 ; + RECT 7.9640 0.6040 8.3250 0.6540 ; + RECT 6.7950 1.0790 7.4930 1.1290 ; + RECT 6.8320 0.4880 6.8820 0.5030 ; + RECT 5.7510 0.4710 6.8820 0.4880 ; + RECT 5.4300 0.4380 6.8820 0.4710 ; + RECT 7.1590 0.7540 7.2090 1.0790 ; + RECT 5.4310 1.2810 5.8010 1.3310 ; + RECT 5.7510 0.3880 5.8010 0.4210 ; + RECT 5.7510 1.3310 5.8010 1.3680 ; + RECT 5.4300 0.4210 5.8010 0.4380 ; + RECT 5.7510 0.4880 5.8010 1.2810 ; + RECT 6.0540 0.4880 6.1040 0.7430 ; + RECT 6.0560 0.7580 6.1970 0.7930 ; + RECT 6.0540 0.7430 6.1970 0.7580 ; + RECT 6.8320 0.5030 8.0140 0.5530 ; + RECT 7.1590 0.7040 8.0140 0.7540 ; + RECT 7.9640 0.6540 8.0140 0.7040 ; + RECT 7.9640 0.5530 8.0140 0.6040 ; + RECT 1.0390 0.8880 2.8700 0.9380 ; + RECT 2.8200 0.7960 3.0050 0.8460 ; + RECT 2.8200 0.8460 2.8700 0.8880 ; + RECT 0.2100 0.5280 0.2600 1.0470 ; + RECT 0.2100 1.0470 1.1130 1.0970 ; + RECT 1.0390 0.3180 1.0890 0.4780 ; + RECT 1.0390 0.9380 1.0890 1.0470 ; + RECT 0.2100 0.4780 1.0890 0.5280 ; + RECT 4.4250 0.8860 5.4370 0.9360 ; + RECT 3.7750 0.2990 3.8650 0.3810 ; + RECT 3.7750 1.0380 3.8250 1.1910 ; + RECT 3.7990 0.3810 3.8490 0.9880 ; + RECT 2.1030 0.9880 3.8490 1.0380 ; + RECT 1.7830 0.4120 2.4570 0.4620 ; + RECT 2.4070 0.4620 2.4570 0.5040 ; + RECT 2.1030 1.0380 2.1530 1.3850 ; + RECT 1.7830 1.3850 2.1530 1.4350 ; + RECT 3.0560 0.5540 3.1060 0.9880 ; + RECT 2.4070 0.5040 3.1060 0.5540 ; + RECT 3.9270 0.7700 4.9970 0.8200 ; + RECT 3.9270 0.4340 4.1450 0.4840 ; + RECT 3.9270 1.1580 4.2970 1.2080 ; + RECT 3.9270 0.3550 3.9770 0.4340 ; + RECT 3.9270 0.4840 3.9770 0.7700 ; + RECT 3.9270 1.2080 3.9770 1.3140 ; + RECT 3.9270 0.8200 3.9770 1.1580 ; + RECT 6.0950 0.0880 6.6970 0.1380 ; + RECT 5.0250 0.2910 5.4630 0.3380 ; + RECT 5.0250 0.3380 5.0750 0.5930 ; + RECT 4.4280 0.5930 5.0750 0.6430 ; + RECT 6.0950 0.1380 6.1450 0.2410 ; + RECT 5.4130 0.2410 6.1450 0.2880 ; + RECT 5.0250 0.2880 6.1450 0.2910 ; + RECT 4.1160 1.0010 5.6890 1.0510 ; + RECT 4.6700 1.1660 5.3450 1.2160 ; + RECT 5.5990 1.0510 5.6490 1.1900 ; + RECT 5.2950 1.2160 5.3450 1.3580 ; + RECT 5.2950 1.0510 5.3450 1.1660 ; + RECT 5.1430 0.3890 5.1930 0.5240 ; + RECT 5.1430 0.5240 5.6890 0.5740 ; + RECT 5.6390 0.5740 5.6890 1.0010 ; + RECT 3.6230 0.5180 3.7490 0.5680 ; + RECT 3.6230 0.3940 3.6730 0.5180 ; + RECT 3.4710 0.7680 3.5210 0.9140 ; + RECT 3.4710 0.7180 3.7490 0.7680 ; + RECT 3.6990 0.5680 3.7490 0.7180 ; + RECT 3.1670 0.6180 3.6130 0.6680 ; + RECT 3.1670 0.6680 3.2170 0.9140 ; + RECT 3.1670 0.4220 3.2170 0.6180 ; + RECT 3.3790 0.0960 4.0750 0.1460 ; + RECT 1.3430 1.1060 2.0010 1.1560 ; + RECT 1.6470 1.1560 1.6970 1.2160 ; + RECT 1.6470 1.0420 1.6970 1.1060 ; + RECT 1.9510 1.1560 2.0010 1.2800 ; + RECT 1.3430 1.1560 1.3930 1.2160 ; + RECT 1.3430 1.0420 1.3930 1.1060 ; + RECT 3.7630 1.3780 4.6610 1.4280 ; + RECT 4.6110 1.4280 4.6610 1.5440 ; + RECT 3.6750 1.2610 3.8130 1.3110 ; + RECT 3.7630 1.4280 3.8130 1.5260 ; + RECT 3.7630 1.5260 3.9220 1.5760 ; + RECT 3.7630 1.3110 3.8130 1.3780 ; + RECT 3.6750 1.1680 3.7250 1.2610 ; + RECT 3.4530 1.1180 3.7250 1.1680 ; + RECT 1.3270 0.5120 2.3210 0.5620 ; + RECT 6.6500 0.7040 7.1090 0.7540 ; + RECT 6.5470 1.1190 6.7000 1.1690 ; + RECT 5.8790 1.1860 6.5970 1.1920 ; + RECT 5.8750 1.1920 6.5970 1.2360 ; + RECT 6.5470 1.1690 6.5970 1.1860 ; + RECT 6.6500 0.7540 6.7000 1.1190 ; + RECT 5.3550 1.4280 5.9250 1.4780 ; + RECT 5.8750 1.2360 5.9250 1.4280 ; + RECT 1.9510 0.2310 2.9130 0.2810 ; + RECT 2.8630 0.2810 2.9130 0.3130 ; + RECT 2.5590 0.2810 2.6090 0.3130 ; + RECT 1.9510 0.2810 2.0010 0.3130 ; + RECT 6.5280 0.6040 7.8760 0.6540 ; + RECT 5.8530 0.5880 5.9030 1.0180 ; + RECT 5.8530 0.5380 5.9930 0.5880 ; + RECT 5.8530 1.0180 6.5780 1.0680 ; + RECT 6.5280 0.6540 6.5780 1.0180 ; + RECT 4.0030 1.4780 4.5250 1.5280 ; + RECT 4.0030 1.5280 4.0530 1.5650 ; + RECT 4.6690 0.1880 5.3610 0.2380 ; + RECT 1.8540 1.5090 2.1070 1.5590 ; + RECT 6.1150 1.4280 6.5190 1.4780 ; + RECT 5.9620 0.8450 6.3730 0.8950 ; + RECT 6.6470 1.2260 7.6410 1.2760 ; + RECT 6.5700 1.4280 7.2770 1.4780 ; + RECT 4.0630 1.2780 4.4490 1.3280 ; + RECT 5.0370 1.5280 7.4460 1.5780 ; + RECT 2.2390 1.0880 2.9290 1.1380 ; + END +END SDFFASRX1_HVT + +MACRO SDFFASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 8.664 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.8790 0.8040 8.4250 0.8540 ; + RECT 7.8790 0.1480 7.9290 0.3940 ; + RECT 7.8790 0.8540 7.9290 1.5460 ; + RECT 8.3750 0.5110 8.4250 0.8040 ; + RECT 8.3050 0.4440 8.4250 0.5110 ; + RECT 7.8790 0.3940 8.4250 0.4440 ; + END + PORT + LAYER CO ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 0.1950 7.9250 0.2370 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 0.1950 7.9250 0.2370 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 0.2870 7.9250 0.3290 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 0.9320 7.9250 0.9740 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 0.9320 7.9250 0.9740 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 8.6640 1.7020 ; + RECT 0.2620 1.1470 1.2410 1.1970 ; + RECT 4.5120 1.2780 5.2240 1.3280 ; + RECT 3.3190 1.3040 3.5230 1.3540 ; + RECT 1.4950 1.2630 1.5450 1.6420 ; + RECT 8.3350 1.0520 8.3850 1.6420 ; + RECT 8.0310 0.9600 8.0810 1.6420 ; + RECT 3.0150 1.1340 3.0650 1.6420 ; + RECT 7.7270 0.9120 7.7770 1.6420 ; + RECT 1.1910 1.1970 1.2410 1.6420 ; + RECT 1.1910 1.0330 1.2410 1.1470 ; + RECT 4.7330 1.3280 4.7830 1.6420 ; + RECT 6.0260 1.2860 6.5950 1.3260 ; + RECT 3.6230 1.3630 3.6730 1.4130 ; + RECT 3.4730 1.4130 3.6730 1.4630 ; + RECT 3.3190 1.0880 3.3690 1.3040 ; + RECT 7.5740 1.3760 7.6240 1.6420 ; + RECT 6.0260 1.3270 7.6240 1.3360 ; + RECT 6.0260 1.3260 7.6220 1.3270 ; + RECT 3.4730 1.4630 3.5230 1.6420 ; + RECT 3.4730 1.3540 3.5230 1.4130 ; + RECT 6.5450 1.3360 7.6240 1.3760 ; + END + PORT + LAYER CO ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 6.3630 1.2900 6.4050 1.3320 ; + RECT 4.8430 1.2820 4.8850 1.3240 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2830 1.1510 0.3250 1.1930 ; + RECT 0.5870 1.1510 0.6290 1.1930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 8.3390 1.0720 8.3810 1.1140 ; + RECT 3.6270 1.3980 3.6690 1.4400 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 8.3390 1.1640 8.3810 1.2060 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 1.4990 1.3840 1.5410 1.4260 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 8.0350 1.2560 8.0770 1.2980 ; + RECT 0.8910 1.1510 0.9330 1.1930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 8.3390 1.2560 8.3810 1.2980 ; + RECT 8.3390 1.1640 8.3810 1.2060 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 8.0350 1.1640 8.0770 1.2060 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 8.0350 0.9800 8.0770 1.0220 ; + RECT 8.0350 1.0720 8.0770 1.1140 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 8.3390 1.0720 8.3810 1.1140 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 3.3230 1.1080 3.3650 1.1500 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 8.0350 1.4400 8.0770 1.4820 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 8.0350 1.3480 8.0770 1.3900 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 8.0350 1.3480 8.0770 1.3900 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 8.3390 1.3480 8.3810 1.3900 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 7.1230 1.3300 7.1650 1.3720 ; + RECT 8.3390 1.3480 8.3810 1.3900 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3230 1.2920 3.3650 1.3340 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 6.0590 1.2900 6.1010 1.3320 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 5.1470 1.2820 5.1890 1.3240 ; + RECT 1.1950 1.0530 1.2370 1.0950 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 8.0350 1.0720 8.0770 1.1140 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 3.0190 1.1540 3.0610 1.1960 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 3.3230 1.2000 3.3650 1.2420 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 1.1950 1.1450 1.2370 1.1870 ; + RECT 1.1950 1.0530 1.2370 1.0950 ; + RECT 1.4990 1.3840 1.5410 1.4260 ; + RECT 1.4990 1.2920 1.5410 1.3340 ; + RECT 8.0350 1.1640 8.0770 1.2060 ; + RECT 1.4990 1.2920 1.5410 1.3340 ; + RECT 8.0350 1.2560 8.0770 1.2980 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 1.1950 1.1450 1.2370 1.1870 ; + RECT 8.3390 1.2560 8.3810 1.2980 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.4570 0.0970 8.5670 0.2070 ; + RECT 8.1830 0.2700 8.5490 0.3200 ; + RECT 8.1830 0.1480 8.2330 0.2700 ; + RECT 8.1830 0.9680 8.2330 1.5460 ; + RECT 8.1830 0.9180 8.5490 0.9680 ; + RECT 8.4990 0.3200 8.5490 0.9180 ; + RECT 8.4990 0.2070 8.5490 0.2700 ; + END + PORT + LAYER CO ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 0.1950 8.2290 0.2370 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 0.1950 8.2290 0.2370 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.0240 8.2290 1.0660 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 0.6770 2.0750 0.8280 ; + END + PORT + LAYER CO ; + RECT 2.0310 0.6970 2.0730 0.7390 ; + END + ANTENNAGATEAREA 0.06 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3070 0.1380 4.4630 0.2070 ; + RECT 4.3070 0.0880 6.0450 0.1380 ; + END + PORT + LAYER CO ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 4.3110 0.1220 4.3530 0.1640 ; + END + ANTENNAGATEAREA 0.054 ; + END RSTB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3260 0.6800 1.6370 0.7300 ; + RECT 1.1610 0.5530 1.2710 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.5110 0.6840 0.5530 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + END + ANTENNAGATEAREA 0.168 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.2360 2.3790 1.4230 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.2560 2.3770 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.8750 0.8140 7.0470 0.9760 ; + END + PORT + LAYER CO ; + RECT 6.8950 0.8410 6.9370 0.8830 ; + END + ANTENNAGATEAREA 0.0825 ; + END SETB + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 8.6640 0.0300 ; + RECT 6.1910 0.3300 7.1970 0.3800 ; + RECT 4.1240 0.3030 4.8890 0.3530 ; + RECT 3.0150 0.1960 3.5210 0.2460 ; + RECT 1.1910 0.0300 1.2410 0.2510 ; + RECT 7.7270 0.0300 7.7770 0.4080 ; + RECT 0.8870 0.0300 0.9370 0.2620 ; + RECT 0.5830 0.0300 0.6330 0.2670 ; + RECT 0.2790 0.0300 0.3290 0.2560 ; + RECT 8.0310 0.0300 8.0810 0.3190 ; + RECT 1.4950 0.0300 1.5450 0.3430 ; + RECT 8.3350 0.0300 8.3850 0.2200 ; + RECT 7.1190 0.0300 7.1690 0.3300 ; + RECT 4.8390 0.3530 4.8890 0.5050 ; + RECT 4.5350 0.3530 4.5850 0.4610 ; + RECT 4.5350 0.2870 4.5850 0.3030 ; + RECT 4.1250 0.0300 4.1750 0.3030 ; + RECT 3.4710 0.2460 3.5210 0.4500 ; + RECT 3.3190 0.2460 3.3690 0.4500 ; + RECT 3.0150 0.0300 3.0650 0.1960 ; + RECT 3.0150 0.2460 3.0650 0.3630 ; + RECT 2.6880 0.4080 3.0560 0.4130 ; + RECT 2.6880 0.3630 3.0650 0.4080 ; + END + PORT + LAYER CO ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.7150 0.3670 2.7570 0.4090 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.7310 0.2500 7.7730 0.2920 ; + RECT 8.0350 0.2500 8.0770 0.2920 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 8.0350 0.2500 8.0770 0.2920 ; + RECT 8.0350 0.1580 8.0770 0.2000 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.7310 0.1580 7.7730 0.2000 ; + RECT 7.7310 0.2500 7.7730 0.2920 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.1720 1.5410 0.2140 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.5870 0.2050 0.6290 0.2470 ; + RECT 0.5870 0.2050 0.6290 0.2470 ; + RECT 3.3230 0.3880 3.3650 0.4300 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.7310 0.3420 7.7730 0.3840 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 6.2110 0.3340 6.2530 0.3760 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 4.5390 0.3990 4.5810 0.4410 ; + RECT 4.8430 0.4150 4.8850 0.4570 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 1.4990 0.2640 1.5410 0.3060 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1950 0.1890 1.2370 0.2310 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1950 0.1890 1.2370 0.2310 ; + RECT 3.4750 0.3880 3.5170 0.4300 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 8.3390 0.1580 8.3810 0.2000 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.3230 0.2000 3.3650 0.2420 ; + RECT 3.4750 0.2750 3.5170 0.3170 ; + RECT 3.0190 0.3390 3.0610 0.3810 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 4.5390 0.3070 4.5810 0.3490 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.8430 0.3230 4.8850 0.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 7.1230 0.3340 7.1650 0.3760 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1370 1.4240 3.3090 1.5760 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.5300 3.2890 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 8.2630 0.6080 8.3050 0.6500 ; + RECT 8.1110 0.6080 8.1530 0.6500 ; + RECT 7.4270 1.0830 7.4690 1.1250 ; + RECT 1.3470 0.5160 1.3890 0.5580 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + RECT 1.3470 1.0620 1.3890 1.1040 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + RECT 1.3470 1.0620 1.3890 1.1040 ; + RECT 2.5630 0.2510 2.6050 0.2930 ; + RECT 7.1990 1.4320 7.2410 1.4740 ; + RECT 6.4390 1.4320 6.4810 1.4740 ; + RECT 6.1350 1.4320 6.1770 1.4740 ; + RECT 7.3510 1.5320 7.3930 1.5740 ; + RECT 7.5790 1.2300 7.6210 1.2720 ; + RECT 6.2870 0.8490 6.3290 0.8910 ; + RECT 7.2750 1.2300 7.3170 1.2720 ; + RECT 5.9830 0.8490 6.0250 0.8910 ; + RECT 1.8030 0.4160 1.8450 0.4580 ; + RECT 6.5150 1.0220 6.5570 1.0640 ; + RECT 5.2990 0.1910 5.3410 0.2330 ; + RECT 4.7670 0.7740 4.8090 0.8160 ; + RECT 5.0710 1.5320 5.1130 1.5740 ; + RECT 4.9950 1.1700 5.0370 1.2120 ; + RECT 2.7910 0.8930 2.8330 0.9350 ; + RECT 4.9950 0.1910 5.0370 0.2330 ; + RECT 4.6910 0.1910 4.7330 0.2330 ; + RECT 5.5270 1.4320 5.5690 1.4740 ; + RECT 7.5030 0.6080 7.5450 0.6500 ; + RECT 0.4350 0.4830 0.4770 0.5250 ; + RECT 0.7390 0.4830 0.7810 0.5250 ; + RECT 2.6390 0.8930 2.6810 0.9350 ; + RECT 0.4350 1.0510 0.4770 1.0930 ; + RECT 1.8030 1.3890 1.8450 1.4310 ; + RECT 3.4750 0.8520 3.5170 0.8940 ; + RECT 1.8790 1.5130 1.9210 1.5550 ; + RECT 2.9430 0.8000 2.9850 0.8420 ; + RECT 5.6030 0.5280 5.6450 0.5700 ; + RECT 4.6910 1.1700 4.7330 1.2120 ; + RECT 5.9070 1.0220 5.9490 1.0640 ; + RECT 3.3990 0.6220 3.4410 0.6640 ; + RECT 5.4510 0.4250 5.4930 0.4670 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 5.7550 1.2850 5.7970 1.3270 ; + RECT 5.1470 0.4280 5.1890 0.4700 ; + RECT 3.5510 0.6220 3.5930 0.6640 ; + RECT 2.0310 1.5130 2.0730 1.5550 ; + RECT 6.8950 1.5320 6.9370 1.5740 ; + RECT 0.7390 1.0520 0.7810 1.0940 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 4.4630 0.8900 4.5050 0.9320 ; + RECT 7.8070 0.6080 7.8490 0.6500 ; + RECT 7.9590 0.6080 8.0010 0.6500 ; + RECT 3.4750 1.1220 3.5170 1.1640 ; + RECT 2.1070 1.0980 2.1490 1.1400 ; + RECT 7.8070 0.6080 7.8490 0.6500 ; + RECT 7.9590 0.6080 8.0010 0.6500 ; + RECT 2.8670 0.2510 2.9090 0.2930 ; + RECT 3.9310 1.2520 3.9730 1.2940 ; + RECT 5.9070 0.5420 5.9490 0.5840 ; + RECT 4.6150 0.5970 4.6570 0.6390 ; + RECT 5.2230 1.5320 5.2650 1.5740 ; + RECT 4.0070 1.5030 4.0490 1.5450 ; + RECT 5.4510 1.2850 5.4930 1.3270 ; + RECT 6.6670 1.2300 6.7090 1.2720 ; + RECT 2.1070 0.4160 2.1490 0.4580 ; + RECT 1.9550 0.2510 1.9970 0.2930 ; + RECT 2.8670 1.0920 2.9090 1.1340 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 1.0430 0.4590 1.0850 0.5010 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.8550 0.0940 3.8970 0.1360 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 6.2110 1.0220 6.2530 1.0640 ; + RECT 4.6150 1.4820 4.6570 1.5240 ; + RECT 3.7790 1.0970 3.8210 1.1390 ; + RECT 3.6270 0.5060 3.6690 0.5480 ; + RECT 1.6510 1.0620 1.6930 1.1040 ; + RECT 3.6270 0.4140 3.6690 0.4560 ; + RECT 2.4110 0.4740 2.4530 0.5160 ; + RECT 5.2990 1.1990 5.3410 1.2410 ; + RECT 6.9710 1.2300 7.0130 1.2720 ; + RECT 5.2990 1.2910 5.3410 1.3330 ; + RECT 6.8190 1.0830 6.8610 1.1250 ; + RECT 4.2350 1.1620 4.2770 1.2040 ; + RECT 3.9310 1.1600 3.9730 1.2020 ; + RECT 4.4630 1.4820 4.5050 1.5240 ; + RECT 1.9550 1.1260 1.9970 1.1680 ; + RECT 3.1710 0.7600 3.2130 0.8020 ; + RECT 4.9190 0.7740 4.9610 0.8160 ; + RECT 5.6030 1.1070 5.6450 1.1490 ; + RECT 7.0470 1.4320 7.0890 1.4740 ; + RECT 1.0430 1.0520 1.0850 1.0940 ; + RECT 1.9550 1.2180 1.9970 1.2600 ; + RECT 5.3750 1.4320 5.4170 1.4740 ; + RECT 2.2590 0.5160 2.3010 0.5580 ; + RECT 3.8550 1.5300 3.8970 1.5720 ; + RECT 6.5910 1.4320 6.6330 1.4740 ; + RECT 4.0830 1.2820 4.1250 1.3240 ; + RECT 6.1350 0.7150 6.1770 0.7570 ; + RECT 6.6670 0.4420 6.7090 0.4840 ; + RECT 3.9310 0.3750 3.9730 0.4170 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 3.7790 0.3190 3.8210 0.3610 ; + RECT 3.1710 0.8520 3.2130 0.8940 ; + RECT 7.0470 0.7080 7.0890 0.7500 ; + RECT 2.4110 0.9920 2.4530 1.0340 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 1.6510 1.0620 1.6930 1.1040 ; + RECT 1.0430 1.0520 1.0850 1.0940 ; + RECT 5.5270 0.2450 5.5690 0.2870 ; + RECT 1.9550 1.1260 1.9970 1.1680 ; + RECT 1.9550 1.2180 1.9970 1.2600 ; + RECT 5.7550 0.4250 5.7970 0.4670 ; + RECT 5.3750 0.8900 5.4170 0.9320 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 5.6790 0.2450 5.7210 0.2870 ; + RECT 4.4630 0.5970 4.5050 0.6390 ; + RECT 4.0830 0.4380 4.1250 0.4800 ; + RECT 4.0070 0.0940 4.0490 0.1360 ; + RECT 6.7430 0.6080 6.7850 0.6500 ; + RECT 4.1590 1.0050 4.2010 1.0470 ; + RECT 4.3870 1.2820 4.4290 1.3240 ; + RECT 3.3990 0.0940 3.4410 0.1360 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 1.6510 0.5160 1.6930 0.5580 ; + RECT 5.6790 1.4320 5.7210 1.4740 ; + LAYER PO ; + RECT 8.1170 0.0680 8.1470 1.6060 ; + RECT 7.9650 0.0680 7.9950 1.6060 ; + RECT 7.8130 0.0680 7.8430 1.6060 ; + RECT 7.0530 0.9120 7.0830 1.6060 ; + RECT 5.6850 0.1330 5.7150 0.6870 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.4690 0.7800 4.4990 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 7.6610 0.0680 7.6910 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 8.5730 0.0680 8.6030 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 3.8610 0.0680 3.8910 0.7870 ; + RECT 3.8610 0.9900 3.8910 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.5330 0.1330 5.5630 0.6870 ; + RECT 7.0530 0.0680 7.0830 0.7870 ; + RECT 4.4690 0.0680 4.4990 0.6710 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 7.2050 0.0650 7.2350 1.6060 ; + RECT 5.6850 0.8820 5.7150 1.6060 ; + RECT 7.3570 0.0680 7.3870 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.5330 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 7.5090 0.0680 7.5390 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.5330 0.8820 5.5630 1.6060 ; + RECT 8.4210 0.0680 8.4510 1.6060 ; + RECT 8.2690 0.0680 8.2990 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.7410 8.7790 1.7870 ; + RECT 4.0640 0.7400 8.7790 0.7410 ; + RECT -0.1150 0.6930 3.6940 0.7410 ; + RECT 4.0640 0.6810 5.8100 0.7400 ; + RECT 6.3560 0.6790 8.7790 0.7400 ; + RECT 3.1160 0.6850 3.6940 0.6930 ; + RECT -0.1150 0.6790 1.2140 0.6930 ; + LAYER M1 ; + RECT 1.0390 0.8880 2.8700 0.9380 ; + RECT 2.8200 0.7960 3.0050 0.8460 ; + RECT 2.8200 0.8460 2.8700 0.8880 ; + RECT 0.2100 0.5280 0.2600 1.0470 ; + RECT 0.2100 1.0470 1.1130 1.0970 ; + RECT 1.0390 0.3180 1.0890 0.4780 ; + RECT 1.0390 0.9380 1.0890 1.0470 ; + RECT 0.2100 0.4780 1.0890 0.5280 ; + RECT 3.9270 0.7700 4.9970 0.8200 ; + RECT 3.9270 0.4340 4.1450 0.4840 ; + RECT 3.9270 1.1580 4.2970 1.2080 ; + RECT 3.9270 0.3550 3.9770 0.4340 ; + RECT 3.9270 1.2080 3.9770 1.3140 ; + RECT 3.9270 0.8200 3.9770 1.1580 ; + RECT 3.9270 0.4840 3.9770 0.7700 ; + RECT 3.7750 0.2990 3.8650 0.3810 ; + RECT 3.7750 1.0380 3.8250 1.1910 ; + RECT 3.7990 0.3810 3.8490 0.9880 ; + RECT 2.1030 0.9880 3.8490 1.0380 ; + RECT 1.7830 0.4120 2.4570 0.4620 ; + RECT 2.4070 0.4620 2.4570 0.5040 ; + RECT 3.0560 0.5540 3.1060 0.9880 ; + RECT 2.4070 0.5040 3.1060 0.5540 ; + RECT 2.1030 1.0380 2.1530 1.3850 ; + RECT 1.7830 1.3850 2.1530 1.4350 ; + RECT 4.4250 0.8860 5.4370 0.9360 ; + RECT 8.0880 0.6040 8.3250 0.6540 ; + RECT 6.8320 0.5030 8.1450 0.5530 ; + RECT 7.1590 0.7040 8.1470 0.7540 ; + RECT 8.0880 0.5530 8.1380 0.6040 ; + RECT 8.0880 0.6540 8.1380 0.7040 ; + RECT 5.7510 0.4710 6.8820 0.4880 ; + RECT 6.8320 0.4880 6.8820 0.5030 ; + RECT 6.7950 1.0790 7.4930 1.1290 ; + RECT 5.4300 0.4380 6.8820 0.4710 ; + RECT 7.1590 0.7540 7.2090 1.0790 ; + RECT 5.4310 1.2810 5.8010 1.3310 ; + RECT 5.7510 1.3310 5.8010 1.3680 ; + RECT 5.4300 0.4210 5.8010 0.4380 ; + RECT 5.7510 0.3880 5.8010 0.4210 ; + RECT 5.7510 0.4880 5.8010 1.2810 ; + RECT 6.0540 0.4880 6.1040 0.7110 ; + RECT 6.0540 0.7110 6.1970 0.7610 ; + RECT 3.7630 1.3780 4.6610 1.4280 ; + RECT 4.6110 1.4280 4.6610 1.5440 ; + RECT 3.6750 1.2610 3.8130 1.3110 ; + RECT 3.4530 1.1180 3.7250 1.1680 ; + RECT 3.6750 1.1680 3.7250 1.2610 ; + RECT 3.7630 1.3110 3.8130 1.3780 ; + RECT 3.7630 1.4280 3.8130 1.5260 ; + RECT 3.7630 1.5260 3.9220 1.5760 ; + RECT 6.5280 0.6040 8.0350 0.6540 ; + RECT 5.8530 0.5880 5.9030 1.0180 ; + RECT 5.8530 0.5380 5.9930 0.5880 ; + RECT 6.5280 0.6540 6.5780 1.0180 ; + RECT 5.8530 1.0180 6.5780 1.0680 ; + RECT 2.2390 1.0880 2.9290 1.1380 ; + RECT 1.3430 1.1060 2.0010 1.1560 ; + RECT 1.9510 1.1560 2.0010 1.2800 ; + RECT 1.6470 1.1560 1.6970 1.2160 ; + RECT 1.6470 1.0420 1.6970 1.1060 ; + RECT 1.3430 1.1560 1.3930 1.2160 ; + RECT 1.3430 1.0420 1.3930 1.1060 ; + RECT 1.9510 0.2310 2.9130 0.2810 ; + RECT 1.9510 0.2810 2.0010 0.3130 ; + RECT 2.5590 0.2810 2.6090 0.3130 ; + RECT 2.8630 0.2810 2.9130 0.3130 ; + RECT 5.0370 1.5280 7.4460 1.5780 ; + RECT 6.6500 0.7040 7.1090 0.7540 ; + RECT 6.5470 1.1190 6.7000 1.1690 ; + RECT 5.8790 1.1860 6.5970 1.1920 ; + RECT 5.8750 1.1920 6.5970 1.2360 ; + RECT 6.5470 1.1690 6.5970 1.1860 ; + RECT 6.6500 0.7540 6.7000 1.1190 ; + RECT 5.3550 1.4280 5.9250 1.4780 ; + RECT 5.8750 1.2360 5.9250 1.4280 ; + RECT 6.6470 1.2260 7.6410 1.2760 ; + RECT 4.6700 1.1660 5.3450 1.2160 ; + RECT 4.1160 1.0010 5.6890 1.0510 ; + RECT 5.2950 1.2160 5.3450 1.3580 ; + RECT 5.5990 1.0510 5.6490 1.1900 ; + RECT 5.2950 1.0510 5.3450 1.1660 ; + RECT 5.1430 0.3890 5.1930 0.5240 ; + RECT 5.1430 0.5240 5.6890 0.5740 ; + RECT 5.6390 0.5740 5.6890 1.0010 ; + RECT 4.0630 1.2780 4.4490 1.3280 ; + RECT 6.0950 0.0880 6.6970 0.1380 ; + RECT 4.4280 0.5930 5.0750 0.6430 ; + RECT 5.0250 0.2910 5.4630 0.3380 ; + RECT 6.0950 0.1380 6.1450 0.2410 ; + RECT 5.0250 0.3380 5.0750 0.5930 ; + RECT 5.4130 0.2410 6.1450 0.2880 ; + RECT 5.0250 0.2880 6.1450 0.2910 ; + RECT 6.5700 1.4280 7.2770 1.4780 ; + RECT 4.0030 1.4780 4.5250 1.5280 ; + RECT 4.0030 1.5280 4.0530 1.5650 ; + RECT 3.1670 0.6180 3.6130 0.6680 ; + RECT 3.1670 0.6680 3.2170 0.9140 ; + RECT 3.1670 0.4220 3.2170 0.6180 ; + RECT 3.6230 0.5180 3.7490 0.5680 ; + RECT 3.4710 0.7680 3.5210 0.9140 ; + RECT 3.4710 0.7180 3.7490 0.7680 ; + RECT 3.6230 0.3940 3.6730 0.5180 ; + RECT 3.6990 0.5680 3.7490 0.7180 ; + RECT 6.1150 1.4280 6.5190 1.4780 ; + RECT 5.9620 0.8450 6.3730 0.8950 ; + RECT 1.8540 1.5090 2.1070 1.5590 ; + RECT 4.6690 0.1880 5.3610 0.2380 ; + RECT 1.3270 0.5120 2.3210 0.5620 ; + RECT 3.3790 0.0900 4.0750 0.1400 ; + END +END SDFFASRX2_HVT + +MACRO SDFFASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.08 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.2360 1.6190 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.2560 1.6170 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.0510 0.8570 5.2230 1.0340 ; + END + PORT + LAYER CO ; + RECT 5.0710 0.9900 5.1130 1.0320 ; + END + ANTENNAGATEAREA 0.0462 ; + END SETB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8740 1.1610 5.9840 1.2210 ; + RECT 5.7350 0.1540 5.9830 0.2040 ; + RECT 5.9330 0.2040 5.9830 1.1610 ; + RECT 5.7510 1.2210 5.9840 1.2710 ; + RECT 5.7510 1.2710 5.8010 1.5460 ; + END + PORT + LAYER CO ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 0.1580 5.7970 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9330 0.6800 1.3150 0.7300 ; + RECT 1.1610 0.7300 1.3150 0.8150 ; + RECT 1.1610 0.6640 1.3150 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + END + ANTENNAGATEAREA 0.0585 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.0800 0.0300 ; + RECT 4.6690 0.3350 5.3610 0.3850 ; + RECT 2.4100 0.1960 2.7610 0.2460 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 3.7750 0.0300 3.8250 0.4610 ; + RECT 5.5990 0.0300 5.6490 0.2200 ; + RECT 3.6230 0.0300 3.6730 0.3710 ; + RECT 2.2550 0.3960 2.3050 0.4190 ; + RECT 1.9150 0.3460 2.3050 0.3960 ; + RECT 2.2550 0.0300 2.3050 0.3460 ; + RECT 5.2950 0.0300 5.3450 0.3350 ; + RECT 2.5590 0.2460 2.6090 0.4500 ; + RECT 2.7110 0.2460 2.7610 0.4500 ; + RECT 2.4100 0.0300 2.4600 0.1960 ; + END + PORT + LAYER CO ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.2590 0.3500 2.3010 0.3920 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.7790 0.3990 3.8210 0.4410 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 5.2990 0.3390 5.3410 0.3810 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 1.9550 0.3500 1.9970 0.3920 ; + RECT 3.7790 0.3070 3.8210 0.3490 ; + RECT 2.5630 0.2000 2.6050 0.2420 ; + RECT 2.7150 0.3880 2.7570 0.4300 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.6270 0.3090 3.6690 0.3510 ; + RECT 2.7150 0.2750 2.7570 0.3170 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 4.6910 0.3390 4.7330 0.3810 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.5630 0.3880 2.6050 0.4300 ; + RECT 5.6030 0.1580 5.6450 0.2000 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.6270 0.2170 3.6690 0.2590 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.7210 1.0090 5.8310 1.1190 ; + RECT 5.4470 0.8540 5.4970 1.5460 ; + RECT 5.7810 0.8540 5.8310 1.0090 ; + RECT 5.4470 0.8040 5.8310 0.8540 ; + RECT 5.4470 0.1480 5.4970 0.3090 ; + RECT 5.7810 0.3590 5.8310 0.8040 ; + RECT 5.4470 0.3090 5.8310 0.3590 ; + END + PORT + LAYER CO ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 0.9320 5.4930 0.9740 ; + RECT 5.4510 0.9320 5.4930 0.9740 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 0.2870 5.4930 0.3290 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 0.1950 5.4930 0.2370 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3770 1.4240 2.5490 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.5300 2.5290 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.0800 1.7020 ; + RECT 3.5980 1.2710 4.0080 1.3210 ; + RECT 4.6580 1.3080 5.3850 1.3580 ; + RECT 0.5830 1.0290 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 5.5990 0.9470 5.6490 1.6420 ; + RECT 2.5590 1.3040 2.7610 1.3540 ; + RECT 2.7110 1.3540 2.7610 1.6420 ; + RECT 2.7110 1.1010 2.7610 1.3040 ; + RECT 3.7990 1.3210 3.8490 1.6420 ; + RECT 5.3350 1.3580 5.3850 1.6420 ; + RECT 2.5590 1.0880 2.6090 1.3040 ; + RECT 2.2550 1.3400 2.3070 1.6420 ; + RECT 2.2550 1.1340 2.3050 1.3400 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 5.6030 1.3480 5.6450 1.3900 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.6030 1.2560 5.6450 1.2980 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.5630 1.2920 2.6050 1.3340 ; + RECT 3.6270 1.2730 3.6690 1.3150 ; + RECT 5.6030 1.1640 5.6450 1.2060 ; + RECT 5.6030 1.1640 5.6450 1.2060 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 2.7150 1.3060 2.7570 1.3480 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.6030 1.0720 5.6450 1.1140 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.6030 1.3480 5.6450 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.6030 1.2560 5.6450 1.2980 ; + RECT 5.2990 1.3120 5.3410 1.3540 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 2.5630 1.2000 2.6050 1.2420 ; + RECT 2.2590 1.1540 2.3010 1.1960 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.7150 1.3980 2.7570 1.4400 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.7150 1.2140 2.7570 1.2560 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 5.6030 1.0720 5.6450 1.1140 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 3.9310 1.2750 3.9730 1.3170 ; + END + END VDD + OBS + LAYER CO ; + RECT 4.2350 1.1990 4.2770 1.2410 ; + RECT 4.3110 1.4320 4.3530 1.4740 ; + RECT 4.7670 1.5400 4.8090 1.5820 ; + RECT 4.1590 1.4320 4.2010 1.4740 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 5.0710 1.4150 5.1130 1.4570 ; + RECT 5.1470 1.2120 5.1890 1.2540 ; + RECT 3.0950 1.4900 3.1370 1.5320 ; + RECT 2.4110 0.8520 2.4530 0.8940 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 4.0070 1.5320 4.0490 1.5740 ; + RECT 3.2470 1.5010 3.2890 1.5430 ; + RECT 3.1710 1.2520 3.2130 1.2940 ; + RECT 2.9430 1.5300 2.9850 1.5720 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.8670 0.7600 2.9090 0.8020 ; + RECT 4.3870 1.1030 4.4290 1.1450 ; + RECT 3.5510 1.4800 3.5930 1.5220 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 3.0190 1.1600 3.0610 1.2020 ; + RECT 2.4110 0.7600 2.4530 0.8020 ; + RECT 3.1710 1.1600 3.2130 1.2020 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 4.1590 0.7930 4.2010 0.8350 ; + RECT 2.6390 0.6220 2.6810 0.6640 ; + RECT 4.6150 0.6390 4.6570 0.6810 ; + RECT 3.3990 1.0030 3.4410 1.0450 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 3.5510 0.7930 3.5930 0.8350 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 4.2350 0.3910 4.2770 0.4330 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 2.0310 0.6840 2.0730 0.7260 ; + RECT 1.8790 0.6840 1.9210 0.7260 ; + RECT 1.8030 0.1610 1.8450 0.2030 ; + RECT 4.7670 0.1280 4.8090 0.1700 ; + RECT 3.8550 0.6930 3.8970 0.7350 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 4.2350 1.1070 4.2770 1.1490 ; + RECT 4.0830 0.3910 4.1250 0.4330 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 5.2230 1.5400 5.2650 1.5820 ; + RECT 5.2230 0.7130 5.2650 0.7550 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 2.1070 1.0920 2.1490 1.1340 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 4.8430 1.2120 4.8850 1.2540 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 3.7030 0.5930 3.7450 0.6350 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 3.7030 1.5200 3.7450 1.5620 ; + RECT 3.7790 1.1700 3.8210 1.2120 ; + RECT 3.0190 1.2520 3.0610 1.2940 ; + RECT 2.8670 0.8520 2.9090 0.8940 ; + RECT 2.1830 0.6840 2.2250 0.7260 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 2.9430 0.6670 2.9850 0.7090 ; + RECT 4.5390 0.5390 4.5810 0.5810 ; + RECT 4.3110 0.1800 4.3530 0.2220 ; + RECT 3.5510 0.5930 3.5930 0.6350 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 3.2470 0.0980 3.2890 0.1400 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 3.3230 0.4360 3.3650 0.4780 ; + RECT 4.8430 0.4390 4.8850 0.4810 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 4.3870 0.4900 4.4290 0.5320 ; + RECT 4.5390 0.9790 4.5810 1.0210 ; + RECT 1.4990 0.5160 1.5410 0.5580 ; + RECT 1.6510 0.4740 1.6930 0.5160 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 2.1070 0.1610 2.1490 0.2030 ; + LAYER PO ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.7730 ; + RECT 3.5570 0.0660 3.5870 0.6730 ; + RECT 3.1010 0.9900 3.1310 1.6060 ; + RECT 4.3170 0.0680 4.3470 0.6220 ; + RECT 3.5570 0.7730 3.5870 1.6040 ; + RECT 3.2530 0.0660 3.2830 1.6040 ; + RECT 5.2290 1.0120 5.2590 1.6060 ; + RECT 5.2290 0.0680 5.2590 0.7870 ; + RECT 4.3170 0.8820 4.3470 1.6060 ; + RECT 4.6210 0.0650 4.6510 1.6030 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6040 ; + RECT 3.7090 0.0660 3.7390 1.6040 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + LAYER NWELL ; + RECT -0.1070 0.6950 6.2080 1.7730 ; + RECT -0.1070 0.6930 2.9940 0.6950 ; + RECT 3.3070 0.6790 6.2080 0.6950 ; + RECT 2.3510 0.6880 2.9940 0.6930 ; + RECT -0.1070 0.6790 0.8060 0.6930 ; + RECT 2.3620 0.6790 2.9520 0.6880 ; + LAYER M1 ; + RECT 3.9670 0.2300 4.8130 0.2800 ; + RECT 4.7630 0.0880 4.8130 0.2300 ; + RECT 3.5300 0.5890 4.0170 0.6390 ; + RECT 4.2910 0.1780 4.3730 0.2300 ; + RECT 3.9670 0.2800 4.0170 0.5890 ; + RECT 3.5220 0.7890 4.2210 0.8390 ; + RECT 3.0150 0.2990 3.1050 0.3810 ; + RECT 3.0150 1.0380 3.0650 1.3140 ; + RECT 3.0390 0.3810 3.0890 0.9880 ; + RECT 1.3070 0.9880 3.0890 1.0380 ; + RECT 1.0060 0.4120 1.6970 0.4620 ; + RECT 1.6470 0.4620 1.6970 0.5040 ; + RECT 2.2580 0.7940 2.3450 0.8440 ; + RECT 1.6470 0.5040 2.3450 0.5540 ; + RECT 2.2580 0.8440 2.3080 0.9880 ; + RECT 2.2950 0.5540 2.3450 0.7940 ; + RECT 5.3350 0.7040 5.7250 0.7540 ; + RECT 4.3830 0.4350 5.7250 0.4850 ; + RECT 5.6750 0.4850 5.7250 0.7040 ; + RECT 4.9750 1.1080 5.3850 1.1580 ; + RECT 5.3350 0.7540 5.3850 1.1080 ; + RECT 4.3830 0.6850 4.4330 1.1650 ; + RECT 4.3830 0.6350 4.6770 0.6850 ; + RECT 4.3830 0.4850 4.4330 0.6350 ; + RECT 3.1670 0.6890 3.9170 0.7390 ; + RECT 3.1670 0.4320 3.3850 0.4820 ; + RECT 3.1670 1.1560 3.3850 1.2060 ; + RECT 3.1670 0.3550 3.2170 0.4320 ; + RECT 3.1670 1.2060 3.2170 1.3140 ; + RECT 3.1670 0.7390 3.2170 1.1560 ; + RECT 3.1670 0.4820 3.2170 0.6890 ; + RECT 0.2050 0.8880 2.1100 0.9380 ; + RECT 1.8390 0.6800 2.2450 0.7300 ; + RECT 2.0600 0.7300 2.1100 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.2430 1.4760 3.6130 1.5260 ; + RECT 3.2430 1.5260 3.2930 1.5630 ; + RECT 2.9230 1.5260 3.1410 1.5760 ; + RECT 3.6990 1.4260 3.7490 1.5840 ; + RECT 3.0910 1.4260 3.1410 1.5260 ; + RECT 3.0910 1.3760 3.7490 1.4260 ; + RECT 4.2310 0.6060 4.3210 0.6560 ; + RECT 3.3740 1.0010 4.3210 1.0510 ; + RECT 4.0790 1.3080 4.2810 1.3580 ; + RECT 3.7580 1.1660 4.1290 1.2160 ; + RECT 4.0790 0.4460 4.2810 0.4960 ; + RECT 4.0790 0.3710 4.1290 0.4460 ; + RECT 4.2710 0.6560 4.3210 1.0010 ; + RECT 4.2310 1.0510 4.2810 1.3080 ; + RECT 4.0790 1.2160 4.1290 1.3080 ; + RECT 4.2310 0.4960 4.2810 0.6060 ; + RECT 4.2310 0.3710 4.2810 0.4460 ; + RECT 4.7560 0.6040 5.5890 0.6540 ; + RECT 4.5120 0.9750 4.8060 1.0250 ; + RECT 4.5190 0.5350 4.8060 0.5850 ; + RECT 4.7560 0.6540 4.8060 0.9750 ; + RECT 4.7560 0.5850 4.8060 0.6040 ; + RECT 3.9580 1.5280 4.5060 1.5780 ; + RECT 4.4560 1.4610 4.5060 1.5280 ; + RECT 4.4560 1.4110 5.1330 1.4610 ; + RECT 0.7350 1.0420 1.2410 1.0920 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 1.1910 1.0920 1.2410 1.2160 ; + RECT 0.8870 0.2100 2.1530 0.2600 ; + RECT 2.1030 0.1280 2.1530 0.2100 ; + RECT 0.8870 0.2600 0.9370 0.2760 ; + RECT 0.8870 0.1870 0.9370 0.2100 ; + RECT 1.7990 0.1280 1.8490 0.2100 ; + RECT 1.1910 0.2600 1.2410 0.2760 ; + RECT 1.1910 0.1800 1.2410 0.2100 ; + RECT 0.7060 0.5120 1.5610 0.5620 ; + RECT 4.8750 0.7090 5.2850 0.7590 ; + RECT 4.8750 0.7590 4.9250 1.0990 ; + RECT 4.5070 1.0990 4.9250 1.1490 ; + RECT 4.3310 1.2720 4.5570 1.3220 ; + RECT 4.1390 1.4280 4.3810 1.4780 ; + RECT 4.3310 1.3220 4.3810 1.4280 ; + RECT 4.5070 1.1490 4.5570 1.2720 ; + RECT 4.5070 1.0960 4.5570 1.0990 ; + RECT 2.8630 0.5180 2.9890 0.5680 ; + RECT 2.8630 0.7180 2.9890 0.7680 ; + RECT 2.8630 0.7680 2.9130 0.9140 ; + RECT 2.8630 0.3940 2.9130 0.5180 ; + RECT 2.9390 0.5680 2.9890 0.7180 ; + RECT 1.4790 1.0880 2.1690 1.1380 ; + RECT 4.8230 1.2080 5.2090 1.2580 ; + RECT 4.7460 1.5340 5.2850 1.5840 ; + RECT 2.4070 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.6680 2.4570 0.9140 ; + RECT 2.4070 0.4220 2.4570 0.6180 ; + RECT 2.6190 0.0960 3.3150 0.1460 ; + END +END SDFFASX1_HVT + +MACRO SDFFASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.384 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3770 1.4240 2.5490 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.5300 2.5290 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.5990 0.1480 5.6490 0.3940 ; + RECT 6.0250 0.4440 6.1450 0.5110 ; + RECT 5.5990 0.8540 5.6490 1.5460 ; + RECT 6.0950 0.5110 6.1450 0.8040 ; + RECT 5.5990 0.8040 6.1450 0.8540 ; + RECT 5.5990 0.3940 6.1450 0.4440 ; + END + PORT + LAYER CO ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.6030 0.1950 5.6450 0.2370 ; + RECT 5.6030 0.1950 5.6450 0.2370 ; + RECT 5.6030 0.2870 5.6450 0.3290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.1770 0.0970 6.2870 0.2070 ; + RECT 5.9030 0.1480 5.9530 0.2700 ; + RECT 5.9030 0.9180 6.2690 0.9680 ; + RECT 5.9030 0.9680 5.9530 1.5460 ; + RECT 5.9030 0.2700 6.2690 0.3200 ; + RECT 6.2190 0.2070 6.2690 0.2700 ; + RECT 6.2190 0.3200 6.2690 0.9180 ; + END + PORT + LAYER CO ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 0.1950 5.9490 0.2370 ; + RECT 5.9070 0.1950 5.9490 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.2360 1.6190 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.2560 1.6170 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.3840 1.7020 ; + RECT 3.5980 1.2710 4.0080 1.3210 ; + RECT 4.6580 1.3080 5.3850 1.3580 ; + RECT 0.5830 1.0290 0.6330 1.6420 ; + RECT 6.0550 1.0520 6.1050 1.6420 ; + RECT 5.7510 0.9600 5.8010 1.6420 ; + RECT 5.4470 0.9120 5.4970 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 2.5590 1.3040 2.7610 1.3540 ; + RECT 2.7110 1.3540 2.7610 1.6420 ; + RECT 2.7110 1.1010 2.7610 1.3040 ; + RECT 3.7990 1.3210 3.8490 1.6420 ; + RECT 5.3350 1.3580 5.3850 1.6420 ; + RECT 2.5590 1.0880 2.6090 1.3040 ; + RECT 2.2550 1.3400 2.3070 1.6420 ; + RECT 2.2550 1.1340 2.3050 1.3400 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.7150 1.2140 2.7570 1.2560 ; + RECT 2.7150 1.3980 2.7570 1.4400 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 5.7550 1.4400 5.7970 1.4820 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.2990 1.3120 5.3410 1.3540 ; + RECT 6.0590 1.3480 6.1010 1.3900 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + RECT 6.0590 1.3480 6.1010 1.3900 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 6.0590 1.2560 6.1010 1.2980 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 5.7550 0.9800 5.7970 1.0220 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 6.0590 1.1640 6.1010 1.2060 ; + RECT 6.0590 1.1640 6.1010 1.2060 ; + RECT 6.0590 1.0720 6.1010 1.1140 ; + RECT 6.0590 1.0720 6.1010 1.1140 ; + RECT 6.0590 1.2560 6.1010 1.2980 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 0.9320 5.4930 0.9740 ; + RECT 5.4510 0.9320 5.4930 0.9740 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 2.2590 1.1540 2.3010 1.1960 ; + RECT 2.5630 1.2000 2.6050 1.2420 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 3.9310 1.2750 3.9730 1.3170 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 2.5630 1.2920 2.6050 1.3340 ; + RECT 3.6270 1.2730 3.6690 1.3150 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 2.7150 1.3060 2.7570 1.3480 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.0350 0.8190 5.2030 0.9670 ; + END + PORT + LAYER CO ; + RECT 5.0710 0.8300 5.1130 0.8720 ; + END + ANTENNAGATEAREA 0.0492 ; + END SETB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9330 0.6800 1.3150 0.7300 ; + RECT 1.1610 0.7300 1.3150 0.8150 ; + RECT 1.1610 0.6640 1.3150 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.0585 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.3840 0.0300 ; + RECT 2.4100 0.1960 2.7610 0.2460 ; + RECT 4.6690 0.3350 5.3610 0.3850 ; + RECT 6.0550 0.0300 6.1050 0.2200 ; + RECT 5.4470 0.0300 5.4970 0.4080 ; + RECT 5.7510 0.0300 5.8010 0.3190 ; + RECT 3.7750 0.0300 3.8250 0.4610 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 2.5590 0.2460 2.6090 0.4500 ; + RECT 2.7110 0.2460 2.7610 0.4500 ; + RECT 2.4100 0.0300 2.4600 0.1960 ; + RECT 5.2950 0.0300 5.3450 0.3350 ; + RECT 2.2550 0.3960 2.3050 0.4190 ; + RECT 1.9150 0.3460 2.3050 0.3960 ; + RECT 2.2550 0.0300 2.3050 0.3460 ; + RECT 3.6230 0.0300 3.6730 0.3710 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 3.6270 0.2170 3.6690 0.2590 ; + RECT 2.5630 0.3880 2.6050 0.4300 ; + RECT 4.6910 0.3390 4.7330 0.3810 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 2.7150 0.2750 2.7570 0.3170 ; + RECT 3.6270 0.3090 3.6690 0.3510 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 1.9550 0.3500 1.9970 0.3920 ; + RECT 2.2590 0.3500 2.3010 0.3920 ; + RECT 3.7790 0.3990 3.8210 0.4410 ; + RECT 5.2990 0.3390 5.3410 0.3810 ; + RECT 5.4510 0.3420 5.4930 0.3840 ; + RECT 5.4510 0.3420 5.4930 0.3840 ; + RECT 5.4510 0.3420 5.4930 0.3840 ; + RECT 5.4510 0.3420 5.4930 0.3840 ; + RECT 5.7550 0.2500 5.7970 0.2920 ; + RECT 5.7550 0.2500 5.7970 0.2920 ; + RECT 5.4510 0.2500 5.4930 0.2920 ; + RECT 6.0590 0.1580 6.1010 0.2000 ; + RECT 5.4510 0.2500 5.4930 0.2920 ; + RECT 5.4510 0.1580 5.4930 0.2000 ; + RECT 5.7550 0.1580 5.7970 0.2000 ; + RECT 3.7790 0.3070 3.8210 0.3490 ; + RECT 2.5630 0.2000 2.6050 0.2420 ; + RECT 2.7150 0.3880 2.7570 0.4300 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 1.6510 0.4740 1.6930 0.5160 ; + RECT 1.4990 0.5160 1.5410 0.5580 ; + RECT 4.5390 0.9790 4.5810 1.0210 ; + RECT 4.3870 0.4900 4.4290 0.5320 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 4.8430 0.4390 4.8850 0.4810 ; + RECT 3.3230 0.4360 3.3650 0.4780 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 3.2470 0.0980 3.2890 0.1400 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.5510 0.7050 3.5930 0.7470 ; + RECT 4.3110 0.1800 4.3530 0.2220 ; + RECT 4.5390 0.5390 4.5810 0.5810 ; + RECT 2.9430 0.6670 2.9850 0.7090 ; + RECT 4.2350 0.3910 4.2770 0.4330 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.5510 0.9050 3.5930 0.9470 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 2.1070 1.0920 2.1490 1.1340 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 4.8430 1.2120 4.8850 1.2540 ; + RECT 4.2350 1.1990 4.2770 1.2410 ; + RECT 4.3110 1.4380 4.3530 1.4800 ; + RECT 4.7670 1.5380 4.8090 1.5800 ; + RECT 4.1590 1.4380 4.2010 1.4800 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 2.1830 0.6840 2.2250 0.7260 ; + RECT 2.8670 0.8520 2.9090 0.8940 ; + RECT 3.0190 1.2520 3.0610 1.2940 ; + RECT 3.7790 1.1700 3.8210 1.2120 ; + RECT 3.7030 1.5200 3.7450 1.5620 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 3.7030 0.7050 3.7450 0.7470 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 2.1070 0.1610 2.1490 0.2030 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 5.0710 1.4380 5.1130 1.4800 ; + RECT 1.8030 0.1610 1.8450 0.2030 ; + RECT 5.1470 1.2120 5.1890 1.2540 ; + RECT 3.0950 1.4900 3.1370 1.5320 ; + RECT 2.4110 0.8520 2.4530 0.8940 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 2.0310 0.6840 2.0730 0.7260 ; + RECT 4.0070 1.5380 4.0490 1.5800 ; + RECT 3.2470 1.5010 3.2890 1.5430 ; + RECT 3.1710 1.2520 3.2130 1.2940 ; + RECT 2.9430 1.5300 2.9850 1.5720 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 2.8670 0.7600 2.9090 0.8020 ; + RECT 4.3870 1.1030 4.4290 1.1450 ; + RECT 3.5510 1.4800 3.5930 1.5220 ; + RECT 1.8790 0.6840 1.9210 0.7260 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 3.0190 1.1600 3.0610 1.2020 ; + RECT 2.4110 0.7600 2.4530 0.8020 ; + RECT 3.1710 1.1600 3.2130 1.2020 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 4.1590 0.9050 4.2010 0.9470 ; + RECT 2.6390 0.6220 2.6810 0.6640 ; + RECT 4.6150 0.6390 4.6570 0.6810 ; + RECT 3.3990 1.0030 3.4410 1.0450 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 4.7670 0.1080 4.8090 0.1500 ; + RECT 3.8550 0.8050 3.8970 0.8470 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 4.2350 1.1070 4.2770 1.1490 ; + RECT 4.0830 0.3910 4.1250 0.4330 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 5.2230 1.5380 5.2650 1.5800 ; + RECT 5.2230 0.7130 5.2650 0.7550 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + LAYER PO ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6040 ; + RECT 3.4050 0.0660 3.4350 1.6040 ; + RECT 4.6210 0.0650 4.6510 1.6030 ; + RECT 4.3170 0.8820 4.3470 1.6060 ; + RECT 5.2290 0.0680 5.2590 0.7870 ; + RECT 5.2290 0.8870 5.2590 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6040 ; + RECT 3.5570 0.8850 3.5870 1.6040 ; + RECT 4.3170 0.0680 4.3470 0.6220 ; + RECT 3.1010 0.9900 3.1310 1.6060 ; + RECT 3.5570 0.0660 3.5870 0.7850 ; + RECT 3.1010 0.0680 3.1310 0.7730 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + LAYER NWELL ; + RECT -0.1060 0.7330 6.5290 1.7730 ; + RECT -0.1060 0.6930 3.0690 0.7330 ; + RECT 4.3600 0.6790 6.5290 0.7330 ; + RECT 2.3510 0.6880 3.0690 0.6930 ; + RECT -0.1060 0.6790 0.8060 0.6930 ; + RECT 4.3600 0.6660 6.2380 0.6790 ; + RECT 2.3620 0.6870 3.0690 0.6880 ; + RECT 2.3620 0.6790 2.9460 0.6870 ; + LAYER M1 ; + RECT 0.2050 0.8880 2.1100 0.9380 ; + RECT 1.8390 0.6800 2.2450 0.7300 ; + RECT 2.0600 0.7300 2.1100 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.1670 0.8010 3.9170 0.8510 ; + RECT 3.1670 0.4320 3.3850 0.4820 ; + RECT 3.1670 1.1560 3.3850 1.2060 ; + RECT 3.1670 0.3550 3.2170 0.4320 ; + RECT 3.1670 1.2060 3.2170 1.3140 ; + RECT 3.1670 0.8510 3.2170 1.1560 ; + RECT 3.1670 0.4820 3.2170 0.8010 ; + RECT 5.8080 0.6040 6.0450 0.6540 ; + RECT 4.9750 1.1080 5.3850 1.1580 ; + RECT 4.3830 0.4350 5.1570 0.4850 ; + RECT 5.1070 0.4850 5.1570 0.4940 ; + RECT 5.3350 0.7540 5.3850 1.1080 ; + RECT 4.3830 0.6350 4.6770 0.6850 ; + RECT 4.3830 0.6850 4.4330 1.1650 ; + RECT 4.3830 0.4850 4.4330 0.6350 ; + RECT 5.1070 0.4940 5.8580 0.5440 ; + RECT 5.3350 0.7040 5.8580 0.7540 ; + RECT 5.8080 0.6540 5.8580 0.7040 ; + RECT 5.8080 0.5440 5.8580 0.6040 ; + RECT 3.0150 0.2990 3.1050 0.3810 ; + RECT 3.0150 1.0380 3.0650 1.3140 ; + RECT 3.0390 0.3810 3.0890 0.9880 ; + RECT 1.3070 0.9880 3.0890 1.0380 ; + RECT 1.0060 0.4120 1.6970 0.4620 ; + RECT 1.6470 0.4620 1.6970 0.5040 ; + RECT 2.2580 0.7940 2.3450 0.8440 ; + RECT 1.6470 0.5040 2.3450 0.5540 ; + RECT 2.2580 0.8440 2.3080 0.9880 ; + RECT 2.2950 0.5540 2.3450 0.7940 ; + RECT 3.5220 0.9010 4.2210 0.9510 ; + RECT 3.9670 0.2300 4.8130 0.2800 ; + RECT 4.7630 0.0880 4.8130 0.2300 ; + RECT 3.5300 0.7010 4.0170 0.7510 ; + RECT 4.2910 0.1780 4.3730 0.2300 ; + RECT 3.9670 0.2800 4.0170 0.7010 ; + RECT 4.8750 0.7090 5.2850 0.7590 ; + RECT 4.8750 0.7590 4.9250 1.0990 ; + RECT 4.5070 1.0990 4.9250 1.1490 ; + RECT 4.3310 1.2720 4.5570 1.3220 ; + RECT 4.1390 1.4340 4.3810 1.4840 ; + RECT 4.3310 1.3220 4.3810 1.4340 ; + RECT 4.5070 1.1490 4.5570 1.2720 ; + RECT 4.5070 1.0960 4.5570 1.0990 ; + RECT 3.3740 1.0010 4.3210 1.0510 ; + RECT 4.0790 1.3080 4.2810 1.3580 ; + RECT 4.2310 0.6060 4.3210 0.6560 ; + RECT 3.7580 1.1660 4.1290 1.2160 ; + RECT 4.2310 1.0510 4.2810 1.3080 ; + RECT 4.2710 0.6560 4.3210 1.0010 ; + RECT 4.0790 1.2160 4.1290 1.3080 ; + RECT 4.0790 0.3710 4.1290 0.4460 ; + RECT 4.0790 0.4460 4.2810 0.4960 ; + RECT 4.2310 0.4960 4.2810 0.6060 ; + RECT 4.2310 0.3710 4.2810 0.4460 ; + RECT 4.7560 0.6040 5.7410 0.6540 ; + RECT 4.5120 0.9750 4.8060 1.0250 ; + RECT 4.5190 0.5350 4.8060 0.5850 ; + RECT 4.7560 0.6540 4.8060 0.9750 ; + RECT 4.7560 0.5850 4.8060 0.6040 ; + RECT 0.8870 0.2100 2.1530 0.2600 ; + RECT 1.1910 0.2600 1.2410 0.2760 ; + RECT 1.1910 0.1800 1.2410 0.2100 ; + RECT 1.7990 0.1280 1.8490 0.2100 ; + RECT 0.8870 0.2600 0.9370 0.2760 ; + RECT 0.8870 0.1870 0.9370 0.2100 ; + RECT 2.1030 0.1280 2.1530 0.2100 ; + RECT 2.8630 0.5180 2.9890 0.5680 ; + RECT 2.8630 0.3940 2.9130 0.5180 ; + RECT 2.8630 0.7680 2.9130 0.9140 ; + RECT 2.8630 0.7180 2.9890 0.7680 ; + RECT 2.9390 0.5680 2.9890 0.7180 ; + RECT 1.4790 1.0880 2.1690 1.1380 ; + RECT 0.7350 1.0420 1.2410 1.0920 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 1.1910 1.0920 1.2410 1.2160 ; + RECT 0.7060 0.5120 1.5610 0.5620 ; + RECT 2.9230 1.5260 3.1410 1.5760 ; + RECT 3.6990 1.4260 3.7490 1.5840 ; + RECT 3.0910 1.3760 3.7490 1.4260 ; + RECT 3.0910 1.4260 3.1410 1.5260 ; + RECT 3.9580 1.5340 4.5060 1.5840 ; + RECT 4.4560 1.4340 5.1330 1.4840 ; + RECT 4.4560 1.4840 4.5060 1.5340 ; + RECT 3.2430 1.4760 3.6130 1.5260 ; + RECT 3.2430 1.5260 3.2930 1.5630 ; + RECT 2.6190 0.0960 3.3150 0.1460 ; + RECT 2.4070 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.6680 2.4570 0.9140 ; + RECT 2.4070 0.4220 2.4570 0.6180 ; + RECT 4.7460 1.5340 5.2850 1.5840 ; + RECT 4.8230 1.2080 5.2090 1.2580 ; + END +END SDFFASX2_HVT + +MACRO SDFFNARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.472 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.4720 0.0300 ; + RECT 1.8020 0.1960 2.1530 0.2460 ; + RECT 4.2150 0.3300 4.7530 0.3800 ; + RECT 3.1670 0.1990 3.3920 0.2490 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 1.6470 0.0300 1.6970 0.4080 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 4.9910 0.0300 5.0410 0.2200 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9510 0.2460 2.0010 0.4500 ; + RECT 1.8020 0.0300 1.8520 0.1960 ; + RECT 4.6870 0.0300 4.7370 0.3300 ; + RECT 3.1670 0.3370 3.2170 0.4610 ; + RECT 2.7570 0.0300 2.8070 0.2870 ; + RECT 2.7570 0.2870 3.2170 0.3370 ; + RECT 3.1670 0.2490 3.2170 0.2870 ; + END + PORT + LAYER CO ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4160 0.6290 0.4580 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.6910 0.3340 4.7330 0.3760 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5870 0.3240 0.6290 0.3660 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.6510 0.3190 1.6930 0.3610 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 2.1070 0.2750 2.1490 0.3170 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.1710 0.3070 3.2130 0.3490 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.1710 0.3990 3.2130 0.4410 ; + RECT 3.3230 0.2030 3.3650 0.2450 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 4.9950 0.1580 5.0370 0.2000 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.9550 0.3880 1.9970 0.4300 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2660 1.1610 5.3760 1.2210 ; + RECT 5.1270 0.1540 5.3750 0.2040 ; + RECT 5.1430 1.2210 5.3760 1.2710 ; + RECT 5.1430 1.2710 5.1930 1.5460 ; + RECT 5.3250 0.2040 5.3750 1.1610 ; + END + PORT + LAYER CO ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2360 1.3150 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.2560 1.3130 1.2980 ; + END + ANTENNAGATEAREA 0.0285 ; + END SI + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.4720 1.7020 ; + RECT 4.0500 1.3080 4.7770 1.3580 ; + RECT 3.1500 1.2780 3.3930 1.3280 ; + RECT 0.5830 1.0220 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 4.9910 0.9470 5.0410 1.6420 ; + RECT 4.7270 1.3580 4.7770 1.6420 ; + RECT 3.3430 1.3280 3.3930 1.6420 ; + RECT 1.9510 1.0980 2.0010 1.3170 ; + RECT 2.1030 1.1040 2.1530 1.3170 ; + RECT 1.6470 1.3140 1.8270 1.3170 ; + RECT 1.6470 1.0880 1.6970 1.3140 ; + RECT 1.7830 1.3640 2.1530 1.3670 ; + RECT 1.6470 1.3640 1.6970 1.6420 ; + RECT 1.6470 1.3170 2.1530 1.3640 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 4.0830 1.3120 4.1250 1.3540 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.9950 1.0720 5.0370 1.1140 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.3230 1.2820 3.3650 1.3240 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1580 0.6290 1.2000 ; + RECT 1.6510 1.1580 1.6930 1.2000 ; + RECT 1.9550 1.2130 1.9970 1.2550 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.1070 1.1320 2.1490 1.1740 ; + RECT 1.9550 1.1210 1.9970 1.1630 ; + RECT 1.9550 1.3050 1.9970 1.3470 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.9950 1.1640 5.0370 1.2060 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.5870 1.0420 0.6290 1.0840 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.9950 1.1640 5.0370 1.2060 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.9950 1.3480 5.0370 1.3900 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.5870 1.1580 0.6290 1.2000 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.9950 1.2560 5.0370 1.2980 ; + RECT 4.9950 1.2560 5.0370 1.2980 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 4.9950 1.3480 5.0370 1.3900 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.9950 1.0720 5.0370 1.1140 ; + END + END VDD + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + RECT 0.4100 0.7300 0.7250 0.7340 ; + RECT 0.3390 0.6800 0.5120 0.6840 ; + RECT 0.3390 0.6840 0.7250 0.7300 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6880 0.7050 0.7300 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0495 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6680 1.0110 0.8190 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6880 1.0090 0.7300 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9390 0.0880 4.0690 0.1380 ; + RECT 3.9870 0.1380 4.0690 0.1640 ; + RECT 2.9390 0.1380 3.0950 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.9430 0.1220 2.9850 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.4280 1.9410 1.5800 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.5330 1.9210 1.5750 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1130 1.0090 5.2230 1.1190 ; + RECT 4.8390 0.1480 4.8890 0.3090 ; + RECT 4.8390 0.3090 5.2230 0.3590 ; + RECT 4.8390 0.8540 4.8890 1.5460 ; + RECT 5.1730 0.8540 5.2230 1.0090 ; + RECT 4.8390 0.8040 5.2230 0.8540 ; + RECT 5.1730 0.3590 5.2230 0.8040 ; + END + PORT + LAYER CO ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 0.1950 4.8850 0.2370 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 0.2870 4.8850 0.3290 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 2.2590 0.5060 2.3010 0.5480 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 0.7390 1.1580 0.7810 1.2000 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 4.6150 0.7130 4.6570 0.7550 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 1.0430 0.9920 1.0850 1.0340 ; + RECT 0.8910 1.1580 0.9330 1.2000 ; + RECT 2.8670 1.1620 2.9090 1.2040 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 2.3350 0.1000 2.3770 0.1420 ; + RECT 3.0950 1.4820 3.1370 1.5240 ; + RECT 1.8030 0.7700 1.8450 0.8120 ; + RECT 0.7390 1.0460 0.7810 1.0880 ; + RECT 3.3990 0.6440 3.4410 0.6860 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 0.7390 0.4960 0.7810 0.5380 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + RECT 1.1950 0.4960 1.2370 0.5380 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.5750 0.6880 1.6170 0.7300 ; + RECT 1.1950 1.0920 1.2370 1.1340 ; + RECT 2.2590 0.8520 2.3010 0.8940 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 4.6150 1.4320 4.6570 1.4740 ; + RECT 3.9310 0.9820 3.9730 1.0240 ; + RECT 2.2590 0.7600 2.3010 0.8020 ; + RECT 0.8910 1.1580 0.9330 1.2000 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 1.8030 0.8620 1.8450 0.9040 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 1.1580 0.7810 1.2000 ; + RECT 1.0430 0.3960 1.0850 0.4380 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 1.3470 0.4540 1.3890 0.4960 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 2.4870 1.4900 2.5290 1.5320 ; + RECT 4.3110 1.4330 4.3530 1.4750 ; + RECT 2.7150 1.2820 2.7570 1.3240 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 4.1590 0.7700 4.2010 0.8120 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 3.7790 0.4900 3.8210 0.5320 ; + RECT 3.5510 0.6410 3.5930 0.6830 ; + RECT 4.3110 0.1200 4.3530 0.1620 ; + RECT 3.7030 0.1930 3.7450 0.2350 ; + RECT 3.0950 0.5440 3.1370 0.5860 ; + RECT 2.7150 0.4380 2.7570 0.4800 ; + RECT 2.7910 1.0050 2.8330 1.0470 ; + RECT 3.0190 1.2820 3.0610 1.3240 ; + RECT 2.3350 0.6490 2.3770 0.6910 ; + RECT 0.8910 1.0470 0.9330 1.0890 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 3.4750 0.4240 3.5170 0.4660 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 3.9310 0.5420 3.9730 0.5840 ; + RECT 3.2470 0.5440 3.2890 0.5860 ; + RECT 2.6390 1.5030 2.6810 1.5450 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 1.4990 0.2960 1.5410 0.3380 ; + RECT 0.7390 1.0460 0.7810 1.0880 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 3.7790 1.1030 3.8210 1.1450 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 3.0950 0.7900 3.1370 0.8320 ; + RECT 4.3870 0.4420 4.4290 0.4840 ; + RECT 4.2350 0.9820 4.2770 1.0240 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 3.2470 1.5220 3.2890 1.5640 ; + RECT 2.4110 1.2520 2.4530 1.2940 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + LAYER PO ; + RECT 2.4930 0.0680 2.5230 0.5420 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 2.4930 0.7900 2.5230 1.6060 ; + RECT 4.6210 1.0120 4.6510 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.5810 0.0570 1.6110 1.6060 ; + RECT 0.6690 0.0570 0.6990 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.6180 ; + RECT 4.6210 0.0680 4.6510 0.7870 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.7090 0.8250 3.7390 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6220 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.2770 0.0570 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 3.1010 0.7580 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.9730 0.0570 1.0030 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6930 5.5870 1.7730 ; + RECT -0.1150 0.6790 0.5150 0.6930 ; + RECT 1.7550 0.6790 5.5870 0.6930 ; + LAYER M1 ; + RECT 3.4650 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.0880 4.3570 0.2300 ; + RECT 3.3140 0.3140 3.5150 0.3640 ; + RECT 3.0750 0.5400 3.3640 0.5900 ; + RECT 3.6830 0.1910 3.7650 0.2300 ; + RECT 3.4650 0.2800 3.5150 0.3140 ; + RECT 3.3140 0.3640 3.3640 0.5400 ; + RECT 2.5590 0.6400 3.4610 0.6900 ; + RECT 2.5590 0.4340 2.7770 0.4840 ; + RECT 2.5590 1.1580 2.9290 1.2080 ; + RECT 2.5590 0.3550 2.6090 0.4340 ; + RECT 2.5590 1.2080 2.6090 1.3140 ; + RECT 2.5590 0.6900 2.6090 1.1580 ; + RECT 2.5590 0.4840 2.6090 0.6400 ; + RECT 2.4070 0.2990 2.4970 0.3810 ; + RECT 2.4070 1.0380 2.4570 1.3140 ; + RECT 2.4310 0.3810 2.4810 0.9880 ; + RECT 1.0230 0.9880 2.4810 1.0380 ; + RECT 1.0230 0.3920 1.3930 0.4420 ; + RECT 1.3430 0.4420 1.3930 0.5040 ; + RECT 1.3430 0.5040 1.7370 0.5540 ; + RECT 1.6870 0.5540 1.7370 0.9880 ; + RECT 3.7750 0.4380 5.1170 0.4880 ; + RECT 4.7270 0.7040 5.1170 0.7540 ; + RECT 5.0670 0.4880 5.1170 0.7040 ; + RECT 4.3670 1.2080 4.7770 1.2580 ; + RECT 3.7750 0.4880 3.8250 1.1650 ; + RECT 4.0780 0.4880 4.1280 0.7660 ; + RECT 4.0780 0.7660 4.2210 0.8160 ; + RECT 4.7270 0.7540 4.7770 1.2080 ; + RECT 3.0750 0.7860 3.5970 0.8360 ; + RECT 3.5470 0.6210 3.5970 0.7860 ; + RECT 0.2050 0.8880 1.5020 0.9380 ; + RECT 1.4520 0.6840 1.6370 0.7340 ; + RECT 1.4520 0.7340 1.5020 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.6230 0.5050 3.7130 0.5550 ; + RECT 2.7660 1.0010 3.7130 1.0510 ; + RECT 3.4400 0.4200 3.6730 0.4700 ; + RECT 3.4710 1.3080 3.6730 1.3580 ; + RECT 3.6630 0.5550 3.7130 1.0010 ; + RECT 3.6230 0.4700 3.6730 0.5050 ; + RECT 3.6230 0.3710 3.6730 0.4200 ; + RECT 3.4710 1.1010 3.5210 1.3080 ; + RECT 3.6230 1.0510 3.6730 1.3080 ; + RECT 2.3010 0.0960 2.7070 0.1460 ; + RECT 4.2710 0.6040 4.9810 0.6540 ; + RECT 3.9100 0.9780 4.3210 1.0280 ; + RECT 3.9100 0.5380 4.0170 0.5880 ; + RECT 4.2710 0.6540 4.3210 0.9780 ; + RECT 3.9100 0.5880 3.9600 0.9780 ; + RECT 2.6350 1.4780 3.1570 1.5280 ; + RECT 2.6350 1.5280 2.6850 1.5650 ; + RECT 0.7350 1.0420 0.9370 1.0920 ; + RECT 0.8870 1.0920 0.9370 1.2200 ; + RECT 0.8870 1.0270 0.9370 1.0420 ; + RECT 0.7350 1.0920 0.7850 1.2200 ; + RECT 0.7350 1.0260 0.7850 1.0420 ; + RECT 0.7190 0.4920 1.2570 0.5420 ; + RECT 1.4750 0.3420 1.5650 0.3740 ; + RECT 0.8660 0.3420 0.9560 0.3680 ; + RECT 0.8660 0.2920 1.5650 0.3420 ; + RECT 1.9990 1.5260 2.5330 1.5760 ; + RECT 3.2430 1.4280 3.2930 1.5840 ; + RECT 2.4830 1.4280 2.5330 1.5260 ; + RECT 2.4830 1.3780 3.2930 1.4280 ; + RECT 1.7990 0.6180 2.2450 0.6680 ; + RECT 1.7990 0.6680 1.8490 0.9240 ; + RECT 1.7990 0.4220 1.8490 0.6180 ; + RECT 2.2550 0.5180 2.3810 0.5680 ; + RECT 2.2550 0.7680 2.3050 0.9140 ; + RECT 2.2550 0.7180 2.3810 0.7680 ; + RECT 2.2550 0.3940 2.3050 0.5180 ; + RECT 2.3310 0.5680 2.3810 0.7180 ; + RECT 4.2900 1.4290 4.6770 1.4790 ; + RECT 4.4540 0.7090 4.6770 0.7590 ; + RECT 4.4540 0.7590 4.5040 1.0990 ; + RECT 3.8990 1.0990 4.5040 1.1490 ; + RECT 3.7230 1.2720 3.9490 1.3220 ; + RECT 3.5310 1.5280 3.7730 1.5780 ; + RECT 3.7230 1.3220 3.7730 1.5280 ; + RECT 3.8990 1.1490 3.9490 1.2720 ; + RECT 2.6950 1.2780 3.0810 1.3280 ; + RECT 1.1750 1.0880 1.5680 1.1380 ; + END +END SDFFNARX1_HVT + +MACRO SDFFNARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.776 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.5690 0.0970 5.6790 0.2070 ; + RECT 5.2950 0.2700 5.6610 0.3200 ; + RECT 5.2950 0.1480 5.3450 0.2700 ; + RECT 5.6110 0.2070 5.6610 0.2700 ; + RECT 5.6110 0.3200 5.6610 0.9180 ; + RECT 5.2950 0.9680 5.3450 1.5460 ; + RECT 5.2950 0.9180 5.6610 0.9680 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.7760 0.0300 ; + RECT 1.8020 0.1960 2.1530 0.2460 ; + RECT 4.2150 0.3300 4.7530 0.3800 ; + RECT 3.1670 0.1990 3.3920 0.2490 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 1.6470 0.0300 1.6970 0.4080 ; + RECT 5.4470 0.0300 5.4970 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 4.8390 0.0300 4.8890 0.4080 ; + RECT 5.1430 0.0300 5.1930 0.3190 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9510 0.2460 2.0010 0.4500 ; + RECT 1.8020 0.0300 1.8520 0.1960 ; + RECT 4.6870 0.0300 4.7370 0.3300 ; + RECT 3.1670 0.3370 3.2170 0.4610 ; + RECT 2.7570 0.0300 2.8070 0.2870 ; + RECT 2.7570 0.2870 3.2170 0.3370 ; + RECT 3.1670 0.2490 3.2170 0.2870 ; + END + PORT + LAYER CO ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.8430 0.1580 4.8850 0.2000 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.6910 0.3340 4.7330 0.3760 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.6510 0.3390 1.6930 0.3810 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.1070 0.2750 2.1490 0.3170 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 3.1710 0.3070 3.2130 0.3490 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.1710 0.3990 3.2130 0.4410 ; + RECT 3.3230 0.2030 3.3650 0.2450 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 5.4510 0.1580 5.4930 0.2000 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.9550 0.3880 1.9970 0.4300 ; + END + END VSS + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2360 1.3150 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.2560 1.3130 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9910 0.1480 5.0410 0.3940 ; + RECT 5.4170 0.4440 5.5370 0.5110 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 5.4870 0.5110 5.5370 0.8040 ; + RECT 4.9910 0.8040 5.5370 0.8540 ; + RECT 4.9910 0.3940 5.5370 0.4440 ; + END + PORT + LAYER CO ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 0.2870 5.0370 0.3290 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.7760 1.7020 ; + RECT 4.0500 1.3080 4.7770 1.3580 ; + RECT 3.1500 1.2780 3.3930 1.3280 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 4.8390 0.9120 4.8890 1.6420 ; + RECT 5.1430 0.9600 5.1930 1.6420 ; + RECT 5.4470 1.0520 5.4970 1.6420 ; + RECT 4.7270 1.3580 4.7770 1.6420 ; + RECT 3.3430 1.3280 3.3930 1.6420 ; + RECT 1.9510 1.0980 2.0010 1.3140 ; + RECT 2.1030 1.1110 2.1530 1.3140 ; + RECT 1.6470 1.3640 1.6970 1.6420 ; + RECT 1.6470 1.1340 1.6970 1.3140 ; + RECT 1.6470 1.3140 2.1530 1.3640 ; + END + PORT + LAYER CO ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.3230 1.2820 3.3650 1.3240 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.9550 1.2100 1.9970 1.2520 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 4.0830 1.3120 4.1250 1.3540 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.1470 1.4400 5.1890 1.4820 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.1070 1.1320 2.1490 1.1740 ; + RECT 1.9550 1.1180 1.9970 1.1600 ; + RECT 1.9550 1.3020 1.9970 1.3440 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 5.1470 0.9800 5.1890 1.0220 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + END + END VDD + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6640 1.0110 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9390 0.0880 4.0690 0.1380 ; + RECT 3.9870 0.1380 4.0690 0.1640 ; + RECT 2.9390 0.1380 3.0950 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.9430 0.1220 2.9850 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.4240 1.9410 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + RECT 1.1950 0.5160 1.2370 0.5580 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 4.2350 0.9820 4.2770 1.0240 ; + RECT 0.8910 0.3320 0.9330 0.3740 ; + RECT 3.2470 1.5220 3.2890 1.5640 ; + RECT 2.4110 1.2520 2.4530 1.2940 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.2590 0.5060 2.3010 0.5480 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 4.6150 0.7130 4.6570 0.7550 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 1.0430 0.9920 1.0850 1.0340 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 2.8670 1.1620 2.9090 1.2040 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 2.3350 0.1000 2.3770 0.1420 ; + RECT 3.0950 1.4820 3.1370 1.5240 ; + RECT 1.8030 0.7600 1.8450 0.8020 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 3.3990 0.6440 3.4410 0.6860 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 1.3470 0.4740 1.3890 0.5160 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.5750 0.6080 1.6170 0.6500 ; + RECT 1.1950 1.0920 1.2370 1.1340 ; + RECT 2.2590 0.8520 2.3010 0.8940 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 4.6150 1.4320 4.6570 1.4740 ; + RECT 3.9310 0.9820 3.9730 1.0240 ; + RECT 2.2590 0.7600 2.3010 0.8020 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 3.7790 1.1030 3.8210 1.1450 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 1.8030 0.8520 1.8450 0.8940 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 2.4870 1.4900 2.5290 1.5320 ; + RECT 4.3110 1.4330 4.3530 1.4750 ; + RECT 2.7150 1.2820 2.7570 1.3240 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 4.1590 0.7700 4.2010 0.8120 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 3.7790 0.4900 3.8210 0.5320 ; + RECT 3.5510 0.6410 3.5930 0.6830 ; + RECT 4.3110 0.1280 4.3530 0.1700 ; + RECT 3.7030 0.2200 3.7450 0.2620 ; + RECT 3.0950 0.5440 3.1370 0.5860 ; + RECT 2.7150 0.4380 2.7570 0.4800 ; + RECT 2.7910 1.0050 2.8330 1.0470 ; + RECT 3.0190 1.2820 3.0610 1.3240 ; + RECT 2.3350 0.6490 2.3770 0.6910 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 3.4750 0.4240 3.5170 0.4660 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 3.9310 0.5420 3.9730 0.5840 ; + RECT 3.2470 0.5440 3.2890 0.5860 ; + RECT 2.6390 1.5030 2.6810 1.5450 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 1.4990 0.3320 1.5410 0.3740 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 3.0950 0.7900 3.1370 0.8320 ; + RECT 4.3870 0.4420 4.4290 0.4840 ; + LAYER PO ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.5420 ; + RECT 2.4930 0.7900 2.5230 1.6060 ; + RECT 4.6210 1.0120 4.6510 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.6180 ; + RECT 4.6210 0.0680 4.6510 0.7870 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.7090 0.8250 3.7390 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6220 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 3.1010 0.7580 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.8910 1.7730 ; + LAYER M1 ; + RECT 3.4650 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.0880 4.3570 0.2300 ; + RECT 3.3140 0.3140 3.5150 0.3640 ; + RECT 3.0750 0.5400 3.3640 0.5900 ; + RECT 3.6830 0.1880 3.7650 0.2300 ; + RECT 3.4650 0.2800 3.5150 0.3140 ; + RECT 3.3140 0.3640 3.3640 0.5400 ; + RECT 2.5590 0.6400 3.4610 0.6900 ; + RECT 2.5590 0.4340 2.7770 0.4840 ; + RECT 2.5590 1.1580 2.9290 1.2080 ; + RECT 2.5590 0.3550 2.6090 0.4340 ; + RECT 2.5590 1.2080 2.6090 1.3140 ; + RECT 2.5590 0.6900 2.6090 1.1580 ; + RECT 2.5590 0.4840 2.6090 0.6400 ; + RECT 2.4070 0.2990 2.4970 0.3810 ; + RECT 2.4070 1.0380 2.4570 1.3140 ; + RECT 2.4310 0.3810 2.4810 0.9880 ; + RECT 1.0230 0.9880 2.4810 1.0380 ; + RECT 1.0230 0.4120 1.3930 0.4620 ; + RECT 1.3430 0.4620 1.3930 0.5040 ; + RECT 1.3430 0.5040 1.7370 0.5540 ; + RECT 1.6870 0.5540 1.7370 0.7260 ; + RECT 1.6500 0.7760 1.7000 0.9880 ; + RECT 1.6500 0.7260 1.7370 0.7760 ; + RECT 5.2000 0.6040 5.4370 0.6540 ; + RECT 4.3670 1.2080 4.7770 1.2580 ; + RECT 3.7750 0.4880 3.8250 1.1650 ; + RECT 3.7750 0.4380 4.4800 0.4880 ; + RECT 4.0980 0.4880 4.1480 0.7660 ; + RECT 4.0980 0.7660 4.2210 0.8160 ; + RECT 4.4300 0.4880 4.4800 0.5030 ; + RECT 4.7270 0.7540 4.7770 1.2080 ; + RECT 4.4300 0.5030 5.2500 0.5530 ; + RECT 5.2000 0.6540 5.2500 0.7040 ; + RECT 5.2000 0.5530 5.2500 0.6040 ; + RECT 4.7270 0.7040 5.2500 0.7540 ; + RECT 3.0750 0.7860 3.5970 0.8360 ; + RECT 3.5470 0.6210 3.5970 0.7860 ; + RECT 0.2050 0.8880 1.5020 0.9380 ; + RECT 1.4520 0.6040 1.6370 0.6540 ; + RECT 1.4520 0.6540 1.5020 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.6230 0.5050 3.7130 0.5550 ; + RECT 2.7660 1.0010 3.7130 1.0510 ; + RECT 3.4400 0.4200 3.6730 0.4700 ; + RECT 3.4710 1.3080 3.6730 1.3580 ; + RECT 3.6630 0.5550 3.7130 1.0010 ; + RECT 3.6230 0.4700 3.6730 0.5050 ; + RECT 3.6230 0.3710 3.6730 0.4200 ; + RECT 3.4710 1.1010 3.5210 1.3080 ; + RECT 3.6230 1.0510 3.6730 1.3080 ; + RECT 2.3010 0.0960 2.7070 0.1460 ; + RECT 4.2710 0.6040 5.1330 0.6540 ; + RECT 3.9100 0.9780 4.3210 1.0280 ; + RECT 3.9110 0.5380 4.0420 0.5880 ; + RECT 4.2710 0.6540 4.3210 0.9780 ; + RECT 3.9920 0.5880 4.0420 0.9780 ; + RECT 2.6350 1.4780 3.1570 1.5280 ; + RECT 2.6350 1.5280 2.6850 1.5650 ; + RECT 0.7350 1.0420 0.9370 1.0920 ; + RECT 0.8870 1.0920 0.9370 1.2160 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 0.7190 0.5120 1.2570 0.5620 ; + RECT 0.8870 0.3120 1.5450 0.3620 ; + RECT 1.4950 0.3620 1.5450 0.3940 ; + RECT 0.8870 0.3620 0.9370 0.3940 ; + RECT 1.9990 1.5260 2.5330 1.5760 ; + RECT 3.2430 1.4280 3.2930 1.5840 ; + RECT 2.4830 1.4280 2.5330 1.5260 ; + RECT 2.4830 1.3780 3.2930 1.4280 ; + RECT 1.7990 0.6180 2.2450 0.6680 ; + RECT 1.7990 0.6680 1.8490 0.9140 ; + RECT 1.7990 0.4220 1.8490 0.6180 ; + RECT 2.2550 0.5180 2.3810 0.5680 ; + RECT 2.2550 0.7680 2.3050 0.9140 ; + RECT 2.2550 0.7180 2.3810 0.7680 ; + RECT 2.2550 0.3940 2.3050 0.5180 ; + RECT 2.3310 0.5680 2.3810 0.7180 ; + RECT 4.2900 1.4290 4.6770 1.4790 ; + RECT 4.4540 0.7090 4.6770 0.7590 ; + RECT 4.4540 0.7590 4.5040 1.0990 ; + RECT 3.8990 1.0990 4.5040 1.1490 ; + RECT 3.7230 1.2720 3.9490 1.3220 ; + RECT 3.5310 1.5280 3.7730 1.5780 ; + RECT 3.7230 1.3220 3.7730 1.5280 ; + RECT 3.8990 1.1490 3.9490 1.2720 ; + RECT 2.6950 1.2780 3.0810 1.3280 ; + RECT 1.1750 1.0880 1.5610 1.1380 ; + END +END SDFFNARX2_HVT + +MACRO SDFFNASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.384 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0250 1.0090 6.1350 1.1190 ; + RECT 5.7510 0.8540 5.8010 1.5460 ; + RECT 6.0850 0.8540 6.1350 1.0090 ; + RECT 5.7510 0.8040 6.1350 0.8540 ; + RECT 5.7510 0.1480 5.8010 0.3090 ; + RECT 6.0850 0.3590 6.1350 0.8040 ; + RECT 5.7510 0.3090 6.1350 0.3590 ; + END + PORT + LAYER CO ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 5.7550 0.2870 5.7970 0.3290 ; + RECT 5.7550 1.2080 5.7970 1.2500 ; + RECT 5.7550 0.9320 5.7970 0.9740 ; + RECT 5.7550 1.2080 5.7970 1.2500 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 5.7550 1.1160 5.7970 1.1580 ; + RECT 5.7550 1.1160 5.7970 1.1580 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 5.7550 0.9320 5.7970 0.9740 ; + RECT 5.7550 0.1950 5.7970 0.2370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3770 1.4240 2.5490 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.5300 2.5290 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.3840 0.0300 ; + RECT 2.2550 0.1960 2.7610 0.2460 ; + RECT 1.9230 0.4120 2.3220 0.4620 ; + RECT 4.9750 0.3300 5.6650 0.3800 ; + RECT 0.5830 0.0300 0.6330 0.4350 ; + RECT 5.9030 0.0300 5.9530 0.2200 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 3.7750 0.3370 3.8250 0.4610 ; + RECT 3.9270 0.3370 3.9770 0.4610 ; + RECT 3.3650 0.0300 3.4150 0.2870 ; + RECT 3.3650 0.2870 3.9770 0.3370 ; + RECT 2.5590 0.2460 2.6090 0.4500 ; + RECT 2.7110 0.2460 2.7610 0.4500 ; + RECT 2.2550 0.0300 2.3050 0.1960 ; + RECT 2.2550 0.2460 2.3050 0.4120 ; + RECT 5.5990 0.0300 5.6490 0.3300 ; + END + PORT + LAYER CO ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 1.9550 0.4160 1.9970 0.4580 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 2.2590 0.4160 2.3010 0.4580 ; + RECT 2.7150 0.2750 2.7570 0.3170 ; + RECT 2.5630 0.2000 2.6050 0.2420 ; + RECT 2.7150 0.3880 2.7570 0.4300 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.9310 0.3990 3.9730 0.4410 ; + RECT 3.7790 0.3990 3.8210 0.4410 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 4.9950 0.3340 5.0370 0.3760 ; + RECT 5.6030 0.3340 5.6450 0.3760 ; + RECT 3.9310 0.3070 3.9730 0.3490 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.7790 0.3070 3.8210 0.3490 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.5630 0.3880 2.6050 0.4300 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 5.9070 0.1580 5.9490 0.2000 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.3360 0.8570 5.5270 1.0340 ; + END + PORT + LAYER CO ; + RECT 5.3750 0.9900 5.4170 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.2360 1.6190 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.2560 1.6170 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3350 0.6800 0.7260 0.7300 ; + RECT 0.4020 0.5530 0.5120 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.7470 0.1380 4.8290 0.1740 ; + RECT 3.5470 0.0880 4.8290 0.1380 ; + RECT 3.5470 0.1380 3.7030 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.7670 0.1200 4.8090 0.1620 ; + RECT 3.5510 0.1220 3.5930 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9430 0.6800 1.3150 0.7300 ; + RECT 1.1610 0.7300 1.3150 0.8150 ; + RECT 1.1610 0.6640 1.3150 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + END + ANTENNAGATEAREA 0.03798 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.3840 1.7020 ; + RECT 4.8100 1.3080 5.6890 1.3580 ; + RECT 3.7580 1.2780 4.1600 1.3280 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 5.9030 0.9470 5.9530 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 2.5590 1.0880 2.6090 1.3040 ; + RECT 2.7110 1.0880 2.7610 1.3040 ; + RECT 2.2550 1.3040 2.7610 1.3540 ; + RECT 5.6390 1.3580 5.6890 1.6420 ; + RECT 3.9510 1.3280 4.0010 1.6420 ; + RECT 2.2550 1.3540 2.3050 1.6420 ; + RECT 2.2550 1.1340 2.3050 1.3040 ; + END + PORT + LAYER CO ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 5.9070 1.3480 5.9490 1.3900 ; + RECT 4.0830 1.2820 4.1250 1.3240 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.9070 1.1640 5.9490 1.2060 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.7150 1.2000 2.7570 1.2420 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.9070 1.0720 5.9490 1.1140 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 4.8430 1.3120 4.8850 1.3540 ; + RECT 3.7790 1.2820 3.8210 1.3240 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 2.5630 1.2000 2.6050 1.2420 ; + RECT 2.2590 1.1540 2.3010 1.1960 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.7150 1.2920 2.7570 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.5630 1.2920 2.6050 1.3340 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 5.6030 1.3120 5.6450 1.3540 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 5.9070 1.0720 5.9490 1.1140 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 5.9070 1.3480 5.9490 1.3900 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.9070 1.2560 5.9490 1.2980 ; + RECT 5.9070 1.2560 5.9490 1.2980 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.9070 1.1640 5.9490 1.2060 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.1780 1.1610 6.2880 1.2210 ; + RECT 6.0390 0.1540 6.2870 0.2040 ; + RECT 6.0550 1.2210 6.2880 1.2710 ; + RECT 6.0550 1.2710 6.1050 1.5460 ; + RECT 6.2370 0.2040 6.2870 1.1610 ; + END + PORT + LAYER CO ; + RECT 6.0590 0.1580 6.1010 0.2000 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.3920 6.1010 1.4340 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 1.4840 6.1010 1.5260 ; + RECT 6.0590 1.3000 6.1010 1.3420 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + OBS + LAYER CO ; + RECT 0.8910 0.3160 0.9330 0.3580 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 4.3110 1.4320 4.3530 1.4740 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 5.5270 1.4120 5.5690 1.4540 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.0070 0.7920 4.0490 0.8340 ; + RECT 2.4110 0.7600 2.4530 0.8020 ; + RECT 1.1950 1.0620 1.2370 1.1040 ; + RECT 3.7030 1.4820 3.7450 1.5240 ; + RECT 2.7910 1.5300 2.8330 1.5720 ; + RECT 3.1710 1.1600 3.2130 1.2020 ; + RECT 3.4750 1.1620 3.5170 1.2040 ; + RECT 5.2990 1.1120 5.3410 1.1540 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.4510 1.2120 5.4930 1.2540 ; + RECT 4.2350 1.1990 4.2770 1.2410 ; + RECT 1.6510 0.4740 1.6930 0.5160 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 5.5270 0.7130 5.5690 0.7550 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 2.4110 0.8520 2.4530 0.8940 ; + RECT 2.6390 1.5300 2.6810 1.5720 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 2.4110 0.4420 2.4530 0.4840 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.1070 1.0920 2.1490 1.1340 ; + RECT 1.1950 0.3160 1.2370 0.3580 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 5.1470 1.2120 5.1890 1.2540 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 3.2470 1.5030 3.2890 1.5450 ; + RECT 4.1590 1.5320 4.2010 1.5740 ; + RECT 3.8550 0.5940 3.8970 0.6360 ; + RECT 4.6910 0.5420 4.7330 0.5840 ; + RECT 3.1710 1.2520 3.2130 1.2940 ; + RECT 2.1070 0.3160 2.1490 0.3580 ; + RECT 4.4630 1.4320 4.5050 1.4740 ; + RECT 3.0190 1.1600 3.0610 1.2020 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 3.6270 1.2820 3.6690 1.3240 ; + RECT 3.3990 1.0050 3.4410 1.0470 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 3.2470 0.1000 3.2890 0.1420 ; + RECT 3.3230 0.4380 3.3650 0.4800 ; + RECT 1.8030 0.3160 1.8450 0.3580 ; + RECT 1.8790 0.6220 1.9210 0.6640 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 2.8670 0.3500 2.9090 0.3920 ; + RECT 3.0190 1.2520 3.0610 1.2940 ; + RECT 3.8550 1.4820 3.8970 1.5240 ; + RECT 4.9950 0.9820 5.0370 1.0240 ; + RECT 3.7030 0.8960 3.7450 0.9380 ; + RECT 3.1710 0.3750 3.2130 0.4170 ; + RECT 3.0190 0.3190 3.0610 0.3610 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 5.3750 1.5320 5.4170 1.5740 ; + RECT 4.2350 0.3910 4.2770 0.4330 ; + RECT 4.5390 1.1030 4.5810 1.1450 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 4.6910 0.9820 4.7330 1.0240 ; + RECT 3.9310 1.1700 3.9730 1.2120 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 4.3870 0.3910 4.4290 0.4330 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 2.8670 0.8680 2.9090 0.9100 ; + RECT 2.6390 0.6540 2.6810 0.6960 ; + RECT 3.7030 0.5940 3.7450 0.6360 ; + RECT 4.4630 0.1900 4.5050 0.2320 ; + RECT 5.0710 0.1280 5.1130 0.1700 ; + RECT 4.3110 0.6960 4.3530 0.7380 ; + RECT 4.5390 0.4600 4.5810 0.5020 ; + RECT 5.1470 0.4420 5.1890 0.4840 ; + RECT 4.9190 0.7700 4.9610 0.8120 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 3.3230 1.2820 3.3650 1.3240 ; + RECT 5.0710 1.4120 5.1130 1.4540 ; + RECT 3.0950 1.4900 3.1370 1.5320 ; + RECT 1.4990 0.5160 1.5410 0.5580 ; + LAYER PO ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.4920 ; + RECT 3.7090 0.8640 3.7390 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 5.5330 1.0120 5.5630 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 4.4690 0.7320 4.4990 1.6060 ; + RECT 4.4690 0.0680 4.4990 0.5820 ; + RECT 3.7090 0.0680 3.7390 0.6680 ; + RECT 5.5330 0.0680 5.5630 0.7870 ; + RECT 3.1010 0.7900 3.1310 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 6.5140 1.7730 ; + LAYER M1 ; + RECT 5.6390 0.7040 6.0290 0.7540 ; + RECT 4.5350 0.4380 6.0290 0.4880 ; + RECT 5.9790 0.4880 6.0290 0.7040 ; + RECT 5.2790 1.1080 5.6890 1.1580 ; + RECT 5.6390 0.7540 5.6890 1.1080 ; + RECT 4.8380 0.4880 4.8880 0.7660 ; + RECT 4.8380 0.7660 4.9810 0.8160 ; + RECT 4.5350 0.4880 4.5850 1.1650 ; + RECT 3.0150 0.2990 3.1050 0.3810 ; + RECT 3.0150 1.0380 3.0650 1.3140 ; + RECT 3.0390 0.3810 3.0890 0.9880 ; + RECT 1.3270 0.9880 3.0890 1.0380 ; + RECT 1.0150 0.4120 1.6970 0.4620 ; + RECT 1.6470 0.4620 1.6970 0.5130 ; + RECT 2.2580 0.7260 2.3450 0.7760 ; + RECT 1.6470 0.5130 2.3450 0.5630 ; + RECT 2.2580 0.7760 2.3080 0.9880 ; + RECT 2.2950 0.5630 2.3450 0.7260 ; + RECT 3.1670 0.7880 4.0690 0.8380 ; + RECT 3.1670 0.4340 3.3850 0.4840 ; + RECT 3.1670 1.1580 3.5370 1.2080 ; + RECT 3.1670 0.3550 3.2170 0.4340 ; + RECT 3.1670 0.4840 3.2170 0.7880 ; + RECT 3.1670 1.2080 3.2170 1.3140 ; + RECT 3.1670 0.8380 3.2170 1.1580 ; + RECT 4.1190 0.2300 5.1170 0.2800 ; + RECT 5.0670 0.0880 5.1170 0.2300 ; + RECT 3.6830 0.5900 4.1690 0.6400 ; + RECT 4.4430 0.2800 4.5250 0.2900 ; + RECT 4.4430 0.1880 4.5250 0.2300 ; + RECT 4.1190 0.2800 4.1690 0.5900 ; + RECT 1.7600 0.6180 2.2450 0.6680 ; + RECT 0.2060 0.8880 1.8100 0.9380 ; + RECT 1.7600 0.6680 1.8100 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2060 0.5280 0.2560 0.8880 ; + RECT 3.6830 0.8920 4.2720 0.9420 ; + RECT 4.2220 0.6920 4.3730 0.7420 ; + RECT 4.2220 0.7420 4.2720 0.8920 ; + RECT 3.3740 1.0010 4.4730 1.0510 ; + RECT 4.3830 0.5900 4.4730 0.6400 ; + RECT 4.2310 1.3080 4.4330 1.3580 ; + RECT 3.9100 1.1660 4.2810 1.2160 ; + RECT 4.4230 0.6400 4.4730 1.0010 ; + RECT 4.3830 1.0510 4.4330 1.3080 ; + RECT 4.2310 0.3710 4.2810 0.4460 ; + RECT 4.2310 0.4460 4.4330 0.4960 ; + RECT 4.2310 1.2160 4.2810 1.3080 ; + RECT 4.3830 0.4960 4.4330 0.5900 ; + RECT 4.3830 0.3710 4.4330 0.4460 ; + RECT 2.8630 0.4310 2.9890 0.4810 ; + RECT 2.8400 0.8640 2.9890 0.9140 ; + RECT 2.8630 0.1460 2.9130 0.4310 ; + RECT 2.8630 0.0960 3.3150 0.1460 ; + RECT 2.9390 0.4810 2.9890 0.8640 ; + RECT 2.6110 1.5260 3.1410 1.5760 ; + RECT 3.8510 1.4280 3.9010 1.5440 ; + RECT 3.0910 1.4280 3.1410 1.5260 ; + RECT 3.0910 1.3780 3.9010 1.4280 ; + RECT 2.4070 0.5350 2.6850 0.5850 ; + RECT 2.6350 0.5850 2.6850 0.7230 ; + RECT 2.4070 0.5850 2.4570 0.9140 ; + RECT 2.4070 0.4220 2.4570 0.5350 ; + RECT 0.7350 1.0420 1.2410 1.0920 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 1.1910 1.0920 1.2410 1.2160 ; + RECT 0.7010 0.5120 1.5610 0.5620 ; + RECT 5.1690 0.7090 5.5890 0.7590 ; + RECT 5.1690 0.7590 5.2190 1.0990 ; + RECT 4.6590 1.0990 5.2190 1.1490 ; + RECT 4.4830 1.2720 4.7090 1.3220 ; + RECT 4.2910 1.4280 4.5330 1.4780 ; + RECT 4.4830 1.3220 4.5330 1.4280 ; + RECT 4.6590 1.1490 4.7090 1.2720 ; + RECT 5.0310 0.6040 5.8930 0.6540 ; + RECT 5.0310 0.6540 5.0810 0.9780 ; + RECT 4.6700 0.9780 5.0810 1.0280 ; + RECT 4.6700 0.5880 4.7200 0.9780 ; + RECT 4.6700 0.5380 4.7770 0.5880 ; + RECT 3.2430 1.4780 3.7650 1.5280 ; + RECT 3.2430 1.5280 3.2930 1.5650 ; + RECT 5.0500 1.4080 5.5890 1.4580 ; + RECT 3.3030 1.2780 3.6890 1.3280 ; + RECT 5.1270 1.2080 5.5130 1.2580 ; + RECT 4.1100 1.5280 5.4500 1.5780 ; + RECT 0.8610 0.3120 2.1690 0.3620 ; + RECT 1.4790 1.0880 2.1690 1.1380 ; + END +END SDFFNASRX1_HVT + +MACRO SDFFNASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.08 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.4240 1.9410 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.0800 0.0300 ; + RECT 4.3670 0.3300 5.0570 0.3800 ; + RECT 1.6470 0.1960 2.1530 0.2460 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 5.1430 0.0300 5.1930 0.4080 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 5.7510 0.0300 5.8010 0.2200 ; + RECT 5.4470 0.0300 5.4970 0.3190 ; + RECT 3.1670 0.3370 3.2170 0.4610 ; + RECT 3.3190 0.3370 3.3690 0.4610 ; + RECT 2.7570 0.0300 2.8070 0.2870 ; + RECT 2.7570 0.2870 3.3690 0.3370 ; + RECT 4.9910 0.0300 5.0410 0.3300 ; + RECT 1.9510 0.2460 2.0010 0.4500 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.6470 0.2460 1.6970 0.4080 ; + RECT 1.6470 0.0300 1.6970 0.1960 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.6510 0.3390 1.6930 0.3810 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 5.4510 0.2500 5.4930 0.2920 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 5.4510 0.2500 5.4930 0.2920 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 5.1470 0.3420 5.1890 0.3840 ; + RECT 5.4510 0.1580 5.4930 0.2000 ; + RECT 5.1470 0.3420 5.1890 0.3840 ; + RECT 5.1470 0.3420 5.1890 0.3840 ; + RECT 5.7550 0.1580 5.7970 0.2000 ; + RECT 5.1470 0.3420 5.1890 0.3840 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1070 0.2750 2.1490 0.3170 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 3.3230 0.3990 3.3650 0.4410 ; + RECT 3.1710 0.3990 3.2130 0.4410 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.3870 0.3340 4.4290 0.3760 ; + RECT 4.9950 0.3340 5.0370 0.3760 ; + RECT 3.3230 0.3070 3.3650 0.3490 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.1710 0.3070 3.2130 0.3490 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.9550 0.3880 1.9970 0.4300 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.7470 0.8570 4.9190 1.0340 ; + END + PORT + LAYER CO ; + RECT 4.7670 0.9900 4.8090 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2360 1.3150 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.2560 1.3130 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.1390 0.1380 4.2210 0.1640 ; + RECT 2.9390 0.0880 4.2210 0.1380 ; + RECT 2.9390 0.1380 3.0950 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.1590 0.1200 4.2010 0.1620 ; + RECT 2.9430 0.1220 2.9850 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6640 1.0110 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.0800 1.7020 ; + RECT 4.2020 1.3080 5.0810 1.3580 ; + RECT 3.1500 1.2780 3.5520 1.3280 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 5.4470 0.9600 5.4970 1.6420 ; + RECT 5.1430 0.9120 5.1930 1.6420 ; + RECT 5.7510 1.0520 5.8010 1.6420 ; + RECT 1.9510 1.0880 2.0010 1.3040 ; + RECT 2.1030 1.0880 2.1530 1.3040 ; + RECT 1.6470 1.3040 2.1530 1.3540 ; + RECT 5.0310 1.3580 5.0810 1.6420 ; + RECT 3.3430 1.3280 3.3930 1.6420 ; + RECT 1.6470 1.3540 1.6970 1.6420 ; + RECT 1.6470 1.1340 1.6970 1.3040 ; + END + PORT + LAYER CO ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 0.9320 5.1890 0.9740 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 0.9320 5.1890 0.9740 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 5.4510 0.9800 5.4930 1.0220 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 1.9550 1.2000 1.9970 1.2420 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.4510 1.4400 5.4930 1.4820 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 1.2000 2.1490 1.2420 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 4.2350 1.3120 4.2770 1.3540 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.9550 1.2920 1.9970 1.3340 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 4.9950 1.3120 5.0370 1.3540 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.9550 1.1080 1.9970 1.1500 ; + RECT 2.1070 1.2920 2.1490 1.3340 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.1070 1.1080 2.1490 1.1500 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.0970 5.9830 0.2070 ; + RECT 5.5990 0.2700 5.9650 0.3200 ; + RECT 5.5990 0.1480 5.6490 0.2700 ; + RECT 5.5990 0.9680 5.6490 1.5460 ; + RECT 5.5990 0.9180 5.9650 0.9680 ; + RECT 5.9150 0.3200 5.9650 0.9180 ; + RECT 5.9150 0.2070 5.9650 0.2700 ; + END + PORT + LAYER CO ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 0.1950 5.6450 0.2370 ; + RECT 5.6030 0.1950 5.6450 0.2370 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2950 0.8040 5.8410 0.8540 ; + RECT 5.2950 0.1480 5.3450 0.3940 ; + RECT 5.2950 0.8540 5.3450 1.5460 ; + RECT 5.7910 0.5110 5.8410 0.8040 ; + RECT 5.7210 0.4440 5.8410 0.5110 ; + RECT 5.2950 0.3940 5.8410 0.4440 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 0.2870 5.3410 0.3290 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + OBS + LAYER CO ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 4.9190 0.7130 4.9610 0.7550 ; + RECT 1.8030 0.8520 1.8450 0.8940 ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 4.9190 1.4120 4.9610 1.4540 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.3990 0.7920 3.4410 0.8340 ; + RECT 1.8030 0.7600 1.8450 0.8020 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 3.0950 1.4820 3.1370 1.5240 ; + RECT 2.1830 1.5300 2.2250 1.5720 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 2.8670 1.1620 2.9090 1.2040 ; + RECT 4.6910 1.1120 4.7330 1.1540 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 4.8430 1.2120 4.8850 1.2540 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 1.3470 0.4740 1.3890 0.5160 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.2590 0.2580 2.3010 0.3000 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 2.2590 0.3500 2.3010 0.3920 ; + RECT 2.4110 1.2520 2.4530 1.2940 ; + RECT 3.2470 1.4820 3.2890 1.5240 ; + RECT 4.3870 0.9820 4.4290 1.0240 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.1950 1.0920 1.2370 1.1340 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 0.8910 0.3320 0.9330 0.3740 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 4.5390 1.2120 4.5810 1.2540 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.6390 1.5030 2.6810 1.5450 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 3.2470 0.5940 3.2890 0.6360 ; + RECT 4.0830 0.5420 4.1250 0.5840 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 1.4990 0.3320 1.5410 0.3740 ; + RECT 3.8550 1.4320 3.8970 1.4740 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 3.0190 1.2820 3.0610 1.3240 ; + RECT 2.7910 1.0050 2.8330 1.0470 ; + RECT 4.6150 0.6080 4.6570 0.6500 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 2.7150 0.4380 2.7570 0.4800 ; + RECT 3.0950 0.5940 3.1370 0.6360 ; + RECT 3.8550 0.1900 3.8970 0.2320 ; + RECT 4.4630 0.1200 4.5050 0.1620 ; + RECT 3.7030 0.6960 3.7450 0.7380 ; + RECT 3.9310 0.4600 3.9730 0.5020 ; + RECT 4.5390 0.4420 4.5810 0.4840 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 1.0430 0.9920 1.0850 1.0340 ; + RECT 3.0950 0.8960 3.1370 0.9380 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 4.7670 1.5320 4.8090 1.5740 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 3.9310 1.1030 3.9730 1.1450 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 4.0830 0.9820 4.1250 1.0240 ; + RECT 3.3230 1.1700 3.3650 1.2120 ; + RECT 3.7790 0.3910 3.8210 0.4330 ; + RECT 1.5750 0.6080 1.6170 0.6500 ; + RECT 2.2590 0.8680 2.3010 0.9100 ; + RECT 2.0310 0.6540 2.0730 0.6960 ; + RECT 4.3110 0.7700 4.3530 0.8120 ; + RECT 2.7150 1.2820 2.7570 1.3240 ; + RECT 4.4630 1.4120 4.5050 1.4540 ; + RECT 2.4870 1.4900 2.5290 1.5320 ; + RECT 1.1950 0.5160 1.2370 0.5580 ; + RECT 3.7030 1.4320 3.7450 1.4740 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + LAYER PO ; + RECT 2.4930 0.7900 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.1010 0.8640 3.1310 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.4920 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 4.9250 1.0120 4.9550 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 3.8610 0.7320 3.8910 1.6060 ; + RECT 3.8610 0.0680 3.8910 0.5820 ; + RECT 3.1010 0.0680 3.1310 0.6680 ; + RECT 4.9250 0.0680 4.9550 0.7870 ; + LAYER NWELL ; + RECT -0.1000 0.6790 6.2100 1.7730 ; + LAYER M1 ; + RECT 5.5040 0.6040 5.7410 0.6540 ; + RECT 5.0310 0.7040 5.5540 0.7540 ; + RECT 5.5040 0.6540 5.5540 0.7040 ; + RECT 5.5040 0.5530 5.5540 0.6040 ; + RECT 4.5720 0.5030 5.5540 0.5530 ; + RECT 4.6710 1.1080 5.0810 1.1580 ; + RECT 5.0310 0.7540 5.0810 1.1080 ; + RECT 4.2300 0.7660 4.3730 0.8160 ; + RECT 3.9270 0.4380 4.6220 0.4880 ; + RECT 3.9270 0.4880 3.9770 1.1650 ; + RECT 4.2300 0.4880 4.2800 0.7660 ; + RECT 4.5720 0.4880 4.6220 0.5030 ; + RECT 2.4070 0.2990 2.4970 0.3810 ; + RECT 2.4070 1.0380 2.4570 1.3140 ; + RECT 2.4310 0.3810 2.4810 0.9880 ; + RECT 1.0230 0.9880 2.4810 1.0380 ; + RECT 1.0230 0.4120 1.3930 0.4620 ; + RECT 1.3430 0.4620 1.3930 0.5040 ; + RECT 1.6500 0.7260 1.7370 0.7760 ; + RECT 1.3430 0.5040 1.7370 0.5540 ; + RECT 1.6500 0.7760 1.7000 0.9880 ; + RECT 1.6870 0.5540 1.7370 0.7260 ; + RECT 2.5590 0.7880 3.4610 0.8380 ; + RECT 2.5590 0.4340 2.7770 0.4840 ; + RECT 2.5590 1.1580 2.9290 1.2080 ; + RECT 2.5590 0.3550 2.6090 0.4340 ; + RECT 2.5590 0.4840 2.6090 0.7880 ; + RECT 2.5590 1.2080 2.6090 1.3140 ; + RECT 2.5590 0.8380 2.6090 1.1580 ; + RECT 3.5110 0.2300 4.5090 0.2800 ; + RECT 4.4590 0.0880 4.5090 0.2300 ; + RECT 3.0750 0.5900 3.5610 0.6400 ; + RECT 3.8350 0.1880 3.9170 0.2300 ; + RECT 3.5110 0.2800 3.5610 0.5900 ; + RECT 0.2050 0.8880 1.5020 0.9380 ; + RECT 1.4520 0.6040 1.6370 0.6540 ; + RECT 1.4520 0.6540 1.5020 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.0750 0.8920 3.6640 0.9420 ; + RECT 3.6140 0.6920 3.7650 0.7420 ; + RECT 3.6140 0.7420 3.6640 0.8920 ; + RECT 3.7750 0.5900 3.8650 0.6400 ; + RECT 2.7660 1.0010 3.8650 1.0510 ; + RECT 3.6230 1.3080 3.8250 1.3580 ; + RECT 3.3020 1.1660 3.6730 1.2160 ; + RECT 3.6230 0.3710 3.6730 0.4460 ; + RECT 3.6230 0.4460 3.8250 0.4960 ; + RECT 3.8150 0.6400 3.8650 1.0010 ; + RECT 3.7750 1.0510 3.8250 1.3080 ; + RECT 3.6230 1.2160 3.6730 1.3080 ; + RECT 3.7750 0.4960 3.8250 0.5900 ; + RECT 3.7750 0.3710 3.8250 0.4460 ; + RECT 2.2550 0.4310 2.3810 0.4810 ; + RECT 2.2320 0.8640 2.3810 0.9140 ; + RECT 2.2550 0.1460 2.3050 0.4310 ; + RECT 2.2550 0.0960 2.7070 0.1460 ; + RECT 2.3310 0.4810 2.3810 0.8640 ; + RECT 2.0030 1.5260 2.5330 1.5760 ; + RECT 3.2430 1.4280 3.2930 1.5440 ; + RECT 2.4830 1.4280 2.5330 1.5260 ; + RECT 2.4830 1.3780 3.2930 1.4280 ; + RECT 1.7990 0.5350 2.0770 0.5850 ; + RECT 2.0270 0.5850 2.0770 0.7230 ; + RECT 1.7990 0.5850 1.8490 0.9140 ; + RECT 1.7990 0.4220 1.8490 0.5350 ; + RECT 0.7350 1.0420 0.9370 1.0920 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 0.8870 1.0920 0.9370 1.2160 ; + RECT 0.7190 0.5120 1.2570 0.5620 ; + RECT 4.5610 0.7090 4.9810 0.7590 ; + RECT 4.5610 0.7590 4.6110 1.0990 ; + RECT 4.0510 1.0990 4.6110 1.1490 ; + RECT 3.8750 1.2720 4.1010 1.3220 ; + RECT 3.6830 1.4280 3.9250 1.4780 ; + RECT 3.8750 1.3220 3.9250 1.4280 ; + RECT 4.0510 1.1490 4.1010 1.2720 ; + RECT 0.8870 0.3120 1.5450 0.3620 ; + RECT 1.4950 0.3620 1.5450 0.3940 ; + RECT 0.8870 0.3620 0.9370 0.3940 ; + RECT 4.4230 0.6040 5.4370 0.6540 ; + RECT 4.4230 0.6540 4.4730 0.9780 ; + RECT 4.0620 0.9780 4.4730 1.0280 ; + RECT 4.0620 0.5880 4.1120 0.9780 ; + RECT 4.0620 0.5380 4.1690 0.5880 ; + RECT 2.6350 1.4780 3.1570 1.5280 ; + RECT 2.6350 1.5280 2.6850 1.5650 ; + RECT 4.4420 1.4080 4.9810 1.4580 ; + RECT 2.6950 1.2780 3.0810 1.3280 ; + RECT 4.5190 1.2080 4.9050 1.2580 ; + RECT 3.5020 1.5280 4.8420 1.5780 ; + RECT 1.1750 1.0880 1.5610 1.1380 ; + END +END SDFFNASRX2_HVT + +MACRO SDFFNASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.624 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 1.2360 1.4670 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.2560 1.4650 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 1.1610 5.5280 1.2210 ; + RECT 5.2790 0.1540 5.5270 0.2040 ; + RECT 5.2950 1.2210 5.5280 1.2710 ; + RECT 5.2950 1.2710 5.3450 1.5460 ; + RECT 5.4770 0.2040 5.5270 1.1610 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 0.1580 5.3410 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9340 0.6640 1.1630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + END + ANTENNAGATEAREA 0.0435 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.6240 1.7020 ; + RECT 3.1420 1.2710 3.5520 1.3210 ; + RECT 4.2020 1.3080 4.9290 1.3580 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 5.1430 0.9470 5.1930 1.6420 ; + RECT 3.3430 1.3210 3.3930 1.6420 ; + RECT 4.8790 1.3580 4.9290 1.6420 ; + RECT 2.1030 1.0940 2.1530 1.3100 ; + RECT 2.2550 1.1070 2.3050 1.3100 ; + RECT 1.7990 1.3600 1.8490 1.6420 ; + RECT 1.7990 1.1340 1.8490 1.3100 ; + RECT 1.7990 1.3100 2.3050 1.3600 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.2350 1.3120 4.2770 1.3540 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 2.2590 1.2200 2.3010 1.2620 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 2.2590 1.1280 2.3010 1.1700 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 3.1710 1.2730 3.2130 1.3150 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 4.8430 1.3120 4.8850 1.3540 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 1.8030 1.1540 1.8450 1.1960 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.6240 0.0300 ; + RECT 1.9540 0.1960 2.3050 0.2460 ; + RECT 4.2130 0.3300 4.9050 0.3800 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 3.3190 0.0300 3.3690 0.4610 ; + RECT 5.1430 0.0300 5.1930 0.2200 ; + RECT 1.7990 0.0300 1.8490 0.4080 ; + RECT 3.1670 0.0300 3.2170 0.3710 ; + RECT 2.2550 0.2460 2.3050 0.4500 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9540 0.0300 2.0040 0.1960 ; + RECT 4.8390 0.0300 4.8890 0.3300 ; + END + PORT + LAYER CO ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.8030 0.3390 1.8450 0.3810 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 3.3230 0.3990 3.3650 0.4410 ; + RECT 2.2590 0.3880 2.3010 0.4300 ; + RECT 2.1070 0.2000 2.1490 0.2420 ; + RECT 2.2590 0.2750 2.3010 0.3170 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.3230 0.3070 3.3650 0.3490 ; + RECT 4.8430 0.3340 4.8850 0.3760 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.5950 0.8570 4.7670 1.0340 ; + END + PORT + LAYER CO ; + RECT 4.6150 0.9900 4.6570 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 1.4240 2.0930 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2650 1.0090 5.3760 1.1190 ; + RECT 4.9910 0.1480 5.0410 0.3090 ; + RECT 4.9910 0.3090 5.3750 0.3590 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 5.3250 0.8540 5.3750 1.0090 ; + RECT 4.9910 0.8040 5.3750 0.8540 ; + RECT 5.3250 0.3590 5.3750 0.8040 ; + END + PORT + LAYER CO ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 0.2870 5.0370 0.3290 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 2.4110 0.8520 2.4530 0.8940 ; + RECT 3.7790 0.3910 3.8210 0.4330 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 3.3230 1.1700 3.3650 1.2120 ; + RECT 2.4110 0.7600 2.4530 0.8020 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 3.9310 1.1030 3.9730 1.1450 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + RECT 4.6150 1.4150 4.6570 1.4570 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 2.9430 1.0030 2.9850 1.0450 ; + RECT 3.2470 0.5880 3.2890 0.6300 ; + RECT 3.0950 0.8820 3.1370 0.9240 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.1590 0.7000 4.2010 0.7420 ; + RECT 1.6510 1.0920 1.6930 1.1340 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 3.0950 1.4800 3.1370 1.5220 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.1950 0.9920 1.2370 1.0340 ; + RECT 1.6510 0.3320 1.6930 0.3740 ; + RECT 1.4990 0.4740 1.5410 0.5160 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.7150 1.2520 2.7570 1.2940 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 4.0830 0.9790 4.1250 1.0210 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 1.9550 0.8520 1.9970 0.8940 ; + RECT 4.7670 0.7130 4.8090 0.7550 ; + RECT 3.0950 0.5880 3.1370 0.6300 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 4.6910 1.2120 4.7330 1.2540 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 4.5390 1.1120 4.5810 1.1540 ; + RECT 2.8670 1.1600 2.9090 1.2020 ; + RECT 2.7150 1.1600 2.7570 1.2020 ; + RECT 2.1830 1.5300 2.2250 1.5720 ; + RECT 1.9550 0.7600 1.9970 0.8020 ; + RECT 3.3990 0.7480 3.4410 0.7900 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.7670 1.5230 4.8090 1.5650 ; + RECT 3.7030 1.4320 3.7450 1.4740 ; + RECT 2.6390 1.4900 2.6810 1.5320 ; + RECT 4.3110 1.5230 4.3530 1.5650 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 4.3870 0.4350 4.4290 0.4770 ; + RECT 1.4990 0.9920 1.5410 1.0340 ; + RECT 3.9310 0.4900 3.9730 0.5320 ; + RECT 4.3110 0.1370 4.3530 0.1790 ; + RECT 3.8550 0.1800 3.8970 0.2220 ; + RECT 2.7910 1.5010 2.8330 1.5430 ; + RECT 1.7270 0.6080 1.7690 0.6500 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 3.2470 1.4940 3.2890 1.5360 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 2.4870 0.6490 2.5290 0.6910 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 3.8550 1.4320 3.8970 1.4740 ; + RECT 1.0430 0.3000 1.0850 0.3420 ; + RECT 1.1950 0.4160 1.2370 0.4580 ; + RECT 2.8670 0.4360 2.9090 0.4780 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.3470 0.5160 1.3890 0.5580 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 4.0830 0.5390 4.1250 0.5810 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + LAYER PO ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.5420 ; + RECT 3.2530 0.0660 3.2830 1.6040 ; + RECT 4.7730 0.0680 4.8030 0.7870 ; + RECT 4.1650 0.0650 4.1950 1.6030 ; + RECT 2.9490 0.0660 2.9790 1.6040 ; + RECT 2.7970 0.0660 2.8270 1.6040 ; + RECT 3.1010 0.0660 3.1310 0.6620 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.6450 0.7900 2.6750 1.6060 ; + RECT 4.7730 1.0120 4.8030 1.6060 ; + RECT 3.1010 0.8300 3.1310 1.6040 ; + RECT 3.8610 0.0680 3.8910 0.6220 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 3.8610 0.7270 3.8910 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6930 5.7390 1.7730 ; + RECT -0.1150 0.6790 0.7800 0.6930 ; + RECT 1.3090 0.6790 5.7390 0.6930 ; + LAYER M1 ; + RECT 3.5110 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.1030 4.3570 0.2300 ; + RECT 3.0740 0.5840 3.5610 0.6340 ; + RECT 3.8350 0.1780 3.9170 0.2300 ; + RECT 3.5110 0.6340 3.5610 0.6360 ; + RECT 3.5110 0.2800 3.5610 0.5840 ; + RECT 2.5590 0.2990 2.6490 0.3810 ; + RECT 2.5590 1.0380 2.6090 1.3140 ; + RECT 2.5830 0.3810 2.6330 0.9880 ; + RECT 1.1750 0.9880 2.6330 1.0380 ; + RECT 0.8710 0.4120 1.5450 0.4620 ; + RECT 1.4950 0.4620 1.5450 0.5040 ; + RECT 1.8020 0.7260 1.8890 0.7760 ; + RECT 1.4950 0.5040 1.8890 0.5540 ; + RECT 1.8020 0.7760 1.8520 0.9880 ; + RECT 1.8390 0.5540 1.8890 0.7260 ; + RECT 4.8790 0.7040 5.2690 0.7540 ; + RECT 3.9270 0.4310 5.2690 0.4810 ; + RECT 5.2190 0.4810 5.2690 0.7040 ; + RECT 4.5190 1.1080 4.9290 1.1580 ; + RECT 4.8790 0.7540 4.9290 1.1080 ; + RECT 4.1390 0.6960 4.2450 0.7460 ; + RECT 3.9270 0.4810 3.9770 1.1650 ; + RECT 4.1950 0.4810 4.2450 0.6960 ; + RECT 3.0660 0.8780 3.7490 0.9280 ; + RECT 3.6990 0.5970 3.7490 0.8780 ; + RECT 0.2050 0.8880 1.6540 0.9380 ; + RECT 1.6040 0.6040 1.7890 0.6540 ; + RECT 1.6040 0.6540 1.6540 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 2.7110 0.7440 3.4610 0.7940 ; + RECT 2.7110 0.4320 2.9290 0.4820 ; + RECT 2.7110 1.1560 2.9290 1.2060 ; + RECT 2.7110 0.3550 2.7610 0.4320 ; + RECT 2.7110 1.2060 2.7610 1.3140 ; + RECT 2.7110 0.7940 2.7610 1.1560 ; + RECT 2.7110 0.4820 2.7610 0.7440 ; + RECT 3.3020 1.1660 3.6730 1.2160 ; + RECT 3.6230 1.3080 3.8250 1.3580 ; + RECT 2.9180 1.0010 3.8650 1.0510 ; + RECT 3.6230 1.2160 3.6730 1.3080 ; + RECT 3.7750 1.0510 3.8250 1.3080 ; + RECT 3.7750 0.3710 3.8250 0.4460 ; + RECT 3.6230 0.4460 3.8650 0.4960 ; + RECT 3.6230 0.3710 3.6730 0.4460 ; + RECT 3.8150 0.4960 3.8650 1.0010 ; + RECT 4.4190 0.7090 4.8290 0.7590 ; + RECT 4.4190 0.7590 4.4690 1.0990 ; + RECT 4.0510 1.0990 4.4690 1.1490 ; + RECT 3.6830 1.4280 3.9250 1.4780 ; + RECT 3.8750 1.2720 4.1010 1.3220 ; + RECT 3.8750 1.3220 3.9250 1.4280 ; + RECT 4.0510 1.1490 4.1010 1.2720 ; + RECT 4.0510 1.0960 4.1010 1.0990 ; + RECT 0.7180 0.5120 1.4090 0.5620 ; + RECT 1.0390 0.3120 1.6970 0.3620 ; + RECT 1.6470 0.3620 1.6970 0.3940 ; + RECT 1.0390 0.2650 1.0890 0.3120 ; + RECT 4.3210 0.6040 5.1330 0.6050 ; + RECT 4.3000 0.6050 5.1330 0.6540 ; + RECT 4.3000 0.6540 4.3500 0.9750 ; + RECT 4.0390 0.5850 4.0890 0.9750 ; + RECT 4.0390 0.5350 4.1450 0.5850 ; + RECT 4.0390 0.9750 4.3500 1.0240 ; + RECT 4.0560 1.0240 4.3500 1.0250 ; + RECT 2.7870 1.4760 3.1570 1.5260 ; + RECT 2.7870 1.5260 2.8370 1.5630 ; + RECT 2.1630 1.5260 2.6850 1.5760 ; + RECT 3.2430 1.4260 3.2930 1.5730 ; + RECT 2.6350 1.3760 3.2930 1.4260 ; + RECT 2.6350 1.4260 2.6850 1.5260 ; + RECT 4.2900 1.5190 4.8290 1.5690 ; + RECT 1.9510 0.6180 2.3970 0.6680 ; + RECT 1.9510 0.6680 2.0010 0.9140 ; + RECT 1.9510 0.4220 2.0010 0.6180 ; + RECT 2.4070 0.5180 2.5330 0.5680 ; + RECT 2.4070 0.7680 2.4570 0.9140 ; + RECT 2.4070 0.7180 2.5330 0.7680 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4830 0.5680 2.5330 0.7180 ; + RECT 3.5020 1.5280 4.0500 1.5780 ; + RECT 4.0000 1.4610 4.0500 1.5280 ; + RECT 4.0000 1.4110 4.6770 1.4610 ; + RECT 2.4670 0.0960 2.8590 0.1460 ; + RECT 0.7350 1.0420 1.0890 1.0920 ; + RECT 1.0390 1.0920 1.0890 1.2160 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 1.3270 1.0880 1.7130 1.1380 ; + RECT 4.3670 1.2080 4.7530 1.2580 ; + END +END SDFFNASX1_HVT + +MACRO SDFFNASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.928 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 1.3860 1.4670 1.5750 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.4060 1.4650 1.4480 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.7210 0.0970 5.8310 0.2070 ; + RECT 5.4470 0.2700 5.8130 0.3200 ; + RECT 5.4470 0.1480 5.4970 0.2700 ; + RECT 5.4470 0.9680 5.4970 1.5460 ; + RECT 5.4470 0.9180 5.8130 0.9680 ; + RECT 5.7630 0.3200 5.8130 0.9180 ; + RECT 5.7630 0.2070 5.8130 0.2700 ; + END + PORT + LAYER CO ; + RECT 5.4510 0.1950 5.4930 0.2370 ; + RECT 5.4510 0.1950 5.4930 0.2370 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1430 0.8040 5.6890 0.8540 ; + RECT 5.1430 0.1480 5.1930 0.3940 ; + RECT 5.1430 0.8540 5.1930 1.5460 ; + RECT 5.6390 0.5110 5.6890 0.8040 ; + RECT 5.5690 0.4440 5.6890 0.5110 ; + RECT 5.1430 0.3940 5.6890 0.4440 ; + END + PORT + LAYER CO ; + RECT 5.1470 0.1950 5.1890 0.2370 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 0.2870 5.1890 0.3290 ; + RECT 5.1470 0.1950 5.1890 0.2370 ; + RECT 5.1470 0.9320 5.1890 0.9740 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 0.9320 5.1890 0.9740 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9410 0.6640 1.1630 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.0435 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.9280 1.7020 ; + RECT 4.2020 1.3080 4.9290 1.3580 ; + RECT 3.1420 1.2710 3.5520 1.3210 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 4.9910 0.9120 5.0410 1.6420 ; + RECT 5.5990 1.0520 5.6490 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 5.2950 0.9600 5.3450 1.6420 ; + RECT 4.8790 1.3580 4.9290 1.6420 ; + RECT 3.3430 1.3210 3.3930 1.6420 ; + RECT 2.1030 1.0940 2.1530 1.3100 ; + RECT 2.2550 1.1070 2.3050 1.3100 ; + RECT 1.7990 1.3600 1.8490 1.6420 ; + RECT 1.7990 1.1340 1.8490 1.3100 ; + RECT 1.7990 1.3100 2.3050 1.3600 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.6030 1.3480 5.6450 1.3900 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 2.2590 1.2200 2.3010 1.2620 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 2.2590 1.1280 2.3010 1.1700 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 3.1710 1.2730 3.2130 1.3150 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.8430 1.3120 4.8850 1.3540 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.2990 1.3480 5.3410 1.3900 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.2350 1.3120 4.2770 1.3540 ; + RECT 5.6030 1.3480 5.6450 1.3900 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 5.2990 1.4400 5.3410 1.4820 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 5.6030 1.2560 5.6450 1.2980 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 1.8030 1.1540 1.8450 1.1960 ; + RECT 5.6030 1.0720 5.6450 1.1140 ; + RECT 5.6030 1.0720 5.6450 1.1140 ; + RECT 5.6030 1.1640 5.6450 1.2060 ; + RECT 5.6030 1.1640 5.6450 1.2060 ; + RECT 5.2990 1.2560 5.3410 1.2980 ; + RECT 5.2990 1.2560 5.3410 1.2980 ; + RECT 5.2990 1.0720 5.3410 1.1140 ; + RECT 5.2990 1.0720 5.3410 1.1140 ; + RECT 5.2990 0.9800 5.3410 1.0220 ; + RECT 5.2990 1.1640 5.3410 1.2060 ; + RECT 5.6030 1.2560 5.6450 1.2980 ; + RECT 5.2990 1.1640 5.3410 1.2060 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.2990 1.3480 5.3410 1.3900 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.9280 0.0300 ; + RECT 1.9540 0.1960 2.3050 0.2460 ; + RECT 4.2130 0.3300 4.9050 0.3800 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 4.9910 0.0300 5.0410 0.4080 ; + RECT 5.5990 0.0300 5.6490 0.2200 ; + RECT 5.2950 0.0300 5.3450 0.3190 ; + RECT 3.3190 0.0300 3.3690 0.4610 ; + RECT 1.7990 0.0300 1.8490 0.4080 ; + RECT 3.1670 0.0300 3.2170 0.3710 ; + RECT 2.2550 0.2460 2.3050 0.4500 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9540 0.0300 2.0040 0.1960 ; + RECT 4.8390 0.0300 4.8890 0.3300 ; + END + PORT + LAYER CO ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.9950 0.3420 5.0370 0.3840 ; + RECT 4.9950 0.3420 5.0370 0.3840 ; + RECT 4.9950 0.3420 5.0370 0.3840 ; + RECT 4.9950 0.3420 5.0370 0.3840 ; + RECT 5.2990 0.2500 5.3410 0.2920 ; + RECT 5.2990 0.2500 5.3410 0.2920 ; + RECT 4.9950 0.2500 5.0370 0.2920 ; + RECT 5.6030 0.1580 5.6450 0.2000 ; + RECT 4.9950 0.2500 5.0370 0.2920 ; + RECT 4.9950 0.1580 5.0370 0.2000 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8030 0.3390 1.8450 0.3810 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.2990 0.1580 5.3410 0.2000 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.3230 0.3070 3.3650 0.3490 ; + RECT 4.8430 0.3340 4.8850 0.3760 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.3230 0.3990 3.3650 0.4410 ; + RECT 2.2590 0.3880 2.3010 0.4300 ; + RECT 2.1070 0.2000 2.1490 0.2420 ; + RECT 2.2590 0.2750 2.3010 0.3170 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.5950 0.8570 4.7670 1.0340 ; + END + PORT + LAYER CO ; + RECT 4.6150 0.9900 4.6570 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 1.4240 2.0930 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.9310 1.1030 3.9730 1.1450 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + RECT 4.6150 1.4150 4.6570 1.4570 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 2.9430 1.0030 2.9850 1.0450 ; + RECT 3.2470 0.5880 3.2890 0.6300 ; + RECT 3.0950 0.8820 3.1370 0.9240 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.1590 0.7000 4.2010 0.7420 ; + RECT 1.6510 1.0920 1.6930 1.1340 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 3.0950 1.4800 3.1370 1.5220 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 4.6910 1.2120 4.7330 1.2540 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 4.5390 1.1120 4.5810 1.1540 ; + RECT 2.8670 1.1600 2.9090 1.2020 ; + RECT 2.7150 1.1600 2.7570 1.2020 ; + RECT 2.1830 1.5300 2.2250 1.5720 ; + RECT 1.9550 0.7600 1.9970 0.8020 ; + RECT 3.3990 0.7480 3.4410 0.7900 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.7670 1.5230 4.8090 1.5650 ; + RECT 3.7030 1.4320 3.7450 1.4740 ; + RECT 2.6390 1.4900 2.6810 1.5320 ; + RECT 4.3110 1.5230 4.3530 1.5650 ; + RECT 4.3870 0.5030 4.4290 0.5450 ; + RECT 1.4990 0.9920 1.5410 1.0340 ; + RECT 3.9310 0.4900 3.9730 0.5320 ; + RECT 4.3110 0.1280 4.3530 0.1700 ; + RECT 3.8550 0.1800 3.8970 0.2220 ; + RECT 2.7910 1.5010 2.8330 1.5430 ; + RECT 1.7270 0.6820 1.7690 0.7240 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 3.2470 1.5200 3.2890 1.5620 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 2.4870 0.6490 2.5290 0.6910 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.1950 0.9920 1.2370 1.0340 ; + RECT 1.6510 0.3320 1.6930 0.3740 ; + RECT 1.4990 0.4740 1.5410 0.5160 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.0430 0.3000 1.0850 0.3420 ; + RECT 1.1950 0.4160 1.2370 0.4580 ; + RECT 2.8670 0.4360 2.9090 0.4780 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 1.3470 0.5160 1.3890 0.5580 ; + RECT 1.0430 1.0620 1.0850 1.1040 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 4.0830 0.5390 4.1250 0.5810 ; + RECT 1.0430 1.1540 1.0850 1.1960 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + RECT 2.4110 0.8520 2.4530 0.8940 ; + RECT 3.7790 0.3910 3.8210 0.4330 ; + RECT 3.3230 1.1700 3.3650 1.2120 ; + RECT 2.4110 0.7600 2.4530 0.8020 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 4.7670 0.7130 4.8090 0.7550 ; + RECT 3.0950 0.5880 3.1370 0.6300 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 3.8550 1.4320 3.8970 1.4740 ; + RECT 2.7150 1.2520 2.7570 1.2940 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 4.0830 0.9790 4.1250 1.0210 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 1.9550 0.4420 1.9970 0.4840 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 2.5630 0.3190 2.6050 0.3610 ; + RECT 1.9550 0.8520 1.9970 0.8940 ; + RECT 2.7150 0.3750 2.7570 0.4170 ; + LAYER PO ; + RECT 3.1010 0.8300 3.1310 1.6040 ; + RECT 3.8610 0.0680 3.8910 0.6220 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 3.8610 0.7270 3.8910 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.5420 ; + RECT 3.2530 0.0660 3.2830 1.6040 ; + RECT 4.7730 0.0680 4.8030 0.7870 ; + RECT 4.1650 0.0650 4.1950 1.6030 ; + RECT 2.9490 0.0660 2.9790 1.6040 ; + RECT 2.7970 0.0660 2.8270 1.6040 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6620 ; + RECT 2.6450 0.7900 2.6750 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.7730 1.0120 4.8030 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6930 6.0430 1.7730 ; + RECT 1.8950 0.6880 6.0430 0.6930 ; + RECT -0.1150 0.6790 0.7950 0.6930 ; + RECT 1.9060 0.6790 6.0430 0.6880 ; + LAYER M1 ; + RECT 3.5110 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.0880 4.3570 0.2300 ; + RECT 3.0740 0.5840 3.5610 0.6340 ; + RECT 3.8350 0.1780 3.9170 0.2300 ; + RECT 3.5110 0.6340 3.5610 0.6360 ; + RECT 3.5110 0.2800 3.5610 0.5840 ; + RECT 2.5590 0.2990 2.6490 0.3810 ; + RECT 2.5590 1.0380 2.6090 1.3140 ; + RECT 2.5830 0.3810 2.6330 0.9880 ; + RECT 1.1750 0.9880 2.6330 1.0380 ; + RECT 0.8670 0.4120 1.5450 0.4620 ; + RECT 1.4950 0.4620 1.5450 0.5040 ; + RECT 1.8020 0.8300 1.8890 0.8800 ; + RECT 1.4950 0.5040 1.8890 0.5540 ; + RECT 1.8020 0.8800 1.8520 0.9880 ; + RECT 1.8390 0.5540 1.8890 0.8300 ; + RECT 5.3520 0.6040 5.5890 0.6540 ; + RECT 4.1950 0.4990 5.4020 0.5490 ; + RECT 5.3520 0.5490 5.4020 0.6040 ; + RECT 5.3520 0.6540 5.4020 0.7040 ; + RECT 4.8790 0.7040 5.4020 0.7540 ; + RECT 4.5190 1.1080 4.9290 1.1580 ; + RECT 4.8790 0.7540 4.9290 1.1080 ; + RECT 3.9270 0.4310 4.2450 0.4810 ; + RECT 3.9270 0.4810 3.9770 1.1650 ; + RECT 4.1390 0.6960 4.2450 0.7460 ; + RECT 4.1950 0.5490 4.2450 0.6960 ; + RECT 4.1950 0.4810 4.2450 0.4990 ; + RECT 3.0660 0.8780 3.7490 0.9280 ; + RECT 3.6990 0.5970 3.7490 0.8780 ; + RECT 0.2050 0.8880 1.6540 0.9380 ; + RECT 1.6040 0.6780 1.7890 0.7280 ; + RECT 1.6040 0.7280 1.6540 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 2.7110 0.7440 3.4610 0.7940 ; + RECT 2.7110 0.4320 2.9290 0.4820 ; + RECT 2.7110 1.1560 2.9290 1.2060 ; + RECT 2.7110 0.3550 2.7610 0.4320 ; + RECT 2.7110 1.2060 2.7610 1.3140 ; + RECT 2.7110 0.7940 2.7610 1.1560 ; + RECT 2.7110 0.4820 2.7610 0.7440 ; + RECT 4.4190 0.7090 4.8290 0.7590 ; + RECT 4.0510 1.0990 4.4690 1.1490 ; + RECT 4.4190 0.7590 4.4690 1.0990 ; + RECT 3.8750 1.2720 4.1010 1.3220 ; + RECT 3.6830 1.4280 3.9250 1.4780 ; + RECT 4.0510 1.0960 4.1010 1.0990 ; + RECT 4.0510 1.1490 4.1010 1.2720 ; + RECT 3.8750 1.3220 3.9250 1.4280 ; + RECT 4.3670 1.2080 4.7530 1.2580 ; + RECT 3.3020 1.1660 3.6730 1.2160 ; + RECT 3.6230 1.3080 3.8250 1.3580 ; + RECT 2.9180 1.0010 3.8650 1.0510 ; + RECT 3.6230 1.2160 3.6730 1.3080 ; + RECT 3.7750 1.0510 3.8250 1.3080 ; + RECT 3.7750 0.3710 3.8250 0.4460 ; + RECT 3.6230 0.4460 3.8650 0.4960 ; + RECT 3.6230 0.3710 3.6730 0.4460 ; + RECT 3.8150 0.4960 3.8650 1.0010 ; + RECT 0.7120 0.5120 1.4090 0.5620 ; + RECT 1.0390 0.3120 1.6970 0.3620 ; + RECT 1.6470 0.3620 1.6970 0.3940 ; + RECT 1.0390 0.2620 1.0890 0.3120 ; + RECT 4.3210 0.6040 5.2860 0.6050 ; + RECT 4.3000 0.6050 5.2860 0.6540 ; + RECT 4.3000 0.6540 4.3500 0.9750 ; + RECT 4.0390 0.5850 4.0890 0.9750 ; + RECT 4.0390 0.5350 4.1450 0.5850 ; + RECT 4.0390 0.9750 4.3500 1.0240 ; + RECT 4.0560 1.0240 4.3500 1.0250 ; + RECT 2.7870 1.4760 3.1570 1.5260 ; + RECT 2.7870 1.5260 2.8370 1.5630 ; + RECT 2.1630 1.5260 2.6850 1.5760 ; + RECT 3.2430 1.4260 3.2930 1.5840 ; + RECT 2.6350 1.3760 3.2930 1.4260 ; + RECT 2.6350 1.4260 2.6850 1.5260 ; + RECT 4.2900 1.5190 4.8290 1.5690 ; + RECT 1.9510 0.6180 2.3970 0.6680 ; + RECT 1.9510 0.6680 2.0010 0.9140 ; + RECT 1.9510 0.4220 2.0010 0.6180 ; + RECT 2.4070 0.5180 2.5330 0.5680 ; + RECT 2.4070 0.7680 2.4570 0.9140 ; + RECT 2.4070 0.7180 2.5330 0.7680 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4830 0.5680 2.5330 0.7180 ; + RECT 3.5020 1.5280 4.0500 1.5780 ; + RECT 4.0000 1.4610 4.0500 1.5280 ; + RECT 4.0000 1.4110 4.6770 1.4610 ; + RECT 2.4670 0.0960 2.8590 0.1460 ; + RECT 0.7350 1.0420 1.0890 1.0920 ; + RECT 1.0390 1.0920 1.0890 1.2160 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 1.3270 1.0880 1.7130 1.1380 ; + END +END SDFFNASX2_HVT + +MACRO SDFFNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 8.056 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.8470 1.1610 7.9600 1.2210 ; + RECT 7.7110 0.1540 7.9590 0.2040 ; + RECT 7.9090 0.2040 7.9590 1.1610 ; + RECT 7.7270 1.2210 7.9600 1.2710 ; + RECT 7.7270 1.2710 7.7770 1.5460 ; + END + PORT + LAYER CO ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 0.1580 7.7730 0.2000 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6970 1.0090 7.8070 1.1190 ; + RECT 7.4230 0.1480 7.4730 0.3090 ; + RECT 7.4230 0.3090 7.8070 0.3590 ; + RECT 7.4230 0.8540 7.4730 1.5460 ; + RECT 7.7570 0.8540 7.8070 1.0090 ; + RECT 7.4230 0.8040 7.8070 0.8540 ; + RECT 7.7570 0.3590 7.8070 0.8040 ; + END + PORT + LAYER CO ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 7.4270 1.3000 7.4690 1.3420 ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 7.4270 0.1950 7.4690 0.2370 ; + RECT 7.4270 0.9320 7.4690 0.9740 ; + RECT 7.4270 1.3000 7.4690 1.3420 ; + RECT 7.4270 0.2870 7.4690 0.3290 ; + RECT 7.4270 0.9320 7.4690 0.9740 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + RECT 7.4270 1.4840 7.4690 1.5260 ; + RECT 7.4270 1.3920 7.4690 1.4340 ; + RECT 7.4270 1.3920 7.4690 1.4340 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 7.4270 1.4840 7.4690 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0670 1.5260 3.6130 1.5760 ; + RECT 3.4410 1.4240 3.6130 1.5260 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.5300 3.2890 1.5720 ; + RECT 3.5510 1.5300 3.5930 1.5720 ; + RECT 3.0950 1.5300 3.1370 1.5720 ; + RECT 3.3990 1.5300 3.4410 1.5720 ; + END + ANTENNAGATEAREA 0.108 ; + END CLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 8.0560 1.7020 ; + RECT 7.2530 1.3080 7.3610 1.3580 ; + RECT 6.7430 1.3080 6.8810 1.3580 ; + RECT 5.5750 1.2780 6.1290 1.3280 ; + RECT 0.8870 1.2480 0.9370 1.6420 ; + RECT 1.1910 1.2460 1.2410 1.6420 ; + RECT 0.5830 1.1760 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 7.5750 1.0190 7.6250 1.6420 ; + RECT 7.3110 1.3580 7.3610 1.6420 ; + RECT 6.7430 1.3580 6.7930 1.6420 ; + RECT 6.0790 1.3280 6.1290 1.6420 ; + RECT 3.0150 1.0880 3.0650 1.3040 ; + RECT 3.9270 1.0880 3.9770 1.3040 ; + RECT 3.3190 1.0880 3.3690 1.3040 ; + RECT 4.2310 1.0880 4.2810 1.3040 ; + RECT 3.6230 1.0880 3.6730 1.3040 ; + RECT 2.8630 1.3040 4.2810 1.3540 ; + RECT 2.8630 1.3540 2.9130 1.6420 ; + RECT 2.8630 1.1340 2.9130 1.3040 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.5790 1.3480 7.6210 1.3900 ; + RECT 3.6270 1.1080 3.6690 1.1500 ; + RECT 5.6030 1.2820 5.6450 1.3240 ; + RECT 3.9310 1.1220 3.9730 1.1640 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.6270 1.2000 3.6690 1.2420 ; + RECT 7.5790 1.0720 7.6210 1.1140 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.3230 1.1080 3.3650 1.1500 ; + RECT 7.5790 1.1640 7.6210 1.2060 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 5.7550 1.2820 5.7970 1.3240 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 7.5790 1.2560 7.6210 1.2980 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 7.5790 1.3480 7.6210 1.3900 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 0.5870 1.2970 0.6290 1.3390 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 7.5790 1.2560 7.6210 1.2980 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 7.5790 1.1640 7.6210 1.2060 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 6.8190 1.3120 6.8610 1.3540 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 4.2350 1.2140 4.2770 1.2560 ; + RECT 4.2350 1.1220 4.2770 1.1640 ; + RECT 7.5790 1.0720 7.6210 1.1140 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 2.8670 1.1540 2.9090 1.1960 ; + RECT 3.3230 1.2000 3.3650 1.2420 ; + RECT 7.2750 1.3120 7.3170 1.3540 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 6.0590 1.2820 6.1010 1.3240 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.2970 0.6290 1.3390 ; + RECT 0.5870 1.2050 0.6290 1.2470 ; + RECT 0.5870 1.2050 0.6290 1.2470 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.9310 1.2140 3.9730 1.2560 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3710 0.6750 1.9230 0.7250 ; + RECT 1.7690 0.7250 1.9230 0.8150 ; + RECT 1.7690 0.6560 1.9230 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + END + ANTENNAGATEAREA 0.126 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 1.1810 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.1005 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0730 1.2360 2.2270 1.4230 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.2560 2.2250 1.2980 ; + END + ANTENNAGATEAREA 0.024 ; + END SI + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 8.0560 0.0300 ; + RECT 2.5330 0.1960 4.2810 0.2460 ; + RECT 6.7990 0.3300 7.3370 0.3800 ; + RECT 7.5750 0.0300 7.6250 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 3.9270 0.2460 3.9770 0.3620 ; + RECT 4.2310 0.2460 4.2810 0.3620 ; + RECT 3.3190 0.2460 3.3690 0.4500 ; + RECT 3.0150 0.2460 3.0650 0.4500 ; + RECT 3.6230 0.2460 3.6730 0.4500 ; + RECT 2.8630 0.2460 2.9130 0.2660 ; + RECT 2.8630 0.0300 2.9130 0.1960 ; + RECT 7.2710 0.0300 7.3210 0.3300 ; + RECT 5.5990 0.2490 5.6490 0.3730 ; + RECT 5.5990 0.1990 6.1280 0.2490 ; + RECT 5.5990 0.0300 5.6490 0.1990 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.3230 0.3880 3.3650 0.4300 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 2.5630 0.2000 2.6050 0.2420 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.3230 0.2000 3.3650 0.2420 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 5.7550 0.2030 5.7970 0.2450 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 3.6270 0.3880 3.6690 0.4300 ; + RECT 3.9310 0.2750 3.9730 0.3170 ; + RECT 3.6270 0.2000 3.6690 0.2420 ; + RECT 4.2350 0.2750 4.2770 0.3170 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.6030 0.2190 5.6450 0.2610 ; + RECT 6.0590 0.2030 6.1010 0.2450 ; + RECT 5.6030 0.3110 5.6450 0.3530 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 7.5790 0.1580 7.6210 0.2000 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 3.0190 0.2000 3.0610 0.2420 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.8670 0.2000 2.9090 0.2420 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.0190 0.3880 3.0610 0.4300 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + END + END VSS + OBS + LAYER CO ; + RECT 4.7670 0.1000 4.8090 0.1420 ; + RECT 5.9070 0.4240 5.9490 0.4660 ; + RECT 1.9550 0.9920 1.9970 1.0340 ; + RECT 5.3750 1.0050 5.4170 1.0470 ; + RECT 4.6150 1.4900 4.6570 1.5320 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 7.1990 1.5250 7.2410 1.5670 ; + RECT 4.5390 1.2520 4.5810 1.2940 ; + RECT 6.4390 0.1030 6.4810 0.1450 ; + RECT 4.7670 1.4900 4.8090 1.5320 ; + RECT 6.8950 0.1280 6.9370 0.1700 ; + RECT 3.1710 0.8520 3.2130 0.8940 ; + RECT 6.9710 0.4420 7.0130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.7030 1.4900 3.7450 1.5320 ; + RECT 7.1990 0.7130 7.2410 0.7550 ; + RECT 1.0430 1.1670 1.0850 1.2090 ; + RECT 7.6550 0.6080 7.6970 0.6500 ; + RECT 4.6910 1.0230 4.7330 1.0650 ; + RECT 4.6910 1.1150 4.7330 1.1570 ; + RECT 5.9830 0.7700 6.0250 0.8120 ; + RECT 4.4630 0.1000 4.5050 0.1420 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 4.3870 0.4350 4.4290 0.4770 ; + RECT 4.3870 0.3430 4.4290 0.3850 ; + RECT 5.5270 0.6120 5.5690 0.6540 ; + RECT 5.2990 0.4380 5.3410 0.4800 ; + RECT 5.2230 0.1000 5.2650 0.1420 ; + RECT 5.6790 0.6120 5.7210 0.6540 ; + RECT 5.0710 0.1000 5.1130 0.1420 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 4.8430 1.1600 4.8850 1.2020 ; + RECT 4.0830 0.7820 4.1250 0.8240 ; + RECT 4.8430 0.2730 4.8850 0.3150 ; + RECT 6.5150 0.4900 6.5570 0.5320 ; + RECT 6.2110 0.4240 6.2530 0.4660 ; + RECT 6.3630 0.4240 6.4050 0.4660 ; + RECT 6.9710 1.2120 7.0130 1.2540 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 3.7790 0.3430 3.8210 0.3850 ; + RECT 2.4870 0.7150 2.5290 0.7570 ; + RECT 4.0830 0.3430 4.1250 0.3850 ; + RECT 3.4750 0.8520 3.5170 0.8940 ; + RECT 2.6390 0.7150 2.6810 0.7570 ; + RECT 1.4990 1.1460 1.5410 1.1880 ; + RECT 1.4990 1.2380 1.5410 1.2800 ; + RECT 4.8430 1.2520 4.8850 1.2940 ; + RECT 1.4990 1.2380 1.5410 1.2800 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 6.1350 0.7700 6.1770 0.8120 ; + RECT 1.0430 1.2590 1.0850 1.3010 ; + RECT 5.8310 0.7700 5.8730 0.8120 ; + RECT 4.6910 0.4140 4.7330 0.4560 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 1.0430 1.1670 1.0850 1.2090 ; + RECT 1.9550 0.4160 1.9970 0.4580 ; + RECT 1.8030 0.2990 1.8450 0.3410 ; + RECT 3.7030 0.6220 3.7450 0.6640 ; + RECT 4.9950 1.0230 5.0370 1.0650 ; + RECT 2.7150 1.0920 2.7570 1.1340 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 6.3630 1.1070 6.4050 1.1490 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 2.1070 1.0920 2.1490 1.1340 ; + RECT 3.7790 0.7820 3.8210 0.8240 ; + RECT 1.4990 1.1460 1.5410 1.1880 ; + RECT 4.1590 0.6220 4.2010 0.6640 ; + RECT 4.0830 0.4350 4.1250 0.4770 ; + RECT 4.0070 0.6220 4.0490 0.6640 ; + RECT 3.7790 0.4350 3.8210 0.4770 ; + RECT 6.6670 0.5420 6.7090 0.5840 ; + RECT 6.7430 0.7030 6.7850 0.7450 ; + RECT 2.7910 0.7150 2.8330 0.7570 ; + RECT 5.2230 1.5030 5.2650 1.5450 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.7390 1.2590 0.7810 1.3010 ; + RECT 5.9070 1.1820 5.9490 1.2240 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 4.9190 0.1000 4.9610 0.1420 ; + RECT 0.7390 1.1670 0.7810 1.2090 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.2590 0.4740 2.3010 0.5160 ; + RECT 4.0830 0.8740 4.1250 0.9160 ; + RECT 4.9190 1.4900 4.9610 1.5320 ; + RECT 4.9950 0.4200 5.0370 0.4620 ; + RECT 1.8030 1.1460 1.8450 1.1880 ; + RECT 6.4390 1.5260 6.4810 1.5680 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.8030 1.2380 1.8450 1.2800 ; + RECT 5.6790 1.5220 5.7210 1.5640 ; + RECT 1.0430 1.2590 1.0850 1.3010 ; + RECT 6.2870 0.6440 6.3290 0.6860 ; + RECT 3.8550 0.6220 3.8970 0.6640 ; + RECT 5.1470 1.1600 5.1890 1.2020 ; + RECT 4.4630 0.6490 4.5050 0.6910 ; + RECT 5.5270 0.9030 5.5690 0.9450 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 7.0470 0.6080 7.0890 0.6500 ; + RECT 6.3630 1.2910 6.4050 1.3330 ; + RECT 4.3110 0.6220 4.3530 0.6640 ; + RECT 4.3870 0.7820 4.4290 0.8240 ; + RECT 6.8950 1.5250 6.9370 1.5670 ; + RECT 5.2990 1.1620 5.3410 1.2040 ; + RECT 6.5150 1.1030 6.5570 1.1450 ; + RECT 4.3870 0.8740 4.4290 0.9160 ; + RECT 4.5390 1.1600 4.5810 1.2020 ; + RECT 6.3630 1.1990 6.4050 1.2410 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 2.2590 0.9920 2.3010 1.0340 ; + RECT 4.5390 0.2730 4.5810 0.3150 ; + RECT 6.2870 1.5260 6.3290 1.5680 ; + RECT 0.7390 1.2590 0.7810 1.3010 ; + RECT 0.7390 1.1670 0.7810 1.2090 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 5.5270 1.4820 5.5690 1.5240 ; + RECT 4.9950 1.1150 5.0370 1.1570 ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 6.6670 0.9820 6.7090 1.0240 ; + RECT 7.5030 0.6080 7.5450 0.6500 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 5.1470 1.2520 5.1890 1.2940 ; + RECT 1.8030 1.1460 1.8450 1.1880 ; + RECT 1.8030 1.2380 1.8450 1.2800 ; + RECT 5.0710 1.4900 5.1130 1.5320 ; + RECT 2.1070 0.5160 2.1490 0.5580 ; + RECT 4.6150 0.1000 4.6570 0.1420 ; + RECT 2.4110 0.3320 2.4530 0.3740 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 3.7790 0.8740 3.8210 0.9160 ; + RECT 2.7150 0.3320 2.7570 0.3740 ; + LAYER PO ; + RECT 2.4930 0.0650 2.5230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.7970 0.0650 2.8270 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.7730 0.7900 4.8030 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.7730 0.0680 4.8030 0.5420 ; + RECT 4.9250 0.7900 4.9550 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 4.6210 0.7900 4.6510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.6210 0.0680 4.6510 0.5420 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.6450 0.0650 2.6750 1.6060 ; + RECT 6.4450 0.7900 6.4750 1.6060 ; + RECT 5.5330 0.0680 5.5630 0.7020 ; + RECT 7.2050 0.0680 7.2350 0.7870 ; + RECT 5.0770 0.7900 5.1070 1.6060 ; + RECT 7.9650 0.0680 7.9950 1.6060 ; + RECT 5.0770 0.0680 5.1070 0.5420 ; + RECT 7.5090 0.0680 7.5390 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 7.6610 0.0680 7.6910 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 7.8130 0.0680 7.8430 1.6060 ; + RECT 7.3570 0.0680 7.3870 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 5.5330 0.8330 5.5630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 6.4450 0.0680 6.4750 0.6220 ; + RECT 7.2050 1.0120 7.2350 1.6060 ; + RECT 4.9250 0.0680 4.9550 0.5420 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + LAYER NWELL ; + RECT -0.0820 0.6900 8.1710 1.7730 ; + RECT -0.0820 0.6790 2.3130 0.6900 ; + RECT 3.0150 0.6790 8.1710 0.6900 ; + LAYER M1 ; + RECT 5.4820 0.8990 6.3330 0.9490 ; + RECT 6.2830 0.5870 6.3330 0.8990 ; + RECT 5.1430 0.7660 6.1970 0.8160 ; + RECT 5.1430 0.4340 5.3610 0.4840 ; + RECT 5.1430 1.1580 5.3610 1.2080 ; + RECT 4.5150 0.2690 5.1930 0.3190 ; + RECT 5.1430 0.3190 5.1930 0.4340 ; + RECT 4.5350 1.3140 4.5850 1.3150 ; + RECT 4.5350 1.2640 5.1930 1.3140 ; + RECT 4.5350 1.1300 4.5850 1.2640 ; + RECT 5.1430 0.4840 5.1930 0.7660 ; + RECT 5.1430 0.8160 5.1930 1.1580 ; + RECT 4.8390 1.3140 4.8890 1.3150 ; + RECT 4.8390 1.1300 4.8890 1.2640 ; + RECT 5.1430 1.2080 5.1930 1.2640 ; + RECT 7.3110 0.7040 7.7010 0.7540 ; + RECT 6.5110 0.4380 7.7010 0.4880 ; + RECT 7.6510 0.4880 7.7010 0.7040 ; + RECT 6.7230 0.6990 6.8640 0.7490 ; + RECT 6.9510 1.2080 7.3610 1.2580 ; + RECT 6.5110 0.4880 6.5610 1.1650 ; + RECT 6.8140 0.4880 6.8640 0.6990 ; + RECT 7.3110 0.7540 7.3610 1.2080 ; + RECT 6.2190 0.1530 6.9410 0.2030 ; + RECT 6.8910 0.0880 6.9410 0.1530 ; + RECT 5.7730 0.3140 6.2690 0.3640 ; + RECT 5.5070 0.6080 5.8230 0.6580 ; + RECT 6.4190 0.1010 6.5010 0.1530 ; + RECT 6.2190 0.2030 6.2690 0.3140 ; + RECT 5.7730 0.3640 5.8230 0.6080 ; + RECT 4.6720 0.3940 4.7620 0.4760 ; + RECT 1.3210 0.9880 5.0420 1.0380 ; + RECT 4.6870 1.0380 4.7370 1.1770 ; + RECT 4.9910 1.0380 5.0410 1.1770 ; + RECT 4.9910 0.3940 5.0410 0.5900 ; + RECT 4.7110 0.5900 5.0410 0.6400 ; + RECT 4.7110 0.6400 4.7610 0.9880 ; + RECT 4.7110 0.4760 4.7610 0.5900 ; + RECT 1.3210 0.4120 2.3050 0.4620 ; + RECT 2.2550 0.4620 2.3050 0.5040 ; + RECT 2.2550 0.5040 2.9530 0.5540 ; + RECT 2.9030 0.5540 2.9530 0.9880 ; + RECT 0.2050 0.8880 2.7180 0.9380 ; + RECT 2.4640 0.7110 2.8530 0.7610 ; + RECT 2.6680 0.7610 2.7180 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 5.3320 1.0010 6.4490 1.0510 ; + RECT 5.8840 0.4200 6.4490 0.4700 ; + RECT 6.2070 1.3080 6.4090 1.3580 ; + RECT 6.2070 1.2280 6.2570 1.3080 ; + RECT 5.8690 1.1780 6.2570 1.2280 ; + RECT 6.2070 1.1420 6.2570 1.1780 ; + RECT 6.3990 0.4700 6.4490 1.0010 ; + RECT 6.3590 1.0510 6.4090 1.3080 ; + RECT 3.1670 0.6180 4.3730 0.6680 ; + RECT 3.1670 0.6680 3.2170 0.9140 ; + RECT 3.1670 0.4220 3.2170 0.6180 ; + RECT 3.4710 0.6680 3.5210 0.9140 ; + RECT 3.4710 0.4220 3.5210 0.6180 ; + RECT 1.4950 1.1380 1.5450 1.3050 ; + RECT 0.7360 1.0880 1.8490 1.0960 ; + RECT 0.7350 1.0960 1.8490 1.1380 ; + RECT 1.7990 1.1380 1.8490 1.3050 ; + RECT 0.7350 1.1380 0.7850 1.3210 ; + RECT 1.0390 1.1380 1.0890 1.3210 ; + RECT 2.7110 0.3620 2.7610 0.3940 ; + RECT 1.4950 0.2590 1.5450 0.3120 ; + RECT 1.4960 0.3610 2.7610 0.3620 ; + RECT 1.4950 0.3120 2.7610 0.3610 ; + RECT 2.4070 0.3620 2.4570 0.3940 ; + RECT 1.7990 0.2530 1.8490 0.3120 ; + RECT 3.7750 0.4470 4.5090 0.4970 ; + RECT 3.7750 0.7180 4.5090 0.7680 ; + RECT 4.3830 0.3230 4.4330 0.4470 ; + RECT 4.3830 0.7680 4.4330 0.9360 ; + RECT 4.4590 0.4970 4.5090 0.7180 ; + RECT 3.7750 0.3230 3.8250 0.4470 ; + RECT 3.7750 0.7680 3.8250 0.9360 ; + RECT 4.0790 0.3230 4.1290 0.4470 ; + RECT 4.0790 0.7680 4.1290 0.9360 ; + RECT 0.7120 0.5120 2.1690 0.5620 ; + RECT 2.0850 1.0880 2.7770 1.1380 ; + RECT 4.4410 0.0960 5.2910 0.1460 ; + RECT 6.9280 0.6040 7.5650 0.6540 ; + RECT 6.6230 0.5880 6.6730 0.9780 ; + RECT 6.6230 0.5380 6.7530 0.5880 ; + RECT 6.6460 1.0270 6.9780 1.0280 ; + RECT 6.9280 1.0280 6.9780 1.0290 ; + RECT 6.9280 0.6540 6.9780 0.9780 ; + RECT 6.6230 0.9780 6.9780 1.0270 ; + RECT 7.0380 0.7090 7.2610 0.7590 ; + RECT 6.4590 1.2720 6.6850 1.3220 ; + RECT 6.2670 1.5220 6.5090 1.5720 ; + RECT 6.6350 1.1490 6.6850 1.2720 ; + RECT 6.4590 1.3220 6.5090 1.5220 ; + RECT 6.6350 1.0990 7.0880 1.1490 ; + RECT 7.0380 0.7590 7.0880 1.0990 ; + RECT 3.6830 1.4860 5.1410 1.5360 ; + RECT 5.6750 1.4280 5.7250 1.5840 ; + RECT 5.0670 1.3780 5.7250 1.4280 ; + RECT 5.0670 1.4280 5.1170 1.4860 ; + RECT 5.2190 1.4780 5.5890 1.5280 ; + RECT 5.2190 1.5280 5.2690 1.5650 ; + RECT 6.8740 1.5210 7.2610 1.5710 ; + END +END SDFFNX1_HVT + +MACRO SDFFNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 8.512 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.8790 1.0280 8.4510 1.0780 ; + RECT 8.3050 1.0780 8.4510 1.1190 ; + RECT 8.3050 1.0090 8.4510 1.0280 ; + RECT 8.1830 1.0780 8.2330 1.5460 ; + RECT 7.8790 1.0780 7.9290 1.5460 ; + RECT 7.8790 0.1480 7.9290 0.2990 ; + RECT 8.1830 0.1480 8.2330 0.2990 ; + RECT 8.4010 0.3490 8.4510 1.0090 ; + RECT 7.8790 0.2990 8.4510 0.3490 ; + END + PORT + LAYER CO ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 8.1870 0.1950 8.2290 0.2370 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 7.8830 1.4840 7.9250 1.5260 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 8.1870 1.3920 8.2290 1.4340 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 8.1870 1.4840 8.2290 1.5260 ; + RECT 7.8830 0.2870 7.9250 0.3290 ; + RECT 8.1870 1.2080 8.2290 1.2500 ; + RECT 8.1870 1.3000 8.2290 1.3420 ; + RECT 7.8830 1.3920 7.9250 1.4340 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 8.1870 1.1160 8.2290 1.1580 ; + RECT 7.8830 0.1950 7.9250 0.2370 ; + RECT 8.1870 0.2870 8.2290 0.3290 ; + RECT 7.8830 1.3000 7.9250 1.3420 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.7270 0.4040 8.3510 0.4540 ; + RECT 7.4230 0.3380 7.7770 0.3880 ; + RECT 7.9990 0.8540 8.1110 0.9670 ; + RECT 7.7270 0.8540 7.7770 1.5460 ; + RECT 7.4230 0.8040 8.3510 0.8540 ; + RECT 7.4230 0.8540 7.4730 1.5460 ; + RECT 8.3010 0.4540 8.3510 0.8040 ; + RECT 7.4230 0.1480 7.4730 0.3380 ; + RECT 7.7270 0.3880 7.7770 0.4040 ; + RECT 7.7270 0.1480 7.7770 0.3380 ; + END + PORT + LAYER CO ; + RECT 7.4270 0.2240 7.4690 0.2660 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.4270 0.9320 7.4690 0.9740 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.4270 1.3920 7.4690 1.4340 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 0.9320 7.7730 0.9740 ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 1.2080 7.7730 1.2500 ; + RECT 7.4270 1.3000 7.4690 1.3420 ; + RECT 7.7310 1.1160 7.7730 1.1580 ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 7.4270 1.3920 7.4690 1.4340 ; + RECT 7.7310 1.3920 7.7730 1.4340 ; + RECT 7.7310 0.2240 7.7730 0.2660 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.7310 1.3000 7.7730 1.3420 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + RECT 7.4270 1.4840 7.4690 1.5260 ; + RECT 7.7310 1.4840 7.7730 1.5260 ; + RECT 7.7310 0.3160 7.7730 0.3580 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 7.4270 1.4840 7.4690 1.5260 ; + RECT 7.4270 1.3000 7.4690 1.3420 ; + RECT 7.4270 0.3160 7.4690 0.3580 ; + RECT 7.4270 0.9320 7.4690 0.9740 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0670 1.5260 3.6130 1.5760 ; + RECT 3.4410 1.4240 3.6130 1.5260 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5300 3.5930 1.5720 ; + RECT 3.2470 1.5300 3.2890 1.5720 ; + RECT 3.0950 1.5300 3.1370 1.5720 ; + RECT 3.3990 1.5300 3.4410 1.5720 ; + END + ANTENNAGATEAREA 0.108 ; + END CLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 8.5120 1.7020 ; + RECT 7.2530 1.3080 7.3610 1.3580 ; + RECT 6.7430 1.3080 6.8810 1.3580 ; + RECT 5.5750 1.2780 6.1290 1.3280 ; + RECT 0.8870 1.2480 0.9370 1.6420 ; + RECT 1.1910 1.2460 1.2410 1.6420 ; + RECT 0.5830 1.1760 0.6330 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 7.5750 1.0190 7.6250 1.6420 ; + RECT 8.0310 1.1960 8.0810 1.6420 ; + RECT 7.3110 1.3580 7.3610 1.6420 ; + RECT 6.7430 1.3580 6.7930 1.6420 ; + RECT 6.0790 1.3280 6.1290 1.6420 ; + RECT 3.0150 1.0880 3.0650 1.3040 ; + RECT 3.9270 1.0880 3.9770 1.3040 ; + RECT 3.3190 1.0880 3.3690 1.3040 ; + RECT 4.2310 1.0880 4.2810 1.3040 ; + RECT 3.6230 1.0880 3.6730 1.3040 ; + RECT 2.8630 1.3040 4.2810 1.3540 ; + RECT 2.8630 1.3540 2.9130 1.6420 ; + RECT 2.8630 1.1340 2.9130 1.3040 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 8.0350 1.2560 8.0770 1.2980 ; + RECT 3.6270 1.2000 3.6690 1.2420 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 7.5790 1.0720 7.6210 1.1140 ; + RECT 8.0350 1.3480 8.0770 1.3900 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.3230 1.1080 3.3650 1.1500 ; + RECT 7.5790 1.1640 7.6210 1.2060 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 7.5790 1.2560 7.6210 1.2980 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 5.7550 1.2820 5.7970 1.3240 ; + RECT 6.8190 1.3120 6.8610 1.3540 ; + RECT 4.2350 1.2140 4.2770 1.2560 ; + RECT 4.2350 1.1220 4.2770 1.1640 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 7.5790 1.3480 7.6210 1.3900 ; + RECT 8.0350 1.3480 8.0770 1.3900 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 7.2750 1.3120 7.3170 1.3540 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 6.0590 1.2820 6.1010 1.3240 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.5790 1.1640 7.6210 1.2060 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.9310 1.2140 3.9730 1.2560 ; + RECT 3.6270 1.1080 3.6690 1.1500 ; + RECT 5.6030 1.2820 5.6450 1.3240 ; + RECT 3.9310 1.1220 3.9730 1.1640 ; + RECT 7.5790 1.3480 7.6210 1.3900 ; + RECT 0.5870 1.2970 0.6290 1.3390 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 8.0350 1.2560 8.0770 1.2980 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5870 1.2970 0.6290 1.3390 ; + RECT 0.5870 1.2050 0.6290 1.2470 ; + RECT 0.5870 1.2050 0.6290 1.2470 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 7.5790 1.2560 7.6210 1.2980 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 7.5790 1.0720 7.6210 1.1140 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 2.8670 1.1540 2.9090 1.1960 ; + RECT 3.3230 1.2000 3.3650 1.2420 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3710 0.6750 1.9230 0.7250 ; + RECT 1.7690 0.7250 1.9230 0.8150 ; + RECT 1.7690 0.6560 1.9230 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + END + ANTENNAGATEAREA 0.126 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 1.1810 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.8150 0.6840 0.8570 0.7260 ; + END + ANTENNAGATEAREA 0.1005 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0730 1.2800 2.2270 1.4230 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.3000 2.2250 1.3420 ; + END + ANTENNAGATEAREA 0.024 ; + END SI + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 8.5120 0.0300 ; + RECT 2.5330 0.1960 4.2810 0.2460 ; + RECT 6.7990 0.3300 7.3370 0.3800 ; + RECT 7.5750 0.0300 7.6250 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 8.0310 0.0300 8.0810 0.2200 ; + RECT 4.2310 0.2460 4.2810 0.3620 ; + RECT 3.9270 0.2460 3.9770 0.3620 ; + RECT 3.3190 0.2460 3.3690 0.4500 ; + RECT 3.0150 0.2460 3.0650 0.4500 ; + RECT 3.6230 0.2460 3.6730 0.4500 ; + RECT 2.8630 0.2460 2.9130 0.2660 ; + RECT 2.8630 0.0300 2.9130 0.1960 ; + RECT 7.2710 0.0300 7.3210 0.3300 ; + RECT 5.5990 0.2490 5.6490 0.3730 ; + RECT 5.5990 0.1990 6.1280 0.2490 ; + RECT 5.5990 0.0300 5.6490 0.1990 ; + END + PORT + LAYER CO ; + RECT 5.6030 0.2190 5.6450 0.2610 ; + RECT 6.0590 0.2030 6.1010 0.2450 ; + RECT 5.6030 0.3110 5.6450 0.3530 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 7.5790 0.1580 7.6210 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.3230 0.3880 3.3650 0.4300 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 2.5630 0.2000 2.6050 0.2420 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 5.7550 0.2030 5.7970 0.2450 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.6270 0.3880 3.6690 0.4300 ; + RECT 3.9310 0.2750 3.9730 0.3170 ; + RECT 3.6270 0.2000 3.6690 0.2420 ; + RECT 4.2350 0.2750 4.2770 0.3170 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.0190 0.3880 3.0610 0.4300 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.3230 0.2000 3.3650 0.2420 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 8.0350 0.1580 8.0770 0.2000 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 3.0190 0.2000 3.0610 0.2420 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.8670 0.2000 2.9090 0.2420 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 5.2990 0.4380 5.3410 0.4800 ; + RECT 5.2230 0.1000 5.2650 0.1420 ; + RECT 5.6790 0.6120 5.7210 0.6540 ; + RECT 5.0710 0.1000 5.1130 0.1420 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 4.8430 1.1600 4.8850 1.2020 ; + RECT 4.0830 0.7820 4.1250 0.8240 ; + RECT 4.8430 0.2730 4.8850 0.3150 ; + RECT 6.5150 0.4900 6.5570 0.5320 ; + RECT 6.2110 0.4240 6.2530 0.4660 ; + RECT 6.3630 0.4240 6.4050 0.4660 ; + RECT 6.9710 1.2120 7.0130 1.2540 ; + RECT 1.3470 0.4160 1.3890 0.4580 ; + RECT 3.7790 0.3430 3.8210 0.3850 ; + RECT 2.4870 0.7150 2.5290 0.7570 ; + RECT 4.0830 0.3430 4.1250 0.3850 ; + RECT 3.4750 0.8520 3.5170 0.8940 ; + RECT 2.6390 0.7150 2.6810 0.7570 ; + RECT 1.4990 1.1460 1.5410 1.1880 ; + RECT 1.4990 1.2380 1.5410 1.2800 ; + RECT 4.8430 1.2520 4.8850 1.2940 ; + RECT 1.4990 1.2380 1.5410 1.2800 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 6.1350 0.7700 6.1770 0.8120 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.7390 1.2590 0.7810 1.3010 ; + RECT 5.9070 1.1820 5.9490 1.2240 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.0430 1.2590 1.0850 1.3010 ; + RECT 5.8310 0.7700 5.8730 0.8120 ; + RECT 4.6910 0.4140 4.7330 0.4560 ; + RECT 1.6510 0.9920 1.6930 1.0340 ; + RECT 1.0430 1.1670 1.0850 1.2090 ; + RECT 1.0430 1.2590 1.0850 1.3010 ; + RECT 6.2870 0.6440 6.3290 0.6860 ; + RECT 3.8550 0.6220 3.8970 0.6640 ; + RECT 5.1470 1.1600 5.1890 1.2020 ; + RECT 4.4630 0.6490 4.5050 0.6910 ; + RECT 5.5270 0.9030 5.5690 0.9450 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 7.0470 0.6080 7.0890 0.6500 ; + RECT 6.3630 1.2910 6.4050 1.3330 ; + RECT 4.3110 0.6220 4.3530 0.6640 ; + RECT 4.7670 0.1000 4.8090 0.1420 ; + RECT 5.9070 0.4240 5.9490 0.4660 ; + RECT 1.9550 0.9920 1.9970 1.0340 ; + RECT 5.3750 1.0050 5.4170 1.0470 ; + RECT 4.6150 1.4900 4.6570 1.5320 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 7.1990 1.5250 7.2410 1.5670 ; + RECT 4.5390 1.2520 4.5810 1.2940 ; + RECT 6.4390 0.1030 6.4810 0.1450 ; + RECT 4.7670 1.4900 4.8090 1.5320 ; + RECT 6.8950 0.1200 6.9370 0.1620 ; + RECT 3.1710 0.8520 3.2130 0.8940 ; + RECT 6.9710 0.4420 7.0130 0.4840 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 5.9830 0.7700 6.0250 0.8120 ; + RECT 4.4630 0.1000 4.5050 0.1420 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 3.4750 0.4420 3.5170 0.4840 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 4.3870 0.4350 4.4290 0.4770 ; + RECT 4.3870 0.3430 4.4290 0.3850 ; + RECT 5.5270 0.6120 5.5690 0.6540 ; + RECT 6.3630 1.1990 6.4050 1.2410 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 2.2590 0.9920 2.3010 1.0340 ; + RECT 7.6550 0.6080 7.6970 0.6500 ; + RECT 4.5390 0.2730 4.5810 0.3150 ; + RECT 6.2870 1.5260 6.3290 1.5680 ; + RECT 0.7390 1.2590 0.7810 1.3010 ; + RECT 0.7390 1.1670 0.7810 1.2090 ; + RECT 4.9190 0.1000 4.9610 0.1420 ; + RECT 0.7390 1.1670 0.7810 1.2090 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.2590 0.4740 2.3010 0.5160 ; + RECT 4.0830 0.8740 4.1250 0.9160 ; + RECT 4.9190 1.4900 4.9610 1.5320 ; + RECT 4.9950 0.4200 5.0370 0.4620 ; + RECT 1.8030 1.1460 1.8450 1.1880 ; + RECT 6.4390 1.5260 6.4810 1.5680 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.8030 1.2380 1.8450 1.2800 ; + RECT 5.6790 1.5220 5.7210 1.5640 ; + RECT 5.0710 1.4900 5.1130 1.5320 ; + RECT 2.1070 0.5160 2.1490 0.5580 ; + RECT 4.6150 0.1000 4.6570 0.1420 ; + RECT 2.4110 0.3320 2.4530 0.3740 ; + RECT 8.1110 0.6080 8.1530 0.6500 ; + RECT 7.9590 0.6080 8.0010 0.6500 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 3.7030 1.4900 3.7450 1.5320 ; + RECT 7.1990 0.7130 7.2410 0.7550 ; + RECT 1.0430 1.1670 1.0850 1.2090 ; + RECT 4.6910 1.0230 4.7330 1.0650 ; + RECT 4.6910 1.1150 4.7330 1.1570 ; + RECT 4.1590 0.6220 4.2010 0.6640 ; + RECT 4.0830 0.4350 4.1250 0.4770 ; + RECT 4.0070 0.6220 4.0490 0.6640 ; + RECT 3.7790 0.4350 3.8210 0.4770 ; + RECT 6.6670 0.5420 6.7090 0.5840 ; + RECT 6.7430 0.7030 6.7850 0.7450 ; + RECT 2.7910 0.7150 2.8330 0.7570 ; + RECT 5.2230 1.5030 5.2650 1.5450 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 5.5270 1.4820 5.5690 1.5240 ; + RECT 4.9950 1.1150 5.0370 1.1570 ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 6.6670 0.9820 6.7090 1.0240 ; + RECT 7.5030 0.6080 7.5450 0.6500 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 5.1470 1.2520 5.1890 1.2940 ; + RECT 1.8030 1.1460 1.8450 1.1880 ; + RECT 1.8030 1.2380 1.8450 1.2800 ; + RECT 3.7790 0.8740 3.8210 0.9160 ; + RECT 2.7150 0.3320 2.7570 0.3740 ; + RECT 1.9550 0.4160 1.9970 0.4580 ; + RECT 1.8030 0.2990 1.8450 0.3410 ; + RECT 3.7030 0.6220 3.7450 0.6640 ; + RECT 4.9950 1.0230 5.0370 1.0650 ; + RECT 2.7150 1.0920 2.7570 1.1340 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 6.3630 1.1070 6.4050 1.1490 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 2.1070 1.0920 2.1490 1.1340 ; + RECT 3.7790 0.7820 3.8210 0.8240 ; + RECT 1.4990 1.1460 1.5410 1.1880 ; + RECT 4.3870 0.7820 4.4290 0.8240 ; + RECT 6.8950 1.5250 6.9370 1.5670 ; + RECT 5.2990 1.1620 5.3410 1.2040 ; + RECT 6.5150 1.1030 6.5570 1.1450 ; + RECT 4.3870 0.8740 4.4290 0.9160 ; + RECT 4.5390 1.1600 4.5810 1.2020 ; + LAYER PO ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 7.3570 0.0680 7.3870 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 5.5330 0.8330 5.5630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 6.4450 0.0680 6.4750 0.6220 ; + RECT 7.2050 1.0120 7.2350 1.6060 ; + RECT 4.9250 0.0680 4.9550 0.5420 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.4930 0.0650 2.5230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.7970 0.0650 2.8270 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 4.7730 0.7900 4.8030 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 7.9650 0.0680 7.9950 1.6060 ; + RECT 4.7730 0.0680 4.8030 0.5420 ; + RECT 4.9250 0.7900 4.9550 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 7.6610 0.0680 7.6910 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 4.6210 0.7900 4.6510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.6210 0.0680 4.6510 0.5420 ; + RECT 8.1170 0.0680 8.1470 1.6060 ; + RECT 7.8130 0.0680 7.8430 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 8.4210 0.0680 8.4510 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 2.6450 0.0650 2.6750 1.6060 ; + RECT 6.4450 0.7900 6.4750 1.6060 ; + RECT 5.5330 0.0680 5.5630 0.7020 ; + RECT 7.2050 0.0680 7.2350 0.7870 ; + RECT 5.0770 0.7900 5.1070 1.6060 ; + RECT 5.0770 0.0680 5.1070 0.5420 ; + RECT 7.5090 0.0680 7.5390 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 8.2690 0.0680 8.2990 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6900 8.6270 1.7870 ; + RECT -0.1150 0.6790 2.3130 0.6900 ; + RECT 3.0150 0.6790 8.6270 0.6900 ; + LAYER M1 ; + RECT 5.4820 0.8990 6.3330 0.9490 ; + RECT 6.2830 0.5870 6.3330 0.8990 ; + RECT 5.1430 0.7660 6.1970 0.8160 ; + RECT 5.1430 0.4340 5.3610 0.4840 ; + RECT 5.1430 1.1580 5.3610 1.2080 ; + RECT 4.5150 0.2690 5.1930 0.3190 ; + RECT 5.1430 0.3190 5.1930 0.4340 ; + RECT 4.5350 1.3140 4.5850 1.3150 ; + RECT 4.5350 1.2640 5.1930 1.3140 ; + RECT 4.5350 1.1300 4.5850 1.2640 ; + RECT 5.1430 0.4840 5.1930 0.7660 ; + RECT 5.1430 0.8160 5.1930 1.1580 ; + RECT 4.8390 1.3140 4.8890 1.3150 ; + RECT 4.8390 1.1300 4.8890 1.2640 ; + RECT 5.1430 1.2080 5.1930 1.2640 ; + RECT 7.9550 0.6040 8.1800 0.6540 ; + RECT 6.7230 0.6990 6.8640 0.7490 ; + RECT 6.9510 1.2080 7.3610 1.2580 ; + RECT 6.5110 0.4880 6.5610 1.1650 ; + RECT 6.8140 0.4880 6.8640 0.6990 ; + RECT 7.3110 0.7540 7.3610 1.2080 ; + RECT 6.5110 0.4380 7.4680 0.4880 ; + RECT 7.4180 0.4880 7.4680 0.5040 ; + RECT 7.4180 0.5040 8.0050 0.5540 ; + RECT 7.3110 0.7040 8.0060 0.7540 ; + RECT 7.9550 0.5540 8.0050 0.6040 ; + RECT 7.9550 0.6540 8.0050 0.7040 ; + RECT 6.2190 0.1530 6.9410 0.2030 ; + RECT 6.8910 0.0890 6.9410 0.1530 ; + RECT 5.7730 0.3140 6.2690 0.3640 ; + RECT 5.5070 0.6080 5.8230 0.6580 ; + RECT 6.4190 0.1010 6.5010 0.1530 ; + RECT 6.2190 0.2030 6.2690 0.3140 ; + RECT 5.7730 0.3640 5.8230 0.6080 ; + RECT 4.6720 0.3940 4.7620 0.4760 ; + RECT 1.3210 0.9880 5.0420 1.0380 ; + RECT 4.6870 1.0380 4.7370 1.1770 ; + RECT 4.9910 1.0380 5.0410 1.1770 ; + RECT 4.9910 0.3940 5.0410 0.5900 ; + RECT 4.7110 0.5900 5.0410 0.6400 ; + RECT 4.7110 0.6400 4.7610 0.9880 ; + RECT 4.7110 0.4760 4.7610 0.5900 ; + RECT 1.3210 0.4120 2.3050 0.4620 ; + RECT 2.2550 0.4620 2.3050 0.5040 ; + RECT 2.2550 0.5040 2.9530 0.5540 ; + RECT 2.9030 0.5540 2.9530 0.9880 ; + RECT 0.2050 0.8880 2.7180 0.9380 ; + RECT 2.4640 0.7110 2.8530 0.7610 ; + RECT 2.6680 0.7610 2.7180 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 1.4950 1.1380 1.5450 1.3050 ; + RECT 0.7360 1.0880 1.8490 1.0960 ; + RECT 0.7350 1.0960 1.8490 1.1380 ; + RECT 1.7990 1.1380 1.8490 1.3050 ; + RECT 0.7350 1.1380 0.7850 1.3210 ; + RECT 1.0390 1.1380 1.0890 1.3210 ; + RECT 2.7110 0.3620 2.7610 0.3940 ; + RECT 1.4950 0.2590 1.5450 0.3120 ; + RECT 1.4960 0.3610 2.7610 0.3620 ; + RECT 1.4950 0.3120 2.7610 0.3610 ; + RECT 2.4070 0.3620 2.4570 0.3940 ; + RECT 1.7990 0.2530 1.8490 0.3120 ; + RECT 3.7750 0.4470 4.5090 0.4970 ; + RECT 3.7750 0.7180 4.5090 0.7680 ; + RECT 4.3830 0.3230 4.4330 0.4470 ; + RECT 4.3830 0.7680 4.4330 0.9360 ; + RECT 4.4590 0.4970 4.5090 0.7180 ; + RECT 3.7750 0.3230 3.8250 0.4470 ; + RECT 3.7750 0.7680 3.8250 0.9360 ; + RECT 4.0790 0.3230 4.1290 0.4470 ; + RECT 4.0790 0.7680 4.1290 0.9360 ; + RECT 0.7120 0.5120 2.1690 0.5620 ; + RECT 5.3320 1.0010 6.4490 1.0510 ; + RECT 5.8690 1.1780 6.2570 1.2280 ; + RECT 6.2070 1.1420 6.2570 1.1780 ; + RECT 6.2070 1.2280 6.2570 1.3080 ; + RECT 6.2070 1.3080 6.4090 1.3580 ; + RECT 6.3590 1.0510 6.4090 1.3080 ; + RECT 5.8840 0.4200 6.4490 0.4700 ; + RECT 6.3990 0.4700 6.4490 1.0010 ; + RECT 2.0850 1.0880 2.7770 1.1380 ; + RECT 4.4410 0.0960 5.2910 0.1460 ; + RECT 3.1670 0.6180 4.3730 0.6680 ; + RECT 3.1670 0.6680 3.2170 0.9140 ; + RECT 3.1670 0.4220 3.2170 0.6180 ; + RECT 3.4710 0.6680 3.5210 0.9140 ; + RECT 3.4710 0.4220 3.5210 0.6180 ; + RECT 6.9280 0.6040 7.7170 0.6540 ; + RECT 6.6230 0.5880 6.6730 0.9780 ; + RECT 6.6230 0.5380 6.7530 0.5880 ; + RECT 6.6460 1.0270 6.9780 1.0280 ; + RECT 6.9280 1.0280 6.9780 1.0290 ; + RECT 6.9280 0.6540 6.9780 0.9780 ; + RECT 6.6230 0.9780 6.9780 1.0270 ; + RECT 7.0380 0.7090 7.2610 0.7590 ; + RECT 6.4590 1.2720 6.6850 1.3220 ; + RECT 6.2670 1.5220 6.5090 1.5720 ; + RECT 6.6350 1.1490 6.6850 1.2720 ; + RECT 6.4590 1.3220 6.5090 1.5220 ; + RECT 6.6350 1.0990 7.0880 1.1490 ; + RECT 7.0380 0.7590 7.0880 1.0990 ; + RECT 3.6830 1.4860 5.1410 1.5360 ; + RECT 5.6750 1.4280 5.7250 1.5840 ; + RECT 5.0670 1.3780 5.7250 1.4280 ; + RECT 5.0670 1.4280 5.1170 1.4860 ; + RECT 5.2190 1.4780 5.5890 1.5280 ; + RECT 5.2190 1.5280 5.2690 1.5650 ; + RECT 6.8740 1.5210 7.2610 1.5710 ; + END +END SDFFNX2_HVT + +MACRO RSDFFSRASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.504 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.7190 0.8920 15.2660 0.9420 ; + RECT 15.1440 0.8570 15.2660 0.8920 ; + RECT 15.1440 0.9420 15.2660 0.9670 ; + RECT 14.7190 0.9420 14.7690 1.5640 ; + RECT 14.7190 0.2130 14.7690 0.4520 ; + RECT 15.2150 0.5020 15.2650 0.8570 ; + RECT 14.7190 0.4520 15.2650 0.5020 ; + END + PORT + LAYER CO ; + RECT 14.7230 0.2430 14.7650 0.2850 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 0.3350 14.7650 0.3770 ; + RECT 14.7230 0.3350 14.7650 0.3770 ; + RECT 14.7230 0.4270 14.7650 0.4690 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.7230 1.0270 14.7650 1.0690 ; + RECT 14.7230 1.1190 14.7650 1.1610 ; + RECT 14.7230 1.0270 14.7650 1.0690 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.1190 14.7650 1.1610 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.2970 1.1610 15.4180 1.2710 ; + RECT 15.0230 0.1160 15.0730 0.2890 ; + RECT 15.0230 0.2890 15.3810 0.3390 ; + RECT 15.0230 1.0420 15.3810 1.0920 ; + RECT 15.0230 1.0920 15.0730 1.5640 ; + RECT 15.3310 1.0920 15.3810 1.1610 ; + RECT 15.3310 0.3390 15.3810 1.0420 ; + END + PORT + LAYER CO ; + RECT 15.0270 0.2430 15.0690 0.2850 ; + RECT 15.0270 0.1510 15.0690 0.1930 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 0.1510 15.0690 0.1930 ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.5040 1.7020 ; + RECT 5.5820 1.2200 9.1610 1.2700 ; + RECT 10.0070 1.4040 12.2250 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 14.1110 0.9590 14.1610 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 14.4150 0.9590 14.4650 1.6420 ; + RECT 14.8710 0.9920 14.9210 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 15.1750 1.1810 15.2250 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.9910 1.2700 6.0410 1.6420 ; + RECT 10.7670 0.9530 10.8170 1.4040 ; + RECT 10.0070 1.2790 10.0570 1.4040 ; + RECT 12.1750 1.4540 12.2250 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 6.9710 1.2240 7.0130 1.2660 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 14.8750 1.0270 14.9170 1.0690 ; + RECT 15.1790 1.2110 15.2210 1.2530 ; + RECT 15.1790 1.4870 15.2210 1.5290 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 14.8750 1.1190 14.9170 1.1610 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 14.4190 1.0040 14.4610 1.0460 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 10.7710 1.2490 10.8130 1.2910 ; + RECT 10.7710 1.0650 10.8130 1.1070 ; + RECT 14.4190 1.0960 14.4610 1.1380 ; + RECT 14.4190 1.1880 14.4610 1.2300 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 15.1790 1.3030 15.2210 1.3450 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 15.1790 1.4870 15.2210 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 14.4190 1.2800 14.4610 1.3220 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 14.4190 1.1880 14.4610 1.2300 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 14.4190 1.0040 14.4610 1.0460 ; + RECT 15.1790 1.2110 15.2210 1.2530 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 15.1790 1.3030 15.2210 1.3450 ; + RECT 10.7710 1.1570 10.8130 1.1990 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 14.4190 1.0960 14.4610 1.1380 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 9.0990 1.2240 9.1410 1.2660 ; + RECT 10.0110 1.3010 10.0530 1.3430 ; + RECT 14.1150 1.0040 14.1570 1.0460 ; + RECT 15.1790 1.3950 15.2210 1.4370 ; + RECT 14.8750 1.1190 14.9170 1.1610 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 14.1150 1.2800 14.1570 1.3220 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 14.1150 1.1880 14.1570 1.2300 ; + RECT 14.1150 1.1880 14.1570 1.2300 ; + RECT 10.7710 1.0650 10.8130 1.1070 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 10.7710 1.1570 10.8130 1.1990 ; + RECT 8.1870 1.2240 8.2290 1.2660 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 14.1150 1.2800 14.1570 1.3220 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 14.1150 1.0960 14.1570 1.1380 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 10.7710 1.2490 10.8130 1.2910 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 14.1150 1.0960 14.1570 1.1380 ; + RECT 7.7310 1.2240 7.7730 1.2660 ; + RECT 14.8750 1.0270 14.9170 1.0690 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 15.1790 1.3950 15.2210 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 10.7710 0.9730 10.8130 1.0150 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 14.1150 1.0040 14.1570 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 14.4190 1.2800 14.4610 1.3220 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.5040 0.0300 ; + RECT 14.3750 0.2030 14.4810 0.2530 ; + RECT 14.8710 0.2030 14.9670 0.2530 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 12.7430 0.0300 12.7930 0.1980 ; + RECT 11.8310 0.0300 11.8810 0.4260 ; + RECT 13.1990 0.0300 13.2490 0.4260 ; + RECT 14.1110 0.0300 14.1610 0.4230 ; + RECT 15.1750 0.0300 15.2250 0.2260 ; + RECT 10.9430 0.0300 10.9930 0.3280 ; + RECT 9.9910 0.3750 10.9680 0.3780 ; + RECT 9.9910 0.3280 10.9930 0.3750 ; + RECT 14.3750 0.0300 14.4250 0.2030 ; + RECT 14.8710 0.2530 14.9210 0.3950 ; + RECT 14.9170 0.0300 14.9670 0.2030 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.9190 0.3380 9.1610 0.3550 ; + RECT 5.1630 0.3050 9.1610 0.3380 ; + RECT 3.1670 0.1940 5.2130 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 5.1630 0.2440 5.2130 0.2880 ; + RECT 5.1630 0.2880 5.9690 0.3050 ; + END + PORT + LAYER CO ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 6.8190 0.3080 6.8610 0.3500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.7310 0.3090 7.7730 0.3510 ; + RECT 8.0350 0.3090 8.0770 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 15.1790 0.1490 15.2210 0.1910 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 14.8750 0.3330 14.9170 0.3750 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 14.1150 0.3610 14.1570 0.4030 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 14.4190 0.2070 14.4610 0.2490 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 5.9070 0.2920 5.9490 0.3340 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 11.8350 0.2540 11.8770 0.2960 ; + RECT 11.8350 0.1620 11.8770 0.2040 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 13.2030 0.2660 13.2450 0.3080 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.8750 0.2410 14.9170 0.2830 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 14.4190 0.2070 14.4610 0.2490 ; + RECT 14.8750 0.3330 14.9170 0.3750 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 9.0990 0.3090 9.1410 0.3510 ; + RECT 14.1150 0.2690 14.1570 0.3110 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 14.1150 0.1770 14.1570 0.2190 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 10.9230 0.3320 10.9650 0.3740 ; + RECT 10.0110 0.3320 10.0530 0.3740 ; + RECT 5.6030 0.2920 5.6450 0.3340 ; + RECT 12.7470 0.1360 12.7890 0.1780 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 15.1790 0.1490 15.2210 0.1910 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 14.1150 0.2690 14.1570 0.3110 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 6.3630 0.3080 6.4050 0.3500 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.1310 1.3200 9.6010 1.3700 ; + RECT 9.5510 1.0790 10.3910 1.1270 ; + RECT 10.2350 0.9510 10.2850 1.0090 ; + RECT 10.2350 1.0090 10.3910 1.0790 ; + RECT 6.1310 1.3700 6.1810 1.4550 ; + RECT 6.5870 1.3700 6.6370 1.4550 ; + RECT 7.0430 1.3700 7.0930 1.4550 ; + RECT 9.5510 1.1270 10.2850 1.1290 ; + RECT 9.5510 1.1290 9.6010 1.3200 ; + END + PORT + LAYER CO ; + RECT 7.0470 1.3930 7.0890 1.4350 ; + RECT 6.1350 1.3930 6.1770 1.4350 ; + RECT 6.5910 1.3930 6.6330 1.4350 ; + RECT 10.2390 0.9710 10.2810 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.8990 0.0880 5.4490 0.1380 ; + RECT 10.6750 0.0880 10.7570 0.1380 ; + RECT 5.2630 0.1380 5.4490 0.1880 ; + RECT 5.3710 0.2320 10.7300 0.2380 ; + RECT 5.3710 0.2100 10.7410 0.2320 ; + RECT 10.6910 0.1380 10.7410 0.1880 ; + RECT 5.2630 0.1880 10.7410 0.2100 ; + END + PORT + LAYER CO ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 5.3750 0.0980 5.4170 0.1400 ; + RECT 10.6950 0.0920 10.7370 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.3180 0.2490 13.4330 0.3590 ; + RECT 13.1070 0.5270 13.3820 0.5770 ; + RECT 13.3320 0.3590 13.3820 0.5270 ; + END + PORT + LAYER CO ; + RECT 13.1270 0.5310 13.1690 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.4570 0.6630 8.6130 0.8150 ; + RECT 8.5470 0.6130 8.9330 0.6630 ; + RECT 9.1710 0.7810 9.2210 0.9330 ; + RECT 8.8830 0.6630 8.9330 0.7310 ; + RECT 8.8830 0.7310 9.2210 0.7810 ; + END + PORT + LAYER CO ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 8.5670 0.6170 8.6090 0.6590 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.8150 0.9420 13.4330 1.0020 ; + RECT 13.3190 0.6900 13.4330 0.9420 ; + RECT 13.1990 0.6270 13.2490 0.9420 ; + END + PORT + LAYER CO ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.2030 0.7430 13.2450 0.7850 ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 12.7470 0.9510 12.7890 0.9930 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 11.8350 0.9510 11.8770 0.9930 ; + RECT 13.3550 0.7100 13.3970 0.7520 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 13.3550 0.8620 13.3970 0.9040 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 7.1230 0.5090 7.1650 0.5510 ; + RECT 6.8950 0.8580 6.9370 0.9000 ; + RECT 6.8190 1.1260 6.8610 1.1680 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 7.5030 0.6620 7.5450 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.9590 0.6280 8.0010 0.6700 ; + RECT 7.1990 0.6890 7.2410 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 5.8310 0.0920 5.8730 0.1340 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 5.2990 1.0860 5.3410 1.1280 ; + RECT 5.2990 1.1780 5.3410 1.2200 ; + RECT 5.4510 1.1120 5.4930 1.1540 ; + RECT 5.4510 1.2040 5.4930 1.2460 ; + RECT 5.1470 1.2040 5.1890 1.2460 ; + RECT 5.1470 1.1120 5.1890 1.1540 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.2040 4.7330 1.2460 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 4.6910 1.1120 4.7330 1.1540 ; + RECT 7.8830 0.5140 7.9250 0.5560 ; + RECT 7.8830 0.9450 7.9250 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 7.8070 0.6280 7.8490 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 14.4950 0.0970 14.5370 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 15.1030 0.6640 15.1450 0.7060 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 6.2110 1.1240 6.2530 1.1660 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.9870 0.2540 12.0290 0.2960 ; + RECT 12.8230 0.4660 12.8650 0.5080 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 11.9870 0.1620 12.0290 0.2040 ; + RECT 9.5550 0.4090 9.5970 0.4510 ; + RECT 8.3390 0.9720 8.3810 1.0140 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 9.7830 0.0920 9.8250 0.1340 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 7.3510 0.6620 7.3930 0.7040 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.9470 0.4170 8.9890 0.4590 ; + RECT 5.9070 1.1260 5.9490 1.1680 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 7.4270 0.5140 7.4690 0.5560 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.8430 0.5620 4.8850 0.6040 ; + RECT 13.0510 0.6800 13.0930 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 11.9870 0.3460 12.0290 0.3880 ; + RECT 8.9470 1.1240 8.9890 1.1660 ; + RECT 14.5710 1.2470 14.6130 1.2890 ; + RECT 4.6910 0.4330 4.7330 0.4750 ; + RECT 8.7950 1.0240 8.8370 1.0660 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 9.8590 0.9710 9.9010 1.0130 ; + RECT 7.4270 1.0620 7.4690 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 9.5550 0.5010 9.5970 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 12.5950 0.8240 12.6370 0.8660 ; + RECT 12.2910 0.3680 12.3330 0.4100 ; + RECT 10.9230 1.2390 10.9650 1.2810 ; + RECT 9.4030 1.0240 9.4450 1.0660 ; + RECT 6.4390 0.8580 6.4810 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 12.5950 0.1360 12.6370 0.1780 ; + RECT 8.6430 0.4170 8.6850 0.4590 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 11.3030 1.2030 11.3450 1.2450 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.6830 0.1620 11.7250 0.2040 ; + RECT 12.1390 0.2520 12.1810 0.2940 ; + RECT 11.6830 0.2540 11.7250 0.2960 ; + RECT 12.0630 1.5240 12.1050 1.5660 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 12.6710 1.0610 12.7130 1.1030 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0920 4.3530 0.1340 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.9870 0.7300 12.0290 0.7720 ; + RECT 10.1630 1.2910 10.2050 1.3330 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 5.8310 0.6330 5.8730 0.6750 ; + RECT 10.6190 0.5580 10.6610 0.6000 ; + RECT 6.0590 0.4090 6.1010 0.4510 ; + RECT 14.5710 1.2470 14.6130 1.2890 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 12.8990 0.7130 12.9410 0.7550 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 12.2910 0.6770 12.3330 0.7190 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 11.9870 0.3460 12.0290 0.3880 ; + RECT 8.7950 0.5170 8.8370 0.5590 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 14.5710 0.2490 14.6130 0.2910 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 8.7190 0.9240 8.7610 0.9660 ; + RECT 10.3150 1.1830 10.3570 1.2250 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 10.4670 1.2910 10.5090 1.3330 ; + RECT 6.6670 1.1240 6.7090 1.1660 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 9.4030 1.2080 9.4450 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 9.7830 1.4240 9.8250 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + RECT 10.9230 0.9630 10.9650 1.0050 ; + RECT 8.4910 0.5170 8.5330 0.5590 ; + RECT 14.5710 0.3410 14.6130 0.3830 ; + RECT 9.4030 0.4170 9.4450 0.4590 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 14.2670 1.2470 14.3090 1.2890 ; + RECT 12.3670 1.2030 12.4090 1.2450 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 12.0630 1.0610 12.1050 1.1030 ; + RECT 14.4950 0.6060 14.5370 0.6480 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 9.6310 0.7710 9.6730 0.8130 ; + RECT 9.7070 1.1990 9.7490 1.2410 ; + RECT 4.0070 0.6170 4.0490 0.6590 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 9.7070 1.2910 9.7490 1.3330 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 8.3390 0.5250 8.3810 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 5.7550 0.3920 5.7970 0.4340 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 9.6310 1.4240 9.6730 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 9.0230 0.8510 9.0650 0.8930 ; + RECT 8.8710 0.9240 8.9130 0.9660 ; + RECT 11.7590 0.5310 11.8010 0.5730 ; + RECT 4.1590 0.6600 4.2010 0.7020 ; + RECT 3.7030 0.0920 3.7450 0.1340 ; + RECT 8.5670 0.9240 8.6090 0.9660 ; + RECT 5.4510 1.2960 5.4930 1.3380 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.6790 0.6330 5.7210 0.6750 ; + RECT 6.6670 0.5090 6.7090 0.5510 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 10.0870 0.6640 10.1290 0.7060 ; + RECT 10.3910 0.6640 10.4330 0.7060 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 5.5270 0.6330 5.5690 0.6750 ; + RECT 9.6310 0.0920 9.6730 0.1340 ; + RECT 9.9350 0.0920 9.9770 0.1340 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 7.1990 0.6890 7.2410 0.7310 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 10.4670 0.4340 10.5090 0.4760 ; + RECT 9.1750 0.6170 9.2170 0.6590 ; + RECT 10.8470 0.6640 10.8890 0.7060 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 14.1910 0.6170 14.2330 0.6590 ; + RECT 5.1470 1.2960 5.1890 1.3380 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 9.6310 1.4240 9.6730 1.4660 ; + RECT 9.9350 0.7650 9.9770 0.8070 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 10.0870 0.9710 10.1290 1.0130 ; + RECT 9.5550 0.9710 9.5970 1.0130 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 9.4030 1.1160 9.4450 1.1580 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 9.8590 0.3340 9.9010 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 11.3030 0.8050 11.3450 0.8470 ; + RECT 14.2670 1.2470 14.3090 1.2890 ; + RECT 5.6790 0.9710 5.7210 1.0130 ; + RECT 4.9950 0.3240 5.0370 0.3660 ; + RECT 14.7990 0.0970 14.8410 0.1390 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 10.6190 0.9630 10.6610 1.0050 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 6.3630 1.1260 6.4050 1.1680 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 10.9230 1.0550 10.9650 1.0970 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 12.4430 0.1360 12.4850 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 11.6830 0.7430 11.7250 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.8990 0.2520 12.9410 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 10.9230 1.0550 10.9650 1.0970 ; + RECT 13.1270 1.0610 13.1690 1.1030 ; + RECT 10.9230 1.1470 10.9650 1.1890 ; + RECT 9.3270 1.5240 9.3690 1.5660 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 10.9230 1.1470 10.9650 1.1890 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 9.1750 1.5240 9.2170 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 5.4510 0.3920 5.4930 0.4340 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.5270 1.4280 5.5690 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.9230 1.2390 10.9650 1.2810 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 9.7070 0.5010 9.7490 0.5430 ; + RECT 5.1470 0.5020 5.1890 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + LAYER PO ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.7280 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + RECT 10.0930 0.9390 10.1230 1.6060 ; + RECT 9.6370 0.0660 9.6670 0.6470 ; + RECT 4.3170 0.0660 4.3470 0.6840 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.6910 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 8.5730 0.8920 8.6030 1.6060 ; + RECT 9.7890 0.7710 9.8190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 8.7250 0.8920 8.7550 1.6060 ; + RECT 14.9570 0.0710 14.9870 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 8.8770 0.8920 8.9070 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.7890 0.0660 9.8190 0.6470 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6840 ; + RECT 15.2610 0.0690 15.2910 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.6850 0.9390 5.7150 1.6060 ; + RECT 9.6370 0.7540 9.6670 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 14.8050 0.0690 14.8350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 14.6530 0.0710 14.6830 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.4130 0.0710 15.4430 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.1090 0.0690 15.1390 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6950 ; + RECT 5.5330 0.0660 5.5630 0.6950 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6910 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 11.1570 0.0670 11.1870 1.6050 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 9.1810 0.8390 9.2110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + LAYER M1 ; + RECT 10.8430 0.4800 10.8930 0.7260 ; + RECT 9.7040 1.1790 10.5650 1.2290 ; + RECT 10.1990 0.4800 10.2490 0.7670 ; + RECT 10.1990 0.7670 10.5650 0.8170 ; + RECT 10.5150 0.8170 10.5650 1.1790 ; + RECT 9.7030 0.4800 9.7530 0.5630 ; + RECT 9.7040 1.2290 9.7540 1.3530 ; + RECT 12.1190 0.2480 12.9610 0.2980 ; + RECT 13.0070 0.6270 13.0970 0.6770 ; + RECT 13.0070 0.4270 13.0970 0.4620 ; + RECT 12.8030 0.4770 13.0570 0.5120 ; + RECT 12.8030 0.4620 13.0970 0.4770 ; + RECT 13.0470 0.1260 13.0970 0.4270 ; + RECT 13.0470 0.6770 13.0970 0.7680 ; + RECT 13.0070 0.5120 13.0570 0.6270 ; + RECT 12.4230 0.1320 12.6570 0.1820 ; + RECT 11.6790 1.0570 12.1280 1.1070 ; + RECT 11.6390 0.4270 11.7290 0.4770 ; + RECT 11.6390 0.6270 11.7290 0.6770 ; + RECT 11.6790 0.1260 11.7290 0.4270 ; + RECT 11.6390 0.4770 11.6890 0.6270 ; + RECT 11.6790 0.6770 11.7290 1.0570 ; + RECT 14.6070 0.6600 15.1650 0.7100 ; + RECT 14.5670 0.4010 14.6570 0.4510 ; + RECT 14.5670 0.7780 14.6570 0.8280 ; + RECT 14.5670 0.2250 14.6170 0.4010 ; + RECT 14.5670 0.8280 14.6170 1.3090 ; + RECT 14.6070 0.4510 14.6570 0.6600 ; + RECT 14.6070 0.7100 14.6570 0.7780 ; + RECT 14.3020 0.6020 14.5570 0.6520 ; + RECT 14.2630 0.4010 14.3520 0.4510 ; + RECT 14.2630 0.7780 14.3520 0.8280 ; + RECT 14.2630 0.1570 14.3130 0.4010 ; + RECT 14.3020 0.4510 14.3520 0.6020 ; + RECT 14.2630 0.8280 14.3130 1.3090 ; + RECT 14.3020 0.6520 14.3520 0.7780 ; + RECT 14.4750 0.0930 14.8610 0.1430 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 5.2790 0.4980 5.3610 0.5480 ; + RECT 4.8390 0.6080 5.3450 0.6570 ; + RECT 4.8230 0.5580 4.9060 0.6070 ; + RECT 4.8230 0.6070 5.3450 0.6080 ; + RECT 5.2950 0.5480 5.3450 0.6070 ; + RECT 4.7420 0.7380 6.2580 0.7880 ; + RECT 5.8870 1.1200 7.3570 1.1700 ; + RECT 6.2070 0.5050 7.3570 0.5550 ; + RECT 7.3070 0.6580 7.5720 0.7080 ; + RECT 7.3070 0.7080 7.3570 1.1200 ; + RECT 6.2070 0.5550 6.2570 0.7380 ; + RECT 7.3070 0.5550 7.3570 0.6580 ; + RECT 5.5070 0.9680 7.1010 1.0180 ; + RECT 7.0510 0.6850 7.2450 0.7350 ; + RECT 7.1950 0.7350 7.2450 0.7510 ; + RECT 7.1950 0.6690 7.2450 0.6850 ; + RECT 7.0510 0.7350 7.1010 0.9680 ; + RECT 7.6880 0.6240 8.0210 0.6740 ; + RECT 7.4230 1.1080 7.4730 1.1240 ; + RECT 7.4230 1.0420 7.4730 1.0580 ; + RECT 7.6880 0.6740 7.7380 1.0580 ; + RECT 7.4230 1.0580 7.7380 1.1080 ; + RECT 7.6880 0.5600 7.7380 0.6240 ; + RECT 7.4070 0.5100 7.7380 0.5600 ; + RECT 5.5070 0.6290 5.8930 0.6790 ; + RECT 5.4470 1.0680 5.8170 1.1180 ; + RECT 4.6710 1.2920 5.5130 1.3420 ; + RECT 4.9910 1.0920 5.0410 1.2920 ; + RECT 5.1430 1.0920 5.1930 1.2920 ; + RECT 4.6870 1.0920 4.7370 1.2920 ; + RECT 5.4470 1.1180 5.4970 1.2920 ; + RECT 9.9150 0.7610 10.0640 0.8110 ; + RECT 10.0140 0.9670 10.1490 1.0170 ; + RECT 10.0140 0.8110 10.0640 0.9670 ; + RECT 9.0020 0.6130 9.2370 0.6630 ; + RECT 9.6260 0.6600 10.1490 0.7100 ; + RECT 9.6270 0.7100 9.6770 0.8490 ; + RECT 8.3350 0.9200 9.0690 0.9700 ; + RECT 9.0190 0.8310 9.0690 0.9200 ; + RECT 8.3350 0.9700 8.3850 1.0340 ; + RECT 8.3350 0.5050 8.3850 0.9200 ; + RECT 12.1190 0.8200 12.6670 0.8700 ; + RECT 12.4230 0.7090 12.9610 0.7590 ; + RECT 12.6510 1.0570 13.1890 1.1070 ; + RECT 4.5950 1.4240 5.5890 1.4740 ; + RECT 4.1390 1.5240 5.8930 1.5740 ; + RECT 8.2430 1.5200 9.2370 1.5700 ; + RECT 10.1360 1.2870 10.5290 1.3370 ; + RECT 9.3050 1.5200 12.1250 1.5700 ; + RECT 3.3190 0.6130 4.0690 0.6630 ; + RECT 3.3190 0.6630 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6130 ; + RECT 3.6230 0.6630 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6130 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 8.6230 0.4130 9.6010 0.4630 ; + RECT 9.3990 0.9670 9.9220 1.0170 ; + RECT 9.5510 0.4630 9.6010 0.5630 ; + RECT 9.5510 0.3800 9.6010 0.4130 ; + RECT 9.5510 0.3300 9.9270 0.3800 ; + RECT 9.5510 0.3270 9.6010 0.3300 ; + RECT 8.6230 1.1200 9.4490 1.1700 ; + RECT 9.3990 0.3840 9.4490 0.4130 ; + RECT 9.3990 0.4630 9.4490 0.9670 ; + RECT 9.3990 1.1700 9.4490 1.2700 ; + RECT 9.3990 1.0170 9.4490 1.1200 ; + RECT 4.3830 0.8540 6.9570 0.9040 ; + RECT 4.3830 0.5630 4.7370 0.6130 ; + RECT 4.3830 0.4130 4.4330 0.5630 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 5.2950 0.9040 5.3450 1.2420 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.3830 0.6130 4.4330 0.8540 ; + RECT 4.6860 0.4580 5.0050 0.4980 ; + RECT 4.9550 0.5080 5.2090 0.5480 ; + RECT 4.6860 0.4980 5.2090 0.5080 ; + RECT 4.6870 0.4070 4.7370 0.4580 ; + RECT 4.6870 0.5080 4.7370 0.5630 ; + RECT 7.1790 1.4200 9.8450 1.4700 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 11.7390 0.5270 12.3370 0.5770 ; + RECT 11.9830 0.5770 12.0330 0.8870 ; + RECT 11.9830 0.1260 12.0330 0.5270 ; + RECT 12.2870 0.5770 12.3370 0.7700 ; + RECT 12.2870 0.3480 12.3370 0.5270 ; + RECT 9.2870 0.5630 9.3370 1.0200 ; + RECT 8.4710 0.5130 9.3360 0.5440 ; + RECT 8.4710 0.5440 9.3370 0.5630 ; + RECT 8.4870 1.0200 9.3370 1.0700 ; + RECT 7.8560 0.5100 8.1530 0.5600 ; + RECT 7.8560 0.9410 8.1530 0.9910 ; + RECT 8.1030 0.5600 8.1530 0.9410 ; + RECT 8.1030 0.9910 8.1530 1.1200 ; + RECT 8.1030 1.1200 8.5370 1.1700 ; + RECT 8.4870 1.0700 8.5370 1.1200 ; + RECT 13.7090 0.6320 14.2370 0.6820 ; + RECT 14.1870 0.5970 14.2370 0.6320 ; + RECT 13.7090 0.6820 13.7590 1.1990 ; + RECT 11.2830 1.1990 13.7590 1.2490 ; + RECT 10.9190 0.8510 10.9690 1.3010 ; + RECT 10.6150 0.8010 11.3650 0.8510 ; + RECT 10.3870 0.5300 10.6650 0.5800 ; + RECT 10.3710 0.6600 10.4530 0.7100 ; + RECT 10.3870 0.5800 10.4370 0.6600 ; + RECT 10.6150 0.8510 10.6650 1.3010 ; + RECT 10.6150 0.5800 10.6650 0.8010 ; + RECT 5.8110 0.0880 9.9970 0.1380 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 5.0600 0.3880 5.8170 0.4380 ; + RECT 4.9720 0.3200 5.1100 0.3700 ; + RECT 5.0600 0.3700 5.1100 0.3880 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7630 4.1290 1.0050 ; + RECT 3.7750 0.7130 4.2050 0.7630 ; + RECT 4.1550 0.5630 4.2050 0.7130 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7630 3.8250 1.0050 ; + RECT 6.0310 0.4050 7.0360 0.4550 ; + RECT 9.7030 0.4300 10.8930 0.4800 ; + LAYER NWELL ; + RECT -0.1150 1.5430 15.6190 1.7730 ; + RECT -0.1150 0.7000 11.0500 1.5430 ; + RECT 13.9830 0.6790 15.6190 1.5430 ; + RECT -0.1150 0.6890 9.9560 0.7000 ; + RECT -0.1150 0.6790 4.1800 0.6890 ; + RECT 4.6360 0.6790 9.9560 0.6890 ; + RECT 11.5120 0.4910 13.5230 1.0830 ; + END +END RSDFFSRASRX1_HVT + +MACRO RSDFFSRASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.808 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.7190 0.8920 15.5700 0.9420 ; + RECT 15.4350 0.9420 15.5700 0.9670 ; + RECT 15.4350 0.8570 15.5700 0.8920 ; + RECT 14.7190 0.9420 14.7690 1.5640 ; + RECT 15.0230 0.9420 15.0730 1.5640 ; + RECT 15.0230 0.1740 15.0730 0.4060 ; + RECT 14.7190 0.1930 14.7690 0.4060 ; + RECT 15.5190 0.4560 15.5690 0.8570 ; + RECT 14.7190 0.4060 15.5690 0.4560 ; + END + PORT + LAYER CO ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.0270 15.0690 1.0690 ; + RECT 15.0270 1.1190 15.0690 1.1610 ; + RECT 15.0270 1.0270 15.0690 1.0690 ; + RECT 15.0270 1.1190 15.0690 1.1610 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + RECT 15.0270 0.2040 15.0690 0.2460 ; + RECT 15.0270 0.2960 15.0690 0.3380 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.7230 1.0270 14.7650 1.0690 ; + RECT 14.7230 1.1190 14.7650 1.1610 ; + RECT 14.7230 1.0270 14.7650 1.0690 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 0.2960 14.7650 0.3380 ; + RECT 14.7230 0.2960 14.7650 0.3380 ; + RECT 15.0270 0.3880 15.0690 0.4300 ; + RECT 14.7230 1.1190 14.7650 1.1610 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 0.3880 14.7650 0.4300 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 0.2960 15.0690 0.3380 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.5940 1.1610 15.7220 1.2710 ; + RECT 15.1750 0.1160 15.2250 0.2890 ; + RECT 15.4790 0.1160 15.5290 0.2890 ; + RECT 15.1750 0.2890 15.6850 0.3390 ; + RECT 15.1750 1.0920 15.2250 1.5640 ; + RECT 15.4790 1.0920 15.5290 1.5640 ; + RECT 15.6350 1.0920 15.6850 1.1610 ; + RECT 15.1750 1.0420 15.6850 1.0920 ; + RECT 15.6350 0.3390 15.6850 1.0420 ; + END + PORT + LAYER CO ; + RECT 15.4830 1.3030 15.5250 1.3450 ; + RECT 15.4830 1.3030 15.5250 1.3450 ; + RECT 15.4830 1.3950 15.5250 1.4370 ; + RECT 15.4830 1.2110 15.5250 1.2530 ; + RECT 15.4830 1.2110 15.5250 1.2530 ; + RECT 15.4830 0.2430 15.5250 0.2850 ; + RECT 15.4830 0.1510 15.5250 0.1930 ; + RECT 15.4830 1.3950 15.5250 1.4370 ; + RECT 15.4830 1.4870 15.5250 1.5290 ; + RECT 15.1790 1.3950 15.2210 1.4370 ; + RECT 15.1790 1.2110 15.2210 1.2530 ; + RECT 15.1790 1.2110 15.2210 1.2530 ; + RECT 15.1790 1.3030 15.2210 1.3450 ; + RECT 15.1790 1.3030 15.2210 1.3450 ; + RECT 15.1790 0.1510 15.2210 0.1930 ; + RECT 15.1790 1.4870 15.2210 1.5290 ; + RECT 15.1790 0.2430 15.2210 0.2850 ; + RECT 15.1790 0.1510 15.2210 0.1930 ; + RECT 15.1790 1.4870 15.2210 1.5290 ; + RECT 15.1790 1.3950 15.2210 1.4370 ; + RECT 15.4830 1.4870 15.5250 1.5290 ; + RECT 15.4830 0.1510 15.5250 0.1930 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.8080 1.7020 ; + RECT 5.5820 1.2200 9.1610 1.2700 ; + RECT 10.0070 1.4040 12.2250 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 14.1110 0.9590 14.1610 1.6420 ; + RECT 14.8710 0.9920 14.9210 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 14.4150 0.9590 14.4650 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 15.3270 1.1810 15.3770 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.9910 1.2700 6.0410 1.6420 ; + RECT 10.7670 0.9530 10.8170 1.4040 ; + RECT 10.0070 1.2790 10.0570 1.4040 ; + RECT 12.1750 1.4540 12.2250 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 6.9710 1.2240 7.0130 1.2660 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 14.4190 1.1880 14.4610 1.2300 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 14.4190 1.0040 14.4610 1.0460 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 14.8750 1.0270 14.9170 1.0690 ; + RECT 15.3310 1.2110 15.3730 1.2530 ; + RECT 15.3310 1.4870 15.3730 1.5290 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 15.3310 1.4870 15.3730 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 14.4190 1.2800 14.4610 1.3220 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 14.4190 1.0960 14.4610 1.1380 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 14.8750 1.1190 14.9170 1.1610 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 14.4190 1.0040 14.4610 1.0460 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 10.7710 1.0650 10.8130 1.1070 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 10.7710 1.1570 10.8130 1.1990 ; + RECT 8.1870 1.2240 8.2290 1.2660 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 10.7710 1.2490 10.8130 1.2910 ; + RECT 10.7710 1.0650 10.8130 1.1070 ; + RECT 14.4190 1.0960 14.4610 1.1380 ; + RECT 14.4190 1.1880 14.4610 1.2300 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 15.3310 1.3030 15.3730 1.3450 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 15.3310 1.3950 15.3730 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 14.1150 1.2800 14.1570 1.3220 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 14.1150 1.0960 14.1570 1.1380 ; + RECT 15.3310 1.2110 15.3730 1.2530 ; + RECT 14.1150 1.0040 14.1570 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 14.4190 1.2800 14.4610 1.3220 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 9.0990 1.2240 9.1410 1.2660 ; + RECT 10.0110 1.3010 10.0530 1.3430 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 15.3310 1.3030 15.3730 1.3450 ; + RECT 10.7710 1.1570 10.8130 1.1990 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.7710 0.9730 10.8130 1.0150 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 14.1150 1.0040 14.1570 1.0460 ; + RECT 15.3310 1.3950 15.3730 1.4370 ; + RECT 14.8750 1.1190 14.9170 1.1610 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 14.1150 1.2800 14.1570 1.3220 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 14.1150 1.1880 14.1570 1.2300 ; + RECT 14.1150 1.1880 14.1570 1.2300 ; + RECT 14.1150 1.0960 14.1570 1.1380 ; + RECT 7.7310 1.2240 7.7730 1.2660 ; + RECT 14.8750 1.0270 14.9170 1.0690 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 10.7710 1.2490 10.8130 1.2910 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.8080 0.0300 ; + RECT 14.3750 0.2030 14.4810 0.2530 ; + RECT 14.8710 0.2030 14.9670 0.2530 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 13.1990 0.0300 13.2490 0.4260 ; + RECT 12.7430 0.0300 12.7930 0.1980 ; + RECT 11.8310 0.0300 11.8810 0.4260 ; + RECT 14.1110 0.0300 14.1610 0.4230 ; + RECT 15.3270 0.0300 15.3770 0.2260 ; + RECT 10.9430 0.0300 10.9930 0.3280 ; + RECT 9.9910 0.3280 10.9930 0.3780 ; + RECT 14.3750 0.0300 14.4250 0.2030 ; + RECT 14.8710 0.2530 14.9210 0.3560 ; + RECT 14.9170 0.0300 14.9670 0.2030 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.8870 0.3390 9.1610 0.3550 ; + RECT 5.1630 0.2890 5.9700 0.3050 ; + RECT 5.1630 0.3050 9.1610 0.3390 ; + RECT 3.1670 0.1940 5.2130 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 5.1630 0.2440 5.2130 0.2890 ; + END + PORT + LAYER CO ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 6.8190 0.3080 6.8610 0.3500 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.7310 0.3090 7.7730 0.3510 ; + RECT 8.0350 0.3090 8.0770 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 5.9070 0.2930 5.9490 0.3350 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 13.2030 0.2660 13.2450 0.3080 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 14.4190 0.2070 14.4610 0.2490 ; + RECT 14.8750 0.2940 14.9170 0.3360 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 14.1150 0.3610 14.1570 0.4030 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 10.9230 0.3320 10.9650 0.3740 ; + RECT 10.0110 0.3320 10.0530 0.3740 ; + RECT 5.6030 0.2930 5.6450 0.3350 ; + RECT 12.7470 0.1360 12.7890 0.1780 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 15.3310 0.1490 15.3730 0.1910 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 15.3310 0.1490 15.3730 0.1910 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 14.8750 0.2940 14.9170 0.3360 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 11.8350 0.2540 11.8770 0.2960 ; + RECT 11.8350 0.1620 11.8770 0.2040 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 14.4190 0.2070 14.4610 0.2490 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 14.1150 0.2690 14.1570 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 9.0990 0.3090 9.1410 0.3510 ; + RECT 14.1150 0.2690 14.1570 0.3110 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 6.3630 0.3080 6.4050 0.3500 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 14.1150 0.1770 14.1570 0.2190 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.1310 1.3200 9.6010 1.3700 ; + RECT 9.5510 1.0790 10.3910 1.1270 ; + RECT 10.2350 0.9510 10.2850 1.0090 ; + RECT 10.2350 1.0090 10.3910 1.0790 ; + RECT 6.1310 1.3700 6.1810 1.4550 ; + RECT 6.5870 1.3700 6.6370 1.4550 ; + RECT 7.0430 1.3700 7.0930 1.4550 ; + RECT 9.5510 1.1270 10.2850 1.1290 ; + RECT 9.5510 1.1290 9.6010 1.3200 ; + END + PORT + LAYER CO ; + RECT 7.0470 1.3930 7.0890 1.4350 ; + RECT 6.1350 1.3930 6.1770 1.4350 ; + RECT 6.5910 1.3930 6.6330 1.4350 ; + RECT 10.2390 0.9710 10.2810 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.8990 0.0880 5.4210 0.1380 ; + RECT 10.6750 0.0880 10.7570 0.1380 ; + RECT 5.2630 0.1380 5.4210 0.1880 ; + RECT 5.3710 0.2320 10.7300 0.2380 ; + RECT 5.3710 0.2100 10.7410 0.2320 ; + RECT 10.6910 0.1380 10.7410 0.1880 ; + RECT 5.2630 0.1880 10.7410 0.2100 ; + END + PORT + LAYER CO ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 5.3750 0.1120 5.4170 0.1540 ; + RECT 10.6950 0.0920 10.7370 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.3090 0.2490 13.4330 0.3590 ; + RECT 13.1070 0.5270 13.3820 0.5770 ; + RECT 13.3320 0.3590 13.3820 0.5270 ; + END + PORT + LAYER CO ; + RECT 13.1270 0.5310 13.1690 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.4570 0.6630 8.6130 0.8150 ; + RECT 8.5470 0.6130 8.9330 0.6630 ; + RECT 9.1710 0.7810 9.2210 0.9330 ; + RECT 8.8830 0.6630 8.9330 0.7310 ; + RECT 8.8830 0.7310 9.2210 0.7810 ; + END + PORT + LAYER CO ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 8.5670 0.6170 8.6090 0.6590 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.8150 0.9420 13.4330 1.0020 ; + RECT 13.3150 0.6900 13.4330 0.9420 ; + RECT 13.1990 0.6270 13.2490 0.9420 ; + END + PORT + LAYER CO ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.2030 0.7430 13.2450 0.7850 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.3550 0.7100 13.3970 0.7520 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 12.7470 0.9510 12.7890 0.9930 ; + RECT 11.8350 0.9510 11.8770 0.9930 ; + RECT 13.3550 0.8620 13.3970 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 5.8310 0.0920 5.8730 0.1340 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 7.1230 0.5090 7.1650 0.5510 ; + RECT 6.8950 0.8580 6.9370 0.9000 ; + RECT 6.8190 1.1260 6.8610 1.1680 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 15.4070 0.5910 15.4490 0.6330 ; + RECT 14.7990 0.6640 14.8410 0.7060 ; + RECT 14.9510 0.6640 14.9930 0.7060 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 7.8070 0.6280 7.8490 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 7.5030 0.6620 7.5450 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.9590 0.6280 8.0010 0.6700 ; + RECT 7.1990 0.6890 7.2410 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 5.2990 1.0860 5.3410 1.1280 ; + RECT 5.2990 1.1780 5.3410 1.2200 ; + RECT 5.4510 1.1120 5.4930 1.1540 ; + RECT 5.4510 1.2040 5.4930 1.2460 ; + RECT 5.1470 1.2040 5.1890 1.2460 ; + RECT 5.1470 1.1120 5.1890 1.1540 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.2040 4.7330 1.2460 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 4.6910 1.1120 4.7330 1.1540 ; + RECT 7.8830 0.5140 7.9250 0.5560 ; + RECT 7.8830 0.9450 7.9250 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 8.9470 1.1240 8.9890 1.1660 ; + RECT 14.5710 1.2470 14.6130 1.2890 ; + RECT 4.6910 0.4330 4.7330 0.4750 ; + RECT 8.7950 1.0240 8.8370 1.0660 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 9.5550 0.5010 9.5970 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 12.5950 0.8240 12.6370 0.8660 ; + RECT 12.2910 0.3680 12.3330 0.4100 ; + RECT 10.9230 1.2390 10.9650 1.2810 ; + RECT 9.4030 1.0240 9.4450 1.0660 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 14.4950 0.0970 14.5370 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 7.4270 1.0620 7.4690 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 6.0590 0.4090 6.1010 0.4510 ; + RECT 14.5710 1.2470 14.6130 1.2890 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 12.8990 0.7130 12.9410 0.7550 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 12.2910 0.6770 12.3330 0.7190 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 11.9870 0.3460 12.0290 0.3880 ; + RECT 8.7950 0.5170 8.8370 0.5590 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 14.5710 0.2490 14.6130 0.2910 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 15.2550 0.5910 15.2970 0.6330 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 6.2110 1.1240 6.2530 1.1660 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.7830 0.0920 9.8250 0.1340 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 7.3510 0.6620 7.3930 0.7040 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.9470 0.4170 8.9890 0.4590 ; + RECT 5.9070 1.1260 5.9490 1.1680 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 7.4270 0.5140 7.4690 0.5560 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.8430 0.5620 4.8850 0.6040 ; + RECT 13.0510 0.6800 13.0930 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 11.9870 0.3460 12.0290 0.3880 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 5.8310 0.6330 5.8730 0.6750 ; + RECT 10.6190 0.5580 10.6610 0.6000 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 8.3390 0.5250 8.3810 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 5.7550 0.4020 5.7970 0.4440 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 9.6310 1.4240 9.6730 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 9.0230 0.8510 9.0650 0.8930 ; + RECT 8.8710 0.9240 8.9130 0.9660 ; + RECT 11.7590 0.5310 11.8010 0.5730 ; + RECT 4.1590 0.6600 4.2010 0.7020 ; + RECT 3.7030 0.0920 3.7450 0.1340 ; + RECT 8.5670 0.9240 8.6090 0.9660 ; + RECT 5.4510 1.2960 5.4930 1.3380 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.6790 0.6330 5.7210 0.6750 ; + RECT 6.6670 0.5090 6.7090 0.5510 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 10.0870 0.6610 10.1290 0.7030 ; + RECT 10.3910 0.6610 10.4330 0.7030 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 5.5270 0.6330 5.5690 0.6750 ; + RECT 9.6310 0.0920 9.6730 0.1340 ; + RECT 9.9350 0.0920 9.9770 0.1340 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 7.1990 0.6890 7.2410 0.7310 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 8.7190 0.9240 8.7610 0.9660 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.9870 0.2540 12.0290 0.2960 ; + RECT 12.8230 0.4660 12.8650 0.5080 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 11.9870 0.1620 12.0290 0.2040 ; + RECT 9.5550 0.4090 9.5970 0.4510 ; + RECT 8.3390 0.9720 8.3810 1.0140 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 12.5950 0.1360 12.6370 0.1780 ; + RECT 8.6430 0.4170 8.6850 0.4590 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 11.3030 1.2030 11.3450 1.2450 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.6830 0.1620 11.7250 0.2040 ; + RECT 12.1390 0.2520 12.1810 0.2940 ; + RECT 11.6830 0.2540 11.7250 0.2960 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 9.8590 0.9710 9.9010 1.0130 ; + RECT 10.6190 0.9630 10.6610 1.0050 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 6.3630 1.1260 6.4050 1.1680 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 10.9230 1.0550 10.9650 1.0970 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 12.4430 0.1360 12.4850 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 11.6830 0.7430 11.7250 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.8990 0.2520 12.9410 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 10.4670 0.4340 10.5090 0.4760 ; + RECT 9.1750 0.6170 9.2170 0.6590 ; + RECT 10.8470 0.6640 10.8890 0.7060 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 14.1910 0.6170 14.2330 0.6590 ; + RECT 5.1470 1.2960 5.1890 1.3380 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 9.6310 1.4240 9.6730 1.4660 ; + RECT 9.9350 0.7610 9.9770 0.8030 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 10.0870 0.9710 10.1290 1.0130 ; + RECT 9.5550 0.9710 9.5970 1.0130 ; + RECT 6.4390 0.8580 6.4810 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.5270 1.4280 5.5690 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.9230 1.2390 10.9650 1.2810 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 10.3150 1.1830 10.3570 1.2250 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 10.4670 1.2910 10.5090 1.3330 ; + RECT 6.6670 1.1240 6.7090 1.1660 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 9.4030 1.2080 9.4450 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 9.7830 1.4240 9.8250 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + RECT 12.0630 1.5240 12.1050 1.5660 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 12.6710 1.0610 12.7130 1.1030 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0920 4.3530 0.1340 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.9870 0.7300 12.0290 0.7720 ; + RECT 10.1630 1.2910 10.2050 1.3330 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 10.9230 1.0550 10.9650 1.0970 ; + RECT 13.1270 1.0610 13.1690 1.1030 ; + RECT 10.9230 1.1470 10.9650 1.1890 ; + RECT 9.3270 1.5240 9.3690 1.5660 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 10.9230 1.1470 10.9650 1.1890 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 9.1750 1.5240 9.2170 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 5.4510 0.4020 5.4930 0.4440 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 9.7070 0.5010 9.7490 0.5430 ; + RECT 5.1470 0.5020 5.1890 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 10.9230 0.9630 10.9650 1.0050 ; + RECT 8.4910 0.5170 8.5330 0.5590 ; + RECT 14.5710 0.3410 14.6130 0.3830 ; + RECT 9.4030 0.4170 9.4450 0.4590 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 14.2670 1.2470 14.3090 1.2890 ; + RECT 12.3670 1.2030 12.4090 1.2450 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 12.0630 1.0610 12.1050 1.1030 ; + RECT 14.4950 0.6060 14.5370 0.6480 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 9.6310 0.7710 9.6730 0.8130 ; + RECT 9.7070 1.1990 9.7490 1.2410 ; + RECT 4.0070 0.6170 4.0490 0.6590 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 9.7070 1.2910 9.7490 1.3330 ; + RECT 11.3030 0.8050 11.3450 0.8470 ; + RECT 14.2670 1.2470 14.3090 1.2890 ; + RECT 5.6790 0.9710 5.7210 1.0130 ; + RECT 4.9950 0.3240 5.0370 0.3660 ; + RECT 14.7990 0.0970 14.8410 0.1390 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 9.4030 1.1160 9.4450 1.1580 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 9.8590 0.3340 9.9010 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + LAYER PO ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.7280 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + RECT 10.0930 0.9390 10.1230 1.6060 ; + RECT 9.6370 0.0660 9.6670 0.6470 ; + RECT 4.3170 0.0660 4.3470 0.6840 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 14.9570 0.0690 14.9870 1.6060 ; + RECT 15.1090 0.0690 15.1390 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 15.4130 0.0690 15.4430 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 14.8050 0.0690 14.8350 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.6910 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 8.5730 0.8920 8.6030 1.6060 ; + RECT 9.7890 0.7710 9.8190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 8.7250 0.8920 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 8.8770 0.8920 8.9070 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.7890 0.0660 9.8190 0.6470 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6840 ; + RECT 15.5650 0.0690 15.5950 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.6850 0.9390 5.7150 1.6060 ; + RECT 9.6370 0.7540 9.6670 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 14.6530 0.0710 14.6830 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.7170 0.0710 15.7470 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6950 ; + RECT 5.5330 0.0660 5.5630 0.6950 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.2610 0.0690 15.2910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6910 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 11.1570 0.0670 11.1870 1.6050 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 9.1810 0.8390 9.2110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + LAYER M1 ; + RECT 9.7040 1.1790 10.5650 1.2290 ; + RECT 10.1990 0.4800 10.2490 0.7570 ; + RECT 10.1990 0.7570 10.5650 0.8070 ; + RECT 10.5150 0.8070 10.5650 1.1790 ; + RECT 9.7030 0.4800 9.7530 0.5630 ; + RECT 9.7040 1.2290 9.7540 1.3530 ; + RECT 12.1190 0.2480 12.9610 0.2980 ; + RECT 13.0070 0.6270 13.0970 0.6770 ; + RECT 13.0070 0.4270 13.0970 0.4620 ; + RECT 12.8030 0.4770 13.0570 0.5120 ; + RECT 12.8030 0.4620 13.0970 0.4770 ; + RECT 13.0470 0.1260 13.0970 0.4270 ; + RECT 13.0470 0.6770 13.0970 0.7680 ; + RECT 13.0070 0.5120 13.0570 0.6270 ; + RECT 12.4230 0.1320 12.6570 0.1820 ; + RECT 11.6790 1.0570 12.1280 1.1070 ; + RECT 11.6390 0.4270 11.7290 0.4770 ; + RECT 11.6390 0.6270 11.7290 0.6770 ; + RECT 11.6790 0.1260 11.7290 0.4270 ; + RECT 11.6390 0.4770 11.6890 0.6270 ; + RECT 11.6790 0.6770 11.7290 1.0570 ; + RECT 15.2510 0.5870 15.4690 0.6370 ; + RECT 14.5670 0.4010 14.6570 0.4510 ; + RECT 14.5670 0.7780 14.6570 0.8280 ; + RECT 14.5670 0.2250 14.6170 0.4010 ; + RECT 14.6070 0.4510 14.6570 0.5200 ; + RECT 14.5670 0.8280 14.6170 1.3090 ; + RECT 14.6070 0.5700 14.6570 0.7780 ; + RECT 14.6070 0.5200 15.3010 0.5700 ; + RECT 15.2510 0.6370 15.3010 0.6530 ; + RECT 15.2510 0.5700 15.3010 0.5870 ; + RECT 14.3020 0.6020 14.5570 0.6520 ; + RECT 14.2630 0.4010 14.3520 0.4510 ; + RECT 14.2630 0.7780 14.3520 0.8280 ; + RECT 14.2630 0.1570 14.3130 0.4010 ; + RECT 14.3020 0.4510 14.3520 0.6020 ; + RECT 14.2630 0.8280 14.3130 1.3090 ; + RECT 14.3020 0.6520 14.3520 0.7780 ; + RECT 14.4750 0.0930 14.8610 0.1430 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 5.2790 0.4980 5.3610 0.5480 ; + RECT 4.8390 0.6080 5.3450 0.6570 ; + RECT 4.8230 0.5580 4.9060 0.6070 ; + RECT 4.8230 0.6070 5.3450 0.6080 ; + RECT 5.2950 0.5480 5.3450 0.6070 ; + RECT 4.7420 0.7380 6.2580 0.7880 ; + RECT 5.8870 1.1200 7.3570 1.1700 ; + RECT 6.2070 0.5050 7.3570 0.5550 ; + RECT 7.3070 0.6580 7.5720 0.7080 ; + RECT 7.3070 0.7080 7.3570 1.1200 ; + RECT 6.2070 0.5550 6.2570 0.7380 ; + RECT 7.3070 0.5550 7.3570 0.6580 ; + RECT 5.4470 1.0680 5.8170 1.1180 ; + RECT 4.6710 1.2920 5.5130 1.3420 ; + RECT 5.1430 1.0920 5.1930 1.2920 ; + RECT 4.9910 1.0920 5.0410 1.2920 ; + RECT 4.6870 1.0920 4.7370 1.2920 ; + RECT 5.4470 1.1180 5.4970 1.2920 ; + RECT 5.5070 0.6290 5.8930 0.6790 ; + RECT 5.5070 0.9680 7.1010 1.0180 ; + RECT 7.0510 0.6850 7.2450 0.7350 ; + RECT 7.1950 0.7350 7.2450 0.7510 ; + RECT 7.1950 0.6690 7.2450 0.6850 ; + RECT 7.0510 0.7350 7.1010 0.9680 ; + RECT 7.6880 0.6240 8.0210 0.6740 ; + RECT 7.4230 1.1080 7.4730 1.1240 ; + RECT 7.4230 1.0420 7.4730 1.0580 ; + RECT 7.6880 0.6740 7.7380 1.0580 ; + RECT 7.4230 1.0580 7.7380 1.1080 ; + RECT 7.6880 0.5600 7.7380 0.6240 ; + RECT 7.4070 0.5100 7.7380 0.5600 ; + RECT 10.0140 0.9670 10.1490 1.0170 ; + RECT 9.9150 0.7570 10.0640 0.8070 ; + RECT 10.0140 0.8070 10.0640 0.9670 ; + RECT 9.0020 0.6130 9.2370 0.6630 ; + RECT 9.5510 0.7670 9.6930 0.8170 ; + RECT 9.5510 0.6570 10.1490 0.7070 ; + RECT 9.5510 0.7070 9.6010 0.7670 ; + RECT 8.3350 0.9200 9.0690 0.9700 ; + RECT 9.0190 0.8310 9.0690 0.9200 ; + RECT 8.3350 0.9700 8.3850 1.0340 ; + RECT 8.3350 0.5050 8.3850 0.9200 ; + RECT 12.1190 0.8200 12.6670 0.8700 ; + RECT 12.4230 0.7090 12.9610 0.7590 ; + RECT 12.6510 1.0570 13.1890 1.1070 ; + RECT 14.7790 0.6600 15.0130 0.7100 ; + RECT 4.5950 1.4240 5.5890 1.4740 ; + RECT 4.1390 1.5240 5.8930 1.5740 ; + RECT 8.2430 1.5200 9.2370 1.5700 ; + RECT 10.1360 1.2870 10.5290 1.3370 ; + RECT 4.3830 0.8540 6.9570 0.9040 ; + RECT 4.3830 0.5630 4.7370 0.6130 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 5.2950 0.9040 5.3450 1.2420 ; + RECT 4.3830 0.6130 4.4330 0.8540 ; + RECT 4.3830 0.4130 4.4330 0.5630 ; + RECT 4.6860 0.4580 5.0050 0.4980 ; + RECT 4.9550 0.5080 5.2090 0.5480 ; + RECT 4.6860 0.4980 5.2090 0.5080 ; + RECT 4.6870 0.4070 4.7370 0.4580 ; + RECT 4.6870 0.5080 4.7370 0.5630 ; + RECT 9.3050 1.5200 12.1250 1.5700 ; + RECT 3.3190 0.6130 4.0690 0.6630 ; + RECT 3.3190 0.6630 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6130 ; + RECT 3.6230 0.6630 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6130 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 8.6230 0.4130 9.6010 0.4630 ; + RECT 9.3990 0.9670 9.9220 1.0170 ; + RECT 9.5510 0.4630 9.6010 0.5630 ; + RECT 9.5510 0.3800 9.6010 0.4130 ; + RECT 9.5510 0.3300 9.9270 0.3800 ; + RECT 9.5510 0.3270 9.6010 0.3300 ; + RECT 8.6230 1.1200 9.4490 1.1700 ; + RECT 9.3990 0.3840 9.4490 0.4130 ; + RECT 9.3990 0.4630 9.4490 0.9670 ; + RECT 9.3990 1.1700 9.4490 1.2700 ; + RECT 9.3990 1.0170 9.4490 1.1200 ; + RECT 7.1790 1.4200 9.8450 1.4700 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 11.7390 0.5270 12.3370 0.5770 ; + RECT 11.9830 0.5770 12.0330 0.8870 ; + RECT 11.9830 0.1260 12.0330 0.5270 ; + RECT 12.2870 0.5770 12.3370 0.7700 ; + RECT 12.2870 0.3480 12.3370 0.5270 ; + RECT 5.8110 0.0880 9.9970 0.1380 ; + RECT 9.2870 0.5630 9.3370 1.0200 ; + RECT 8.4710 0.5130 9.3360 0.5440 ; + RECT 8.4710 0.5440 9.3370 0.5630 ; + RECT 8.4870 1.0200 9.3370 1.0700 ; + RECT 7.8560 0.5100 8.1530 0.5600 ; + RECT 7.8560 0.9410 8.1530 0.9910 ; + RECT 8.1030 0.5600 8.1530 0.9410 ; + RECT 8.1030 0.9910 8.1530 1.1200 ; + RECT 8.1030 1.1200 8.5370 1.1700 ; + RECT 8.4870 1.0700 8.5370 1.1200 ; + RECT 13.7090 0.6320 14.2370 0.6820 ; + RECT 14.1870 0.5970 14.2370 0.6320 ; + RECT 13.7090 0.6820 13.7590 1.1990 ; + RECT 11.2830 1.1990 13.7590 1.2490 ; + RECT 10.6150 0.8010 11.3650 0.8510 ; + RECT 10.9190 0.8510 10.9690 1.3010 ; + RECT 10.3870 0.5300 10.6650 0.5800 ; + RECT 10.3710 0.6570 10.4530 0.7070 ; + RECT 10.3870 0.5800 10.4370 0.6570 ; + RECT 10.6150 0.8510 10.6650 1.3010 ; + RECT 10.6150 0.5800 10.6650 0.8010 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 5.0600 0.3980 5.8170 0.4480 ; + RECT 4.9720 0.3200 5.1100 0.3700 ; + RECT 5.0600 0.3700 5.1100 0.3980 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7630 4.1290 1.0050 ; + RECT 3.7750 0.7130 4.2050 0.7630 ; + RECT 4.1550 0.5630 4.2050 0.7130 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7630 3.8250 1.0050 ; + RECT 6.0310 0.4050 7.0360 0.4550 ; + RECT 9.7030 0.4300 10.8930 0.4800 ; + RECT 10.8430 0.4800 10.8930 0.7260 ; + LAYER NWELL ; + RECT -0.1150 1.5430 15.9230 1.7730 ; + RECT -0.1150 0.6890 11.0500 1.5430 ; + RECT 13.9830 0.6790 15.9230 1.5430 ; + RECT -0.1150 0.6790 4.1800 0.6890 ; + RECT 4.6360 0.6790 9.9530 0.6890 ; + RECT 11.5120 0.4910 13.5230 1.0830 ; + END +END RSDFFSRASRX2_HVT + +MACRO RSDFFSRASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.48 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.6950 0.8920 17.2420 0.9420 ; + RECT 17.1180 0.8570 17.2420 0.8920 ; + RECT 17.1180 0.9420 17.2420 0.9670 ; + RECT 16.6950 0.9420 16.7450 1.5640 ; + RECT 16.6950 0.2130 16.7450 0.4520 ; + RECT 17.1910 0.5020 17.2410 0.8570 ; + RECT 16.6950 0.4520 17.2410 0.5020 ; + END + PORT + LAYER CO ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 0.2430 16.7410 0.2850 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 0.4270 16.7410 0.4690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.2680 1.1610 17.3940 1.2710 ; + RECT 16.9990 0.1160 17.0490 0.2890 ; + RECT 16.9990 0.2890 17.3570 0.3390 ; + RECT 16.9990 1.0420 17.3570 1.0920 ; + RECT 16.9990 1.0920 17.0490 1.5640 ; + RECT 17.3070 1.0920 17.3570 1.1610 ; + RECT 17.3070 0.3390 17.3570 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 0.1510 17.0450 0.1930 ; + RECT 17.0030 0.2430 17.0450 0.2850 ; + RECT 17.0030 0.1510 17.0450 0.1930 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.4800 1.7020 ; + RECT 7.5580 1.2200 11.2890 1.2700 ; + RECT 12.1350 1.4040 14.2010 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.0870 0.9590 16.1370 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.3910 0.9590 16.4410 1.6420 ; + RECT 16.8470 0.9920 16.8970 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.1510 1.1810 17.2010 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.8950 0.9530 12.9450 1.4040 ; + RECT 12.1350 1.2790 12.1850 1.4040 ; + RECT 14.1510 1.4540 14.2010 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 9.5550 1.2240 9.5970 1.2660 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 11.2270 1.2240 11.2690 1.2660 ; + RECT 12.1390 1.3010 12.1810 1.3430 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 12.8990 0.9730 12.9410 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.4800 0.0300 ; + RECT 16.3510 0.2030 16.4570 0.2530 ; + RECT 16.8470 0.2030 16.9430 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.7190 0.0300 14.7690 0.1980 ; + RECT 13.8070 0.0300 13.8570 0.4260 ; + RECT 16.0870 0.0300 16.1370 0.4230 ; + RECT 15.1750 0.0300 15.2250 0.4260 ; + RECT 17.1510 0.0300 17.2010 0.2260 ; + RECT 12.9190 0.0300 12.9690 0.3280 ; + RECT 12.1190 0.3750 12.9440 0.3780 ; + RECT 12.1190 0.3280 12.9690 0.3750 ; + RECT 16.3510 0.0300 16.4010 0.2030 ; + RECT 16.8470 0.2530 16.8970 0.3950 ; + RECT 16.8930 0.0300 16.9430 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 11.2890 0.3550 ; + RECT 7.2910 0.3050 11.2890 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 9.5550 0.3090 9.5970 0.3510 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.8510 0.2410 16.8930 0.2830 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 15.1790 0.2660 15.2210 0.3080 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 11.2270 0.3090 11.2690 0.3510 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 12.8990 0.3320 12.9410 0.3740 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.3390 0.3080 8.3810 0.3500 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 14.7230 0.1360 14.7650 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.1070 1.3200 11.7290 1.3700 ; + RECT 11.6790 1.0790 12.5190 1.1270 ; + RECT 12.3630 0.9510 12.4130 1.0090 ; + RECT 12.3630 1.0090 12.5190 1.0790 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 8.1070 1.3700 8.1570 1.5840 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 11.6790 1.1270 12.4130 1.1290 ; + RECT 11.6790 1.1290 11.7290 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 8.1110 1.5220 8.1530 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 12.3670 0.9710 12.4090 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.2920 0.2490 15.4090 0.3590 ; + RECT 15.0830 0.5270 15.3580 0.5770 ; + RECT 15.3080 0.3590 15.3580 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.1030 0.5310 15.1450 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4330 0.7050 10.5430 0.8150 ; + RECT 11.2990 0.7810 11.3490 0.9330 ; + RECT 11.0110 0.7810 11.0610 0.7860 ; + RECT 11.0110 0.7310 11.3490 0.7810 ; + RECT 11.0110 0.6680 11.0610 0.7310 ; + RECT 10.4930 0.6180 11.0610 0.6680 ; + RECT 10.4930 0.6680 10.5430 0.7050 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.6220 10.5850 0.6640 ; + RECT 10.9990 0.6220 11.0410 0.6640 ; + RECT 10.6950 0.6220 10.7370 0.6640 ; + RECT 10.8470 0.6220 10.8890 0.6640 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.7910 0.9470 15.4090 1.0070 ; + RECT 15.2930 0.6900 15.4090 0.9470 ; + RECT 15.1750 0.6270 15.2250 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.7430 15.2210 0.7850 ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.3310 0.7100 15.3730 0.7520 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 15.3310 0.8620 15.3730 0.9040 ; + RECT 13.8110 0.9510 13.8530 0.9930 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4650 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5400 2.8330 1.5820 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.4790 0.6620 9.5210 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.9350 0.6280 9.9770 0.6700 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0900 7.8490 0.1320 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 9.8590 0.9450 9.9010 0.9870 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.7830 0.6280 9.8250 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.5710 0.8240 14.6130 0.8660 ; + RECT 14.2670 0.3680 14.3090 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.5310 1.0240 11.5730 1.0660 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.4710 0.0970 16.5130 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.0790 0.6640 17.1210 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 14.8750 0.7130 14.9170 0.7550 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 15.0270 0.2690 15.0690 0.3110 ; + RECT 14.2670 0.6770 14.3090 0.7190 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 6.8950 0.0900 6.9370 0.1320 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5400 6.9370 1.5820 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.9110 0.0900 11.9530 0.1320 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.0750 0.4170 11.1170 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 9.4030 0.5140 9.4450 0.5560 ; + RECT 15.0270 0.6800 15.0690 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 11.0750 1.1240 11.1170 1.1660 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 10.9230 1.0240 10.9650 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.5010 11.7250 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 13.7350 0.5310 13.7770 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.1350 0.0900 6.1770 0.1320 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 10.9230 0.5170 10.9650 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.5470 0.2490 16.5890 0.2910 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 10.8470 0.9240 10.8890 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.9630 0.2540 14.0050 0.2960 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 13.9630 0.1620 14.0050 0.2040 ; + RECT 11.6830 0.4090 11.7250 0.4510 ; + RECT 10.3150 0.9240 10.3570 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 1.0620 9.4450 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 14.4190 0.7130 14.4610 0.7550 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 11.7590 0.0900 11.8010 0.1320 ; + RECT 12.0630 0.0900 12.1050 0.1320 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 14.1150 0.8240 14.1570 0.8660 ; + RECT 12.5950 0.4340 12.6370 0.4760 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 12.8230 0.6960 12.8650 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.2430 0.1770 16.2850 0.2190 ; + RECT 16.1670 0.6170 16.2090 0.6590 ; + RECT 16.2430 0.3610 16.2850 0.4030 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 12.0630 0.7620 12.1050 0.8040 ; + RECT 6.7430 1.5400 6.7850 1.5820 ; + RECT 9.1750 1.5220 9.2170 1.5640 ; + RECT 12.2150 0.9710 12.2570 1.0130 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.5910 1.5400 6.6330 1.5820 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 12.4430 1.1830 12.4850 1.2250 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 12.5950 1.2910 12.6370 1.3330 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 11.5310 1.2080 11.5730 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.9110 1.4240 11.9530 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.9630 0.6480 14.0050 0.6900 ; + RECT 13.2790 1.2030 13.3210 1.2450 ; + RECT 13.9630 0.6480 14.0050 0.6900 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 14.0390 1.5240 14.0810 1.5660 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 14.6470 1.0830 14.6890 1.1250 ; + RECT 13.9630 0.8320 14.0050 0.8740 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0900 6.7850 0.1320 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.9630 0.7400 14.0050 0.7820 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.2430 0.2690 16.2850 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 12.7470 0.5580 12.7890 0.6000 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 10.3150 0.5250 10.3570 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.1510 0.8510 11.1930 0.8930 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.5310 1.1160 11.5730 1.1580 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.9870 0.3340 12.0290 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 7.8070 1.5400 7.8490 1.5820 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.6190 0.5170 10.6610 0.5590 ; + RECT 16.5470 0.3410 16.5890 0.3830 ; + RECT 11.5310 0.4170 11.5730 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 14.3430 1.2030 14.3850 1.2450 ; + RECT 14.0390 1.0740 14.0810 1.1160 ; + RECT 16.4710 0.6060 16.5130 0.6480 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 11.7590 0.7710 11.8010 0.8130 ; + RECT 11.8350 1.1990 11.8770 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 13.2790 0.8050 13.3210 0.8470 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.7750 0.0970 16.8170 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.6590 0.7430 13.7010 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.8750 0.2520 14.9170 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 15.1030 1.0830 15.1450 1.1250 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 8.6430 0.5090 8.6850 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.2150 0.6620 12.2570 0.7040 ; + RECT 12.5190 0.6620 12.5610 0.7040 ; + RECT 7.0470 0.0900 7.0890 0.1320 ; + RECT 11.4550 1.5240 11.4970 1.5660 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 13.9630 0.8320 14.0050 0.8740 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.4670 0.4170 10.5090 0.4590 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + LAYER PO ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 16.6290 0.0710 16.6590 1.6060 ; + RECT 16.9330 0.0710 16.9630 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 17.3890 0.0710 17.4190 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 13.1330 0.0670 13.1630 1.6050 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.3090 0.8390 11.3390 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.7280 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 11.3090 0.0660 11.3390 0.6910 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 11.9170 0.7710 11.9470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.8530 0.8920 10.8830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.6450 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7820 6.7790 1.6060 ; + RECT 12.2210 0.9390 12.2510 1.6060 ; + RECT 11.7650 0.0660 11.7950 0.6450 ; + RECT 6.7490 0.0660 6.7790 0.6820 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 6.9010 0.7820 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6820 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.7650 0.7540 11.7950 1.6060 ; + LAYER M1 ; + RECT 10.5990 0.5130 11.4640 0.5440 ; + RECT 10.5990 0.5440 11.4650 0.5630 ; + RECT 10.0790 1.0200 11.4650 1.0700 ; + RECT 9.8320 0.5100 10.1290 0.5600 ; + RECT 9.8320 0.9410 10.1290 0.9910 ; + RECT 10.0790 0.5600 10.1290 0.9410 ; + RECT 10.0790 0.9910 10.1290 1.0200 ; + RECT 13.7150 0.5270 14.3130 0.5770 ; + RECT 13.9590 0.5770 14.0090 0.8970 ; + RECT 13.9590 0.1260 14.0090 0.5270 ; + RECT 14.2630 0.5770 14.3130 0.7700 ; + RECT 14.2630 0.3480 14.3130 0.5270 ; + RECT 13.6550 1.0700 14.1040 1.1200 ; + RECT 13.6150 0.4270 13.7050 0.4770 ; + RECT 13.6150 0.6270 13.7050 0.6770 ; + RECT 13.6550 0.1260 13.7050 0.4270 ; + RECT 13.6150 0.4770 13.6650 0.6270 ; + RECT 13.6550 0.6770 13.7050 1.0700 ; + RECT 14.0950 0.2480 14.9370 0.2980 ; + RECT 14.9830 0.6270 15.0730 0.6770 ; + RECT 14.7790 0.4770 15.0330 0.5120 ; + RECT 14.7790 0.4620 15.0730 0.4770 ; + RECT 15.0230 0.1260 15.0730 0.4270 ; + RECT 14.9830 0.4270 15.0730 0.4620 ; + RECT 15.0230 0.6770 15.0730 0.7680 ; + RECT 14.9830 0.5120 15.0330 0.6270 ; + RECT 14.3990 0.1320 14.6330 0.1820 ; + RECT 16.5830 0.6600 17.1410 0.7100 ; + RECT 16.5430 0.4010 16.6330 0.4510 ; + RECT 16.5430 0.7780 16.6330 0.8280 ; + RECT 16.5430 0.2250 16.5930 0.4010 ; + RECT 16.5430 0.8280 16.5930 1.3090 ; + RECT 16.5830 0.4510 16.6330 0.6600 ; + RECT 16.5830 0.7100 16.6330 0.7780 ; + RECT 16.2780 0.6020 16.5330 0.6520 ; + RECT 16.2390 0.4010 16.3280 0.4510 ; + RECT 16.2390 0.7780 16.3280 0.8280 ; + RECT 16.2390 0.1570 16.2890 0.4010 ; + RECT 16.2390 0.8280 16.2890 1.3090 ; + RECT 16.2780 0.4510 16.3280 0.6020 ; + RECT 16.2780 0.6520 16.3280 0.7780 ; + RECT 16.4510 0.0930 16.8370 0.1430 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 9.0270 0.6850 9.2210 0.7350 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.4830 0.9140 9.0770 0.9150 ; + RECT 7.0430 0.8650 9.0770 0.9140 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 9.1710 0.7350 9.2210 0.7510 ; + RECT 9.1710 0.6690 9.2210 0.6850 ; + RECT 9.0270 0.7350 9.0770 0.8650 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.5710 1.5340 7.8690 1.5840 ; + RECT 11.4330 1.5200 14.1010 1.5700 ; + RECT 9.1710 1.4200 11.9730 1.4700 ; + RECT 9.1710 1.4700 9.2210 1.5840 ; + RECT 11.6790 0.7670 11.8210 0.8170 ; + RECT 11.6790 0.6580 12.2770 0.7080 ; + RECT 11.6790 0.7080 11.7290 0.7670 ; + RECT 12.1420 0.9670 12.2770 1.0170 ; + RECT 12.0430 0.7580 12.1920 0.8080 ; + RECT 12.1420 0.8080 12.1920 0.9670 ; + RECT 11.1300 0.6130 11.3650 0.6630 ; + RECT 12.6970 0.8010 13.3410 0.8510 ; + RECT 12.5150 0.5540 12.8090 0.6040 ; + RECT 12.5150 0.6040 12.5650 0.7330 ; + RECT 12.6970 0.6040 12.7470 0.8010 ; + RECT 12.6970 0.8510 12.7930 0.8520 ; + RECT 12.7430 0.8520 12.7930 1.3010 ; + RECT 10.2190 1.5200 11.3650 1.5700 ; + RECT 12.2640 1.2870 12.6570 1.3370 ; + RECT 15.6850 0.6320 16.2130 0.6820 ; + RECT 16.1630 0.5970 16.2130 0.6320 ; + RECT 15.6850 0.6820 15.7350 1.1990 ; + RECT 13.2590 1.1990 15.7350 1.2490 ; + RECT 14.0950 0.8200 14.6430 0.8700 ; + RECT 14.3990 0.7090 14.9370 0.7590 ; + RECT 14.6270 1.0790 15.1650 1.1290 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.9330 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 7.7870 0.0880 12.1250 0.1380 ; + RECT 6.1140 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 8.0070 0.4050 9.0120 0.4550 ; + RECT 7.1740 0.7380 8.2340 0.7880 ; + RECT 7.8630 1.1200 9.3330 1.1700 ; + RECT 8.1830 0.5050 9.3330 0.5550 ; + RECT 8.1830 0.5550 8.2330 0.7380 ; + RECT 9.2830 0.6580 9.5480 0.7080 ; + RECT 9.2830 0.7080 9.3330 1.1200 ; + RECT 9.2830 0.5550 9.3330 0.6580 ; + RECT 10.4470 0.4130 11.7290 0.4630 ; + RECT 11.5270 0.9670 12.0500 1.0170 ; + RECT 11.6790 0.4630 11.7290 0.5630 ; + RECT 11.6790 0.3800 11.7290 0.4130 ; + RECT 11.6790 0.3300 12.0550 0.3800 ; + RECT 11.6790 0.3270 11.7290 0.3300 ; + RECT 10.4470 1.1200 11.5770 1.1700 ; + RECT 11.5270 0.3840 11.5770 0.4130 ; + RECT 11.5270 0.4630 11.5770 0.9670 ; + RECT 11.5270 1.1700 11.5770 1.2700 ; + RECT 11.5270 1.0170 11.5770 1.1200 ; + RECT 11.8310 0.4300 12.9260 0.4800 ; + RECT 12.8010 0.6920 12.9260 0.7420 ; + RECT 12.8760 0.4800 12.9260 0.6920 ; + RECT 11.8320 1.1790 12.6280 1.2290 ; + RECT 12.3270 0.4800 12.3770 0.8260 ; + RECT 12.3270 0.8260 12.6280 0.8760 ; + RECT 12.5780 0.8760 12.6280 1.1790 ; + RECT 11.8310 0.4800 11.8810 0.5630 ; + RECT 11.8320 1.2290 11.8820 1.3530 ; + RECT 9.6640 0.6240 9.9970 0.6740 ; + RECT 9.3830 0.5100 9.7140 0.5600 ; + RECT 9.3990 1.1080 9.4490 1.1240 ; + RECT 9.3990 1.0420 9.4490 1.0580 ; + RECT 9.3990 1.0580 9.7140 1.1080 ; + RECT 9.6640 0.6740 9.7140 1.0580 ; + RECT 9.6640 0.5600 9.7140 0.6240 ; + RECT 10.2910 0.9200 11.1970 0.9700 ; + RECT 11.1470 0.8310 11.1970 0.9200 ; + RECT 10.3110 0.5050 10.3610 0.9200 ; + RECT 11.4150 0.5630 11.4650 1.0200 ; + LAYER NWELL ; + RECT 2.6580 0.6750 3.7310 0.6790 ; + RECT -0.1150 0.6790 6.6030 0.6870 ; + RECT -0.1150 0.6870 13.0260 1.5430 ; + RECT -0.1150 1.5430 17.5950 1.7730 ; + RECT 7.1210 0.6790 12.0660 0.6870 ; + RECT 15.9590 0.6790 17.5950 1.5430 ; + RECT 13.4880 0.4910 15.4990 1.0830 ; + END +END RSDFFSRASX1_HVT + +MACRO RSDFFSRASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.784 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.6950 0.8920 17.5460 0.9420 ; + RECT 17.4210 0.8570 17.5460 0.8920 ; + RECT 17.4210 0.9420 17.5460 0.9670 ; + RECT 16.6950 0.9420 16.7450 1.5640 ; + RECT 16.9990 0.9420 17.0490 1.5640 ; + RECT 16.6950 0.2130 16.7450 0.4520 ; + RECT 16.9990 0.5020 17.0490 0.6080 ; + RECT 16.9990 0.3300 17.0490 0.4520 ; + RECT 17.4950 0.5020 17.5450 0.8570 ; + RECT 16.6950 0.4520 17.5450 0.5020 ; + END + PORT + LAYER CO ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 0.3600 17.0450 0.4020 ; + RECT 17.0030 0.4520 17.0450 0.4940 ; + RECT 17.0030 0.5440 17.0450 0.5860 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 0.4520 17.0450 0.4940 ; + RECT 16.6990 0.4270 16.7410 0.4690 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 0.2430 16.7410 0.2850 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.5750 1.1610 17.6980 1.2710 ; + RECT 17.3030 0.1160 17.3530 0.2890 ; + RECT 17.3030 0.2890 17.6610 0.3390 ; + RECT 17.3030 1.0420 17.6610 1.0920 ; + RECT 17.3030 1.0920 17.3530 1.5640 ; + RECT 17.6110 1.0920 17.6610 1.1610 ; + RECT 17.6110 0.3390 17.6610 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 0.2430 17.3490 0.2850 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.7840 1.7020 ; + RECT 7.5580 1.2200 11.2890 1.2700 ; + RECT 12.1350 1.4040 14.2010 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.0870 0.9590 16.1370 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.3910 0.9590 16.4410 1.6420 ; + RECT 16.8470 0.9920 16.8970 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.4550 1.1810 17.5050 1.6420 ; + RECT 17.1510 1.1810 17.2010 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.8950 0.9530 12.9450 1.4040 ; + RECT 12.1350 1.2790 12.1850 1.4040 ; + RECT 14.1510 1.4540 14.2010 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 9.5550 1.2240 9.5970 1.2660 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.8990 0.9730 12.9410 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 11.2270 1.2240 11.2690 1.2660 ; + RECT 12.1390 1.3010 12.1810 1.3430 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.7840 0.0300 ; + RECT 16.3510 0.2030 16.4570 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.7190 0.0300 14.7690 0.1980 ; + RECT 15.1750 0.0300 15.2250 0.4260 ; + RECT 13.8070 0.0300 13.8570 0.4260 ; + RECT 16.0870 0.0300 16.1370 0.4230 ; + RECT 17.1510 0.0300 17.2010 0.2260 ; + RECT 17.4550 0.0300 17.5050 0.2260 ; + RECT 12.9190 0.0300 12.9690 0.3280 ; + RECT 12.1190 0.3750 12.9440 0.3780 ; + RECT 12.1190 0.3280 12.9690 0.3750 ; + RECT 16.3510 0.0300 16.4010 0.2030 ; + RECT 16.8470 0.2530 16.8970 0.3950 ; + RECT 17.0390 0.0300 17.0890 0.2030 ; + RECT 16.8470 0.2030 17.0890 0.2530 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 11.2890 0.3550 ; + RECT 7.2910 0.3050 11.2890 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.5550 0.3090 9.5970 0.3510 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 15.1790 0.2660 15.2210 0.3080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.8510 0.2410 16.8930 0.2830 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 12.8990 0.3320 12.9410 0.3740 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 14.7230 0.1360 14.7650 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.3390 0.3080 8.3810 0.3500 ; + RECT 11.2270 0.3090 11.2690 0.3510 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.1070 1.3200 11.7290 1.3700 ; + RECT 11.6790 1.0790 12.5190 1.1270 ; + RECT 12.3630 0.9510 12.4130 1.0090 ; + RECT 12.3630 1.0090 12.5190 1.0790 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 8.1070 1.3700 8.1570 1.5840 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 11.6790 1.1270 12.4130 1.1290 ; + RECT 11.6790 1.1290 11.7290 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 8.1110 1.5220 8.1530 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 12.3670 0.9710 12.4090 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.2920 0.2490 15.4090 0.3590 ; + RECT 15.0830 0.5270 15.3580 0.5770 ; + RECT 15.3080 0.3590 15.3580 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.1030 0.5310 15.1450 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4330 0.7050 10.5430 0.8150 ; + RECT 11.2990 0.7810 11.3490 0.9330 ; + RECT 11.0110 0.6630 11.0610 0.7310 ; + RECT 11.0110 0.7310 11.3490 0.7810 ; + RECT 10.4930 0.6130 11.0610 0.6630 ; + RECT 10.4930 0.6630 10.5430 0.7050 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.6170 10.5850 0.6590 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 10.6950 0.6170 10.7370 0.6590 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.7910 0.9420 15.4090 1.0020 ; + RECT 15.2900 0.6900 15.4090 0.9420 ; + RECT 15.1750 0.6270 15.2250 0.9420 ; + END + PORT + LAYER CO ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.7430 15.2210 0.7850 ; + RECT 13.8110 0.9510 13.8530 0.9930 ; + RECT 15.3310 0.7100 15.3730 0.7520 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.3310 0.8620 15.3730 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 16.7750 0.0970 16.8170 0.1390 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0920 7.8490 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.4790 0.6620 9.5210 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.9350 0.6280 9.9770 0.6700 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.7830 0.6280 9.8250 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 9.8590 0.9450 9.9010 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 10.9230 1.0240 10.9650 1.0660 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.5010 11.7250 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.5710 0.8240 14.6130 0.8660 ; + RECT 14.2670 0.3680 14.3090 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.5310 1.0240 11.5730 1.0660 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.4710 0.0970 16.5130 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.3830 0.6640 17.4250 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 17.2310 0.6640 17.2730 0.7060 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 9.4030 1.0620 9.4450 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 14.4190 0.7130 14.4610 0.7550 ; + RECT 14.8750 0.7130 14.9170 0.7550 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 15.0270 0.2690 15.0690 0.3110 ; + RECT 14.2670 0.6770 14.3090 0.7190 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.9110 0.0920 11.9530 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.0750 0.4170 11.1170 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 9.4030 0.5140 9.4450 0.5560 ; + RECT 15.0270 0.6800 15.0690 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 11.0750 1.1240 11.1170 1.1660 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.9630 0.7300 14.0050 0.7720 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.2430 0.2690 16.2850 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 12.7470 0.5580 12.7890 0.6000 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 10.3150 0.5250 10.3570 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.1510 0.8510 11.1930 0.8930 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 13.7350 0.5310 13.7770 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.1350 0.0920 6.1770 0.1340 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 10.9230 0.5170 10.9650 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.5470 0.2490 16.5890 0.2910 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 10.8470 0.9240 10.8890 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.9630 0.2540 14.0050 0.2960 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 13.9630 0.1620 14.0050 0.2040 ; + RECT 11.6830 0.4090 11.7250 0.4510 ; + RECT 10.3150 0.9240 10.3570 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 14.3430 1.2030 14.3850 1.2450 ; + RECT 14.0390 1.0610 14.0810 1.1030 ; + RECT 16.4710 0.6060 16.5130 0.6480 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 11.7590 0.7710 11.8010 0.8130 ; + RECT 11.8350 1.1990 11.8770 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 13.2790 0.8050 13.3210 0.8470 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.9270 0.0970 16.9690 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 8.6430 0.5090 8.6850 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 12.5190 0.6640 12.5610 0.7060 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 11.7590 0.0920 11.8010 0.1340 ; + RECT 12.0630 0.0920 12.1050 0.1340 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 14.1150 0.8240 14.1570 0.8660 ; + RECT 12.5950 0.4340 12.6370 0.4760 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 12.8230 0.6960 12.8650 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.2430 0.1770 16.2850 0.2190 ; + RECT 16.1670 0.6170 16.2090 0.6590 ; + RECT 16.2430 0.3610 16.2850 0.4030 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 12.0630 0.7650 12.1050 0.8070 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.1750 1.5220 9.2170 1.5640 ; + RECT 12.2150 0.9710 12.2570 1.0130 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.5910 1.5380 6.6330 1.5800 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.9630 0.6380 14.0050 0.6800 ; + RECT 13.2790 1.2030 13.3210 1.2450 ; + RECT 13.9630 0.6380 14.0050 0.6800 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 14.0390 1.5240 14.0810 1.5660 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 14.6470 1.0610 14.6890 1.1030 ; + RECT 13.9630 0.8220 14.0050 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.6590 0.7430 13.7010 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.8750 0.2520 14.9170 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 15.1030 1.0610 15.1450 1.1030 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 11.4550 1.5240 11.4970 1.5660 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 13.9630 0.8220 14.0050 0.8640 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.4670 0.4170 10.5090 0.4590 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 12.4430 1.1830 12.4850 1.2250 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 12.5950 1.2910 12.6370 1.3330 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 11.5310 1.2080 11.5730 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.9110 1.4240 11.9530 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 7.8070 1.5380 7.8490 1.5800 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.6190 0.5170 10.6610 0.5590 ; + RECT 16.5470 0.3410 16.5890 0.3830 ; + RECT 11.5310 0.4170 11.5730 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.5310 1.1160 11.5730 1.1580 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.9870 0.3340 12.0290 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + LAYER PO ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.7650 0.7540 11.7950 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 16.6290 0.0710 16.6590 1.6060 ; + RECT 16.9330 0.0690 16.9630 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 17.6930 0.0710 17.7230 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 13.1330 0.0670 13.1630 1.6050 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.3090 0.8390 11.3390 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.7280 ; + RECT 17.0850 0.0710 17.1150 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 11.3090 0.0660 11.3390 0.6910 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 11.9170 0.7710 11.9470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.8530 0.8920 10.8830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.6470 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 12.2210 0.9390 12.2510 1.6060 ; + RECT 11.7650 0.0660 11.7950 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + LAYER M1 ; + RECT 10.0790 1.0200 11.4650 1.0700 ; + RECT 9.8320 0.5100 10.1290 0.5600 ; + RECT 9.8320 0.9410 10.1290 0.9910 ; + RECT 10.0790 0.5600 10.1290 0.9410 ; + RECT 10.0790 0.9910 10.1290 1.0200 ; + RECT 10.2910 0.9200 11.1970 0.9700 ; + RECT 11.1470 0.8310 11.1970 0.9200 ; + RECT 10.3110 0.5050 10.3610 0.9200 ; + RECT 13.7150 0.5270 14.3130 0.5770 ; + RECT 13.9590 0.5770 14.0090 0.8870 ; + RECT 13.9590 0.1260 14.0090 0.5270 ; + RECT 14.2630 0.5770 14.3130 0.7700 ; + RECT 14.2630 0.3480 14.3130 0.5270 ; + RECT 14.9830 0.6270 15.0730 0.6770 ; + RECT 15.0230 0.1260 15.0730 0.4270 ; + RECT 14.7790 0.4770 15.0330 0.5120 ; + RECT 14.9830 0.4270 15.0730 0.4620 ; + RECT 14.7790 0.4620 15.0730 0.4770 ; + RECT 15.0230 0.6770 15.0730 0.7680 ; + RECT 14.9830 0.5120 15.0330 0.6270 ; + RECT 13.6550 1.0570 14.1040 1.1070 ; + RECT 13.6150 0.4270 13.7050 0.4770 ; + RECT 13.6150 0.6270 13.7050 0.6770 ; + RECT 13.6550 0.1260 13.7050 0.4270 ; + RECT 13.6150 0.4770 13.6650 0.6270 ; + RECT 13.6550 0.6770 13.7050 1.0570 ; + RECT 14.0950 0.2480 14.9370 0.2980 ; + RECT 14.3990 0.1320 14.6330 0.1820 ; + RECT 16.5830 0.6600 17.4450 0.7100 ; + RECT 16.5430 0.4010 16.6330 0.4510 ; + RECT 16.5430 0.7780 16.6330 0.8280 ; + RECT 16.5430 0.2250 16.5930 0.4010 ; + RECT 16.5430 0.8280 16.5930 1.3090 ; + RECT 16.5830 0.4510 16.6330 0.6600 ; + RECT 16.5830 0.7100 16.6330 0.7780 ; + RECT 16.2780 0.6020 16.5330 0.6520 ; + RECT 16.2390 0.4010 16.3280 0.4510 ; + RECT 16.2390 0.7780 16.3280 0.8280 ; + RECT 16.2390 0.1570 16.2890 0.4010 ; + RECT 16.2390 0.8280 16.2890 1.3090 ; + RECT 16.2780 0.4510 16.3280 0.6020 ; + RECT 16.2780 0.6520 16.3280 0.7780 ; + RECT 16.4510 0.0930 16.9890 0.1430 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 9.0270 0.6850 9.2210 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.4830 0.9140 9.0770 0.9150 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.0430 0.8650 9.0770 0.9140 ; + RECT 9.1710 0.7350 9.2210 0.7510 ; + RECT 9.1710 0.6690 9.2210 0.6850 ; + RECT 9.0270 0.7350 9.0770 0.8650 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.5710 1.5340 7.8690 1.5840 ; + RECT 11.4330 1.5200 14.1010 1.5700 ; + RECT 9.1710 1.4200 11.9730 1.4700 ; + RECT 9.1710 1.4700 9.2210 1.5840 ; + RECT 11.1300 0.6130 11.3650 0.6630 ; + RECT 11.7550 0.6600 12.2770 0.7100 ; + RECT 11.7550 0.7100 11.8050 0.8440 ; + RECT 12.1420 0.9670 12.2770 1.0170 ; + RECT 12.0430 0.7610 12.1920 0.8110 ; + RECT 12.1420 0.8110 12.1920 0.9670 ; + RECT 12.6970 0.8010 13.3410 0.8510 ; + RECT 12.5150 0.5540 12.8090 0.6040 ; + RECT 12.5150 0.6040 12.5650 0.7350 ; + RECT 12.7430 0.8520 12.7930 1.3010 ; + RECT 12.6970 0.8510 12.7930 0.8520 ; + RECT 12.6970 0.6040 12.7470 0.8010 ; + RECT 12.2640 1.2870 12.6570 1.3370 ; + RECT 10.2190 1.5200 11.3650 1.5700 ; + RECT 15.6850 0.6320 16.2130 0.6820 ; + RECT 16.1630 0.5970 16.2130 0.6320 ; + RECT 15.6850 0.6820 15.7350 1.1990 ; + RECT 13.2590 1.1990 15.7350 1.2490 ; + RECT 14.0950 0.8200 14.6430 0.8700 ; + RECT 14.3990 0.7090 14.9370 0.7590 ; + RECT 14.6270 1.0570 15.1650 1.1070 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.9330 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 7.7870 0.0880 12.1250 0.1380 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 6.1140 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 8.0070 0.4050 9.0120 0.4550 ; + RECT 7.1740 0.7380 8.2340 0.7880 ; + RECT 7.8630 1.1200 9.3330 1.1700 ; + RECT 8.1830 0.5050 9.3330 0.5550 ; + RECT 8.1830 0.5550 8.2330 0.7380 ; + RECT 9.2830 0.6580 9.5480 0.7080 ; + RECT 9.2830 0.7080 9.3330 1.1200 ; + RECT 9.2830 0.5550 9.3330 0.6580 ; + RECT 10.4470 0.4130 11.7290 0.4630 ; + RECT 11.5270 0.9670 12.0500 1.0170 ; + RECT 11.6790 0.4630 11.7290 0.5630 ; + RECT 11.6790 0.3800 11.7290 0.4130 ; + RECT 11.6790 0.3300 12.0550 0.3800 ; + RECT 11.6790 0.3270 11.7290 0.3300 ; + RECT 10.4470 1.1200 11.5770 1.1700 ; + RECT 11.5270 0.3840 11.5770 0.4130 ; + RECT 11.5270 0.4630 11.5770 0.9670 ; + RECT 11.5270 1.1700 11.5770 1.2700 ; + RECT 11.5270 1.0170 11.5770 1.1200 ; + RECT 11.8310 0.4300 12.9260 0.4800 ; + RECT 12.8010 0.6920 12.9260 0.7420 ; + RECT 12.8760 0.4800 12.9260 0.6920 ; + RECT 11.8320 1.1790 12.6280 1.2290 ; + RECT 12.3270 0.4800 12.3770 0.8260 ; + RECT 12.3270 0.8260 12.6280 0.8760 ; + RECT 12.5780 0.8760 12.6280 1.1790 ; + RECT 11.8310 0.4800 11.8810 0.5630 ; + RECT 11.8320 1.2290 11.8820 1.3530 ; + RECT 9.6640 0.6240 9.9970 0.6740 ; + RECT 9.3830 0.5100 9.7140 0.5600 ; + RECT 9.6640 0.5600 9.7140 0.6240 ; + RECT 9.3990 1.1080 9.4490 1.1240 ; + RECT 9.3990 1.0420 9.4490 1.0580 ; + RECT 9.6640 0.6740 9.7140 1.0580 ; + RECT 9.3990 1.0580 9.7140 1.1080 ; + RECT 11.4150 0.5630 11.4650 1.0200 ; + RECT 10.5990 0.5130 11.4640 0.5440 ; + RECT 10.5990 0.5440 11.4650 0.5630 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.8990 1.7730 ; + RECT -0.1150 0.6890 13.0260 1.5430 ; + RECT 15.9590 0.6790 17.8990 1.5430 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 12.0840 0.6890 ; + RECT 12.6920 0.6790 13.0260 0.6890 ; + RECT 2.6600 0.6690 3.7240 0.6790 ; + RECT 13.4880 0.4910 15.4990 1.0830 ; + END +END RSDFFSRASX2_HVT + +MACRO RSDFFSRSSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.896 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9410 0.8280 3.0950 0.9670 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.8480 2.9850 0.8900 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.8960 1.7020 ; + RECT 0.2790 1.2960 0.8370 1.3460 ; + RECT 2.0630 1.3160 2.1690 1.3660 ; + RECT 5.5820 1.2200 8.0970 1.2700 ; + RECT 9.5510 1.4040 12.9850 1.4540 ; + RECT 3.0150 1.3020 3.0650 1.6420 ; + RECT 3.7750 1.1310 3.8250 1.6420 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 2.0630 1.3660 2.1130 1.6420 ; + RECT 4.3830 1.3880 4.4330 1.6420 ; + RECT 4.2110 1.3380 4.4330 1.3880 ; + RECT 6.2470 1.2700 6.2970 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.4040 ; + RECT 10.1590 0.9530 10.2090 1.4040 ; + RECT 10.4630 0.9530 10.5130 1.4040 ; + RECT 9.5510 1.2790 9.6010 1.4040 ; + RECT 12.9350 1.4540 12.9850 1.6420 ; + RECT 10.9190 0.8590 10.9690 1.4040 ; + END + PORT + LAYER CO ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 10.4670 1.2490 10.5090 1.2910 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 10.4670 1.1570 10.5090 1.1990 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.4670 1.2490 10.5090 1.2910 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.4670 1.1570 10.5090 1.1990 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 10.4670 1.0650 10.5090 1.1070 ; + RECT 10.5430 1.4080 10.5850 1.4500 ; + RECT 10.4670 1.0650 10.5090 1.1070 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 3.0190 1.3280 3.0610 1.3700 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 4.3870 1.3650 4.4290 1.4070 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 10.1630 1.1570 10.2050 1.1990 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 10.1630 1.0650 10.2050 1.1070 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 10.1630 1.1570 10.2050 1.1990 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 10.4670 0.9730 10.5090 1.0150 ; + RECT 10.1630 1.2490 10.2050 1.2910 ; + RECT 10.1630 1.0650 10.2050 1.1070 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 4.2350 1.3420 4.2770 1.3840 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.5550 1.3010 9.5970 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 10.1630 1.2490 10.2050 1.2910 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 3.7790 1.1620 3.8210 1.2040 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 10.1630 0.9730 10.2050 1.0150 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 10.0870 1.4080 10.1290 1.4500 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.6790 0.9690 12.2130 1.0190 ; + RECT 11.6630 0.1710 12.2130 0.2210 ; + RECT 12.1630 0.2210 12.2130 0.9690 ; + RECT 11.6790 1.1290 11.7290 1.3270 ; + RECT 11.6790 1.0190 11.9110 1.1290 ; + END + PORT + LAYER CO ; + RECT 11.6830 1.2650 11.7250 1.3070 ; + RECT 11.6830 1.2650 11.7250 1.3070 ; + RECT 11.6830 0.1750 11.7250 0.2170 ; + RECT 11.6830 1.0810 11.7250 1.1230 ; + RECT 11.6830 1.1730 11.7250 1.2150 ; + RECT 11.6830 0.9890 11.7250 1.0310 ; + RECT 11.6830 1.0810 11.7250 1.1230 ; + RECT 11.6830 0.9890 11.7250 1.0310 ; + RECT 11.6830 1.1730 11.7250 1.2150 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9530 0.3410 12.0750 0.5050 ; + RECT 11.3750 0.2910 12.0750 0.3410 ; + RECT 11.3750 0.8590 12.0750 0.9090 ; + RECT 11.3750 0.1570 11.4250 0.2910 ; + RECT 11.3750 0.9090 11.4250 1.3090 ; + RECT 12.0250 0.5050 12.0750 0.8590 ; + END + PORT + LAYER CO ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 11.3790 0.2690 11.4210 0.3110 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.3790 0.1770 11.4210 0.2190 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.1390 1.4650 4.3110 1.5750 ; + END + PORT + LAYER CO ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + END + ANTENNAGATEAREA 0.0222 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.8960 0.0300 ; + RECT 0.2790 0.3550 0.6180 0.4050 ; + RECT 3.4350 0.1950 3.8610 0.2450 ; + RECT 0.5680 0.2300 1.9020 0.2800 ; + RECT 4.5480 0.2440 4.5980 0.2950 ; + RECT 5.1770 0.2440 5.2270 0.2950 ; + RECT 3.0150 0.0300 3.0650 0.3740 ; + RECT 2.1030 0.0300 2.1530 0.3590 ; + RECT 11.5270 0.0300 11.5770 0.2410 ; + RECT 10.6800 0.0300 10.7300 0.3000 ; + RECT 13.9590 0.0300 14.0090 0.4260 ; + RECT 13.5030 0.0300 13.5530 0.1980 ; + RECT 12.5910 0.0300 12.6410 0.4260 ; + RECT 0.5680 0.2800 0.6180 0.3550 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 3.7750 0.0300 3.8250 0.1950 ; + RECT 4.2310 0.3450 4.2810 0.5570 ; + RECT 4.1550 0.2950 4.5980 0.3450 ; + RECT 4.3830 0.3450 4.4330 0.5570 ; + RECT 10.9190 0.3500 10.9690 0.3610 ; + RECT 10.9190 0.1870 10.9690 0.3000 ; + RECT 9.5350 0.3000 10.9690 0.3500 ; + RECT 4.5480 0.1940 5.2270 0.2440 ; + RECT 5.1770 0.2950 6.2730 0.3450 ; + RECT 5.5990 0.3450 5.6490 0.5570 ; + RECT 6.2060 0.1930 8.0970 0.2430 ; + RECT 6.6630 0.2430 6.7130 0.3710 ; + RECT 4.1550 0.0300 4.2050 0.2950 ; + RECT 6.2060 0.2430 6.2560 0.2950 ; + END + PORT + LAYER CO ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 2.1070 0.2970 2.1490 0.3390 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.4750 0.1990 3.5170 0.2410 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 10.9230 0.2070 10.9650 0.2490 ; + RECT 12.5950 0.3460 12.6370 0.3880 ; + RECT 13.9630 0.3580 14.0050 0.4000 ; + RECT 13.5070 0.1360 13.5490 0.1780 ; + RECT 13.9630 0.3580 14.0050 0.4000 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 12.5950 0.2540 12.6370 0.2960 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 6.5150 0.1970 6.5570 0.2390 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 4.3870 0.4950 4.4290 0.5370 ; + RECT 5.6030 0.4030 5.6450 0.4450 ; + RECT 10.3150 0.3040 10.3570 0.3460 ; + RECT 9.5550 0.3040 9.5970 0.3460 ; + RECT 3.0190 0.3050 3.0610 0.3470 ; + RECT 1.8030 0.2330 1.8450 0.2750 ; + RECT 4.3870 0.4030 4.4290 0.4450 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 12.5950 0.1620 12.6370 0.2040 ; + RECT 6.2110 0.2990 6.2530 0.3410 ; + RECT 8.0350 0.1970 8.0770 0.2390 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.2350 0.4950 4.2770 0.5370 ; + RECT 4.2350 0.4030 4.2770 0.4450 ; + RECT 13.9630 0.1740 14.0050 0.2160 ; + RECT 13.9630 0.2660 14.0050 0.3080 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 11.5310 0.1720 11.5730 0.2140 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 5.6030 0.4950 5.6450 0.5370 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 13.9630 0.1740 14.0050 0.2160 ; + RECT 5.9070 0.2990 5.9490 0.3410 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 3.7790 0.1990 3.8210 0.2410 ; + RECT 12.5950 0.3460 12.6370 0.3880 ; + RECT 10.9230 0.2990 10.9650 0.3410 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0980 0.7240 1.6800 0.7740 ; + RECT 1.1030 0.7740 1.2750 0.8350 ; + RECT 1.1030 0.7050 1.2750 0.7240 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.7280 1.4650 0.7700 ; + RECT 1.5750 0.7270 1.6170 0.7690 ; + RECT 1.1190 0.7280 1.1610 0.7700 ; + RECT 1.2710 0.7270 1.3130 0.7690 ; + END + ANTENNAGATEAREA 0.078 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.0790 0.2490 14.1930 0.3590 ; + RECT 13.8670 0.5080 14.1420 0.5580 ; + RECT 14.0920 0.3590 14.1420 0.5080 ; + END + PORT + LAYER CO ; + RECT 13.8870 0.5120 13.9290 0.5540 ; + END + ANTENNAGATEAREA 0.021 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.0430 0.7220 8.1570 0.7720 ; + RECT 7.6070 0.7720 7.8150 0.8150 ; + RECT 7.6070 0.7050 7.8150 0.7220 ; + RECT 7.0430 0.6040 7.8690 0.6540 ; + RECT 8.1070 0.7720 8.1570 0.9330 ; + RECT 7.0430 0.6540 7.0930 0.7220 ; + END + PORT + LAYER CO ; + RECT 7.5030 0.6080 7.5450 0.6500 ; + RECT 7.8070 0.6080 7.8490 0.6500 ; + RECT 7.6550 0.6080 7.6970 0.6500 ; + RECT 7.3510 0.6080 7.3930 0.6500 ; + RECT 7.1990 0.6080 7.2410 0.6500 ; + RECT 7.0470 0.6270 7.0890 0.6690 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + END + ANTENNAGATEAREA 0.084 ; + END NRESTORE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0990 1.9790 0.1490 ; + RECT 0.4010 0.1490 0.5110 0.2410 ; + RECT 0.4010 0.0970 0.5110 0.0990 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1030 0.8570 0.1450 ; + RECT 1.7270 0.1030 1.7690 0.1450 ; + RECT 0.5110 0.1030 0.5530 0.1450 ; + RECT 0.9670 0.1030 1.0090 0.1450 ; + RECT 1.8790 0.1030 1.9210 0.1450 ; + END + ANTENNAGATEAREA 0.063 ; + END RSTB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1630 1.4160 2.5490 1.4660 ; + RECT 2.2250 1.3130 2.3350 1.4160 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.4200 2.2250 1.4620 ; + RECT 2.4870 1.4200 2.5290 1.4620 ; + RECT 2.3350 1.4200 2.3770 1.4620 ; + END + ANTENNAGATEAREA 0.021 ; + END SE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 12.5750 0.9420 14.1930 1.0020 ; + RECT 14.0780 0.6900 14.1930 0.9420 ; + RECT 13.9590 0.6270 14.0090 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.5950 0.9510 12.6370 0.9930 ; + RECT 13.9630 0.6510 14.0050 0.6930 ; + RECT 13.9630 0.6510 14.0050 0.6930 ; + RECT 13.9630 0.8350 14.0050 0.8770 ; + RECT 13.9630 0.8350 14.0050 0.8770 ; + RECT 14.1150 0.7100 14.1570 0.7520 ; + RECT 13.9630 0.8350 14.0050 0.8770 ; + RECT 13.9630 0.9270 14.0050 0.9690 ; + RECT 13.9630 0.7430 14.0050 0.7850 ; + RECT 14.1150 0.8620 14.1570 0.9040 ; + RECT 13.9630 0.9270 14.0050 0.9690 ; + RECT 13.5070 0.9510 13.5490 0.9930 ; + END + END VDDG + OBS + LAYER CO ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 3.5510 0.6280 3.5930 0.6700 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 8.7190 0.6250 8.7610 0.6670 ; + RECT 2.5630 0.3690 2.6050 0.4110 ; + RECT 2.7910 0.1050 2.8330 0.1470 ; + RECT 3.9310 1.0480 3.9730 1.0900 ; + RECT 10.4670 0.2050 10.5090 0.2470 ; + RECT 2.7150 0.3690 2.7570 0.4110 ; + RECT 6.2870 0.8580 6.3290 0.9000 ; + RECT 10.6190 0.5280 10.6610 0.5700 ; + RECT 4.9950 0.2990 5.0370 0.3410 ; + RECT 4.8430 1.0080 4.8850 1.0500 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 12.4430 0.3460 12.4850 0.3880 ; + RECT 3.9310 0.3820 3.9730 0.4240 ; + RECT 0.5110 1.4200 0.5530 1.4620 ; + RECT 7.5790 0.4970 7.6210 0.5390 ; + RECT 10.2390 0.6360 10.2810 0.6780 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 3.7030 0.6280 3.7450 0.6700 ; + RECT 3.6270 1.2840 3.6690 1.3260 ; + RECT 2.5630 1.0530 2.6050 1.0950 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 2.5630 0.9610 2.6050 1.0030 ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 2.6390 0.2080 2.6810 0.2500 ; + RECT 11.0750 0.9620 11.1170 1.0040 ; + RECT 8.5670 0.6250 8.6090 0.6670 ; + RECT 2.4110 0.3690 2.4530 0.4110 ; + RECT 7.2750 0.4970 7.3170 0.5390 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 5.7550 1.1240 5.7970 1.1660 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 3.6270 1.1920 3.6690 1.2340 ; + RECT 2.2590 0.3930 2.3010 0.4350 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 6.1350 0.8580 6.1770 0.9000 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 10.6190 0.9630 10.6610 1.0050 ; + RECT 2.4110 1.0520 2.4530 1.0940 ; + RECT 2.4110 1.1440 2.4530 1.1860 ; + RECT 7.8830 0.4970 7.9250 0.5390 ; + RECT 2.4110 1.0520 2.4530 1.0940 ; + RECT 10.3150 1.2390 10.3570 1.2810 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 2.8670 0.3690 2.9090 0.4110 ; + RECT 2.5630 1.1450 2.6050 1.1870 ; + RECT 2.4110 0.4610 2.4530 0.5030 ; + RECT 2.5630 0.9610 2.6050 1.0030 ; + RECT 2.4110 0.4610 2.4530 0.5030 ; + RECT 2.5630 1.1450 2.6050 1.1870 ; + RECT 2.5630 1.0530 2.6050 1.0950 ; + RECT 8.7190 0.8310 8.7610 0.8730 ; + RECT 3.6270 0.3820 3.6690 0.4240 ; + RECT 9.3270 0.6250 9.3690 0.6670 ; + RECT 2.7910 1.4200 2.8330 1.4620 ; + RECT 2.6390 1.4200 2.6810 1.4620 ; + RECT 2.3350 0.2080 2.3770 0.2500 ; + RECT 2.2590 0.4850 2.3010 0.5270 ; + RECT 3.3990 0.6280 3.4410 0.6700 ; + RECT 8.7950 1.2840 8.8370 1.3260 ; + RECT 2.7150 1.0120 2.7570 1.0540 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 2.4870 0.1050 2.5290 0.1470 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 1.3470 0.4280 1.3890 0.4700 ; + RECT 6.9710 1.0640 7.0130 1.1060 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 7.1230 0.3970 7.1650 0.4390 ; + RECT 13.8110 0.3610 13.8530 0.4030 ; + RECT 12.7470 0.1630 12.7890 0.2050 ; + RECT 12.8990 0.2520 12.9410 0.2940 ; + RECT 12.7470 0.3470 12.7890 0.3890 ; + RECT 2.8670 1.0280 2.9090 1.0700 ; + RECT 11.9110 1.2030 11.9530 1.2450 ; + RECT 12.7470 0.3470 12.7890 0.3890 ; + RECT 12.7470 0.2550 12.7890 0.2970 ; + RECT 12.8230 1.5240 12.8650 1.5660 ; + RECT 11.1510 0.6440 11.1930 0.6860 ; + RECT 13.4310 1.0810 13.4730 1.1230 ; + RECT 2.2590 0.9400 2.3010 0.9820 ; + RECT 7.4270 0.3970 7.4690 0.4390 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 11.1510 0.0970 11.1930 0.1390 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 3.6270 1.1000 3.6690 1.1420 ; + RECT 3.6270 1.0080 3.6690 1.0500 ; + RECT 2.2590 0.9400 2.3010 0.9820 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 11.0750 1.2380 11.1170 1.2800 ; + RECT 0.8150 1.5200 0.8570 1.5620 ; + RECT 9.2510 0.4040 9.2930 0.4460 ; + RECT 9.9350 0.6320 9.9770 0.6740 ; + RECT 7.2750 1.0240 7.3170 1.0660 ; + RECT 11.0750 1.0540 11.1170 1.0960 ; + RECT 4.9190 0.0970 4.9610 0.1390 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.0750 1.1460 11.1170 1.1880 ; + RECT 4.9190 1.5280 4.9610 1.5700 ; + RECT 9.0230 0.8310 9.0650 0.8730 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 11.0750 0.2070 11.1170 0.2490 ; + RECT 9.4030 0.3040 9.4450 0.3460 ; + RECT 8.9470 0.4040 8.9890 0.4460 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 9.6310 0.9710 9.6730 1.0130 ; + RECT 8.4910 1.2840 8.5330 1.3260 ; + RECT 8.7190 0.8310 8.7610 0.8730 ; + RECT 6.4390 0.8580 6.4810 0.9000 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 4.5390 0.9500 4.5810 0.9920 ; + RECT 5.5270 1.5260 5.5690 1.5680 ; + RECT 4.6150 1.5280 4.6570 1.5700 ; + RECT 12.5190 0.5310 12.5610 0.5730 ; + RECT 10.0870 0.0980 10.1290 0.1400 ; + RECT 10.3150 1.2390 10.3570 1.2810 ; + RECT 5.2990 1.0630 5.3410 1.1050 ; + RECT 13.8110 0.1770 13.8530 0.2190 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 9.8590 1.1830 9.9010 1.2250 ; + RECT 4.9950 1.2780 5.0370 1.3200 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.6630 1.5200 0.7050 1.5620 ; + RECT 11.0750 0.9620 11.1170 1.0040 ; + RECT 9.0230 0.6250 9.0650 0.6670 ; + RECT 4.6910 0.4160 4.7330 0.4580 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 4.8430 0.4330 4.8850 0.4750 ; + RECT 4.0830 0.4330 4.1250 0.4750 ; + RECT 4.7670 0.0970 4.8090 0.1390 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 4.5390 0.5170 4.5810 0.5590 ; + RECT 13.8110 0.3610 13.8530 0.4030 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 10.3910 0.6360 10.4330 0.6780 ; + RECT 9.1750 0.6250 9.2170 0.6670 ; + RECT 0.6630 0.7240 0.7050 0.7660 ; + RECT 5.0710 1.5260 5.1130 1.5680 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 10.0110 0.5280 10.0530 0.5700 ; + RECT 6.8190 0.5440 6.8610 0.5860 ; + RECT 8.5670 1.4240 8.6090 1.4660 ; + RECT 4.6910 1.1830 4.7330 1.2250 ; + RECT 7.9590 0.8890 8.0010 0.9310 ; + RECT 12.7470 0.1630 12.7890 0.2050 ; + RECT 4.6150 0.6470 4.6570 0.6890 ; + RECT 4.3110 0.0970 4.3530 0.1390 ; + RECT 7.0470 0.8660 7.0890 0.9080 ; + RECT 5.4510 1.1940 5.4930 1.2360 ; + RECT 1.4990 0.5280 1.5410 0.5700 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 9.6310 0.6170 9.6730 0.6590 ; + RECT 5.0710 0.0970 5.1130 0.1390 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 1.1950 1.1920 1.2370 1.2340 ; + RECT 9.4790 0.1970 9.5210 0.2390 ; + RECT 11.0750 1.1460 11.1170 1.1880 ; + RECT 8.9470 1.1830 8.9890 1.2250 ; + RECT 8.5670 0.8310 8.6090 0.8730 ; + RECT 7.3510 0.8660 7.3930 0.9080 ; + RECT 7.1990 0.8660 7.2410 0.9080 ; + RECT 0.7390 0.4280 0.7810 0.4700 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 10.5430 0.0980 10.5850 0.1400 ; + RECT 12.7470 0.6270 12.7890 0.6690 ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.8990 0.8240 12.9410 0.8660 ; + RECT 13.3550 0.8240 13.3970 0.8660 ; + RECT 12.4430 0.2540 12.4850 0.2960 ; + RECT 1.6510 0.4280 1.6930 0.4700 ; + RECT 12.4430 0.7430 12.4850 0.7850 ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 13.6590 0.7130 13.7010 0.7550 ; + RECT 12.4430 0.3460 12.4850 0.3880 ; + RECT 8.3390 1.2080 8.3810 1.2500 ; + RECT 9.7830 0.6320 9.8250 0.6740 ; + RECT 1.9550 0.4290 1.9970 0.4710 ; + RECT 4.6910 1.2780 4.7330 1.3200 ; + RECT 5.6790 1.5040 5.7210 1.5460 ; + RECT 10.3150 0.9630 10.3570 1.0050 ; + RECT 6.9710 0.4970 7.0130 0.5390 ; + RECT 11.0750 0.2990 11.1170 0.3410 ; + RECT 10.9990 0.6370 11.0410 0.6790 ; + RECT 8.3390 0.4140 8.3810 0.4560 ; + RECT 7.7310 0.3970 7.7730 0.4390 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 13.1270 1.2030 13.1690 1.2450 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 10.0110 1.2390 10.0530 1.2810 ; + RECT 11.0750 1.2380 11.1170 1.2800 ; + RECT 12.8230 1.0700 12.8650 1.1120 ; + RECT 4.8430 1.1000 4.8850 1.1420 ; + RECT 8.8710 0.8310 8.9130 0.8730 ; + RECT 8.6430 1.1830 8.6850 1.2250 ; + RECT 4.4630 0.6170 4.5050 0.6590 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 1.2840 9.1410 1.3260 ; + RECT 11.9110 0.6170 11.9530 0.6590 ; + RECT 2.4110 1.1440 2.4530 1.1860 ; + RECT 9.4790 0.6250 9.5210 0.6670 ; + RECT 9.0990 0.3040 9.1410 0.3460 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 0.5870 0.5300 0.6290 0.5720 ; + RECT 4.6910 1.0910 4.7330 1.1330 ; + RECT 7.4270 1.1240 7.4690 1.1660 ; + RECT 10.0110 0.9630 10.0530 1.0050 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 6.5910 0.8620 6.6330 0.9040 ; + RECT 8.6430 0.4040 8.6850 0.4460 ; + RECT 8.7950 0.3040 8.8370 0.3460 ; + RECT 5.3750 0.0970 5.4170 0.1390 ; + RECT 10.0110 1.1470 10.0530 1.1890 ; + RECT 13.8110 0.2690 13.8530 0.3110 ; + RECT 9.8590 0.4040 9.9010 0.4460 ; + RECT 8.1110 0.6210 8.1530 0.6630 ; + RECT 10.1630 0.2050 10.2050 0.2470 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 5.1470 1.1940 5.1890 1.2360 ; + RECT 9.4790 0.8730 9.5210 0.9150 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 3.3230 0.3820 3.3650 0.4240 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 8.3390 1.1160 8.3810 1.1580 ; + RECT 10.0110 1.0550 10.0530 1.0970 ; + RECT 7.9590 0.6210 8.0010 0.6630 ; + RECT 8.4910 0.3170 8.5330 0.3590 ; + RECT 10.0110 1.1470 10.0530 1.1890 ; + RECT 13.2030 0.7130 13.2450 0.7550 ; + RECT 13.0510 0.6770 13.0930 0.7190 ; + RECT 12.7470 0.6270 12.7890 0.6690 ; + RECT 12.7470 0.8110 12.7890 0.8530 ; + RECT 12.7470 0.8110 12.7890 0.8530 ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 4.0830 0.5250 4.1250 0.5670 ; + RECT 12.4430 0.1620 12.4850 0.2040 ; + RECT 8.8710 0.6250 8.9130 0.6670 ; + RECT 12.7470 0.7190 12.7890 0.7610 ; + RECT 13.8110 0.6800 13.8530 0.7220 ; + RECT 13.8110 0.1770 13.8530 0.2190 ; + RECT 9.0230 0.6250 9.0650 0.6670 ; + RECT 10.3150 1.0550 10.3570 1.0970 ; + RECT 4.8430 0.9160 4.8850 0.9580 ; + RECT 13.5830 0.4660 13.6250 0.5080 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.0430 0.4280 1.0850 0.4700 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 3.8550 0.6280 3.8970 0.6700 ; + RECT 13.2030 0.1360 13.2450 0.1780 ; + RECT 5.1470 0.4330 5.1890 0.4750 ; + RECT 10.3150 1.0550 10.3570 1.0970 ; + RECT 13.8870 1.0810 13.9290 1.1230 ; + RECT 10.3150 1.1470 10.3570 1.1890 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 13.6590 0.2520 13.7010 0.2940 ; + RECT 10.3150 1.1470 10.3570 1.1890 ; + RECT 0.9670 1.4200 1.0090 1.4620 ; + RECT 13.0510 0.3680 13.0930 0.4100 ; + RECT 10.0110 1.0550 10.0530 1.0970 ; + RECT 11.0750 1.0540 11.1170 1.0960 ; + RECT 8.1110 1.5240 8.1530 1.5660 ; + RECT 4.0830 0.9420 4.1250 0.9840 ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 0.8910 1.1920 0.9330 1.2340 ; + RECT 10.0110 1.2390 10.0530 1.2810 ; + RECT 13.3550 0.1360 13.3970 0.1780 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 1.1950 0.5280 1.2370 0.5700 ; + LAYER PO ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 2.7970 0.0760 2.8270 1.6060 ; + RECT 2.1890 0.0760 2.2190 1.6060 ; + RECT 9.1810 0.0640 9.2110 1.6060 ; + RECT 9.0290 0.0640 9.0590 0.6990 ; + RECT 9.0290 0.7990 9.0590 1.6060 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 2.0370 0.0760 2.0670 1.6060 ; + RECT 7.3570 0.0630 7.3870 0.6910 ; + RECT 8.8770 0.0640 8.9070 0.6990 ; + RECT 2.6450 0.0760 2.6750 0.6000 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 9.3330 0.0640 9.3630 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 1.7330 0.0760 1.7630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.8210 0.8200 0.8510 1.6060 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.6600 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.7250 0.7990 8.7550 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 0.9730 0.0760 1.0030 0.6600 ; + RECT 7.0530 0.0660 7.0830 0.6910 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 7.3570 0.7910 7.3870 1.6100 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 4.9250 0.0660 4.9550 0.6410 ; + RECT 4.9250 0.8050 4.9550 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 11.9170 0.0670 11.9470 1.6050 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 8.8770 0.7990 8.9070 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 8.1170 0.8390 8.1470 1.6060 ; + RECT 0.9730 0.8200 1.0030 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6990 ; + RECT 9.6370 0.0660 9.6670 0.6910 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.7730 0.8050 4.8030 1.6060 ; + RECT 9.6370 0.9390 9.6670 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6990 ; + RECT 4.7730 0.0660 4.8030 0.6400 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.5090 0.0630 7.5390 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6980 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 0.6690 0.0760 0.6990 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 7.0530 0.7910 7.0830 1.6100 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 2.4930 0.0760 2.5230 0.6000 ; + RECT 2.4930 0.8700 2.5230 1.6060 ; + RECT 2.6450 0.8700 2.6750 1.6060 ; + RECT 7.8130 0.0630 7.8430 1.6060 ; + RECT 7.2050 0.7910 7.2350 1.6100 ; + RECT 7.6610 0.0630 7.6910 1.6060 ; + RECT 8.5730 0.7990 8.6030 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + LAYER M1 ; + RECT 9.9910 0.5240 10.6810 0.5740 ; + RECT 10.6150 0.8090 10.6650 1.3010 ; + RECT 10.0070 0.7590 11.0450 0.8090 ; + RECT 10.9950 0.4610 11.0450 0.7590 ; + RECT 10.9950 0.4110 11.6660 0.4610 ; + RECT 11.6160 0.4610 11.6660 0.6130 ; + RECT 10.1430 0.2000 10.5410 0.2500 ; + RECT 9.6270 0.5870 9.6770 0.7530 ; + RECT 9.0190 0.7530 9.6770 0.8030 ; + RECT 8.5450 0.8270 9.0690 0.8770 ; + RECT 9.0190 0.8030 9.0690 0.8270 ; + RECT 9.0190 0.8770 9.0690 0.9130 ; + RECT 11.4510 0.5970 11.5010 0.6410 ; + RECT 11.1470 0.6410 11.5010 0.6910 ; + RECT 11.0710 0.8780 11.1970 0.9280 ; + RECT 11.1470 0.6910 11.1970 0.8780 ; + RECT 11.1470 0.5690 11.1970 0.6410 ; + RECT 11.0710 0.9280 11.1210 1.3000 ; + RECT 13.1830 0.7090 13.7210 0.7590 ; + RECT 13.7670 0.6270 13.8570 0.6770 ; + RECT 13.5630 0.4620 13.8170 0.5120 ; + RECT 13.7670 0.4050 13.8570 0.4550 ; + RECT 13.8070 0.6770 13.8570 0.7680 ; + RECT 13.7670 0.5120 13.8170 0.6270 ; + RECT 13.8070 0.1260 13.8570 0.4050 ; + RECT 13.7670 0.4550 13.8170 0.4620 ; + RECT 13.1830 0.1320 13.4170 0.1820 ; + RECT 12.4390 1.0660 12.8880 1.1160 ; + RECT 12.3990 0.6270 12.4890 0.6770 ; + RECT 12.3990 0.4270 12.4890 0.4770 ; + RECT 12.4390 0.1260 12.4890 0.4270 ; + RECT 12.3990 0.4770 12.4490 0.6270 ; + RECT 12.4390 0.6770 12.4890 1.0660 ; + RECT 12.4990 0.5270 13.0970 0.5770 ; + RECT 12.7430 0.5770 12.7930 0.8730 ; + RECT 12.7430 0.1260 12.7930 0.5270 ; + RECT 13.0470 0.5770 13.0970 0.7700 ; + RECT 13.0470 0.3480 13.0970 0.5270 ; + RECT 12.8790 0.2480 13.7210 0.2980 ; + RECT 0.5670 1.1880 1.2770 1.2380 ; + RECT 0.5830 1.0530 0.6330 1.1880 ; + RECT 0.6430 1.5160 0.8770 1.5660 ; + RECT 0.4910 1.4160 1.0300 1.4660 ; + RECT 2.6190 1.4160 2.8580 1.4660 ; + RECT 4.9140 1.4240 4.9640 1.5240 ; + RECT 4.9140 1.3740 5.7250 1.4240 ; + RECT 5.6750 1.4240 5.7250 1.5840 ; + RECT 4.5950 1.5240 4.9820 1.5740 ; + RECT 5.1270 1.1900 5.5130 1.2400 ; + RECT 5.0510 1.5220 5.5890 1.5720 ; + RECT 6.5870 0.8380 6.6370 0.9680 ; + RECT 5.5070 0.9680 6.6370 1.0180 ; + RECT 6.7230 1.5200 8.1730 1.5700 ; + RECT 6.5710 1.4200 8.6370 1.4700 ; + RECT 9.4750 0.8530 9.6250 0.9030 ; + RECT 9.5750 0.9670 9.6930 1.0170 ; + RECT 9.4750 0.9030 9.5250 0.9390 ; + RECT 9.5750 0.9030 9.6250 0.9670 ; + RECT 11.8910 1.1990 13.1890 1.2490 ; + RECT 12.8790 0.8200 13.4270 0.8700 ; + RECT 13.4110 1.0770 13.9490 1.1270 ; + RECT 9.5910 0.1980 10.0930 0.2480 ; + RECT 5.3550 0.0930 9.6410 0.1430 ; + RECT 9.5910 0.2480 9.6410 0.2500 ; + RECT 9.5910 0.1430 9.6410 0.1980 ; + RECT 10.0430 0.0940 10.6300 0.1440 ; + RECT 10.0430 0.1440 10.0930 0.1980 ; + RECT 8.2410 1.5200 12.8850 1.5700 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.7200 0.7250 0.7700 ; + RECT 0.4710 0.6510 0.5210 0.7200 ; + RECT 0.4310 0.8960 0.4810 1.2460 ; + RECT 0.4710 0.7700 0.5210 0.8440 ; + RECT 0.4310 0.8440 0.5210 0.8960 ; + RECT 0.8000 1.0880 2.4570 1.1380 ; + RECT 2.4070 1.1380 2.4570 1.2520 ; + RECT 2.4070 0.3490 2.4570 1.0880 ; + RECT 0.5670 0.5240 1.5970 0.5740 ; + RECT 0.8000 0.7360 1.0060 0.7860 ; + RECT 0.9560 0.5740 1.0060 0.7360 ; + RECT 0.8000 0.7860 0.8500 1.0880 ; + RECT 2.2030 0.3190 2.3050 0.3690 ; + RECT 2.2030 0.1010 2.8530 0.1510 ; + RECT 2.2550 0.3690 2.3050 1.0020 ; + RECT 2.2030 0.1510 2.2530 0.3190 ; + RECT 2.3150 0.2040 2.7010 0.2540 ; + RECT 2.7110 0.3490 2.9130 0.3990 ; + RECT 2.7110 1.0240 2.9290 1.0740 ; + RECT 2.8630 0.3990 2.9130 0.5370 ; + RECT 2.7110 0.3990 2.7610 1.0240 ; + RECT 0.7190 0.4240 2.0170 0.4740 ; + RECT 3.3300 0.6240 3.9170 0.6740 ; + RECT 2.5590 1.2020 3.4440 1.2520 ; + RECT 3.3940 0.6740 3.4440 1.2020 ; + RECT 2.5590 0.3490 2.6090 1.2020 ; + RECT 4.5350 0.7130 4.6610 0.7630 ; + RECT 4.5190 0.5130 4.6610 0.5630 ; + RECT 4.5350 0.7630 4.5850 1.0330 ; + RECT 4.6110 0.5630 4.6610 0.7130 ; + RECT 4.8390 0.8540 6.5010 0.9040 ; + RECT 4.8390 0.5630 5.1930 0.6130 ; + RECT 4.8390 1.0590 5.3610 1.1090 ; + RECT 5.1430 0.4070 5.1930 0.5630 ; + RECT 4.8390 0.4130 4.8890 0.5630 ; + RECT 4.8390 0.6130 4.8890 0.8540 ; + RECT 4.8390 1.1090 4.8890 1.1620 ; + RECT 4.8390 0.9040 4.8890 1.0590 ; + RECT 8.1870 0.1930 9.5410 0.2430 ; + RECT 6.7860 0.2930 8.2370 0.3430 ; + RECT 8.1870 0.2430 8.2370 0.2930 ; + RECT 5.5070 0.6130 5.9690 0.6630 ; + RECT 5.9190 0.4710 5.9690 0.6130 ; + RECT 5.9190 0.4210 6.8360 0.4710 ; + RECT 6.7860 0.3430 6.8360 0.4210 ; + RECT 4.6710 0.4120 4.7770 0.4620 ; + RECT 4.6870 0.8130 4.7770 0.8630 ; + RECT 4.6820 0.2950 5.0570 0.3450 ; + RECT 4.6870 1.2740 5.0570 1.3240 ; + RECT 4.6820 0.3450 4.7320 0.4120 ; + RECT 4.7270 0.4620 4.7770 0.8130 ; + RECT 4.6870 1.3240 4.7370 1.3540 ; + RECT 4.6870 1.2520 4.7370 1.2740 ; + RECT 3.9270 1.2020 4.7370 1.2520 ; + RECT 4.6870 0.8630 4.7370 1.2020 ; + RECT 3.6230 1.0540 3.6730 1.3610 ; + RECT 3.6230 0.9710 3.6730 1.0040 ; + RECT 3.9270 0.8190 4.0170 0.8690 ; + RECT 3.6070 1.0040 3.9770 1.0540 ; + RECT 3.9270 1.0540 3.9770 1.2020 ; + RECT 3.9270 0.8690 3.9770 1.0040 ; + RECT 3.9270 0.3620 3.9770 0.3780 ; + RECT 3.9270 0.4280 4.0170 0.4750 ; + RECT 3.3030 0.3780 3.9770 0.4250 ; + RECT 3.3030 0.4250 4.0170 0.4280 ; + RECT 3.9670 0.4750 4.0170 0.8190 ; + RECT 4.0790 0.6130 4.5250 0.6630 ; + RECT 4.0790 0.6630 4.1290 1.0040 ; + RECT 4.0790 0.4130 4.1290 0.6130 ; + RECT 4.2900 0.0930 5.1390 0.1430 ; + RECT 7.0830 0.3930 8.5370 0.4430 ; + RECT 8.3350 1.2800 9.1610 1.3300 ; + RECT 7.1030 1.1200 8.3850 1.1700 ; + RECT 8.4870 0.4430 8.5370 0.4710 ; + RECT 8.4870 0.3500 8.5370 0.3930 ; + RECT 8.4870 0.3000 9.4650 0.3500 ; + RECT 8.4870 0.2970 8.5370 0.3000 ; + RECT 8.3350 1.1700 8.3850 1.2800 ; + RECT 8.3350 0.4430 8.3850 1.1200 ; + RECT 8.6030 0.4000 10.7810 0.4500 ; + RECT 10.2170 0.6320 10.7810 0.6820 ; + RECT 10.7310 0.4500 10.7810 0.6320 ; + RECT 8.4350 0.5210 8.6890 0.5710 ; + RECT 8.4350 0.5710 8.4850 0.9790 ; + RECT 8.4350 0.9790 8.9620 1.0290 ; + RECT 8.6030 1.1790 9.9570 1.2290 ; + RECT 8.6390 0.4500 8.6890 0.5210 ; + RECT 8.9120 1.0290 8.9620 1.1790 ; + RECT 8.2230 0.5430 8.2730 1.0200 ; + RECT 6.9510 0.4930 8.2730 0.5430 ; + RECT 6.9670 1.0200 8.2730 1.0700 ; + RECT 6.0190 0.5210 6.4450 0.5710 ; + RECT 5.1980 0.7380 6.7550 0.7880 ; + RECT 5.7350 1.1200 7.0170 1.1700 ; + RECT 6.1900 0.5710 6.2400 0.7380 ; + RECT 6.7030 0.7880 6.7530 1.1200 ; + RECT 6.9670 1.0700 7.0170 1.1200 ; + RECT 8.5470 0.6210 9.5610 0.6710 ; + RECT 7.9360 0.6170 8.1730 0.6670 ; + RECT 7.4890 0.9200 8.0050 0.9700 ; + RECT 7.9550 0.8630 8.0050 0.9200 ; + RECT 6.8150 0.9130 6.8650 1.0340 ; + RECT 6.8150 0.5240 6.8650 0.8630 ; + RECT 6.8150 0.8630 7.5390 0.9130 ; + RECT 7.4890 0.9130 7.5390 0.9200 ; + RECT 11.0710 0.0930 11.2320 0.1430 ; + RECT 11.0710 0.1430 11.1210 0.3610 ; + RECT 11.5510 0.6130 11.9730 0.6630 ; + RECT 10.0070 0.8090 10.0570 1.3010 ; + RECT 10.0070 0.5000 10.0570 0.5240 ; + RECT 10.0070 0.6780 10.0570 0.7590 ; + RECT 9.7630 0.6280 10.0570 0.6780 ; + RECT 10.0070 0.5740 10.0570 0.6280 ; + RECT 10.3110 0.8090 10.3610 1.3010 ; + LAYER NWELL ; + RECT 12.3280 0.4910 14.2830 1.0830 ; + RECT -0.1280 1.5430 14.9890 1.7730 ; + RECT -0.1280 0.6790 11.8660 1.5430 ; + RECT 14.7470 0.6790 14.9890 1.5430 ; + END +END RSDFFSRSSRX1_HVT + +MACRO RSDFFSRSSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.352 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0990 1.9790 0.1490 ; + RECT 0.4010 0.1490 0.5110 0.2410 ; + RECT 0.4010 0.0970 0.5110 0.0990 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.1030 0.5530 0.1450 ; + RECT 1.8790 0.1030 1.9210 0.1450 ; + RECT 0.9670 0.1030 1.0090 0.1450 ; + RECT 0.8150 0.1030 0.8570 0.1450 ; + RECT 1.7270 0.1030 1.7690 0.1450 ; + END + ANTENNAGATEAREA 0.063 ; + END RSTB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1630 1.4160 2.5490 1.4660 ; + RECT 2.2250 1.3120 2.3350 1.4160 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.4200 2.3770 1.4620 ; + RECT 2.1830 1.4200 2.2250 1.4620 ; + RECT 2.4870 1.4200 2.5290 1.4620 ; + END + ANTENNAGATEAREA 0.0345 ; + END SE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.0310 0.9420 14.6490 1.0020 ; + RECT 14.5370 0.6900 14.6490 0.9420 ; + RECT 14.4150 0.6270 14.4650 0.9420 ; + END + PORT + LAYER CO ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.5710 0.7100 14.6130 0.7520 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 14.4190 0.9270 14.4610 0.9690 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.9270 14.4610 0.9690 ; + RECT 14.4190 0.7430 14.4610 0.7850 ; + RECT 13.9630 0.9510 14.0050 0.9930 ; + RECT 13.0510 0.9510 13.0930 0.9930 ; + RECT 14.5710 0.8620 14.6130 0.9040 ; + END + END VDDG + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.5090 0.3690 12.5310 0.4190 ; + RECT 11.5090 0.8590 12.5310 0.9090 ; + RECT 12.4090 0.4190 12.5310 0.5110 ; + RECT 12.4810 0.3180 12.5310 0.3690 ; + RECT 11.6790 0.9090 11.7290 1.3090 ; + RECT 12.4810 0.5110 12.5310 0.8590 ; + END + PORT + LAYER CO ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 11.6830 0.3730 11.7250 0.4150 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9410 0.8280 3.0950 0.9670 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.8480 2.9850 0.8900 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.1390 1.4650 4.3110 1.5750 ; + END + PORT + LAYER CO ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + END + ANTENNAGATEAREA 0.0222 ; + END CLK + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5370 0.2490 14.6490 0.3590 ; + RECT 14.3230 0.5270 14.5980 0.5770 ; + RECT 14.5480 0.3590 14.5980 0.5270 ; + END + PORT + LAYER CO ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + END + ANTENNAGATEAREA 0.021 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.0430 0.7180 8.1570 0.7680 ; + RECT 7.6600 0.7680 7.8160 0.8150 ; + RECT 7.6600 0.7030 7.8160 0.7180 ; + RECT 7.0430 0.6030 7.8690 0.6530 ; + RECT 8.1070 0.7680 8.1570 0.9330 ; + RECT 7.0430 0.6530 7.0930 0.7180 ; + RECT 7.0430 0.5880 7.0930 0.6030 ; + END + PORT + LAYER CO ; + RECT 7.8070 0.6070 7.8490 0.6490 ; + RECT 7.5030 0.6070 7.5450 0.6490 ; + RECT 7.1990 0.6070 7.2410 0.6490 ; + RECT 7.3510 0.6070 7.3930 0.6490 ; + RECT 7.6550 0.6070 7.6970 0.6490 ; + RECT 7.0470 0.6110 7.0890 0.6530 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + END + ANTENNAGATEAREA 0.096 ; + END NRESTORE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0980 0.7240 1.6800 0.7740 ; + RECT 1.1030 0.7740 1.2750 0.8350 ; + RECT 1.1030 0.7050 1.2750 0.7240 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7280 1.1610 0.7700 ; + RECT 1.4230 0.7280 1.4650 0.7700 ; + RECT 1.2710 0.7270 1.3130 0.7690 ; + RECT 1.5750 0.7270 1.6170 0.7690 ; + END + ANTENNAGATEAREA 0.078 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.0135 ; + END SETB + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.3520 1.7020 ; + RECT 2.0630 1.3160 2.1690 1.3660 ; + RECT 0.2790 1.2960 0.8370 1.3460 ; + RECT 5.5820 1.2200 8.0970 1.2700 ; + RECT 9.5510 1.4040 13.4410 1.4540 ; + RECT 3.0150 1.3020 3.0650 1.6420 ; + RECT 3.7750 1.1310 3.8250 1.6420 ; + RECT 2.0630 1.3660 2.1130 1.6420 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 6.2470 1.2700 6.2970 1.6420 ; + RECT 4.3830 1.3880 4.4330 1.6420 ; + RECT 4.2110 1.3380 4.4330 1.3880 ; + RECT 9.5510 1.2790 9.6010 1.4040 ; + RECT 12.1350 1.2600 12.1850 1.4040 ; + RECT 11.5270 0.9590 11.5770 1.4040 ; + RECT 10.4630 0.9530 10.5130 1.4040 ; + RECT 10.1590 0.9530 10.2090 1.4040 ; + RECT 11.8310 0.9590 11.8810 1.4040 ; + RECT 13.3910 1.4540 13.4410 1.6420 ; + RECT 10.9190 0.8590 10.9690 1.4040 ; + RECT 11.2230 1.0240 11.2730 1.4040 ; + END + PORT + LAYER CO ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 10.1630 1.0650 10.2050 1.1070 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 10.4670 1.1570 10.5090 1.1990 ; + RECT 10.4670 1.2490 10.5090 1.2910 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 10.5430 1.4080 10.5850 1.4500 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 10.1630 1.2490 10.2050 1.2910 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 3.7790 1.1620 3.8210 1.2040 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 3.0190 1.3280 3.0610 1.3700 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 10.4670 1.2490 10.5090 1.2910 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.0870 1.4080 10.1290 1.4500 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 10.4670 1.0650 10.5090 1.1070 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 10.1630 1.2490 10.2050 1.2910 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 10.4670 1.0650 10.5090 1.1070 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 10.1630 1.1570 10.2050 1.1990 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 10.1630 1.1570 10.2050 1.1990 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 10.4670 0.9730 10.5090 1.0150 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 10.1630 1.0650 10.2050 1.1070 ; + RECT 9.5550 1.3010 9.5970 1.3430 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.3870 1.3650 4.4290 1.4070 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 12.1390 1.2800 12.1810 1.3220 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 10.4670 1.1570 10.5090 1.1990 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 12.1390 1.2800 12.1810 1.3220 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 4.2350 1.3420 4.2770 1.3840 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 10.1630 0.9730 10.2050 1.0150 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.9690 12.6690 1.0190 ; + RECT 11.9470 0.1690 12.6690 0.2190 ; + RECT 12.2040 1.0190 12.4230 1.1290 ; + RECT 11.9830 1.0190 12.0330 1.3270 ; + RECT 12.6190 0.2190 12.6690 0.9690 ; + END + PORT + LAYER CO ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + RECT 11.9870 1.2650 12.0290 1.3070 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + RECT 11.9870 1.2650 12.0290 1.3070 ; + RECT 11.9870 0.1730 12.0290 0.2150 ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.3520 0.0300 ; + RECT 0.2790 0.3550 0.6180 0.4050 ; + RECT 3.4350 0.1950 3.8610 0.2450 ; + RECT 11.5110 0.1680 11.8810 0.2180 ; + RECT 0.5680 0.2300 1.9020 0.2800 ; + RECT 4.5480 0.2440 4.5980 0.2950 ; + RECT 4.5480 0.1940 5.6490 0.2440 ; + RECT 5.5990 0.2950 6.2730 0.3450 ; + RECT 6.2060 0.1880 8.0970 0.2380 ; + RECT 2.1030 0.0300 2.1530 0.3590 ; + RECT 3.0150 0.0300 3.0650 0.3740 ; + RECT 10.6800 0.0300 10.7300 0.3000 ; + RECT 13.9590 0.0300 14.0090 0.1980 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 13.0470 0.0300 13.0970 0.4260 ; + RECT 0.5680 0.2800 0.6180 0.3550 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 3.7750 0.0300 3.8250 0.1950 ; + RECT 4.1550 0.2950 4.5980 0.3450 ; + RECT 11.8310 0.0300 11.8810 0.1680 ; + RECT 4.3830 0.3450 4.4330 0.5570 ; + RECT 4.2310 0.3450 4.2810 0.5570 ; + RECT 11.2230 0.3500 11.2730 0.3620 ; + RECT 11.2230 0.1880 11.2730 0.3000 ; + RECT 10.9190 0.3500 10.9690 0.3610 ; + RECT 10.9190 0.1870 10.9690 0.3000 ; + RECT 9.5350 0.3000 11.2730 0.3500 ; + RECT 11.8310 0.2180 11.8810 0.2690 ; + RECT 11.8310 0.2690 12.2010 0.3190 ; + RECT 5.5990 0.2440 5.6490 0.2950 ; + RECT 5.5990 0.3450 5.6490 0.5570 ; + RECT 6.6630 0.2380 6.7130 0.3490 ; + RECT 6.2060 0.2380 6.2560 0.2950 ; + RECT 4.1550 0.0300 4.2050 0.2950 ; + END + PORT + LAYER CO ; + RECT 3.4750 0.1990 3.5170 0.2410 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.0190 0.3050 3.0610 0.3470 ; + RECT 5.9070 0.2990 5.9490 0.3410 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 6.2110 0.2990 6.2530 0.3410 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 9.5550 0.3040 9.5970 0.3460 ; + RECT 4.2350 0.4950 4.2770 0.5370 ; + RECT 11.8350 0.1720 11.8770 0.2140 ; + RECT 10.3150 0.3040 10.3570 0.3460 ; + RECT 11.5310 0.1720 11.5730 0.2140 ; + RECT 5.6030 0.4950 5.6450 0.5370 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 10.9230 0.2070 10.9650 0.2490 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 13.0510 0.2540 13.0930 0.2960 ; + RECT 8.0350 0.1920 8.0770 0.2340 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 6.6670 0.2870 6.7090 0.3290 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 6.5150 0.1920 6.5570 0.2340 ; + RECT 1.8030 0.2330 1.8450 0.2750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 5.6030 0.4030 5.6450 0.4450 ; + RECT 2.1070 0.2970 2.1490 0.3390 ; + RECT 4.3870 0.4030 4.4290 0.4450 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 3.7790 0.1990 3.8210 0.2410 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 11.2270 0.3000 11.2690 0.3420 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 10.9230 0.2990 10.9650 0.3410 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 14.4190 0.2660 14.4610 0.3080 ; + RECT 4.3870 0.4950 4.4290 0.5370 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 12.1390 0.2730 12.1810 0.3150 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 11.2270 0.2080 11.2690 0.2500 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 13.0510 0.1620 13.0930 0.2040 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 4.2350 0.4030 4.2770 0.4450 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 2.7910 1.4200 2.8330 1.4620 ; + RECT 5.7550 1.1240 5.7970 1.1660 ; + RECT 2.4110 1.0520 2.4530 1.0940 ; + RECT 2.4110 1.1440 2.4530 1.1860 ; + RECT 2.4110 1.0520 2.4530 1.0940 ; + RECT 2.5630 1.1450 2.6050 1.1870 ; + RECT 2.5630 1.1450 2.6050 1.1870 ; + RECT 0.6630 1.5200 0.7050 1.5620 ; + RECT 4.6150 1.5180 4.6570 1.5600 ; + RECT 5.5270 1.5260 5.5690 1.5680 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 4.7670 1.5180 4.8090 1.5600 ; + RECT 5.0710 1.5260 5.1130 1.5680 ; + RECT 4.9190 1.5180 4.9610 1.5600 ; + RECT 7.4270 0.3920 7.4690 0.4340 ; + RECT 2.2590 0.9400 2.3010 0.9820 ; + RECT 3.6270 1.0080 3.6690 1.0500 ; + RECT 3.6270 0.3820 3.6690 0.4240 ; + RECT 4.7670 0.0970 4.8090 0.1390 ; + RECT 8.5670 1.4240 8.6090 1.4660 ; + RECT 13.2790 1.5240 13.3210 1.5660 ; + RECT 4.3110 0.0970 4.3530 0.1390 ; + RECT 2.6390 0.2080 2.6810 0.2500 ; + RECT 10.1630 0.2050 10.2050 0.2470 ; + RECT 5.1470 1.1940 5.1890 1.2360 ; + RECT 13.3550 0.8240 13.3970 0.8660 ; + RECT 9.0230 0.6250 9.0650 0.6670 ; + RECT 8.8710 0.6250 8.9130 0.6670 ; + RECT 13.2030 0.6380 13.2450 0.6800 ; + RECT 13.5070 0.6770 13.5490 0.7190 ; + RECT 7.9590 0.6170 8.0010 0.6590 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 13.2030 0.6380 13.2450 0.6800 ; + RECT 8.7190 0.8310 8.7610 0.8730 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 7.2750 0.4920 7.3170 0.5340 ; + RECT 3.7030 0.6280 3.7450 0.6700 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 7.1990 0.8620 7.2410 0.9040 ; + RECT 7.3510 0.8620 7.3930 0.9040 ; + RECT 7.2750 1.0240 7.3170 1.0660 ; + RECT 2.2590 0.9400 2.3010 0.9820 ; + RECT 2.4110 0.4610 2.4530 0.5030 ; + RECT 2.5630 0.9610 2.6050 1.0030 ; + RECT 7.1230 0.3920 7.1650 0.4340 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 11.9110 0.6170 11.9530 0.6590 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 11.0750 1.1460 11.1170 1.1880 ; + RECT 13.6590 0.7130 13.7010 0.7550 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 2.4870 0.1050 2.5290 0.1470 ; + RECT 13.5070 0.3680 13.5490 0.4100 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 2.2590 0.4850 2.3010 0.5270 ; + RECT 2.3350 0.2080 2.3770 0.2500 ; + RECT 14.2670 0.6800 14.3090 0.7220 ; + RECT 11.0750 0.9620 11.1170 1.0040 ; + RECT 11.0750 1.2380 11.1170 1.2800 ; + RECT 11.0750 1.0540 11.1170 1.0960 ; + RECT 11.3030 0.6440 11.3450 0.6860 ; + RECT 13.2030 0.7300 13.2450 0.7720 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 7.5790 0.4920 7.6210 0.5340 ; + RECT 3.6270 1.1000 3.6690 1.1420 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 3.6270 1.1920 3.6690 1.2340 ; + RECT 2.5630 1.0530 2.6050 1.0950 ; + RECT 3.6270 1.2840 3.6690 1.3260 ; + RECT 2.5630 1.0530 2.6050 1.0950 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 2.4110 1.1440 2.4530 1.1860 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 1.1950 1.1920 1.2370 1.2340 ; + RECT 0.8910 1.1920 0.9330 1.2340 ; + RECT 10.0110 1.2390 10.0530 1.2810 ; + RECT 10.6190 1.1470 10.6610 1.1890 ; + RECT 10.6190 0.9630 10.6610 1.0050 ; + RECT 10.3150 1.2390 10.3570 1.2810 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 2.8670 0.3690 2.9090 0.4110 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 0.8150 1.5200 0.8570 1.5620 ; + RECT 9.3270 0.6250 9.3690 0.6670 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 8.3390 1.1160 8.3810 1.1580 ; + RECT 8.1110 1.5240 8.1530 1.5660 ; + RECT 4.6910 0.4160 4.7330 0.4580 ; + RECT 6.2870 0.8580 6.3290 0.9000 ; + RECT 8.6430 1.1830 8.6850 1.2250 ; + RECT 7.9590 0.8500 8.0010 0.8920 ; + RECT 3.3230 0.3820 3.3650 0.4240 ; + RECT 3.5510 0.6280 3.5930 0.6700 ; + RECT 11.0750 1.2380 11.1170 1.2800 ; + RECT 10.3150 1.0550 10.3570 1.0970 ; + RECT 9.4790 0.1920 9.5210 0.2340 ; + RECT 4.6910 1.0910 4.7330 1.1330 ; + RECT 12.8990 0.2540 12.9410 0.2960 ; + RECT 10.0110 1.2390 10.0530 1.2810 ; + RECT 9.0990 0.3040 9.1410 0.3460 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 13.2030 0.1630 13.2450 0.2050 ; + RECT 13.3550 0.2520 13.3970 0.2940 ; + RECT 11.0750 0.2040 11.1170 0.2460 ; + RECT 7.4270 1.1240 7.4690 1.1660 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 6.9710 1.0640 7.0130 1.1060 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 4.0830 0.5250 4.1250 0.5670 ; + RECT 4.0830 0.9420 4.1250 0.9840 ; + RECT 0.5110 1.4200 0.5530 1.4620 ; + RECT 9.7830 0.6320 9.8250 0.6740 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 10.2390 0.6360 10.2810 0.6780 ; + RECT 10.6190 0.5280 10.6610 0.5700 ; + RECT 4.8430 1.0080 4.8850 1.0500 ; + RECT 2.7150 0.3690 2.7570 0.4110 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 12.8990 0.7430 12.9410 0.7850 ; + RECT 2.7910 0.1050 2.8330 0.1470 ; + RECT 13.8110 0.8240 13.8530 0.8660 ; + RECT 5.0710 0.0970 5.1130 0.1390 ; + RECT 5.3750 0.0920 5.4170 0.1340 ; + RECT 9.1750 0.6250 9.2170 0.6670 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 2.7150 0.4610 2.7570 0.5030 ; + RECT 2.5630 0.9610 2.6050 1.0030 ; + RECT 2.2590 0.3930 2.3010 0.4350 ; + RECT 13.2030 0.1630 13.2450 0.2050 ; + RECT 11.1510 0.6370 11.1930 0.6790 ; + RECT 9.0990 1.2840 9.1410 1.3260 ; + RECT 10.6190 1.0550 10.6610 1.0970 ; + RECT 10.3150 1.2390 10.3570 1.2810 ; + RECT 10.0110 0.5280 10.0530 0.5700 ; + RECT 1.3470 0.4280 1.3890 0.4700 ; + RECT 14.1150 0.7130 14.1570 0.7550 ; + RECT 10.0110 1.1470 10.0530 1.1890 ; + RECT 12.9750 0.5310 13.0170 0.5730 ; + RECT 4.9950 0.2990 5.0370 0.3410 ; + RECT 9.0230 0.8310 9.0650 0.8730 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 2.8670 1.0280 2.9090 1.0700 ; + RECT 2.4110 0.4610 2.4530 0.5030 ; + RECT 11.0750 0.9620 11.1170 1.0040 ; + RECT 2.8670 0.4610 2.9090 0.5030 ; + RECT 8.5670 0.6250 8.6090 0.6670 ; + RECT 2.4110 0.3690 2.4530 0.4110 ; + RECT 0.9670 1.4200 1.0090 1.4620 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 5.6790 1.5180 5.7210 1.5600 ; + RECT 1.6510 0.4280 1.6930 0.4700 ; + RECT 4.9950 1.2780 5.0370 1.3200 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 9.4030 0.3040 9.4450 0.3460 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 9.9350 0.6320 9.9770 0.6740 ; + RECT 13.8110 0.1360 13.8530 0.1780 ; + RECT 5.1470 0.4330 5.1890 0.4750 ; + RECT 2.6390 1.4200 2.6810 1.4620 ; + RECT 13.2030 0.8220 13.2450 0.8640 ; + RECT 8.9470 0.4040 8.9890 0.4460 ; + RECT 10.5430 0.0980 10.5850 0.1400 ; + RECT 9.2510 0.4040 9.2930 0.4460 ; + RECT 8.4910 0.3170 8.5330 0.3590 ; + RECT 9.4790 0.8730 9.5210 0.9150 ; + RECT 6.4390 0.8580 6.4810 0.9000 ; + RECT 13.2790 1.0610 13.3210 1.1030 ; + RECT 4.5390 0.9500 4.5810 0.9920 ; + RECT 4.6150 0.6470 4.6570 0.6890 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 1.4990 0.5280 1.5410 0.5700 ; + RECT 14.3430 1.0610 14.3850 1.1030 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 9.0230 0.6250 9.0650 0.6670 ; + RECT 10.0110 0.9630 10.0530 1.0050 ; + RECT 3.9310 0.3820 3.9730 0.4240 ; + RECT 11.0750 1.0540 11.1170 1.0960 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 4.0830 0.4330 4.1250 0.4750 ; + RECT 4.8430 1.1000 4.8850 1.1420 ; + RECT 12.8990 0.1620 12.9410 0.2040 ; + RECT 7.0470 0.8620 7.0890 0.9040 ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 1.9550 0.4290 1.9970 0.4710 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 2.5630 0.4610 2.6050 0.5030 ; + RECT 2.7150 1.0120 2.7570 1.0540 ; + RECT 4.5390 0.5170 4.5810 0.5590 ; + RECT 10.0110 1.1470 10.0530 1.1890 ; + RECT 10.3150 0.9630 10.3570 1.0050 ; + RECT 10.0110 1.0550 10.0530 1.0970 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 0.5870 0.5300 0.6290 0.5720 ; + RECT 0.6630 0.7240 0.7050 0.7660 ; + RECT 11.0750 1.1460 11.1170 1.1880 ; + RECT 8.4910 1.2840 8.5330 1.3260 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 4.8430 0.9160 4.8850 0.9580 ; + RECT 5.4510 1.1940 5.4930 1.2360 ; + RECT 10.4670 0.2050 10.5090 0.2470 ; + RECT 3.9310 1.0480 3.9730 1.0900 ; + RECT 2.5630 0.3690 2.6050 0.4110 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 10.3910 0.6360 10.4330 0.6780 ; + RECT 9.6310 0.6170 9.6730 0.6590 ; + RECT 8.6430 0.4040 8.6850 0.4460 ; + RECT 9.8590 0.4040 9.9010 0.4460 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 7.7310 0.3920 7.7730 0.4340 ; + RECT 8.3390 0.3920 8.3810 0.4340 ; + RECT 12.3670 0.6170 12.4090 0.6590 ; + RECT 9.4790 0.6250 9.5210 0.6670 ; + RECT 5.2990 1.0630 5.3410 1.1050 ; + RECT 8.7950 0.3040 8.8370 0.3460 ; + RECT 10.0870 0.0980 10.1290 0.1400 ; + RECT 13.2030 0.3470 13.2450 0.3890 ; + RECT 9.8590 1.1830 9.9010 1.2250 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 4.6910 1.2780 4.7330 1.3200 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 6.9710 0.4920 7.0130 0.5340 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 3.8550 0.6280 3.8970 0.6700 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 4.9190 0.0970 4.9610 0.1390 ; + RECT 6.1350 0.8580 6.1770 0.9000 ; + RECT 8.5670 0.8310 8.6090 0.8730 ; + RECT 12.3670 1.2030 12.4090 1.2450 ; + RECT 7.8830 0.4920 7.9250 0.5340 ; + RECT 13.5830 1.2030 13.6250 1.2450 ; + RECT 0.7390 0.4280 0.7810 0.4700 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 11.6070 0.6450 11.6490 0.6870 ; + RECT 12.0630 0.6170 12.1050 0.6590 ; + RECT 4.8430 0.4330 4.8850 0.4750 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 8.8710 0.8310 8.9130 0.8730 ; + RECT 10.6190 1.2390 10.6610 1.2810 ; + RECT 1.0430 0.4280 1.0850 0.4700 ; + RECT 13.8870 1.0610 13.9290 1.1030 ; + RECT 13.2030 0.2550 13.2450 0.2970 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 8.7190 0.8310 8.7610 0.8730 ; + RECT 13.6590 0.1360 13.7010 0.1780 ; + RECT 11.3030 0.0920 11.3450 0.1340 ; + RECT 10.0110 1.0550 10.0530 1.0970 ; + RECT 9.6310 0.9710 9.6730 1.0130 ; + RECT 10.3150 1.1470 10.3570 1.1890 ; + RECT 10.3150 1.1470 10.3570 1.1890 ; + RECT 10.9990 0.6370 11.0410 0.6790 ; + RECT 13.2030 0.3470 13.2450 0.3890 ; + RECT 8.7190 0.6250 8.7610 0.6670 ; + RECT 4.4630 0.6170 4.5050 0.6590 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 10.3150 1.0550 10.3570 1.0970 ; + RECT 13.2030 0.8220 13.2450 0.8640 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 8.7950 1.2840 8.8370 1.3260 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 6.5910 0.8620 6.6330 0.9040 ; + RECT 8.3390 1.2080 8.3810 1.2500 ; + RECT 4.6910 1.1830 4.7330 1.2250 ; + RECT 1.1950 0.5280 1.2370 0.5700 ; + RECT 3.3990 0.6280 3.4410 0.6700 ; + RECT 8.9470 1.1830 8.9890 1.2250 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + LAYER PO ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 2.4930 0.8700 2.5230 1.6060 ; + RECT 2.6450 0.8700 2.6750 1.6060 ; + RECT 9.6370 0.0660 9.6670 0.6910 ; + RECT 7.0530 0.0660 7.0830 0.6910 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 7.3570 0.0630 7.3870 0.6910 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6990 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6990 ; + RECT 8.8770 0.0640 8.9070 0.6990 ; + RECT 9.0290 0.0640 9.0590 0.6990 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 7.5090 0.0630 7.5390 1.6060 ; + RECT 7.6610 0.0630 7.6910 1.6060 ; + RECT 7.8130 0.0630 7.8430 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.1810 0.0640 9.2110 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 9.3330 0.0640 9.3630 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.0530 0.7910 7.0830 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.1170 0.8390 8.1470 1.6060 ; + RECT 8.8770 0.7990 8.9070 1.6060 ; + RECT 8.7250 0.7990 8.7550 1.6060 ; + RECT 9.0290 0.7990 9.0590 1.6060 ; + RECT 8.5730 0.7990 8.6030 1.6060 ; + RECT 7.3570 0.7910 7.3870 1.6060 ; + RECT 7.2050 0.7910 7.2350 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.6600 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 0.9730 0.0760 1.0030 0.6600 ; + RECT 2.1890 0.0760 2.2190 1.6060 ; + RECT 4.9250 0.0660 4.9550 0.6410 ; + RECT 4.9250 0.8050 4.9550 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 9.6370 0.9390 9.6670 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 0.6690 0.0760 0.6990 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + RECT 2.7970 0.0760 2.8270 1.6060 ; + RECT 0.8210 0.8200 0.8510 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 12.2210 0.0510 12.2510 1.5890 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 2.0370 0.0760 2.0670 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6910 ; + RECT 2.6450 0.0760 2.6750 0.6000 ; + RECT 12.3730 0.0670 12.4030 1.6050 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 1.7330 0.0760 1.7630 1.6060 ; + RECT 4.7730 0.8050 4.8030 1.6060 ; + RECT 4.7730 0.0660 4.8030 0.6400 ; + RECT 2.4930 0.0760 2.5230 0.6000 ; + RECT 0.9730 0.8200 1.0030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + LAYER M1 ; + RECT 9.9910 0.5240 10.6810 0.5740 ; + RECT 10.6150 0.8090 10.6650 1.3010 ; + RECT 10.9710 0.6330 11.1970 0.6830 ; + RECT 10.0070 0.7590 11.1970 0.8090 ; + RECT 11.1470 0.5190 11.1970 0.6330 ; + RECT 11.1470 0.6830 11.1970 0.7590 ; + RECT 11.1470 0.4690 11.9560 0.5190 ; + RECT 11.9060 0.5190 11.9560 0.6130 ; + RECT 11.7550 0.5970 11.8050 0.6410 ; + RECT 11.2990 0.6410 11.8050 0.6910 ; + RECT 11.0710 0.9280 11.1210 1.3000 ; + RECT 11.0710 0.8780 11.3490 0.9280 ; + RECT 11.2990 0.6910 11.3490 0.8780 ; + RECT 11.2990 0.5690 11.3490 0.6410 ; + RECT 11.0880 0.0880 11.3840 0.1380 ; + RECT 11.0550 0.2000 11.1380 0.2500 ; + RECT 11.0880 0.1380 11.1380 0.2000 ; + RECT 9.6270 0.5870 9.6770 0.7530 ; + RECT 9.0190 0.7530 9.6770 0.8030 ; + RECT 8.5450 0.8270 9.0690 0.8770 ; + RECT 9.0190 0.8770 9.0690 0.9130 ; + RECT 9.0190 0.8030 9.0690 0.8270 ; + RECT 13.6390 0.1320 13.8730 0.1820 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.0190 0.4770 14.2730 0.5120 ; + RECT 14.2230 0.4270 14.3130 0.4620 ; + RECT 14.0190 0.4620 14.3130 0.4770 ; + RECT 14.2630 0.6770 14.3130 0.7680 ; + RECT 14.2230 0.5120 14.2730 0.6270 ; + RECT 12.8950 1.0570 13.3440 1.1070 ; + RECT 12.8550 0.4270 12.9450 0.4770 ; + RECT 12.8550 0.6270 12.9450 0.6770 ; + RECT 12.8950 0.1260 12.9450 0.4270 ; + RECT 12.8550 0.4770 12.9050 0.6270 ; + RECT 12.8950 0.6770 12.9450 1.0570 ; + RECT 13.6390 0.7090 14.1770 0.7590 ; + RECT 12.9550 0.5270 13.5530 0.5770 ; + RECT 13.1990 0.5770 13.2490 0.8870 ; + RECT 13.1990 0.1260 13.2490 0.5270 ; + RECT 13.5030 0.5770 13.5530 0.7700 ; + RECT 13.5030 0.3480 13.5530 0.5270 ; + RECT 13.3350 0.2480 14.1770 0.2980 ; + RECT 0.4910 1.4160 1.0300 1.4660 ; + RECT 0.5670 1.1880 1.2770 1.2380 ; + RECT 0.5830 1.0530 0.6330 1.1880 ; + RECT 0.6430 1.5160 0.8770 1.5660 ; + RECT 2.6190 1.4160 2.8580 1.4660 ; + RECT 5.0510 1.5220 5.5890 1.5720 ; + RECT 4.5950 1.5140 4.9640 1.5640 ; + RECT 4.9140 1.4240 4.9640 1.5140 ; + RECT 4.9140 1.3740 5.7250 1.4240 ; + RECT 5.6750 1.4240 5.7250 1.5840 ; + RECT 4.9140 1.5640 4.9640 1.5840 ; + RECT 6.5870 0.8380 6.6370 0.9680 ; + RECT 5.5070 0.9680 6.6370 1.0180 ; + RECT 5.1270 1.1900 5.5130 1.2400 ; + RECT 6.5710 1.4200 8.6370 1.4700 ; + RECT 6.7230 1.5200 8.1730 1.5700 ; + RECT 9.5750 0.9670 9.6930 1.0170 ; + RECT 9.4750 0.8530 9.6250 0.9030 ; + RECT 9.4750 0.9030 9.5250 0.9390 ; + RECT 9.5750 0.9030 9.6250 0.9670 ; + RECT 13.8670 1.0570 14.4050 1.1070 ; + RECT 13.3350 0.8200 13.8830 0.8700 ; + RECT 12.3470 1.1990 13.6450 1.2490 ; + RECT 8.2410 1.5200 13.3410 1.5700 ; + RECT 9.5910 0.1980 10.0930 0.2480 ; + RECT 5.3550 0.0880 9.6410 0.1380 ; + RECT 9.5910 0.2480 9.6410 0.2500 ; + RECT 9.5910 0.1380 9.6410 0.1980 ; + RECT 10.0430 0.0940 10.6300 0.1440 ; + RECT 10.0430 0.1440 10.0930 0.1980 ; + RECT 0.8000 1.0880 2.4570 1.1380 ; + RECT 2.4070 1.1380 2.4570 1.2520 ; + RECT 2.4070 0.3490 2.4570 1.0880 ; + RECT 0.5670 0.5240 1.5970 0.5740 ; + RECT 0.8000 0.7360 1.0060 0.7860 ; + RECT 0.9560 0.5740 1.0060 0.7360 ; + RECT 0.8000 0.7860 0.8500 1.0880 ; + RECT 2.2030 0.3190 2.3050 0.3690 ; + RECT 2.2030 0.1010 2.8530 0.1510 ; + RECT 2.2550 0.3690 2.3050 1.0020 ; + RECT 2.2030 0.1510 2.2530 0.3190 ; + RECT 2.7110 0.3490 2.9130 0.3990 ; + RECT 2.7110 1.0240 2.9290 1.0740 ; + RECT 2.8630 0.3990 2.9130 0.5370 ; + RECT 2.7110 0.3990 2.7610 1.0240 ; + RECT 0.7190 0.4240 2.0170 0.4740 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4310 0.8960 0.4810 1.2460 ; + RECT 0.4710 0.7200 0.7250 0.7700 ; + RECT 0.4710 0.6510 0.5210 0.7200 ; + RECT 0.4710 0.7700 0.5210 0.8440 ; + RECT 0.4310 0.8440 0.5210 0.8960 ; + RECT 3.3300 0.6240 3.9170 0.6740 ; + RECT 2.5590 1.2020 3.4440 1.2520 ; + RECT 3.3940 0.6740 3.4440 1.2020 ; + RECT 2.5590 0.3490 2.6090 1.2020 ; + RECT 2.3150 0.2040 2.7010 0.2540 ; + RECT 4.8390 0.8540 6.5010 0.9040 ; + RECT 4.8390 0.5630 5.1930 0.6130 ; + RECT 4.8390 1.0590 5.3610 1.1090 ; + RECT 5.1430 0.4070 5.1930 0.5630 ; + RECT 4.8390 0.4130 4.8890 0.5630 ; + RECT 4.8390 1.1090 4.8890 1.1620 ; + RECT 4.8390 0.9040 4.8890 1.0590 ; + RECT 4.8390 0.6130 4.8890 0.8540 ; + RECT 6.9510 0.4880 8.2730 0.5380 ; + RECT 8.2230 0.5380 8.2730 1.0200 ; + RECT 6.9670 1.0200 8.2730 1.0700 ; + RECT 6.0190 0.5210 6.4450 0.5710 ; + RECT 5.1980 0.7380 6.7550 0.7880 ; + RECT 5.7350 1.1200 7.0170 1.1700 ; + RECT 6.1900 0.5710 6.2400 0.7380 ; + RECT 6.7030 0.7880 6.7530 1.1200 ; + RECT 6.9670 1.0700 7.0170 1.1200 ; + RECT 4.0790 0.6130 4.5250 0.6630 ; + RECT 4.0790 0.6630 4.1290 1.0040 ; + RECT 4.0790 0.4130 4.1290 0.6130 ; + RECT 8.1870 0.1880 9.5410 0.2380 ; + RECT 6.7860 0.2880 8.2370 0.3380 ; + RECT 8.1870 0.2380 8.2370 0.2880 ; + RECT 5.5070 0.6130 5.9690 0.6630 ; + RECT 5.9190 0.4550 5.9690 0.6130 ; + RECT 5.9190 0.4050 6.8360 0.4550 ; + RECT 6.7860 0.3380 6.8360 0.4050 ; + RECT 4.6870 1.2740 5.0570 1.3240 ; + RECT 4.6870 0.8130 4.7770 0.8630 ; + RECT 4.6710 0.4120 4.7770 0.4620 ; + RECT 4.6820 0.2950 5.0570 0.3450 ; + RECT 4.6870 1.3240 4.7370 1.3540 ; + RECT 4.6870 1.2520 4.7370 1.2740 ; + RECT 4.6870 0.8630 4.7370 1.2020 ; + RECT 4.6820 0.3450 4.7320 0.4120 ; + RECT 4.7270 0.4620 4.7770 0.8130 ; + RECT 3.9270 1.2020 4.7370 1.2520 ; + RECT 3.6230 1.0540 3.6730 1.3610 ; + RECT 3.6230 0.9710 3.6730 1.0040 ; + RECT 3.9270 0.8190 4.0170 0.8690 ; + RECT 3.6070 1.0040 3.9770 1.0540 ; + RECT 3.3030 0.3780 3.9770 0.4250 ; + RECT 3.9270 0.4280 4.0170 0.4750 ; + RECT 3.3030 0.4250 4.0170 0.4280 ; + RECT 3.9270 0.3620 3.9770 0.3780 ; + RECT 3.9670 0.4750 4.0170 0.8190 ; + RECT 3.9270 0.8690 3.9770 1.0040 ; + RECT 3.9270 1.0540 3.9770 1.2020 ; + RECT 4.2900 0.0930 5.1390 0.1430 ; + RECT 4.5190 0.5130 4.6610 0.5630 ; + RECT 4.5350 0.7130 4.6610 0.7630 ; + RECT 4.5350 0.7630 4.5850 1.0330 ; + RECT 4.6110 0.5630 4.6610 0.7130 ; + RECT 7.0830 0.3880 8.5370 0.4380 ; + RECT 8.3350 1.2800 9.1610 1.3300 ; + RECT 7.1030 1.1200 8.3850 1.1700 ; + RECT 8.4870 0.3000 9.4650 0.3500 ; + RECT 8.4870 0.2880 8.5370 0.3000 ; + RECT 8.4870 0.4380 8.5370 0.4710 ; + RECT 8.4870 0.3500 8.5370 0.3880 ; + RECT 8.3350 1.1700 8.3850 1.2800 ; + RECT 8.3350 0.4380 8.3850 1.1200 ; + RECT 8.6030 0.4000 10.7810 0.4500 ; + RECT 10.2170 0.6320 10.7810 0.6820 ; + RECT 10.7310 0.4500 10.7810 0.6320 ; + RECT 8.4350 0.5210 8.6890 0.5710 ; + RECT 8.4350 0.5710 8.4850 0.9790 ; + RECT 8.4350 0.9790 8.9620 1.0290 ; + RECT 8.6030 1.1790 9.9570 1.2290 ; + RECT 8.6390 0.4500 8.6890 0.5210 ; + RECT 8.9120 1.0290 8.9620 1.1790 ; + RECT 7.9550 0.8300 8.0050 0.9130 ; + RECT 7.5370 0.9130 8.0050 0.9630 ; + RECT 6.8150 0.9090 6.8650 1.0340 ; + RECT 6.8150 0.5050 6.8650 0.8590 ; + RECT 6.8140 0.8590 7.5870 0.9090 ; + RECT 7.5370 0.9090 7.5870 0.9130 ; + RECT 8.5470 0.6210 9.5610 0.6710 ; + RECT 7.9360 0.6130 8.1730 0.6630 ; + RECT 10.1430 0.2000 10.5410 0.2500 ; + RECT 11.8870 0.6130 12.4290 0.6630 ; + RECT 10.0070 0.8090 10.0570 1.3010 ; + RECT 10.0070 0.5000 10.0570 0.5240 ; + RECT 9.7630 0.6280 10.0570 0.6780 ; + RECT 10.0070 0.6780 10.0570 0.7590 ; + RECT 10.0070 0.5740 10.0570 0.6280 ; + RECT 10.3110 0.8090 10.3610 1.3010 ; + LAYER NWELL ; + RECT 12.7840 0.4910 14.7390 1.0830 ; + RECT -0.1280 1.5430 15.4780 1.7730 ; + RECT -0.1280 0.6790 12.3220 1.5430 ; + RECT 15.2030 0.6790 15.4780 1.5430 ; + RECT 3.4910 0.6760 4.6950 0.6790 ; + END +END RSDFFSRSSRX2_HVT + +MACRO RSDFFSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.744 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.9590 0.8920 14.5300 0.9420 ; + RECT 14.3710 0.8570 14.5300 0.8920 ; + RECT 14.3710 0.9420 14.5300 0.9670 ; + RECT 13.9590 0.9420 14.0090 1.5640 ; + RECT 13.9590 0.2130 14.0090 0.4520 ; + RECT 14.4700 0.5020 14.5200 0.8570 ; + RECT 13.9590 0.4520 14.5200 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 0.2430 14.0050 0.2850 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.9630 0.4270 14.0050 0.4690 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5330 1.1610 14.6580 1.2710 ; + RECT 14.2470 0.3170 14.6440 0.3670 ; + RECT 14.2630 1.0420 14.6440 1.0920 ; + RECT 14.2630 1.0920 14.3130 1.5640 ; + RECT 14.5940 1.0920 14.6440 1.1610 ; + RECT 14.5940 0.3670 14.6440 1.0420 ; + END + PORT + LAYER CO ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 0.3210 14.3090 0.3630 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.7440 1.7020 ; + RECT 5.1260 1.2200 8.2490 1.2700 ; + RECT 9.0950 1.4040 11.4650 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 14.4150 1.1810 14.4650 1.6420 ; + RECT 13.3510 0.9590 13.4010 1.6420 ; + RECT 13.6550 0.9590 13.7050 1.6420 ; + RECT 14.1110 0.9920 14.1610 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.5350 1.2700 5.5850 1.6420 ; + RECT 9.0950 1.2790 9.1450 1.4040 ; + RECT 10.0070 0.9530 10.0570 1.4040 ; + RECT 11.4150 1.4540 11.4650 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 14.1150 1.0270 14.1570 1.0690 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 14.1150 1.1190 14.1570 1.1610 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 10.0110 1.2490 10.0530 1.2910 ; + RECT 10.0110 1.0650 10.0530 1.1070 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 6.3630 1.2240 6.4050 1.2660 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 10.0110 1.1570 10.0530 1.1990 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.0110 1.0650 10.0530 1.1070 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.0110 1.1570 10.0530 1.1990 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 8.1870 1.2240 8.2290 1.2660 ; + RECT 9.0990 1.3010 9.1410 1.3430 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 14.1150 1.1190 14.1570 1.1610 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 14.1150 1.0270 14.1570 1.0690 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 10.0110 1.2490 10.0530 1.2910 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 10.0110 0.9730 10.0530 1.0150 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.7440 0.0300 ; + RECT 9.0790 0.3280 10.3630 0.3780 ; + RECT 13.6150 0.2030 13.7210 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 11.9830 0.0300 12.0330 0.1980 ; + RECT 11.0710 0.0300 11.1210 0.4260 ; + RECT 12.4390 0.0300 12.4890 0.4260 ; + RECT 13.3510 0.0300 13.4010 0.4230 ; + RECT 14.4150 0.0300 14.4650 0.2260 ; + RECT 10.3120 0.0300 10.3620 0.3280 ; + RECT 13.6150 0.0300 13.6650 0.2030 ; + RECT 14.1110 0.2530 14.1610 0.3950 ; + RECT 14.1990 0.0300 14.2490 0.2030 ; + RECT 14.1110 0.2030 14.2490 0.2530 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.4630 0.3320 8.2490 0.3550 ; + RECT 4.7070 0.3050 8.2490 0.3320 ; + RECT 3.1670 0.1940 4.7570 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 4.7070 0.2440 4.7570 0.2820 ; + RECT 4.7070 0.2820 5.5130 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 6.0590 0.3080 6.1010 0.3500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 6.8190 0.3090 6.8610 0.3510 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 12.4430 0.2660 12.4850 0.3080 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.1150 0.2410 14.1570 0.2830 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 12.4430 0.1740 12.4850 0.2160 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 6.3630 0.3090 6.4050 0.3510 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 13.3550 0.3610 13.3970 0.4030 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 11.9870 0.1360 12.0290 0.1780 ; + RECT 13.6590 0.2070 13.7010 0.2490 ; + RECT 14.1150 0.3330 14.1570 0.3750 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.4430 0.1740 12.4850 0.2160 ; + RECT 12.4430 0.3580 12.4850 0.4000 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 14.4190 0.1490 14.4610 0.1910 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 14.1150 0.3330 14.1570 0.3750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 11.0750 0.2540 11.1170 0.2960 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 13.6590 0.2070 13.7010 0.2490 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 5.4510 0.2860 5.4930 0.3280 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 12.4430 0.3580 12.4850 0.4000 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 13.3550 0.2690 13.3970 0.3110 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.0750 0.1620 11.1170 0.2040 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 10.1630 0.3320 10.2050 0.3740 ; + RECT 9.0990 0.3320 9.1410 0.3740 ; + RECT 5.1470 0.2860 5.1890 0.3280 ; + RECT 11.0750 0.3460 11.1170 0.3880 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 11.0750 0.3460 11.1170 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 5.7550 0.3080 5.7970 0.3500 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 13.3550 0.1770 13.3970 0.2190 ; + RECT 13.3550 0.2690 13.3970 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 14.4190 0.1490 14.4610 0.1910 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.5610 0.2490 12.6730 0.3590 ; + RECT 12.3470 0.5270 12.6220 0.5770 ; + RECT 12.5720 0.3590 12.6220 0.5270 ; + END + PORT + LAYER CO ; + RECT 12.3670 0.5310 12.4090 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.5450 0.6630 7.7010 0.8150 ; + RECT 7.6350 0.6130 8.0210 0.6630 ; + RECT 8.2590 0.7810 8.3090 0.9330 ; + RECT 7.9710 0.6630 8.0210 0.7310 ; + RECT 7.9710 0.7310 8.3090 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.9590 0.6170 8.0010 0.6590 ; + RECT 7.8070 0.6170 7.8490 0.6590 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 8.2630 0.8710 8.3050 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.0550 0.9480 12.6730 1.0080 ; + RECT 12.5590 0.6900 12.6730 0.9480 ; + RECT 12.4390 0.6270 12.4890 0.9480 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.4430 0.7430 12.4850 0.7850 ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 11.9870 0.9570 12.0290 0.9990 ; + RECT 11.0750 0.9570 11.1170 0.9990 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.5950 0.7100 12.6370 0.7520 ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 12.5950 0.8620 12.6370 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.2110 0.5090 6.2530 0.5510 ; + RECT 6.1350 0.8580 6.1770 0.9000 ; + RECT 6.0590 1.1260 6.1010 1.1680 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 6.5910 0.6620 6.6330 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.0470 0.6280 7.0890 0.6700 ; + RECT 6.2870 0.6890 6.3290 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 10.0870 0.0900 10.1290 0.1320 ; + RECT 9.4030 0.4340 9.4450 0.4760 ; + RECT 9.6310 0.4340 9.6730 0.4760 ; + RECT 9.6310 1.1830 9.6730 1.2250 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 5.3750 0.0900 5.4170 0.1320 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 6.8950 0.6280 6.9370 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.1770 4.7330 1.2190 ; + RECT 4.6910 1.0850 4.7330 1.1270 ; + RECT 6.9710 0.5140 7.0130 0.5560 ; + RECT 6.9710 0.9450 7.0130 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 8.6430 0.5010 8.6850 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 11.6830 0.7130 11.7250 0.7550 ; + RECT 12.1390 0.7130 12.1810 0.7550 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 11.5310 0.6770 11.5730 0.7190 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 11.8350 0.8240 11.8770 0.8660 ; + RECT 11.5310 0.3680 11.5730 0.4100 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 8.4910 1.0240 8.5330 1.0660 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 13.7350 0.0970 13.7770 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 14.3430 0.6640 14.3850 0.7060 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 4.4630 0.0900 4.5050 0.1320 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 5.2990 1.0720 5.3410 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.8710 0.0900 8.9130 0.1320 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 6.4390 0.6620 6.4810 0.7040 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.0350 0.4170 8.0770 0.4590 ; + RECT 5.4510 1.1260 5.4930 1.1680 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 6.5150 0.5140 6.5570 0.5560 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 9.1750 0.6610 9.2170 0.7030 ; + RECT 9.3270 0.6610 9.3690 0.7030 ; + RECT 4.6150 0.0900 4.6570 0.1320 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 7.8830 0.5170 7.9250 0.5590 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 13.8110 0.2490 13.8530 0.2910 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 7.8070 0.9240 7.8490 0.9660 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.2270 0.2540 11.2690 0.2960 ; + RECT 12.0630 0.4660 12.1050 0.5080 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 11.2270 0.1620 11.2690 0.2040 ; + RECT 8.6430 0.4090 8.6850 0.4510 ; + RECT 7.4270 0.9720 7.4690 1.0140 ; + RECT 7.5790 1.0640 7.6210 1.1060 ; + RECT 7.7310 1.1240 7.7730 1.1660 ; + RECT 11.8350 0.1360 11.8770 0.1780 ; + RECT 7.7310 0.4170 7.7730 0.4590 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + RECT 12.2910 0.6800 12.3330 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 8.0350 1.1240 8.0770 1.1660 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 8.9470 0.9710 8.9890 1.0130 ; + RECT 6.5150 1.0620 6.5570 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.5270 0.8580 5.5690 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 9.7830 0.0900 9.8250 0.1320 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 13.5070 0.1770 13.5490 0.2190 ; + RECT 13.4310 0.6170 13.4730 0.6590 ; + RECT 4.6910 1.2690 4.7330 1.3110 ; + RECT 13.5070 0.3610 13.5490 0.4030 ; + RECT 8.7190 1.4240 8.7610 1.4660 ; + RECT 9.0230 0.7610 9.0650 0.8030 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 6.2870 1.4240 6.3290 1.4660 ; + RECT 9.1750 0.9710 9.2170 1.0130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.0710 1.4280 5.1130 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 4.6910 0.3860 4.7330 0.4280 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 10.9230 0.6510 10.9650 0.6930 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + RECT 9.4030 1.1830 9.4450 1.2250 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 8.4910 1.2080 8.5330 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 8.8710 1.4240 8.9130 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.2270 0.6480 11.2690 0.6900 ; + RECT 10.5430 1.2030 10.5850 1.2450 ; + RECT 11.2270 0.6480 11.2690 0.6900 ; + RECT 10.9230 0.6510 10.9650 0.6930 ; + RECT 10.9230 0.1620 10.9650 0.2040 ; + RECT 11.3790 0.2520 11.4210 0.2940 ; + RECT 10.9230 0.2540 10.9650 0.2960 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 10.9230 0.9270 10.9650 0.9690 ; + RECT 11.9110 1.0730 11.9530 1.1150 ; + RECT 11.2270 0.8320 11.2690 0.8740 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0900 4.3530 0.1320 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.2270 0.7400 11.2690 0.7820 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 13.5070 0.2690 13.5490 0.3110 ; + RECT 5.3750 0.6330 5.4170 0.6750 ; + RECT 10.0110 0.5520 10.0530 0.5940 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 7.4270 0.5250 7.4690 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 5.2990 0.3860 5.3410 0.4280 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 8.7190 1.4240 8.7610 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 8.1110 0.8510 8.1530 0.8930 ; + RECT 7.9590 0.9240 8.0010 0.9660 ; + RECT 10.9990 0.5310 11.0410 0.5730 ; + RECT 4.1590 0.6600 4.2010 0.7020 ; + RECT 3.7030 0.0900 3.7450 0.1320 ; + RECT 7.6550 0.9240 7.6970 0.9660 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.2230 0.6330 5.2650 0.6750 ; + RECT 5.9070 0.5090 5.9490 0.5510 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.9190 0.7420 4.9610 0.7840 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.3750 1.5280 5.4170 1.5700 ; + RECT 10.1630 0.9630 10.2050 1.0050 ; + RECT 7.5790 0.5170 7.6210 0.5590 ; + RECT 13.8110 0.3410 13.8530 0.3830 ; + RECT 8.4910 0.4170 8.5330 0.4590 ; + RECT 5.0710 0.9710 5.1130 1.0130 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 11.6070 1.2030 11.6490 1.2450 ; + RECT 11.3030 1.0650 11.3450 1.1070 ; + RECT 13.7350 0.6060 13.7770 0.6480 ; + RECT 10.9230 0.9270 10.9650 0.9690 ; + RECT 8.7190 0.7710 8.7610 0.8130 ; + RECT 8.7950 1.1990 8.8370 1.2410 ; + RECT 4.0070 0.6170 4.0490 0.6590 ; + RECT 7.3510 1.5240 7.3930 1.5660 ; + RECT 8.7950 1.2910 8.8370 1.3330 ; + RECT 10.5430 0.8050 10.5850 0.8470 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 5.2230 0.9710 5.2650 1.0130 ; + RECT 14.0390 0.0970 14.0810 0.1390 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 5.7550 1.1260 5.7970 1.1680 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 10.9230 0.7430 10.9650 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.1390 0.2520 12.1810 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 5.0710 0.6330 5.1130 0.6750 ; + RECT 8.7190 0.0900 8.7610 0.1320 ; + RECT 9.0230 0.0900 9.0650 0.1320 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 6.2870 0.6890 6.3290 0.7310 ; + RECT 11.3790 0.8240 11.4210 0.8660 ; + RECT 9.7830 0.4340 9.8250 0.4760 ; + RECT 8.2630 0.6170 8.3050 0.6590 ; + RECT 8.4910 1.1160 8.5330 1.1580 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 8.9470 0.3340 8.9890 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 12.3670 1.0730 12.4090 1.1150 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 8.4150 1.5240 8.4570 1.5660 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 11.2270 0.8320 11.2690 0.8740 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 4.9950 0.3860 5.0370 0.4280 ; + LAYER PO ; + RECT 8.7250 0.0660 8.7550 0.6450 ; + RECT 4.3170 0.0660 4.3470 0.6820 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 9.9410 0.0590 9.9710 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 14.1970 0.0710 14.2270 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6910 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 7.6610 0.8920 7.6910 1.6060 ; + RECT 8.8770 0.7710 8.9070 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.8130 0.8920 7.8430 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 7.9650 0.8920 7.9950 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6450 ; + RECT 7.9650 0.0660 7.9950 0.6910 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6820 ; + RECT 14.6530 0.0690 14.6830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.2290 0.9390 5.2590 1.6060 ; + RECT 8.7250 0.7540 8.7550 1.6060 ; + RECT 14.0450 0.0690 14.0750 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 13.8930 0.0710 13.9230 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.8130 0.0660 7.8430 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 14.3490 0.0690 14.3790 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 5.2290 0.0660 5.2590 0.6950 ; + RECT 5.0770 0.0660 5.1070 0.6950 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.0770 0.9390 5.1070 1.6060 ; + RECT 10.3970 0.0670 10.4270 1.6050 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 8.2690 0.8390 8.2990 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.0930 0.0590 10.1230 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.7280 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 14.5010 0.0690 14.5310 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.7890 0.0590 9.8190 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + RECT 9.1810 0.9390 9.2110 1.6060 ; + LAYER M1 ; + RECT 13.8070 0.2250 13.8570 0.4010 ; + RECT 13.8070 0.8280 13.8570 1.3090 ; + RECT 13.8470 0.4510 13.8970 0.6600 ; + RECT 13.8470 0.7100 13.8970 0.7780 ; + RECT 13.7150 0.0930 14.1250 0.1430 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 4.9910 1.0680 5.3610 1.1180 ; + RECT 4.6740 1.2920 5.0570 1.3420 ; + RECT 4.6870 1.0650 4.7370 1.2920 ; + RECT 4.9910 1.1180 5.0410 1.2920 ; + RECT 4.5950 1.4240 5.1330 1.4740 ; + RECT 4.7450 0.7380 5.6500 0.7880 ; + RECT 5.4310 1.1200 6.4450 1.1700 ; + RECT 5.5990 0.5050 6.4450 0.5550 ; + RECT 6.3950 0.6580 6.6600 0.7080 ; + RECT 6.3950 0.7080 6.4450 1.1200 ; + RECT 5.5990 0.5550 5.6490 0.7380 ; + RECT 6.3950 0.5550 6.4450 0.6580 ; + RECT 5.0510 0.6290 5.4370 0.6790 ; + RECT 5.0510 0.9680 6.3330 1.0180 ; + RECT 6.2830 0.6690 6.3330 0.9680 ; + RECT 4.1390 1.5240 5.4370 1.5740 ; + RECT 7.3310 1.5200 8.3250 1.5700 ; + RECT 7.4230 0.9200 8.1570 0.9700 ; + RECT 8.1070 0.8310 8.1570 0.9200 ; + RECT 7.4230 0.9700 7.4730 1.0340 ; + RECT 7.4230 0.5050 7.4730 0.9200 ; + RECT 8.3750 0.5630 8.4250 1.0200 ; + RECT 7.5590 0.5130 8.4240 0.5440 ; + RECT 7.5590 0.5440 8.4250 0.5630 ; + RECT 7.5750 1.0200 8.4250 1.0700 ; + RECT 6.9440 0.5100 7.2410 0.5600 ; + RECT 7.1910 0.9910 7.2410 1.1200 ; + RECT 6.9440 0.9410 7.2410 0.9910 ; + RECT 7.1910 0.5600 7.2410 0.9410 ; + RECT 7.1910 1.1200 7.6250 1.1700 ; + RECT 7.5750 1.0700 7.6250 1.1200 ; + RECT 6.7760 0.6240 7.1090 0.6740 ; + RECT 6.5110 1.1080 6.5610 1.1240 ; + RECT 6.5110 1.0420 6.5610 1.0580 ; + RECT 6.7760 0.6740 6.8260 1.0580 ; + RECT 6.5110 1.0580 6.8260 1.1080 ; + RECT 6.7760 0.5600 6.8260 0.6240 ; + RECT 6.4950 0.5100 6.8260 0.5600 ; + RECT 8.7920 1.1790 9.7280 1.2290 ; + RECT 8.7920 1.2290 8.8420 1.3530 ; + RECT 8.0900 0.6130 8.3250 0.6630 ; + RECT 8.6390 0.7670 8.7810 0.8170 ; + RECT 8.6390 0.6570 9.2370 0.7070 ; + RECT 8.6390 0.7070 8.6890 0.7670 ; + RECT 9.1020 0.9670 9.2370 1.0170 ; + RECT 9.0030 0.7570 9.1520 0.8070 ; + RECT 9.1020 0.8070 9.1520 0.9670 ; + RECT 9.3260 0.5300 10.0570 0.5800 ; + RECT 10.0070 0.5800 10.0570 0.8010 ; + RECT 10.0020 0.8010 10.6050 0.8510 ; + RECT 10.1590 0.8510 10.2090 1.3010 ; + RECT 9.3070 0.6570 9.3890 0.7070 ; + RECT 9.3260 0.5800 9.3760 0.6570 ; + RECT 11.3590 0.8200 11.9070 0.8700 ; + RECT 11.6630 0.7090 12.2010 0.7590 ; + RECT 11.8910 1.0690 12.4290 1.1190 ; + RECT 12.9490 0.6320 13.4770 0.6820 ; + RECT 13.4270 0.5970 13.4770 0.6320 ; + RECT 10.5230 1.1990 12.9990 1.2490 ; + RECT 12.9490 0.6820 12.9990 1.1990 ; + RECT 6.2670 1.4200 8.9330 1.4700 ; + RECT 8.3930 1.5200 11.3650 1.5700 ; + RECT 5.3550 0.0880 9.0850 0.1380 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 4.6710 0.3820 5.3610 0.4320 ; + RECT 3.3190 0.6130 4.0690 0.6630 ; + RECT 3.3190 0.6630 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6130 ; + RECT 3.6230 0.6630 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6130 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7630 4.1290 1.0050 ; + RECT 3.7750 0.7130 4.2050 0.7630 ; + RECT 4.1550 0.5630 4.2050 0.7130 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7630 3.8250 1.0050 ; + RECT 4.3830 0.8540 6.1970 0.9040 ; + RECT 4.3830 0.4980 4.9190 0.5480 ; + RECT 4.3830 0.4130 4.4330 0.4980 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.3830 0.5480 4.4330 0.8540 ; + RECT 8.7910 0.4300 9.8570 0.4800 ; + RECT 8.7910 0.4800 8.8410 0.5630 ; + RECT 7.7110 0.4130 8.6890 0.4630 ; + RECT 8.4870 0.9670 9.0100 1.0170 ; + RECT 7.7110 1.1200 8.5370 1.1700 ; + RECT 8.6390 0.4630 8.6890 0.5630 ; + RECT 8.4870 0.3840 8.5370 0.4130 ; + RECT 8.6390 0.3800 8.6890 0.4130 ; + RECT 8.6390 0.3300 9.0150 0.3800 ; + RECT 8.6390 0.3270 8.6890 0.3300 ; + RECT 8.4870 0.4630 8.5370 0.9670 ; + RECT 8.4870 1.1700 8.5370 1.2700 ; + RECT 8.4870 1.0170 8.5370 1.1200 ; + RECT 9.7130 0.0880 10.1930 0.1380 ; + RECT 12.2470 0.6270 12.3370 0.6770 ; + RECT 12.0430 0.4770 12.2970 0.5120 ; + RECT 12.0430 0.4620 12.3370 0.4770 ; + RECT 12.2870 0.1260 12.3370 0.4270 ; + RECT 12.2870 0.6770 12.3370 0.7680 ; + RECT 12.2470 0.5120 12.2970 0.6270 ; + RECT 12.2470 0.4270 12.3370 0.4620 ; + RECT 11.6630 0.1320 11.8970 0.1820 ; + RECT 10.9190 1.0610 11.3680 1.1110 ; + RECT 10.8790 0.4270 10.9690 0.4770 ; + RECT 10.8790 0.6270 10.9690 0.6770 ; + RECT 10.9190 0.1260 10.9690 0.4270 ; + RECT 10.8790 0.4770 10.9290 0.6270 ; + RECT 10.9190 0.6770 10.9690 1.0610 ; + RECT 10.9790 0.5270 11.5770 0.5770 ; + RECT 11.2230 0.5770 11.2730 0.8970 ; + RECT 11.2230 0.1260 11.2730 0.5270 ; + RECT 11.5270 0.5770 11.5770 0.7700 ; + RECT 11.5270 0.3480 11.5770 0.5270 ; + RECT 11.3590 0.2480 12.2010 0.2980 ; + RECT 13.5420 0.6020 13.7970 0.6520 ; + RECT 13.5030 0.4010 13.5920 0.4510 ; + RECT 13.5030 0.7780 13.5920 0.8280 ; + RECT 13.5030 0.1570 13.5530 0.4010 ; + RECT 13.5030 0.8280 13.5530 1.3090 ; + RECT 13.5420 0.4510 13.5920 0.6020 ; + RECT 13.5420 0.6520 13.5920 0.7780 ; + RECT 13.8470 0.6600 14.4130 0.7100 ; + RECT 13.8070 0.4010 13.8970 0.4510 ; + RECT 13.8070 0.7780 13.8970 0.8280 ; + LAYER NWELL ; + RECT -0.1150 1.5430 14.8590 1.7730 ; + RECT -0.1150 0.6870 10.2900 1.5430 ; + RECT 13.2230 0.6790 14.8590 1.5430 ; + RECT -0.1150 0.6790 4.1700 0.6870 ; + RECT 4.6690 0.6790 9.0260 0.6870 ; + RECT 10.7520 0.4910 12.7630 1.0830 ; + END +END RSDFFSRX1_HVT + +MACRO RSDFFSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.048 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.9590 0.8920 14.8340 0.9420 ; + RECT 14.6750 0.9420 14.8340 0.9670 ; + RECT 14.6750 0.8570 14.8340 0.8920 ; + RECT 14.2630 0.9420 14.3130 1.5640 ; + RECT 13.9590 0.9420 14.0090 1.5640 ; + RECT 13.9590 0.2130 14.0090 0.4520 ; + RECT 14.7740 0.5020 14.8240 0.8570 ; + RECT 13.9590 0.4520 14.8240 0.5020 ; + END + PORT + LAYER CO ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.9630 0.4270 14.0050 0.4690 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 14.2670 0.4560 14.3090 0.4980 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 0.2430 14.0050 0.2850 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.8410 1.1610 14.9620 1.2710 ; + RECT 14.4150 0.1160 14.4650 0.2890 ; + RECT 14.7190 0.1160 14.7690 0.2890 ; + RECT 14.4150 0.2890 14.9480 0.3390 ; + RECT 14.4150 1.0420 14.9480 1.0920 ; + RECT 14.4150 1.0920 14.4650 1.5640 ; + RECT 14.7190 1.0920 14.7690 1.5640 ; + RECT 14.8980 1.0920 14.9480 1.1610 ; + RECT 14.8980 0.3390 14.9480 1.0420 ; + END + PORT + LAYER CO ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 0.1510 14.7650 0.1930 ; + RECT 14.7230 0.1510 14.7650 0.1930 ; + RECT 14.7230 0.2430 14.7650 0.2850 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 14.4190 0.1510 14.4610 0.1930 ; + RECT 14.4190 0.2430 14.4610 0.2850 ; + RECT 14.4190 0.1510 14.4610 0.1930 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.0480 1.7020 ; + RECT 5.1260 1.2200 8.2490 1.2700 ; + RECT 9.0950 1.4040 11.4650 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 13.3510 0.9590 13.4010 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 13.6550 0.9590 13.7050 1.6420 ; + RECT 14.1110 0.9920 14.1610 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 14.5670 1.1810 14.6170 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.5350 1.2700 5.5850 1.6420 ; + RECT 10.0070 0.9530 10.0570 1.4040 ; + RECT 9.0950 1.2790 9.1450 1.4040 ; + RECT 11.4150 1.4540 11.4650 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 14.1150 1.0270 14.1570 1.0690 ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 14.1150 1.1190 14.1570 1.1610 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 10.0110 1.1570 10.0530 1.1990 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 10.0110 1.0650 10.0530 1.1070 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 10.0110 1.2490 10.0530 1.2910 ; + RECT 10.0110 1.0650 10.0530 1.1070 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 14.1150 1.0270 14.1570 1.0690 ; + RECT 10.0110 1.1570 10.0530 1.1990 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 10.0110 0.9730 10.0530 1.0150 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 6.3630 1.2240 6.4050 1.2660 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 8.1870 1.2240 8.2290 1.2660 ; + RECT 9.0990 1.3010 9.1410 1.3430 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 14.1150 1.1190 14.1570 1.1610 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 10.0110 1.2490 10.0530 1.2910 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.0480 0.0300 ; + RECT 9.0790 0.3280 10.3630 0.3780 ; + RECT 13.6150 0.2030 13.7210 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 12.4390 0.0300 12.4890 0.4260 ; + RECT 11.9830 0.0300 12.0330 0.1980 ; + RECT 11.0710 0.0300 11.1210 0.4260 ; + RECT 13.3510 0.0300 13.4010 0.4230 ; + RECT 14.5670 0.0300 14.6170 0.2260 ; + RECT 10.3120 0.0300 10.3620 0.3280 ; + RECT 13.6150 0.0300 13.6650 0.2030 ; + RECT 14.1110 0.2530 14.1610 0.3950 ; + RECT 14.3100 0.0300 14.3600 0.2030 ; + RECT 14.1110 0.2030 14.3600 0.2530 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.4630 0.3320 8.2490 0.3550 ; + RECT 4.7070 0.3050 8.2490 0.3320 ; + RECT 3.1670 0.1940 4.7570 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 4.7070 0.2440 4.7570 0.2820 ; + RECT 4.7070 0.2820 5.5130 0.3050 ; + END + PORT + LAYER CO ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 6.0590 0.3080 6.1010 0.3500 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 6.8190 0.3090 6.8610 0.3510 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 13.6590 0.2070 13.7010 0.2490 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 5.4510 0.2860 5.4930 0.3280 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 12.4430 0.2660 12.4850 0.3080 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 12.4430 0.1740 12.4850 0.2160 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 6.3630 0.3090 6.4050 0.3510 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.1150 0.2410 14.1570 0.2830 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 13.6590 0.2070 13.7010 0.2490 ; + RECT 14.1150 0.3330 14.1570 0.3750 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 12.4430 0.1740 12.4850 0.2160 ; + RECT 12.4430 0.3580 12.4850 0.4000 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 14.5710 0.1490 14.6130 0.1910 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 14.1150 0.3330 14.1570 0.3750 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 13.3550 0.3610 13.3970 0.4030 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 13.3550 0.1770 13.3970 0.2190 ; + RECT 10.1630 0.3320 10.2050 0.3740 ; + RECT 9.0990 0.3320 9.1410 0.3740 ; + RECT 5.1470 0.2860 5.1890 0.3280 ; + RECT 11.9870 0.1360 12.0290 0.1780 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 14.5710 0.1490 14.6130 0.1910 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 12.4430 0.3580 12.4850 0.4000 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 11.0750 0.2540 11.1170 0.2960 ; + RECT 11.0750 0.1620 11.1170 0.2040 ; + RECT 13.3550 0.2690 13.3970 0.3110 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 11.0750 0.3460 11.1170 0.3880 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 13.3550 0.2690 13.3970 0.3110 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 11.0750 0.3460 11.1170 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 5.7550 0.3080 5.7970 0.3500 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.5620 0.2490 12.6730 0.3590 ; + RECT 12.3470 0.5270 12.6220 0.5770 ; + RECT 12.5720 0.3590 12.6220 0.5270 ; + END + PORT + LAYER CO ; + RECT 12.3670 0.5310 12.4090 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.5450 0.6630 7.7010 0.8150 ; + RECT 7.6350 0.6130 8.0210 0.6630 ; + RECT 8.2590 0.7810 8.3090 0.9330 ; + RECT 7.9710 0.6630 8.0210 0.7310 ; + RECT 7.9710 0.7310 8.3090 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.9590 0.6170 8.0010 0.6590 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 8.2630 0.8710 8.3050 0.9130 ; + RECT 7.8070 0.6170 7.8490 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.0550 0.9420 12.6730 1.0020 ; + RECT 12.5610 0.6900 12.6730 0.9420 ; + RECT 12.4390 0.6270 12.4890 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 12.4430 0.6510 12.4850 0.6930 ; + RECT 11.9870 0.9510 12.0290 0.9930 ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 12.4430 0.7430 12.4850 0.7850 ; + RECT 12.5950 0.7100 12.6370 0.7520 ; + RECT 12.4430 0.9270 12.4850 0.9690 ; + RECT 12.4430 0.8350 12.4850 0.8770 ; + RECT 11.0750 0.9510 11.1170 0.9930 ; + RECT 12.5950 0.8620 12.6370 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 10.0870 0.0920 10.1290 0.1340 ; + RECT 9.4030 0.4340 9.4450 0.4760 ; + RECT 9.6310 0.4340 9.6730 0.4760 ; + RECT 9.6310 1.1830 9.6730 1.2250 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 5.3750 0.0920 5.4170 0.1340 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.2110 0.5090 6.2530 0.5510 ; + RECT 6.1350 0.8580 6.1770 0.9000 ; + RECT 6.0590 1.1260 6.1010 1.1680 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 6.5910 0.6620 6.6330 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.0470 0.6280 7.0890 0.6700 ; + RECT 6.2870 0.6890 6.3290 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 6.8950 0.6280 6.9370 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.1770 4.7330 1.2190 ; + RECT 4.6910 1.0850 4.7330 1.1270 ; + RECT 6.9710 0.5140 7.0130 0.5560 ; + RECT 6.9710 0.9450 7.0130 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.8710 0.0920 8.9130 0.1340 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 6.4390 0.6620 6.4810 0.7040 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 6.5150 0.5140 6.5570 0.5560 ; + RECT 12.2910 0.6800 12.3330 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 8.0350 1.1240 8.0770 1.1660 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 8.9470 0.9710 8.9890 1.0130 ; + RECT 6.5150 1.0620 6.5570 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.5270 0.8580 5.5690 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 11.6830 0.7130 11.7250 0.7550 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 8.6430 0.5010 8.6850 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 11.8350 0.8240 11.8770 0.8660 ; + RECT 11.5310 0.3680 11.5730 0.4100 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 8.4910 1.0240 8.5330 1.0660 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 13.7350 0.0970 13.7770 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 14.4950 0.6640 14.5370 0.7060 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 14.1910 0.0970 14.2330 0.1390 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 5.2990 1.0720 5.3410 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 11.3790 0.2520 11.4210 0.2940 ; + RECT 10.9230 0.2540 10.9650 0.2960 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 10.9230 0.9270 10.9650 0.9690 ; + RECT 11.9110 1.0610 11.9530 1.1030 ; + RECT 11.2270 0.8220 11.2690 0.8640 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0920 4.3530 0.1340 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.2270 0.7300 11.2690 0.7720 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 13.5070 0.2690 13.5490 0.3110 ; + RECT 5.3750 0.6330 5.4170 0.6750 ; + RECT 10.0110 0.5520 10.0530 0.5940 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 7.4270 0.5250 7.4690 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 12.1390 0.7130 12.1810 0.7550 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 11.5310 0.6770 11.5730 0.7190 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 7.8830 0.5170 7.9250 0.5590 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 13.8110 0.2490 13.8530 0.2910 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 7.8070 0.9240 7.8490 0.9660 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.2270 0.2540 11.2690 0.2960 ; + RECT 12.0630 0.4660 12.1050 0.5080 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 11.2270 0.1620 11.2690 0.2040 ; + RECT 8.6430 0.4090 8.6850 0.4510 ; + RECT 7.4270 0.9720 7.4690 1.0140 ; + RECT 7.5790 1.0640 7.6210 1.1060 ; + RECT 7.7310 1.1240 7.7730 1.1660 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.0350 0.4170 8.0770 0.4590 ; + RECT 5.4510 1.1260 5.4930 1.1680 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 13.7350 0.6060 13.7770 0.6480 ; + RECT 10.9230 0.9270 10.9650 0.9690 ; + RECT 8.7190 0.7710 8.7610 0.8130 ; + RECT 8.7950 1.1990 8.8370 1.2410 ; + RECT 4.0070 0.6170 4.0490 0.6590 ; + RECT 7.3510 1.5240 7.3930 1.5660 ; + RECT 8.7950 1.2910 8.8370 1.3330 ; + RECT 10.5430 0.8050 10.5850 0.8470 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 5.2230 0.9710 5.2650 1.0130 ; + RECT 14.0390 0.0970 14.0810 0.1390 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 5.2990 0.3860 5.3410 0.4280 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 8.7190 1.4240 8.7610 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 8.1110 0.8510 8.1530 0.8930 ; + RECT 7.9590 0.9240 8.0010 0.9660 ; + RECT 10.9990 0.5310 11.0410 0.5730 ; + RECT 4.1590 0.6600 4.2010 0.7020 ; + RECT 3.7030 0.0920 3.7450 0.1340 ; + RECT 7.6550 0.9240 7.6970 0.9660 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.2230 0.6330 5.2650 0.6750 ; + RECT 5.9070 0.5090 5.9490 0.5510 ; + RECT 9.1750 0.6640 9.2170 0.7060 ; + RECT 9.3270 0.6640 9.3690 0.7060 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 5.0710 0.6330 5.1130 0.6750 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 9.0230 0.0920 9.0650 0.1340 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 6.2870 0.6890 6.3290 0.7310 ; + RECT 11.3790 0.8240 11.4210 0.8660 ; + RECT 9.7830 0.4340 9.8250 0.4760 ; + RECT 8.2630 0.6170 8.3050 0.6590 ; + RECT 9.7830 0.0920 9.8250 0.1340 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 13.5070 0.1770 13.5490 0.2190 ; + RECT 13.4310 0.6170 13.4730 0.6590 ; + RECT 4.6910 1.2690 4.7330 1.3110 ; + RECT 13.5070 0.3610 13.5490 0.4030 ; + RECT 8.7190 1.4240 8.7610 1.4660 ; + RECT 9.0230 0.7650 9.0650 0.8070 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 6.2870 1.4240 6.3290 1.4660 ; + RECT 9.1750 0.9710 9.2170 1.0130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.0710 1.4280 5.1130 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 4.6910 0.3860 4.7330 0.4280 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 11.8350 0.1360 11.8770 0.1780 ; + RECT 7.7310 0.4170 7.7730 0.4590 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.2270 0.6380 11.2690 0.6800 ; + RECT 10.5430 1.2030 10.5850 1.2450 ; + RECT 11.2270 0.6380 11.2690 0.6800 ; + RECT 10.9230 0.6510 10.9650 0.6930 ; + RECT 10.9230 0.1620 10.9650 0.2040 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 5.7550 1.1260 5.7970 1.1680 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 10.9230 0.7430 10.9650 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.1390 0.2520 12.1810 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 12.3670 1.0610 12.4090 1.1030 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 8.4150 1.5240 8.4570 1.5660 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 11.2270 0.8220 11.2690 0.8640 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 4.9950 0.3860 5.0370 0.4280 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 10.9230 0.6510 10.9650 0.6930 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + RECT 9.4030 1.1830 9.4450 1.2250 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 8.4910 1.2080 8.5330 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 8.8710 1.4240 8.9130 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.3750 1.5280 5.4170 1.5700 ; + RECT 10.1630 0.9630 10.2050 1.0050 ; + RECT 7.5790 0.5170 7.6210 0.5590 ; + RECT 13.8110 0.3410 13.8530 0.3830 ; + RECT 8.4910 0.4170 8.5330 0.4590 ; + RECT 5.0710 0.9710 5.1130 1.0130 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 11.6070 1.2030 11.6490 1.2450 ; + RECT 11.3030 1.0610 11.3450 1.1030 ; + RECT 14.6470 0.6640 14.6890 0.7060 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.9190 0.7420 4.9610 0.7840 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 8.4910 1.1160 8.5330 1.1580 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 8.9470 0.3340 8.9890 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 10.9230 0.8350 10.9650 0.8770 ; + LAYER PO ; + RECT 9.1810 0.9390 9.2110 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6470 ; + RECT 4.3170 0.0660 4.3470 0.6840 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 9.9410 0.0590 9.9710 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 14.1970 0.0710 14.2270 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6910 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 7.6610 0.8920 7.6910 1.6060 ; + RECT 8.8770 0.7710 8.9070 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.8130 0.8920 7.8430 1.6060 ; + RECT 14.3490 0.0710 14.3790 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 7.9650 0.8920 7.9950 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6470 ; + RECT 7.9650 0.0660 7.9950 0.6910 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6840 ; + RECT 14.8050 0.0690 14.8350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.2290 0.9390 5.2590 1.6060 ; + RECT 8.7250 0.7540 8.7550 1.6060 ; + RECT 14.0450 0.0690 14.0750 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 13.8930 0.0710 13.9230 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.9570 0.0710 14.9870 1.6060 ; + RECT 7.8130 0.0660 7.8430 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 14.5010 0.0690 14.5310 1.6060 ; + RECT 5.2290 0.0660 5.2590 0.6950 ; + RECT 5.0770 0.0660 5.1070 0.6950 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.0770 0.9390 5.1070 1.6060 ; + RECT 10.3970 0.0670 10.4270 1.6050 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 8.2690 0.8390 8.2990 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.0930 0.0590 10.1230 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.7280 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 14.6530 0.0690 14.6830 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.7890 0.0590 9.8190 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + LAYER M1 ; + RECT 11.3590 0.2480 12.2010 0.2980 ; + RECT 13.8470 0.6600 14.7090 0.7100 ; + RECT 13.8070 0.4010 13.8970 0.4510 ; + RECT 13.8070 0.7780 13.8970 0.8280 ; + RECT 13.8070 0.2250 13.8570 0.4010 ; + RECT 13.8070 0.8280 13.8570 1.3090 ; + RECT 13.8470 0.4510 13.8970 0.6600 ; + RECT 13.8470 0.7100 13.8970 0.7780 ; + RECT 13.5420 0.6020 13.7970 0.6520 ; + RECT 13.5030 0.4010 13.5920 0.4510 ; + RECT 13.5030 0.7780 13.5920 0.8280 ; + RECT 13.5030 0.1570 13.5530 0.4010 ; + RECT 13.5030 0.8280 13.5530 1.3090 ; + RECT 13.5420 0.4510 13.5920 0.6020 ; + RECT 13.5420 0.6520 13.5920 0.7780 ; + RECT 13.7150 0.0930 14.2530 0.1430 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 4.7450 0.7380 5.6500 0.7880 ; + RECT 5.4310 1.1200 6.4450 1.1700 ; + RECT 5.5990 0.5050 6.4450 0.5550 ; + RECT 6.3950 0.6580 6.6600 0.7080 ; + RECT 6.3950 0.7080 6.4450 1.1200 ; + RECT 5.5990 0.5550 5.6490 0.7380 ; + RECT 6.3950 0.5550 6.4450 0.6580 ; + RECT 5.0510 0.6290 5.4370 0.6790 ; + RECT 5.0510 0.9680 6.3330 1.0180 ; + RECT 6.2830 0.6690 6.3330 0.9680 ; + RECT 4.9910 1.0680 5.3610 1.1180 ; + RECT 4.6740 1.2920 5.0570 1.3420 ; + RECT 4.6870 1.0650 4.7370 1.2920 ; + RECT 4.9910 1.1180 5.0410 1.2920 ; + RECT 6.7760 0.6240 7.1090 0.6740 ; + RECT 6.5110 1.1080 6.5610 1.1240 ; + RECT 6.5110 1.0420 6.5610 1.0580 ; + RECT 6.7760 0.6740 6.8260 1.0580 ; + RECT 6.5110 1.0580 6.8260 1.1080 ; + RECT 6.7760 0.5600 6.8260 0.6240 ; + RECT 6.4950 0.5100 6.8260 0.5600 ; + RECT 7.4230 0.9200 8.1570 0.9700 ; + RECT 8.1070 0.8310 8.1570 0.9200 ; + RECT 7.4230 0.9700 7.4730 1.0340 ; + RECT 7.4230 0.5050 7.4730 0.9200 ; + RECT 8.0900 0.6130 8.3250 0.6630 ; + RECT 8.7150 0.6600 9.2370 0.7100 ; + RECT 8.7150 0.7100 8.7650 0.8460 ; + RECT 9.1020 0.9670 9.2370 1.0170 ; + RECT 9.0030 0.7610 9.1520 0.8110 ; + RECT 9.1020 0.8110 9.1520 0.9670 ; + RECT 9.3260 0.5300 10.0570 0.5800 ; + RECT 10.1590 0.8510 10.2090 1.3010 ; + RECT 10.0070 0.5800 10.0570 0.8010 ; + RECT 10.0020 0.8010 10.6050 0.8510 ; + RECT 9.3070 0.6600 9.3890 0.7100 ; + RECT 9.3260 0.5800 9.3760 0.6600 ; + RECT 11.3590 0.8200 11.9070 0.8700 ; + RECT 11.6630 0.7090 12.2010 0.7590 ; + RECT 11.8910 1.0570 12.4290 1.1070 ; + RECT 4.5950 1.4240 5.1330 1.4740 ; + RECT 4.1390 1.5240 5.4370 1.5740 ; + RECT 7.3310 1.5200 8.3250 1.5700 ; + RECT 8.7920 1.1790 9.7280 1.2290 ; + RECT 8.7920 1.2290 8.8420 1.3530 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 12.9490 0.6320 13.4770 0.6820 ; + RECT 13.4270 0.5970 13.4770 0.6320 ; + RECT 10.5230 1.1990 12.9990 1.2490 ; + RECT 12.9490 0.6820 12.9990 1.1990 ; + RECT 7.7110 0.4130 8.6890 0.4630 ; + RECT 8.4870 0.9670 9.0100 1.0170 ; + RECT 7.7110 1.1200 8.5370 1.1700 ; + RECT 8.4870 0.3840 8.5370 0.4130 ; + RECT 8.6390 0.4630 8.6890 0.5630 ; + RECT 8.4870 0.4630 8.5370 0.9670 ; + RECT 8.4870 1.1700 8.5370 1.2700 ; + RECT 8.4870 1.0170 8.5370 1.1200 ; + RECT 8.6390 0.3800 8.6890 0.4130 ; + RECT 8.6390 0.3300 9.0150 0.3800 ; + RECT 8.6390 0.3270 8.6890 0.3300 ; + RECT 4.3830 0.8540 6.1970 0.9040 ; + RECT 4.3830 0.4980 4.9190 0.5480 ; + RECT 4.3830 0.4130 4.4330 0.4980 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.3830 0.5480 4.4330 0.8540 ; + RECT 6.2670 1.4200 8.9330 1.4700 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 10.9790 0.5270 11.5770 0.5770 ; + RECT 11.2230 0.5770 11.2730 0.8870 ; + RECT 11.2230 0.1260 11.2730 0.5270 ; + RECT 11.5270 0.5770 11.5770 0.7700 ; + RECT 11.5270 0.3480 11.5770 0.5270 ; + RECT 8.3930 1.5200 11.3650 1.5700 ; + RECT 3.3190 0.6130 4.0690 0.6630 ; + RECT 3.3190 0.6630 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6130 ; + RECT 3.6230 0.6630 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6130 ; + RECT 8.3750 0.5630 8.4250 1.0200 ; + RECT 7.5590 0.5130 8.4240 0.5440 ; + RECT 7.5590 0.5440 8.4250 0.5630 ; + RECT 7.5750 1.0200 8.4250 1.0700 ; + RECT 6.9440 0.5100 7.2410 0.5600 ; + RECT 6.9440 0.9410 7.2410 0.9910 ; + RECT 7.1910 0.5600 7.2410 0.9410 ; + RECT 7.1910 0.9910 7.2410 1.1200 ; + RECT 7.1910 1.1200 7.6250 1.1700 ; + RECT 7.5750 1.0700 7.6250 1.1200 ; + RECT 5.3550 0.0880 9.0850 0.1380 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 4.6710 0.3820 5.3610 0.4320 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7630 4.1290 1.0050 ; + RECT 3.7750 0.7130 4.2050 0.7630 ; + RECT 4.1550 0.5630 4.2050 0.7130 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7630 3.8250 1.0050 ; + RECT 8.7910 0.4300 9.8570 0.4800 ; + RECT 8.7910 0.4800 8.8410 0.5630 ; + RECT 9.7130 0.0880 10.1930 0.1380 ; + RECT 12.2470 0.6270 12.3370 0.6770 ; + RECT 12.2470 0.4270 12.3370 0.4620 ; + RECT 12.0430 0.4770 12.2970 0.5120 ; + RECT 12.0430 0.4620 12.3370 0.4770 ; + RECT 12.2870 0.1260 12.3370 0.4270 ; + RECT 12.2870 0.6770 12.3370 0.7680 ; + RECT 12.2470 0.5120 12.2970 0.6270 ; + RECT 11.6630 0.1320 11.8970 0.1820 ; + RECT 10.9190 1.0570 11.3680 1.1070 ; + RECT 10.8790 0.4270 10.9690 0.4770 ; + RECT 10.8790 0.6270 10.9690 0.6770 ; + RECT 10.9190 0.1260 10.9690 0.4270 ; + RECT 10.8790 0.4770 10.9290 0.6270 ; + RECT 10.9190 0.6770 10.9690 1.0570 ; + LAYER NWELL ; + RECT -0.1150 1.5430 15.1630 1.7730 ; + RECT -0.1150 0.7100 10.2900 1.5430 ; + RECT 13.2230 0.6790 15.1630 1.5430 ; + RECT -0.1150 0.6890 9.0440 0.7100 ; + RECT -0.1150 0.6790 4.1800 0.6890 ; + RECT 4.6360 0.6790 9.0440 0.6890 ; + RECT 10.7520 0.4910 12.7630 1.0830 ; + END +END RSDFFSRX2_HVT + +MACRO RSDFFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.048 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 12.8790 0.9460 14.3460 1.0060 ; + RECT 14.2330 0.6900 14.3460 0.9460 ; + END + PORT + LAYER CO ; + RECT 12.8990 0.9550 12.9410 0.9970 ; + RECT 14.2670 0.8620 14.3090 0.9040 ; + RECT 13.9630 0.9550 14.0050 0.9970 ; + RECT 13.6590 0.9550 13.7010 0.9970 ; + RECT 14.2670 0.7100 14.3090 0.7520 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.0480 0.0300 ; + RECT 9.2310 0.2830 11.4250 0.3330 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 3.0150 0.0300 3.0650 0.5570 ; + RECT 3.3190 0.0300 3.3690 0.5570 ; + RECT 3.6230 0.0300 3.6730 0.5570 ; + RECT 11.8310 0.0300 11.8810 0.2410 ; + RECT 13.9590 0.0300 14.0090 0.3120 ; + RECT 13.6550 0.0300 13.7050 0.2020 ; + RECT 12.8950 0.0300 12.9450 0.2060 ; + RECT 4.0630 0.3070 8.4060 0.3570 ; + RECT 11.3750 0.3330 11.4250 0.4430 ; + RECT 11.3750 0.0300 11.4250 0.2830 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 6.0550 0.3570 6.1050 0.5580 ; + RECT 4.0790 0.0300 4.1290 0.3070 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 6.0590 0.4040 6.1010 0.4460 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 4.0830 0.3110 4.1250 0.3530 ; + RECT 13.9630 0.1440 14.0050 0.1860 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 11.8350 0.1720 11.8770 0.2140 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 4.3870 0.3110 4.4290 0.3530 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 11.0750 0.2870 11.1170 0.3290 ; + RECT 13.6590 0.1360 13.7010 0.1780 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 3.3230 0.4950 3.3650 0.5370 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 3.3230 0.4030 3.3650 0.4450 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 3.6270 0.3110 3.6690 0.3530 ; + RECT 3.6270 0.4030 3.6690 0.4450 ; + RECT 3.6270 0.4950 3.6690 0.5370 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 4.6910 0.3110 4.7330 0.3530 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 10.4670 0.2870 10.5090 0.3290 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 11.3790 0.3710 11.4210 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.0590 0.4960 6.1010 0.5380 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 10.0110 0.2870 10.0530 0.3290 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 12.8990 0.1440 12.9410 0.1860 ; + RECT 6.0590 0.3120 6.1010 0.3540 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8340 1.5240 3.7670 1.5740 ; + RECT 2.8340 1.5740 3.0060 1.5750 ; + RECT 2.8340 1.4650 3.0060 1.5240 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 3.7030 1.5280 3.7450 1.5700 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 2.9430 1.5280 2.9850 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.9690 12.5170 1.0190 ; + RECT 11.9670 0.3010 12.5170 0.3510 ; + RECT 12.4670 0.3510 12.5170 0.9690 ; + RECT 11.9830 1.1290 12.0330 1.3270 ; + RECT 11.9830 1.0190 12.2150 1.1290 ; + END + PORT + LAYER CO ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + RECT 11.9870 0.3050 12.0290 0.3470 ; + RECT 11.9870 1.2650 12.0290 1.3070 ; + RECT 11.9870 1.2650 12.0290 1.3070 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.6790 0.4010 12.3790 0.4510 ; + RECT 11.6790 0.8590 12.3790 0.9090 ; + RECT 12.2570 0.4510 12.3790 0.5380 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.6790 0.9090 11.7290 1.2320 ; + RECT 12.3290 0.5380 12.3790 0.8590 ; + END + PORT + LAYER CO ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.0480 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.4070 1.2460 2.4570 1.6420 ; + RECT 2.7110 1.3600 2.7610 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 4.6470 1.4090 4.6970 1.6420 ; + RECT 2.9960 1.3590 4.6970 1.4090 ; + RECT 4.6470 1.2900 11.8820 1.3400 ; + RECT 11.8310 0.9590 11.8810 1.2900 ; + RECT 9.5910 1.3400 9.6410 1.6420 ; + RECT 4.6470 1.3400 4.6970 1.3590 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 4.0830 1.3630 4.1250 1.4050 ; + RECT 4.3870 1.3630 4.4290 1.4050 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 11.8350 1.0710 11.8770 1.1130 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 3.6270 1.3630 3.6690 1.4050 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 11.8350 1.1630 11.8770 1.2050 ; + RECT 11.8350 1.1630 11.8770 1.2050 ; + RECT 6.2110 1.2940 6.2530 1.3360 ; + RECT 11.8350 1.2550 11.8770 1.2970 ; + RECT 11.8350 0.9790 11.8770 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 3.0190 1.3630 3.0610 1.4050 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 4.6910 1.2940 4.7330 1.3360 ; + RECT 11.8350 0.9790 11.8770 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 11.8350 1.0710 11.8770 1.1130 ; + RECT 11.8350 1.2550 11.8770 1.2970 ; + RECT 11.0750 1.2940 11.1170 1.3360 ; + RECT 10.0110 1.2940 10.0530 1.3360 ; + RECT 10.4670 1.2940 10.5090 1.3360 ; + RECT 3.3230 1.3630 3.3650 1.4050 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.0490 1.4080 13.5860 1.4580 ; + RECT 13.4730 1.3130 13.5860 1.4080 ; + END + PORT + LAYER CO ; + RECT 10.9990 1.4100 11.0410 1.4520 ; + RECT 13.4310 1.4120 13.4730 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 10.0870 1.4120 10.1290 1.4540 ; + RECT 12.8230 1.4120 12.8650 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 13.5070 0.6230 13.5490 0.6650 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 3.1710 0.4330 3.2130 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 13.8110 0.7130 13.8530 0.7550 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 0.4330 3.8210 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 4.2350 1.0440 4.2770 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 4.5390 1.0440 4.5810 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 3.9310 0.4590 3.9730 0.5010 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 4.5390 0.4590 4.5810 0.5010 ; + RECT 3.9310 1.0440 3.9730 1.0860 ; + RECT 4.0070 0.8990 4.0490 0.9410 ; + RECT 4.2350 0.4590 4.2770 0.5010 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 11.7590 0.6620 11.8010 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 5.6790 0.9890 5.7210 1.0310 ; + RECT 11.2270 1.1560 11.2690 1.1980 ; + RECT 6.4390 0.0950 6.4810 0.1370 ; + RECT 7.0470 0.8710 7.0890 0.9130 ; + RECT 11.2270 0.5010 11.2690 0.5430 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 14.1150 0.6800 14.1570 0.7220 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + RECT 14.1150 0.3290 14.1570 0.3710 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 13.7350 0.4660 13.7770 0.5080 ; + RECT 7.5030 0.0950 7.5450 0.1370 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 11.9110 0.6170 11.9530 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 5.2230 0.9890 5.2650 1.0310 ; + RECT 4.9190 0.7940 4.9610 0.8360 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 4.1590 0.8990 4.2010 0.9410 ; + RECT 4.3110 0.8990 4.3530 0.9410 ; + RECT 4.4630 0.8990 4.5050 0.9410 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 3.4750 0.4330 3.5170 0.4750 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 6.4390 1.5280 6.4810 1.5700 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.6310 0.6310 9.6730 0.6730 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 5.5270 0.8890 5.5690 0.9310 ; + RECT 13.0510 0.6330 13.0930 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 5.1470 1.4280 5.1890 1.4700 ; + RECT 7.5030 1.5280 7.5450 1.5700 ; + RECT 11.2270 0.9720 11.2690 1.0140 ; + RECT 11.2270 0.9720 11.2690 1.0140 ; + RECT 10.3910 0.6680 10.4330 0.7100 ; + RECT 10.5430 0.8710 10.5850 0.9130 ; + RECT 6.2110 0.5190 6.2530 0.5610 ; + RECT 13.0510 0.3740 13.0930 0.4160 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 5.5270 0.1990 5.5690 0.2410 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 11.2270 1.1560 11.2690 1.1980 ; + RECT 12.7470 0.6650 12.7890 0.7070 ; + RECT 14.3430 1.5320 14.3850 1.5740 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.2030 0.1360 13.2450 0.1780 ; + RECT 12.7470 0.3740 12.7890 0.4160 ; + RECT 13.3550 0.8490 13.3970 0.8910 ; + RECT 12.2150 1.2040 12.2570 1.2460 ; + RECT 13.2030 0.6390 13.2450 0.6810 ; + RECT 8.4150 0.0950 8.4570 0.1370 ; + RECT 13.8110 0.3500 13.8530 0.3920 ; + RECT 11.1510 0.0980 11.1930 0.1400 ; + RECT 7.5790 1.4040 7.6210 1.4460 ; + RECT 10.7710 0.4020 10.8130 0.4440 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 12.2150 0.7630 12.2570 0.8050 ; + RECT 8.4150 1.5280 8.4570 1.5700 ; + RECT 4.7670 0.8990 4.8090 0.9410 ; + RECT 10.3150 0.4020 10.3570 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 13.3550 0.3680 13.3970 0.4100 ; + RECT 4.6150 0.8990 4.6570 0.9410 ; + RECT 5.9830 0.8710 6.0250 0.9130 ; + RECT 10.9230 0.4880 10.9650 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 5.9070 0.4270 5.9490 0.4690 ; + RECT 8.0350 1.4040 8.0770 1.4460 ; + RECT 5.0710 0.1990 5.1130 0.2410 ; + RECT 6.2110 0.4270 6.2530 0.4690 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.0590 1.0710 6.1010 1.1130 ; + RECT 14.3430 0.5660 14.3850 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 4.9190 0.0950 4.9610 0.1370 ; + RECT 10.0870 0.6170 10.1290 0.6590 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 5.0710 0.8890 5.1130 0.9310 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 6.8950 1.5280 6.9370 1.5700 ; + RECT 10.6950 0.7630 10.7370 0.8050 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 4.6150 0.0960 4.6570 0.1380 ; + RECT 5.2990 1.1820 5.3410 1.2240 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 10.5430 0.6680 10.5850 0.7100 ; + RECT 7.9590 1.5280 8.0010 1.5700 ; + RECT 5.6030 1.4280 5.6450 1.4700 ; + RECT 10.9230 1.0640 10.9650 1.1060 ; + RECT 5.9070 0.5190 5.9490 0.5610 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 8.4910 1.4040 8.5330 1.4460 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 10.2390 1.5320 10.2810 1.5740 ; + RECT 10.3150 1.0710 10.3570 1.1130 ; + RECT 13.2790 0.4980 13.3210 0.5400 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.1270 1.0810 13.1690 1.1230 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 10.7710 1.0710 10.8130 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 9.7070 1.1370 9.7490 1.1790 ; + RECT 13.2790 1.2040 13.3210 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 13.5070 0.1750 13.5490 0.2170 ; + RECT 3.7790 0.5250 3.8210 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5280 9.0650 1.5700 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 8.7190 0.0950 8.7610 0.1370 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 7.9590 0.0950 8.0010 0.1370 ; + RECT 6.8950 0.0950 6.9370 0.1370 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + LAYER PO ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.7800 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7320 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 5.5330 0.8800 5.5630 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 10.5490 0.8610 10.5790 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.8800 5.1070 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 10.0930 0.8590 10.1230 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.2210 0.0670 12.2510 1.6050 ; + RECT 6.9010 0.9390 6.9310 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7320 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 6.4450 0.9390 6.4750 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.7800 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6910 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.7200 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + LAYER M1 ; + RECT 11.6550 0.5510 11.7050 0.6130 ; + RECT 9.5110 0.7670 9.7530 0.8170 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 9.5110 0.5270 9.7530 0.5770 ; + RECT 9.7030 0.8170 9.7530 1.2400 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 10.0830 0.5140 10.9690 0.5640 ; + RECT 10.2710 0.8670 10.9690 0.9170 ; + RECT 10.0830 0.5640 10.1330 0.6790 ; + RECT 10.9190 0.3830 10.9690 0.5140 ; + RECT 10.9190 0.9170 10.9690 1.2400 ; + RECT 10.2710 0.9170 10.3210 1.0170 ; + RECT 10.2710 0.5640 10.3210 0.8670 ; + RECT 13.5030 0.4620 13.7970 0.5120 ; + RECT 13.1830 0.1320 13.5530 0.1820 ; + RECT 13.1830 0.6350 13.5530 0.6850 ; + RECT 13.5030 0.1820 13.5530 0.4620 ; + RECT 13.5030 0.5120 13.5530 0.6350 ; + RECT 13.8070 0.3620 14.0000 0.4120 ; + RECT 13.9500 0.4620 14.1010 0.5120 ; + RECT 13.8070 0.5890 14.0000 0.6390 ; + RECT 13.9500 0.4120 14.0000 0.4620 ; + RECT 13.9500 0.5120 14.0000 0.5890 ; + RECT 13.8070 0.1260 13.8570 0.3620 ; + RECT 13.8070 0.6390 13.8570 0.7950 ; + RECT 13.0470 0.4940 13.4010 0.5440 ; + RECT 13.3510 0.3480 13.4010 0.4940 ; + RECT 13.0470 0.5440 13.0970 0.7950 ; + RECT 14.1110 0.5620 14.4050 0.6120 ; + RECT 14.1110 0.3620 14.2960 0.4120 ; + RECT 14.2460 0.4120 14.2960 0.5620 ; + RECT 12.9470 0.8450 14.1610 0.8950 ; + RECT 14.1110 0.6120 14.1610 0.8450 ; + RECT 14.1110 0.1260 14.1610 0.3620 ; + RECT 12.9470 0.3700 13.1150 0.4200 ; + RECT 12.9470 0.4200 12.9970 0.8450 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 6.4930 1.0730 7.0330 1.1230 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 10.3710 0.6640 10.6050 0.7140 ; + RECT 5.1250 1.4240 5.6650 1.4740 ; + RECT 7.5590 1.4000 8.5530 1.4500 ; + RECT 12.1950 1.2000 13.3410 1.2500 ; + RECT 12.7430 1.0770 13.1890 1.1270 ; + RECT 12.7430 0.1260 12.7930 1.0770 ; + RECT 9.9670 0.3980 10.8330 0.4480 ; + RECT 9.9670 1.0670 10.8330 1.1170 ; + RECT 9.6110 0.6270 10.0170 0.6770 ; + RECT 9.9670 0.6770 10.0170 1.0670 ; + RECT 9.9670 0.4480 10.0170 0.6270 ; + RECT 10.2190 1.5280 14.4070 1.5780 ; + RECT 3.9090 0.4550 4.9650 0.5050 ; + RECT 4.9150 0.8850 5.5890 0.9350 ; + RECT 4.9150 0.5050 4.9650 0.8850 ; + RECT 4.9150 0.9350 4.9650 1.0400 ; + RECT 3.9110 1.0400 4.9650 1.0900 ; + RECT 2.8630 0.8950 4.8290 0.9450 ; + RECT 3.7750 0.9450 3.8250 1.1920 ; + RECT 3.7750 0.4130 3.8250 0.8950 ; + RECT 2.8630 0.9450 2.9130 1.1920 ; + RECT 2.8630 0.4130 2.9130 0.8950 ; + RECT 3.1670 0.9450 3.2170 1.1920 ; + RECT 3.1670 0.4130 3.2170 0.8950 ; + RECT 3.4710 0.9450 3.5210 1.1920 ; + RECT 3.4710 0.4130 3.5210 0.8950 ; + RECT 4.7470 1.5240 9.0850 1.5740 ; + RECT 4.8990 0.0910 8.7810 0.1410 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.0440 1.1770 5.2060 1.2270 ; + RECT 5.1560 1.0350 5.2060 1.1770 ; + RECT 5.1680 0.9850 5.7410 0.9860 ; + RECT 5.1560 0.9860 5.7410 1.0350 ; + RECT 2.5590 1.2480 4.0940 1.2980 ; + RECT 4.0440 1.2270 4.0940 1.2480 ; + RECT 2.5590 1.2980 2.6090 1.5460 ; + RECT 2.5590 0.8280 2.6090 1.2480 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.7780 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 5.7510 0.8670 6.1970 0.9170 ; + RECT 7.0790 0.7670 7.5130 0.8170 ; + RECT 6.6460 0.5210 7.1850 0.5710 ; + RECT 7.0790 0.5710 7.1290 0.7670 ; + RECT 7.4630 0.8170 7.5130 1.1780 ; + RECT 5.2770 1.1780 7.5130 1.2280 ; + RECT 5.2770 0.5210 5.8130 0.5710 ; + RECT 5.7510 0.4130 5.8010 0.5210 ; + RECT 5.7510 0.5710 5.8010 0.8670 ; + RECT 5.8010 0.9170 5.8510 1.1780 ; + RECT 4.5950 0.0920 4.7370 0.1420 ; + RECT 4.6870 0.1950 5.5980 0.2450 ; + RECT 4.6870 0.1420 4.7370 0.1950 ; + RECT 5.1250 0.4120 5.6650 0.4620 ; + RECT 8.8310 0.0920 11.2140 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 6.4930 0.4140 7.0330 0.4640 ; + RECT 6.0390 1.0670 6.4090 1.1170 ; + RECT 6.2070 0.4070 6.2570 0.6190 ; + RECT 5.9030 0.6190 6.4090 0.6690 ; + RECT 6.3590 0.9170 6.4090 1.0670 ; + RECT 6.3590 0.8670 7.4130 0.9170 ; + RECT 6.3590 0.6690 6.4090 0.8670 ; + RECT 5.9030 0.4070 5.9530 0.6190 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 10.6750 0.7590 12.2770 0.8090 ; + RECT 11.0310 0.5130 11.5800 0.5630 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.2230 0.3830 11.2730 0.5130 ; + RECT 11.2230 0.8090 11.2730 1.2400 ; + RECT 11.0310 0.5630 11.0810 0.7590 ; + RECT 11.7550 0.6420 11.8050 0.7590 ; + RECT 11.6550 0.5010 11.9570 0.5510 ; + RECT 11.1310 0.6130 11.7050 0.6630 ; + RECT 11.9070 0.5510 11.9570 0.6790 ; + LAYER NWELL ; + RECT -0.1160 1.5430 15.1580 1.7730 ; + RECT -0.1160 0.9480 12.1150 1.5430 ; + RECT 14.8830 0.6790 15.1580 1.5430 ; + RECT -0.1160 0.6790 2.6390 0.9480 ; + RECT 7.2800 0.6790 12.1150 0.9480 ; + RECT 12.5770 0.4910 14.4230 1.0830 ; + END +END RSDFFX1_HVT + +MACRO RSDFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.504 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.3350 0.9450 14.8020 1.0050 ; + RECT 14.6890 0.6900 14.8020 0.9450 ; + END + PORT + LAYER CO ; + RECT 14.7230 0.8620 14.7650 0.9040 ; + RECT 13.3550 0.9540 13.3970 0.9960 ; + RECT 14.7230 0.7100 14.7650 0.7520 ; + RECT 14.4190 0.9540 14.4610 0.9960 ; + RECT 14.1150 0.9540 14.1570 0.9960 ; + END + END VDDG + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9670 0.3010 12.9730 0.3510 ; + RECT 11.9530 0.9690 12.9730 1.0190 ; + RECT 11.9530 1.0190 12.0630 1.1290 ; + RECT 11.9830 1.1290 12.0330 1.2360 ; + RECT 12.4390 1.0190 12.4890 1.3400 ; + RECT 12.9230 0.3510 12.9730 0.9690 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.9890 12.4850 1.0310 ; + RECT 12.4430 0.9890 12.4850 1.0310 ; + RECT 12.4430 1.2650 12.4850 1.3070 ; + RECT 12.4430 1.2650 12.4850 1.3070 ; + RECT 12.4430 1.0810 12.4850 1.1230 ; + RECT 12.4430 1.1730 12.4850 1.2150 ; + RECT 12.4430 1.0810 12.4850 1.1230 ; + RECT 12.4430 1.1730 12.4850 1.2150 ; + RECT 12.4430 0.3050 12.4850 0.3470 ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + RECT 11.9870 0.3050 12.0290 0.3470 ; + RECT 11.9870 1.1730 12.0290 1.2150 ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + RECT 11.9870 1.0810 12.0290 1.1230 ; + RECT 11.9870 0.9890 12.0290 1.0310 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.5040 0.0300 ; + RECT 9.2310 0.2830 11.4250 0.3330 ; + RECT 3.3170 0.0300 3.3670 0.5570 ; + RECT 3.6210 0.0300 3.6710 0.5570 ; + RECT 3.0130 0.0300 3.0630 0.5570 ; + RECT 2.4050 0.0300 2.4550 0.5760 ; + RECT 0.4290 0.0300 0.4790 0.5000 ; + RECT 0.5810 0.0300 0.6310 0.3440 ; + RECT 0.8850 0.0300 0.9350 0.2470 ; + RECT 2.7090 0.0300 2.7590 0.3990 ; + RECT 2.1010 0.0300 2.1510 0.1980 ; + RECT 11.8290 0.0300 11.8790 0.2410 ; + RECT 12.2850 0.0300 12.3350 0.2410 ; + RECT 14.4130 0.0300 14.4630 0.3120 ; + RECT 14.1090 0.0300 14.1590 0.2020 ; + RECT 13.3490 0.0300 13.3990 0.2060 ; + RECT 4.0630 0.3070 8.4060 0.3570 ; + RECT 11.3750 0.3330 11.4250 0.4430 ; + RECT 11.3730 0.0300 11.4230 0.2830 ; + RECT 6.0550 0.3570 6.1050 0.5580 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 4.0770 0.0300 4.1270 0.3070 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 12.2910 0.1720 12.3330 0.2140 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 4.3870 0.3110 4.4290 0.3530 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 11.0750 0.2870 11.1170 0.3290 ; + RECT 14.1150 0.1360 14.1570 0.1780 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 3.3230 0.4950 3.3650 0.5370 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 3.3230 0.4030 3.3650 0.4450 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 3.6270 0.3110 3.6690 0.3530 ; + RECT 3.6270 0.4030 3.6690 0.4450 ; + RECT 3.6270 0.4950 3.6690 0.5370 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 4.6910 0.3110 4.7330 0.3530 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 10.4670 0.2870 10.5090 0.3290 ; + RECT 11.3790 0.3710 11.4210 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 6.0590 0.4040 6.1010 0.4460 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 14.4190 0.1440 14.4610 0.1860 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 4.0830 0.3110 4.1250 0.3530 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 6.0590 0.4960 6.1010 0.5380 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 10.0110 0.2870 10.0530 0.3290 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 13.3550 0.1440 13.3970 0.1860 ; + RECT 6.0590 0.3120 6.1010 0.3540 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 11.8350 0.1720 11.8770 0.2140 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8320 1.5240 3.7670 1.5740 ; + RECT 2.8320 1.5740 3.0060 1.5750 ; + RECT 2.8320 1.4650 3.0060 1.5240 ; + END + PORT + LAYER CO ; + RECT 3.7030 1.5280 3.7450 1.5700 ; + RECT 2.9430 1.5280 2.9850 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.6790 0.4010 12.8350 0.4510 ; + RECT 11.6790 0.8590 12.8350 0.9090 ; + RECT 12.7130 0.4510 12.8350 0.5380 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.6790 0.9090 11.7290 1.2320 ; + RECT 12.7850 0.5380 12.8350 0.8590 ; + END + PORT + LAYER CO ; + RECT 12.1390 0.8630 12.1810 0.9050 ; + RECT 12.1390 0.8630 12.1810 0.9050 ; + RECT 12.1390 0.4050 12.1810 0.4470 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.5040 1.7020 ; + RECT 0.5810 0.9410 0.6310 1.6420 ; + RECT 0.4290 0.9510 0.4790 1.6420 ; + RECT 0.8850 1.1730 0.9350 1.6420 ; + RECT 2.4050 1.2460 2.4550 1.6420 ; + RECT 2.7090 1.3600 2.7590 1.6420 ; + RECT 2.1010 1.2600 2.1510 1.6420 ; + RECT 4.6450 1.4090 4.6950 1.6420 ; + RECT 2.9960 1.3590 4.6950 1.4090 ; + RECT 11.8310 0.9590 11.8810 1.2900 ; + RECT 12.2870 1.0740 12.3370 1.2900 ; + RECT 9.5890 1.3400 9.6390 1.6420 ; + RECT 4.6470 1.2900 12.3370 1.3210 ; + RECT 4.6450 1.3400 4.6950 1.3590 ; + RECT 4.6450 1.3210 12.3370 1.3400 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 12.2910 1.2780 12.3330 1.3200 ; + RECT 12.2910 1.0940 12.3330 1.1360 ; + RECT 12.2910 1.1860 12.3330 1.2280 ; + RECT 12.2910 1.0940 12.3330 1.1360 ; + RECT 12.2910 1.2780 12.3330 1.3200 ; + RECT 12.2910 1.1860 12.3330 1.2280 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 3.6270 1.3630 3.6690 1.4050 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.8350 1.2550 11.8770 1.2970 ; + RECT 11.8350 0.9790 11.8770 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 3.0190 1.3630 3.0610 1.4050 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 4.6910 1.2940 4.7330 1.3360 ; + RECT 11.8350 0.9790 11.8770 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 4.0830 1.3630 4.1250 1.4050 ; + RECT 4.3870 1.3630 4.4290 1.4050 ; + RECT 10.0110 1.2940 10.0530 1.3360 ; + RECT 10.4670 1.2940 10.5090 1.3360 ; + RECT 11.8350 1.0710 11.8770 1.1130 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 11.8350 1.2550 11.8770 1.2970 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 11.8350 1.0710 11.8770 1.1130 ; + RECT 11.8350 1.1630 11.8770 1.2050 ; + RECT 11.8350 1.1630 11.8770 1.2050 ; + RECT 6.2110 1.2940 6.2530 1.3360 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 3.3230 1.3630 3.3650 1.4050 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 11.0750 1.2940 11.1170 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.0490 1.4080 14.0420 1.4580 ; + RECT 13.9290 1.3130 14.0420 1.4080 ; + END + PORT + LAYER CO ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 13.8870 1.4120 13.9290 1.4540 ; + RECT 13.2790 1.4120 13.3210 1.4540 ; + RECT 10.9990 1.4100 11.0410 1.4520 ; + RECT 10.0870 1.4120 10.1290 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 12.3670 0.6170 12.4090 0.6590 ; + RECT 12.2150 0.6620 12.2570 0.7040 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 4.5390 1.0440 4.5810 1.0860 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 4.1590 0.8990 4.2010 0.9410 ; + RECT 4.3110 0.8990 4.3530 0.9410 ; + RECT 4.4630 0.8990 4.5050 0.9410 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 3.4750 0.4330 3.5170 0.4750 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 6.4390 1.5280 6.4810 1.5700 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.6310 0.6310 9.6730 0.6730 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 13.9630 0.6230 14.0050 0.6650 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 0.4330 3.8210 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 4.2350 1.0440 4.2770 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 5.9830 0.8710 6.0250 0.9130 ; + RECT 10.9230 0.4880 10.9650 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 5.9070 0.4270 5.9490 0.4690 ; + RECT 8.0350 1.4040 8.0770 1.4460 ; + RECT 5.0710 0.1990 5.1130 0.2410 ; + RECT 6.2110 0.4270 6.2530 0.4690 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.0590 1.0710 6.1010 1.1130 ; + RECT 14.7990 0.5660 14.8410 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 3.1710 0.4330 3.2130 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 14.2670 0.7130 14.3090 0.7550 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 5.6790 0.9890 5.7210 1.0310 ; + RECT 11.2270 1.1560 11.2690 1.1980 ; + RECT 6.4390 0.0950 6.4810 0.1370 ; + RECT 7.0470 0.8710 7.0890 0.9130 ; + RECT 11.2270 0.5010 11.2690 0.5430 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 14.5710 0.6800 14.6130 0.7220 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + RECT 14.5710 0.3290 14.6130 0.3710 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 14.1910 0.4660 14.2330 0.5080 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 3.9310 0.4590 3.9730 0.5010 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 4.5390 0.4590 4.5810 0.5010 ; + RECT 3.9310 1.0440 3.9730 1.0860 ; + RECT 4.0070 0.8990 4.0490 0.9410 ; + RECT 4.2350 0.4590 4.2770 0.5010 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 10.7710 1.0710 10.8130 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 4.9190 0.0950 4.9610 0.1370 ; + RECT 10.0870 0.6170 10.1290 0.6590 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 5.0710 0.8890 5.1130 0.9310 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 6.8950 1.5280 6.9370 1.5700 ; + RECT 10.6950 0.7630 10.7370 0.8050 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 4.6150 0.0960 4.6570 0.1380 ; + RECT 5.2990 1.1820 5.3410 1.2240 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 10.5430 0.6680 10.5850 0.7100 ; + RECT 11.7590 0.6620 11.8010 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 7.9590 0.0950 8.0010 0.1370 ; + RECT 6.8950 0.0950 6.9370 0.1370 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + RECT 5.5270 0.8890 5.5690 0.9310 ; + RECT 13.5070 0.6330 13.5490 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 5.1470 1.4280 5.1890 1.4700 ; + RECT 7.5030 1.5280 7.5450 1.5700 ; + RECT 11.2270 0.9720 11.2690 1.0140 ; + RECT 11.2270 0.9720 11.2690 1.0140 ; + RECT 10.3910 0.6680 10.4330 0.7100 ; + RECT 10.5430 0.8710 10.5850 0.9130 ; + RECT 6.2110 0.5190 6.2530 0.5610 ; + RECT 13.5070 0.3740 13.5490 0.4160 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 5.5270 0.1990 5.5690 0.2410 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 11.2270 1.1560 11.2690 1.1980 ; + RECT 7.5030 0.0950 7.5450 0.1370 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 11.9110 0.6170 11.9530 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 5.2230 0.9890 5.2650 1.0310 ; + RECT 4.9190 0.7940 4.9610 0.8360 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 12.6710 0.7630 12.7130 0.8050 ; + RECT 8.4150 1.5280 8.4570 1.5700 ; + RECT 4.7670 0.8990 4.8090 0.9410 ; + RECT 10.3150 0.4020 10.3570 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 13.8110 0.3680 13.8530 0.4100 ; + RECT 4.6150 0.8990 4.6570 0.9410 ; + RECT 9.7070 1.1370 9.7490 1.1790 ; + RECT 13.7350 1.2040 13.7770 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 13.9630 0.1750 14.0050 0.2170 ; + RECT 3.7790 0.5250 3.8210 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5280 9.0650 1.5700 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 8.7190 0.0950 8.7610 0.1370 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 13.2030 0.6650 13.2450 0.7070 ; + RECT 14.7990 1.5320 14.8410 1.5740 ; + RECT 14.4950 0.4660 14.5370 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.6590 0.1360 13.7010 0.1780 ; + RECT 13.2030 0.3740 13.2450 0.4160 ; + RECT 13.8110 0.8490 13.8530 0.8910 ; + RECT 12.6710 1.2040 12.7130 1.2460 ; + RECT 13.6590 0.6390 13.7010 0.6810 ; + RECT 8.4150 0.0950 8.4570 0.1370 ; + RECT 14.2670 0.3500 14.3090 0.3920 ; + RECT 11.1510 0.0980 11.1930 0.1400 ; + RECT 7.5790 1.4040 7.6210 1.4460 ; + RECT 10.7710 0.4020 10.8130 0.4440 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 7.9590 1.5280 8.0010 1.5700 ; + RECT 5.6030 1.4280 5.6450 1.4700 ; + RECT 10.9230 1.0640 10.9650 1.1060 ; + RECT 5.9070 0.5190 5.9490 0.5610 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 8.4910 1.4040 8.5330 1.4460 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 10.2390 1.5320 10.2810 1.5740 ; + RECT 10.3150 1.0710 10.3570 1.1130 ; + RECT 13.7350 0.4980 13.7770 0.5400 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.5830 1.0730 13.6250 1.1150 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + LAYER PO ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.7800 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7320 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 5.5330 0.8800 5.5630 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 10.5490 0.8610 10.5790 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.8800 5.1070 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 10.0930 0.8590 10.1230 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.6770 0.0670 12.7070 1.6050 ; + RECT 6.9010 0.9390 6.9310 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7320 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 6.4450 0.9390 6.4750 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.7800 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6910 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.7200 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + LAYER M1 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.2230 0.3830 11.2730 0.5130 ; + RECT 11.2230 0.8090 11.2730 1.2400 ; + RECT 11.0310 0.5630 11.0810 0.7590 ; + RECT 11.7550 0.6420 11.8050 0.7590 ; + RECT 12.2110 0.6420 12.2610 0.7590 ; + RECT 11.1310 0.6130 11.7050 0.6630 ; + RECT 11.9070 0.5510 11.9570 0.6790 ; + RECT 12.3630 0.5510 12.4130 0.6790 ; + RECT 11.6550 0.5010 12.4130 0.5510 ; + RECT 11.6550 0.5510 11.7050 0.6130 ; + RECT 10.0830 0.5140 10.9690 0.5640 ; + RECT 10.2710 0.8670 10.9690 0.9170 ; + RECT 10.0830 0.5640 10.1330 0.6790 ; + RECT 10.9190 0.3830 10.9690 0.5140 ; + RECT 10.9190 0.9170 10.9690 1.2400 ; + RECT 10.2710 0.9170 10.3210 1.0170 ; + RECT 10.2710 0.5640 10.3210 0.8670 ; + RECT 14.2630 0.3620 14.4560 0.4120 ; + RECT 14.4060 0.4620 14.5570 0.5120 ; + RECT 14.2630 0.5890 14.4560 0.6390 ; + RECT 14.4060 0.4120 14.4560 0.4620 ; + RECT 14.4060 0.5120 14.4560 0.5890 ; + RECT 14.2630 0.1260 14.3130 0.3620 ; + RECT 14.2630 0.6390 14.3130 0.7950 ; + RECT 13.9590 0.4620 14.2530 0.5120 ; + RECT 13.6390 0.1320 14.0090 0.1820 ; + RECT 13.6390 0.6350 14.0090 0.6850 ; + RECT 13.9590 0.1820 14.0090 0.4620 ; + RECT 13.9590 0.5120 14.0090 0.6350 ; + RECT 13.5030 0.4940 13.8570 0.5440 ; + RECT 13.8070 0.3480 13.8570 0.4940 ; + RECT 13.5030 0.5440 13.5530 0.7950 ; + RECT 14.5670 0.5620 14.8610 0.6120 ; + RECT 14.5670 0.3620 14.7520 0.4120 ; + RECT 14.7020 0.4120 14.7520 0.5620 ; + RECT 13.4030 0.8450 14.6170 0.8950 ; + RECT 14.5670 0.6120 14.6170 0.8450 ; + RECT 14.5670 0.1260 14.6170 0.3620 ; + RECT 13.4030 0.3700 13.5710 0.4200 ; + RECT 13.4030 0.4200 13.4530 0.8450 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 6.4930 1.0730 7.0330 1.1230 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 10.3710 0.6640 10.6050 0.7140 ; + RECT 5.1250 1.4240 5.6650 1.4740 ; + RECT 7.5590 1.4000 8.5530 1.4500 ; + RECT 12.6510 1.2000 13.7970 1.2500 ; + RECT 13.1990 1.0690 13.6450 1.1190 ; + RECT 13.1990 0.1260 13.2490 1.0690 ; + RECT 4.7470 1.5240 9.0850 1.5740 ; + RECT 4.8990 0.0910 8.7810 0.1410 ; + RECT 9.9670 0.3980 10.8330 0.4480 ; + RECT 9.9670 1.0670 10.8330 1.1170 ; + RECT 9.6110 0.6270 10.0170 0.6770 ; + RECT 9.9670 0.6770 10.0170 1.0670 ; + RECT 9.9670 0.4480 10.0170 0.6270 ; + RECT 10.2190 1.5280 14.8630 1.5780 ; + RECT 2.8630 0.8950 4.8290 0.9450 ; + RECT 3.7750 0.9450 3.8250 1.1920 ; + RECT 3.7750 0.4130 3.8250 0.8950 ; + RECT 2.8630 0.9450 2.9130 1.1920 ; + RECT 2.8630 0.4130 2.9130 0.8950 ; + RECT 3.1670 0.9450 3.2170 1.1920 ; + RECT 3.1670 0.4130 3.2170 0.8950 ; + RECT 3.4710 0.9450 3.5210 1.1920 ; + RECT 3.4710 0.4130 3.5210 0.8950 ; + RECT 3.9090 0.4550 4.9650 0.5050 ; + RECT 4.9150 0.8850 5.5890 0.9350 ; + RECT 4.9150 0.5050 4.9650 0.8850 ; + RECT 4.9150 0.9350 4.9650 1.0400 ; + RECT 3.9110 1.0400 4.9650 1.0900 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.0440 1.1770 5.2060 1.2270 ; + RECT 5.1560 1.0350 5.2060 1.1770 ; + RECT 5.1680 0.9850 5.7410 0.9860 ; + RECT 5.1560 0.9860 5.7410 1.0350 ; + RECT 2.5590 1.2480 4.0940 1.2980 ; + RECT 4.0440 1.2270 4.0940 1.2480 ; + RECT 2.5590 1.2980 2.6090 1.5460 ; + RECT 2.5590 0.8280 2.6090 1.2480 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.7780 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 5.7510 0.8670 6.1970 0.9170 ; + RECT 7.0790 0.7670 7.5130 0.8170 ; + RECT 6.6460 0.5210 7.1850 0.5710 ; + RECT 7.0790 0.5710 7.1290 0.7670 ; + RECT 7.4630 0.8170 7.5130 1.1780 ; + RECT 5.2770 1.1780 7.5130 1.2280 ; + RECT 5.2770 0.5210 5.8130 0.5710 ; + RECT 5.7510 0.4130 5.8010 0.5210 ; + RECT 5.7510 0.5710 5.8010 0.8670 ; + RECT 5.8010 0.9170 5.8510 1.1780 ; + RECT 4.5950 0.0920 4.7370 0.1420 ; + RECT 4.6870 0.1950 5.5980 0.2450 ; + RECT 4.6870 0.1420 4.7370 0.1950 ; + RECT 5.1250 0.4120 5.6650 0.4620 ; + RECT 6.0390 1.0670 6.4090 1.1170 ; + RECT 6.2070 0.4070 6.2570 0.6190 ; + RECT 5.9030 0.6190 6.4090 0.6690 ; + RECT 6.3590 0.9170 6.4090 1.0670 ; + RECT 6.3590 0.8670 7.4130 0.9170 ; + RECT 6.3590 0.6690 6.4090 0.8670 ; + RECT 5.9030 0.4070 5.9530 0.6190 ; + RECT 8.8310 0.0920 11.2140 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 6.4930 0.4140 7.0330 0.4640 ; + RECT 9.5110 0.7670 9.7530 0.8170 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.5110 0.5270 9.7530 0.5770 ; + RECT 9.7030 0.8170 9.7530 1.2400 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 10.6750 0.7590 12.7330 0.8090 ; + RECT 11.0310 0.5130 11.5800 0.5630 ; + LAYER NWELL ; + RECT -0.1160 1.5430 15.6130 1.7730 ; + RECT -0.1160 0.9480 12.5710 1.5430 ; + RECT 15.3380 0.6790 15.6130 1.5430 ; + RECT -0.1160 0.6790 2.6390 0.9480 ; + RECT 7.2800 0.6790 12.5710 0.9480 ; + RECT 13.0330 0.4910 14.8780 1.0830 ; + END +END RSDFFX2_HVT + +MACRO SDFFARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.472 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1130 1.0090 5.2330 1.1190 ; + RECT 4.8390 0.1480 4.8890 0.3090 ; + RECT 4.8390 0.3090 5.2330 0.3590 ; + RECT 4.8390 0.8540 4.8890 1.5460 ; + RECT 5.1830 0.8540 5.2330 1.0090 ; + RECT 4.8390 0.8040 5.2330 0.8540 ; + RECT 5.1830 0.3590 5.2330 0.8040 ; + END + PORT + LAYER CO ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 0.2870 4.8850 0.3290 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 0.1950 4.8850 0.2370 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 0.1950 4.8850 0.2370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2650 1.1610 5.3760 1.2210 ; + RECT 5.1270 0.1540 5.3750 0.2040 ; + RECT 5.1430 1.2210 5.3760 1.2710 ; + RECT 5.1430 1.2710 5.1930 1.5460 ; + RECT 5.3250 0.2040 5.3750 1.1610 ; + END + PORT + LAYER CO ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.4720 0.0300 ; + RECT 1.8020 0.1960 2.1530 0.2460 ; + RECT 4.2150 0.3300 4.7530 0.3800 ; + RECT 3.1670 0.1990 3.3920 0.2490 ; + RECT 1.6470 0.0300 1.6970 0.4080 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 4.9910 0.0300 5.0410 0.2330 ; + RECT 3.1670 0.3370 3.2170 0.4610 ; + RECT 2.7570 0.0300 2.8070 0.2870 ; + RECT 2.7570 0.2870 3.2170 0.3370 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9510 0.2460 2.0010 0.4500 ; + RECT 1.8020 0.0300 1.8520 0.1960 ; + RECT 4.6870 0.0300 4.7370 0.3300 ; + RECT 3.1670 0.2490 3.2170 0.2870 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.9550 0.3880 1.9970 0.4300 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.6510 0.3390 1.6930 0.3810 ; + RECT 4.6910 0.3340 4.7330 0.3760 ; + RECT 4.9950 0.1580 5.0370 0.2000 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 2.1070 0.2750 2.1490 0.3170 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.1710 0.3070 3.2130 0.3490 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 3.1710 0.3990 3.2130 0.4410 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 3.3230 0.2030 3.3650 0.2450 ; + END + END VSS + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2360 1.3150 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.2560 1.3130 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6640 1.0110 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.4720 1.7020 ; + RECT 4.0500 1.3080 4.7770 1.3580 ; + RECT 3.1500 1.2780 3.3930 1.3280 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 4.9910 1.0960 5.0410 1.6420 ; + RECT 1.6470 1.1340 1.6970 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 4.7270 1.3580 4.7770 1.6420 ; + RECT 1.9510 1.3140 2.1530 1.3640 ; + RECT 2.1030 1.1110 2.1530 1.3140 ; + RECT 3.3430 1.3280 3.3930 1.6420 ; + RECT 1.9510 1.0980 2.0010 1.3140 ; + RECT 2.1030 1.3640 2.1530 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.1320 2.1490 1.1740 ; + RECT 1.9550 1.1180 1.9970 1.1600 ; + RECT 1.9550 1.3020 1.9970 1.3440 ; + RECT 2.1070 1.3160 2.1490 1.3580 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 4.0830 1.3120 4.1250 1.3540 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 3.3230 1.2820 3.3650 1.3240 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 1.9550 1.2100 1.9970 1.2520 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9390 0.0880 4.0690 0.1380 ; + RECT 3.9870 0.1380 4.0690 0.1640 ; + RECT 2.9390 0.1380 3.0950 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.9430 0.1220 2.9850 0.1640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.4240 1.9410 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.2590 0.8520 2.3010 0.8940 ; + RECT 4.6150 1.4320 4.6570 1.4740 ; + RECT 3.9310 0.9820 3.9730 1.0240 ; + RECT 2.2590 0.7600 2.3010 0.8020 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 3.7790 1.1030 3.8210 1.1450 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.8910 0.3320 0.9330 0.3740 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 3.0950 0.7900 3.1370 0.8320 ; + RECT 4.3870 0.4420 4.4290 0.4840 ; + RECT 4.2350 0.9820 4.2770 1.0240 ; + RECT 3.2470 1.5220 3.2890 1.5640 ; + RECT 2.4110 1.2520 2.4530 1.2940 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 2.2590 0.5060 2.3010 0.5480 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.6150 0.7130 4.6570 0.7550 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.8670 1.1620 2.9090 1.2040 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 1.1950 1.0920 1.2370 1.1340 ; + RECT 2.3350 1.5300 2.3770 1.5720 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 3.5510 0.6410 3.5930 0.6830 ; + RECT 1.1950 0.5160 1.2370 0.5580 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 1.8030 0.8520 1.8450 0.8940 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 3.0950 1.4820 3.1370 1.5240 ; + RECT 1.4990 0.3320 1.5410 0.3740 ; + RECT 1.8030 0.7600 1.8450 0.8020 ; + RECT 3.3990 0.6440 3.4410 0.6860 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 1.3470 0.4740 1.3890 0.5160 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 2.4870 1.4900 2.5290 1.5320 ; + RECT 4.3110 1.4330 4.3530 1.4750 ; + RECT 2.7150 1.2820 2.7570 1.3240 ; + RECT 4.1590 0.7700 4.2010 0.8120 ; + RECT 3.7790 0.4900 3.8210 0.5320 ; + RECT 4.3110 0.1200 4.3530 0.1620 ; + RECT 3.7030 0.1900 3.7450 0.2320 ; + RECT 3.0950 0.5440 3.1370 0.5860 ; + RECT 2.7150 0.4380 2.7570 0.4800 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.7910 1.0050 2.8330 1.0470 ; + RECT 3.0190 1.2820 3.0610 1.3240 ; + RECT 2.3350 0.6490 2.3770 0.6910 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 3.4750 0.4240 3.5170 0.4660 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 3.9310 0.5420 3.9730 0.5840 ; + RECT 3.2470 0.5440 3.2890 0.5860 ; + RECT 2.6390 1.5030 2.6810 1.5450 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 1.0430 0.9920 1.0850 1.0340 ; + RECT 3.6270 0.3910 3.6690 0.4330 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + LAYER PO ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.6840 ; + RECT 2.4930 0.9900 2.5230 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 4.6210 1.0120 4.6510 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.6180 ; + RECT 4.6210 0.0680 4.6510 0.7870 ; + RECT 3.7090 0.8820 3.7390 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6320 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 3.1010 0.7580 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.5870 1.7730 ; + LAYER M1 ; + RECT 3.0750 0.7860 3.5970 0.8360 ; + RECT 3.5470 0.6210 3.5970 0.7860 ; + RECT 3.4650 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.0880 4.3570 0.2300 ; + RECT 3.3140 0.3140 3.5150 0.3640 ; + RECT 3.0750 0.5400 3.3640 0.5900 ; + RECT 3.6830 0.1880 3.7650 0.2300 ; + RECT 3.4650 0.2800 3.5150 0.3140 ; + RECT 3.3140 0.3640 3.3640 0.5400 ; + RECT 2.5590 0.6400 3.4610 0.6900 ; + RECT 2.5590 0.4340 2.7770 0.4840 ; + RECT 2.5590 1.1580 2.9290 1.2080 ; + RECT 2.5590 0.3550 2.6090 0.4340 ; + RECT 2.5590 1.2080 2.6090 1.3140 ; + RECT 2.5590 0.6900 2.6090 1.1580 ; + RECT 2.5590 0.4840 2.6090 0.6400 ; + RECT 2.4070 0.2990 2.4970 0.3810 ; + RECT 2.4070 1.0380 2.4570 1.3140 ; + RECT 2.4310 0.3810 2.4810 0.9880 ; + RECT 1.0230 0.9880 2.4810 1.0380 ; + RECT 1.0230 0.4120 1.3930 0.4620 ; + RECT 1.3430 0.4620 1.3930 0.5040 ; + RECT 1.3430 0.5040 1.7370 0.5540 ; + RECT 1.6870 0.5540 1.7370 0.9880 ; + RECT 5.0480 0.6040 5.1330 0.6540 ; + RECT 4.3670 1.2080 4.7770 1.2580 ; + RECT 3.7750 0.4880 3.8250 1.1650 ; + RECT 3.7750 0.4380 4.4710 0.4880 ; + RECT 4.0780 0.4880 4.1280 0.7660 ; + RECT 4.0780 0.7660 4.2210 0.8160 ; + RECT 4.7270 0.7540 4.7770 1.2080 ; + RECT 4.4210 0.4880 4.4710 0.5030 ; + RECT 5.0480 0.5530 5.0980 0.6040 ; + RECT 4.4210 0.5030 5.0980 0.5530 ; + RECT 4.7270 0.7040 5.0980 0.7540 ; + RECT 5.0480 0.6540 5.0980 0.7040 ; + RECT 0.2050 0.8880 1.5020 0.9380 ; + RECT 1.4520 0.6800 1.6370 0.7300 ; + RECT 1.4520 0.7300 1.5020 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 3.4710 1.3080 3.6730 1.3580 ; + RECT 2.7660 1.0010 3.7130 1.0510 ; + RECT 3.6230 0.5090 3.7130 0.5590 ; + RECT 3.4400 0.4200 3.6730 0.4700 ; + RECT 3.4710 1.1010 3.5210 1.3080 ; + RECT 3.6230 1.0510 3.6730 1.3080 ; + RECT 3.6630 0.5590 3.7130 1.0010 ; + RECT 3.6230 0.4700 3.6730 0.5090 ; + RECT 3.6230 0.3710 3.6730 0.4200 ; + RECT 0.7190 0.5120 1.2570 0.5620 ; + RECT 0.8870 0.3120 1.5450 0.3620 ; + RECT 0.8870 0.3620 0.9370 0.3940 ; + RECT 1.4950 0.3620 1.5450 0.3940 ; + RECT 1.9970 0.0960 2.7070 0.1460 ; + RECT 4.2710 0.6040 4.9810 0.6540 ; + RECT 3.9100 0.9780 4.3210 1.0280 ; + RECT 3.9100 0.5380 4.0170 0.5880 ; + RECT 4.2710 0.6540 4.3210 0.9780 ; + RECT 3.9100 0.5880 3.9600 0.9780 ; + RECT 2.6350 1.4780 3.1570 1.5280 ; + RECT 2.6350 1.5280 2.6850 1.5650 ; + RECT 0.7350 1.0420 0.9370 1.0920 ; + RECT 0.8870 1.0920 0.9370 1.2160 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 2.3030 1.5260 2.5330 1.5760 ; + RECT 3.2430 1.4280 3.2930 1.5840 ; + RECT 2.4830 1.4280 2.5330 1.5260 ; + RECT 2.4830 1.3780 3.2930 1.4280 ; + RECT 1.7990 0.6180 2.2450 0.6680 ; + RECT 1.7990 0.6680 1.8490 0.9140 ; + RECT 1.7990 0.4220 1.8490 0.6180 ; + RECT 2.2550 0.5180 2.3810 0.5680 ; + RECT 2.2550 0.7680 2.3050 0.9140 ; + RECT 2.2550 0.7180 2.3810 0.7680 ; + RECT 2.2550 0.3940 2.3050 0.5180 ; + RECT 2.3310 0.5680 2.3810 0.7180 ; + RECT 4.2900 1.4290 4.6770 1.4790 ; + RECT 4.4540 0.7090 4.6770 0.7590 ; + RECT 4.4540 0.7590 4.5040 1.0990 ; + RECT 3.8990 1.0990 4.5040 1.1490 ; + RECT 3.7230 1.2720 3.9490 1.3220 ; + RECT 3.5310 1.5280 3.7730 1.5780 ; + RECT 3.7230 1.3220 3.7730 1.5280 ; + RECT 3.8990 1.1490 3.9490 1.2720 ; + RECT 1.1750 1.0880 1.5610 1.1380 ; + RECT 2.6950 1.2780 3.0810 1.3280 ; + END +END SDFFARX1_HVT + +MACRO SDFFARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.776 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.5690 0.0970 5.6790 0.2070 ; + RECT 5.2950 0.2700 5.6610 0.3200 ; + RECT 5.2950 0.1480 5.3450 0.2700 ; + RECT 5.2950 0.9680 5.3450 1.5460 ; + RECT 5.2950 0.9180 5.6610 0.9680 ; + RECT 5.6110 0.3200 5.6610 0.9180 ; + RECT 5.6110 0.2070 5.6610 0.2700 ; + END + PORT + LAYER CO ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 0.1950 5.3410 0.2370 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.7760 0.0300 ; + RECT 1.8020 0.1960 2.1530 0.2460 ; + RECT 4.2150 0.3300 4.7530 0.3800 ; + RECT 3.1670 0.1990 3.3920 0.2490 ; + RECT 1.6470 0.0300 1.6970 0.4080 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 5.4470 0.0300 5.4970 0.2200 ; + RECT 4.8390 0.0300 4.8890 0.4080 ; + RECT 5.1430 0.0300 5.1930 0.3190 ; + RECT 3.1670 0.3370 3.2170 0.4610 ; + RECT 2.7570 0.0300 2.8070 0.2870 ; + RECT 2.7570 0.2870 3.2170 0.3370 ; + RECT 2.1030 0.2460 2.1530 0.4500 ; + RECT 1.9510 0.2460 2.0010 0.4500 ; + RECT 1.8020 0.0300 1.8520 0.1960 ; + RECT 4.6870 0.0300 4.7370 0.3300 ; + RECT 3.1670 0.2490 3.2170 0.2870 ; + END + PORT + LAYER CO ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 5.1470 0.2500 5.1890 0.2920 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.1470 0.1580 5.1890 0.2000 ; + RECT 1.6510 0.3390 1.6930 0.3810 ; + RECT 4.6910 0.3340 4.7330 0.3760 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 4.8430 0.1580 4.8850 0.2000 ; + RECT 4.8430 0.2500 4.8850 0.2920 ; + RECT 5.4510 0.1580 5.4930 0.2000 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.8430 0.3420 4.8850 0.3840 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.1710 0.3070 3.2130 0.3490 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 4.2350 0.3340 4.2770 0.3760 ; + RECT 3.1710 0.3990 3.2130 0.4410 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 3.3230 0.2030 3.3650 0.2450 ; + RECT 2.1070 0.3880 2.1490 0.4300 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 2.1070 0.2750 2.1490 0.3170 ; + RECT 1.9550 0.3880 1.9970 0.4300 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9910 0.8040 5.5370 0.8540 ; + RECT 4.9910 0.1480 5.0410 0.3940 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 5.4870 0.5110 5.5370 0.8040 ; + RECT 5.4170 0.4440 5.5370 0.5110 ; + RECT 4.9910 0.3940 5.5370 0.4440 ; + END + PORT + LAYER CO ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 0.2870 5.0370 0.3290 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 0.1950 5.0370 0.2370 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.042 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2360 1.3150 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.2560 1.3130 1.2980 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.6640 1.0110 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.7760 1.7020 ; + RECT 4.0500 1.3080 4.7770 1.3580 ; + RECT 3.1500 1.2780 3.3930 1.3280 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 5.4470 1.0520 5.4970 1.6420 ; + RECT 4.8390 0.9120 4.8890 1.6420 ; + RECT 5.1430 0.9600 5.1930 1.6420 ; + RECT 1.6470 1.1340 1.6970 1.6420 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 4.7270 1.3580 4.7770 1.6420 ; + RECT 1.9510 1.3140 2.1530 1.3640 ; + RECT 2.1030 1.1110 2.1530 1.3140 ; + RECT 3.3430 1.3280 3.3930 1.6420 ; + RECT 1.9510 1.0980 2.0010 1.3140 ; + RECT 2.1030 1.3640 2.1530 1.6420 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 3.3230 1.2820 3.3650 1.3240 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 1.9550 1.2100 1.9970 1.2520 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 4.0830 1.3120 4.1250 1.3540 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 2.1070 1.1320 2.1490 1.1740 ; + RECT 1.9550 1.1180 1.9970 1.1600 ; + RECT 1.9550 1.3020 1.9970 1.3440 ; + RECT 2.1070 1.3160 2.1490 1.3580 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.1470 1.4400 5.1890 1.4820 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 1.6510 1.1540 1.6930 1.1960 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 5.1470 0.9800 5.1890 1.0220 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.8430 0.9320 4.8850 0.9740 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 3.1710 1.2820 3.2130 1.3240 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9390 0.0890 4.0690 0.1390 ; + RECT 3.9870 0.1390 4.0690 0.1640 ; + RECT 2.9390 0.1390 3.0950 0.2070 ; + END + PORT + LAYER CO ; + RECT 4.0070 0.1080 4.0490 0.1500 ; + RECT 2.9430 0.1220 2.9850 0.1640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.4240 1.9410 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 1.1950 1.0920 1.2370 1.1340 ; + RECT 2.3350 1.5300 2.3770 1.5720 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 3.5510 0.6410 3.5930 0.6830 ; + RECT 1.1950 0.5160 1.2370 0.5580 ; + RECT 2.6390 0.1000 2.6810 0.1420 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 1.3470 0.9920 1.3890 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.6150 0.7130 4.6570 0.7550 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 2.8670 1.1620 2.9090 1.2040 ; + RECT 2.5630 1.1600 2.6050 1.2020 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 3.0950 1.4820 3.1370 1.5240 ; + RECT 1.4990 0.3320 1.5410 0.3740 ; + RECT 1.8030 0.7600 1.8450 0.8020 ; + RECT 3.3990 0.6440 3.4410 0.6860 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 1.3470 0.4740 1.3890 0.5160 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 2.4870 1.4900 2.5290 1.5320 ; + RECT 4.3110 1.4330 4.3530 1.4750 ; + RECT 2.7150 1.2820 2.7570 1.3240 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 4.1590 0.7700 4.2010 0.8120 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 2.2590 0.8520 2.3010 0.8940 ; + RECT 3.6270 0.4090 3.6690 0.4510 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 4.6150 1.4320 4.6570 1.4740 ; + RECT 3.9310 0.9820 3.9730 1.0240 ; + RECT 2.2590 0.7600 2.3010 0.8020 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 3.6270 1.2910 3.6690 1.3330 ; + RECT 3.7790 1.1030 3.8210 1.1450 ; + RECT 4.3870 1.2120 4.4290 1.2540 ; + RECT 2.1830 0.6220 2.2250 0.6640 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.8910 0.3320 0.9330 0.3740 ; + RECT 1.0430 0.4160 1.0850 0.4580 ; + RECT 0.8910 1.0620 0.9330 1.1040 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 3.7790 0.5080 3.8210 0.5500 ; + RECT 4.3110 0.1200 4.3530 0.1620 ; + RECT 3.7030 0.1980 3.7450 0.2400 ; + RECT 3.0950 0.5440 3.1370 0.5860 ; + RECT 2.7150 0.4380 2.7570 0.4800 ; + RECT 1.5750 0.6840 1.6170 0.7260 ; + RECT 1.4990 1.0920 1.5410 1.1340 ; + RECT 2.7910 1.0050 2.8330 1.0470 ; + RECT 3.0190 1.2820 3.0610 1.3240 ; + RECT 2.3350 0.6490 2.3770 0.6910 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 3.7030 1.5320 3.7450 1.5740 ; + RECT 3.4750 0.4240 3.5170 0.4660 ; + RECT 2.5630 1.2520 2.6050 1.2940 ; + RECT 3.9310 0.5420 3.9730 0.5840 ; + RECT 3.2470 0.5440 3.2890 0.5860 ; + RECT 2.6390 1.5030 2.6810 1.5450 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 1.0430 0.9920 1.0850 1.0340 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.5630 0.3750 2.6050 0.4170 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 2.4110 0.3190 2.4530 0.3610 ; + RECT 1.8030 0.8520 1.8450 0.8940 ; + RECT 3.0950 0.7900 3.1370 0.8320 ; + RECT 4.3870 0.4420 4.4290 0.4840 ; + RECT 4.2350 0.9820 4.2770 1.0240 ; + RECT 3.2470 1.5220 3.2890 1.5640 ; + RECT 2.4110 1.2520 2.4530 1.2940 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 2.2590 0.5060 2.3010 0.5480 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.6620 ; + RECT 2.4930 0.9900 2.5230 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 4.6210 1.0120 4.6510 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.6180 ; + RECT 4.6210 0.0680 4.6510 0.7870 ; + RECT 3.7090 0.8820 3.7390 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.6400 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 3.1010 0.7580 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.8910 1.7730 ; + LAYER M1 ; + RECT 3.0750 0.7860 3.5970 0.8360 ; + RECT 3.5470 0.6210 3.5970 0.7860 ; + RECT 3.4650 0.2300 4.3570 0.2800 ; + RECT 4.3070 0.0880 4.3570 0.2300 ; + RECT 3.3140 0.3140 3.5150 0.3640 ; + RECT 3.0750 0.5400 3.3640 0.5900 ; + RECT 3.6830 0.2800 3.7650 0.2910 ; + RECT 3.6830 0.1890 3.7650 0.2300 ; + RECT 3.4650 0.2800 3.5150 0.3140 ; + RECT 3.3140 0.3640 3.3640 0.5400 ; + RECT 2.5590 0.6400 3.4610 0.6900 ; + RECT 2.5590 0.4340 2.7770 0.4840 ; + RECT 2.5590 1.1580 2.9290 1.2080 ; + RECT 2.5590 0.3550 2.6090 0.4340 ; + RECT 2.5590 1.2080 2.6090 1.3140 ; + RECT 2.5590 0.6900 2.6090 1.1580 ; + RECT 2.5590 0.4840 2.6090 0.6400 ; + RECT 2.4070 0.2990 2.4970 0.3810 ; + RECT 2.4070 1.0380 2.4570 1.3140 ; + RECT 2.4310 0.3810 2.4810 0.9880 ; + RECT 1.0230 0.9880 2.4810 1.0380 ; + RECT 1.0230 0.4120 1.3930 0.4620 ; + RECT 1.3430 0.4620 1.3930 0.5040 ; + RECT 1.3430 0.5040 1.7370 0.5540 ; + RECT 1.6870 0.5540 1.7370 0.9880 ; + RECT 5.2000 0.6040 5.4370 0.6540 ; + RECT 5.2000 0.5530 5.2500 0.6040 ; + RECT 4.4210 0.5030 5.2500 0.5530 ; + RECT 4.7270 0.7040 5.2500 0.7540 ; + RECT 5.2000 0.6540 5.2500 0.7040 ; + RECT 4.3670 1.2080 4.7770 1.2580 ; + RECT 3.7750 0.4880 3.8250 1.1650 ; + RECT 3.7750 0.4380 4.4710 0.4880 ; + RECT 4.0780 0.4880 4.1280 0.7660 ; + RECT 4.0780 0.7660 4.2210 0.8160 ; + RECT 4.7270 0.7540 4.7770 1.2080 ; + RECT 4.4210 0.4880 4.4710 0.5030 ; + RECT 0.2050 0.8880 1.5020 0.9380 ; + RECT 1.4520 0.6800 1.6370 0.7300 ; + RECT 1.4520 0.7300 1.5020 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 4.4540 0.7090 4.6770 0.7590 ; + RECT 4.4540 0.7590 4.5040 1.0990 ; + RECT 3.8990 1.0990 4.5040 1.1490 ; + RECT 3.7230 1.2720 3.9490 1.3220 ; + RECT 3.5310 1.5280 3.7730 1.5780 ; + RECT 3.7230 1.3220 3.7730 1.5280 ; + RECT 3.8990 1.1490 3.9490 1.2720 ; + RECT 2.6950 1.2780 3.0810 1.3280 ; + RECT 3.4710 1.3080 3.6730 1.3580 ; + RECT 2.7660 1.0010 3.7130 1.0510 ; + RECT 3.6230 0.5270 3.7130 0.5770 ; + RECT 3.4400 0.4200 3.6730 0.4700 ; + RECT 3.4710 1.1010 3.5210 1.3080 ; + RECT 3.6230 1.0510 3.6730 1.3080 ; + RECT 3.6630 0.5770 3.7130 1.0010 ; + RECT 3.6230 0.4700 3.6730 0.5270 ; + RECT 3.6230 0.3890 3.6730 0.4200 ; + RECT 0.7190 0.5120 1.2570 0.5620 ; + RECT 0.8870 0.3120 1.5450 0.3620 ; + RECT 0.8870 0.3620 0.9370 0.3940 ; + RECT 1.4950 0.3620 1.5450 0.3940 ; + RECT 1.9970 0.0960 2.7070 0.1460 ; + RECT 4.2710 0.6040 5.1330 0.6540 ; + RECT 3.9100 0.9780 4.3210 1.0280 ; + RECT 3.9100 0.5380 4.0170 0.5880 ; + RECT 4.2710 0.6540 4.3210 0.9780 ; + RECT 3.9100 0.5880 3.9600 0.9780 ; + RECT 2.6350 1.4780 3.1570 1.5280 ; + RECT 2.6350 1.5280 2.6850 1.5650 ; + RECT 0.7350 1.0420 0.9370 1.0920 ; + RECT 0.8870 1.0920 0.9370 1.2160 ; + RECT 0.7350 1.0920 0.7850 1.2160 ; + RECT 2.3030 1.5260 2.5330 1.5760 ; + RECT 3.2430 1.4280 3.2930 1.5840 ; + RECT 2.4830 1.4280 2.5330 1.5260 ; + RECT 2.4830 1.3780 3.2930 1.4280 ; + RECT 1.7990 0.6180 2.2450 0.6680 ; + RECT 1.7990 0.6680 1.8490 0.9140 ; + RECT 1.7990 0.4220 1.8490 0.6180 ; + RECT 2.2550 0.5180 2.3810 0.5680 ; + RECT 2.2550 0.7680 2.3050 0.9140 ; + RECT 2.2550 0.7180 2.3810 0.7680 ; + RECT 2.2550 0.3940 2.3050 0.5180 ; + RECT 2.3310 0.5680 2.3810 0.7180 ; + RECT 4.2900 1.4290 4.6770 1.4790 ; + RECT 1.1750 1.0880 1.5610 1.1380 ; + END +END SDFFARX2_HVT + +MACRO SDFFASRSX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.144 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.8150 1.2710 6.8650 1.5460 ; + RECT 7.0390 0.2040 7.0890 1.1610 ; + RECT 6.7990 0.1540 7.0890 0.2040 ; + RECT 6.8150 1.2210 7.0890 1.2710 ; + RECT 6.9370 1.1610 7.0890 1.2210 ; + END + PORT + LAYER CO ; + RECT 6.8190 0.1580 6.8610 0.2000 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.7850 1.0670 6.8950 1.1190 ; + RECT 6.5110 1.0590 6.8950 1.0670 ; + RECT 6.5110 1.0170 6.9890 1.0590 ; + RECT 6.5110 1.0670 6.5610 1.5460 ; + RECT 6.5110 0.1480 6.5610 0.2700 ; + RECT 6.9390 0.3200 6.9890 1.0090 ; + RECT 6.5110 0.2700 6.9890 0.3200 ; + RECT 6.7850 1.0090 6.9890 1.0170 ; + END + PORT + LAYER CO ; + RECT 6.5150 0.1950 6.5570 0.2370 ; + RECT 6.5150 1.1160 6.5570 1.1580 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.1160 6.5570 1.1580 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN SO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.2070 0.8220 6.8890 0.8720 ; + RECT 6.6330 0.8720 6.7430 0.9670 ; + RECT 6.2070 0.8720 6.2570 1.5460 ; + RECT 6.2070 0.1480 6.2570 0.3800 ; + RECT 6.8390 0.4300 6.8890 0.8220 ; + RECT 6.2070 0.3800 6.8890 0.4300 ; + END + PORT + LAYER CO ; + RECT 6.2110 0.2870 6.2530 0.3290 ; + RECT 6.2110 0.1950 6.2530 0.2370 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END SO + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.1440 1.7020 ; + RECT 3.9100 1.2780 4.6390 1.3280 ; + RECT 5.2660 1.3080 6.1450 1.3580 ; + RECT 0.5830 1.0330 0.6330 1.6420 ; + RECT 0.4310 1.0000 0.4810 1.6420 ; + RECT 2.4070 1.1340 2.4570 1.6420 ; + RECT 6.3590 0.9470 6.4090 1.6420 ; + RECT 6.6630 1.1860 6.7130 1.6420 ; + RECT 4.1030 1.3280 4.1530 1.6420 ; + RECT 2.7110 1.0880 2.7610 1.3040 ; + RECT 2.8630 1.3540 2.9130 1.6420 ; + RECT 2.7110 1.3040 2.9130 1.3540 ; + RECT 2.8630 1.1010 2.9130 1.3040 ; + RECT 6.0950 1.3580 6.1450 1.6420 ; + END + PORT + LAYER CO ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 6.0590 1.3120 6.1010 1.3540 ; + RECT 2.7150 1.2920 2.7570 1.3340 ; + RECT 3.9310 1.2820 3.9730 1.3240 ; + RECT 5.2990 1.3120 5.3410 1.3540 ; + RECT 2.8670 1.3980 2.9090 1.4400 ; + RECT 2.8670 1.2140 2.9090 1.2560 ; + RECT 4.2350 1.2820 4.2770 1.3240 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 6.6670 1.3070 6.7090 1.3490 ; + RECT 6.6670 1.2150 6.7090 1.2570 ; + RECT 6.6670 1.2150 6.7090 1.2570 ; + RECT 6.6670 1.3070 6.7090 1.3490 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 6.6670 1.4910 6.7090 1.5330 ; + RECT 6.6670 1.4910 6.7090 1.5330 ; + RECT 6.6670 1.3990 6.7090 1.4410 ; + RECT 6.6670 1.3990 6.7090 1.4410 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.5390 1.2820 4.5810 1.3240 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.8670 1.1220 2.9090 1.1640 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.8670 1.3060 2.9090 1.3480 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 2.4110 1.1540 2.4530 1.1960 ; + RECT 2.7150 1.2000 2.7570 1.2420 ; + RECT 0.4350 1.1450 0.4770 1.1870 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9340 0.6800 1.4670 0.7300 ; + RECT 1.3130 0.7300 1.4670 0.8150 ; + RECT 1.3130 0.6640 1.4670 0.6800 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6840 1.3130 0.7260 ; + RECT 1.1190 0.6840 1.1610 0.7260 ; + RECT 1.4230 0.6840 1.4650 0.7260 ; + RECT 0.9670 0.6840 1.0090 0.7260 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.6990 0.0880 5.2850 0.1380 ; + RECT 5.2030 0.1380 5.2850 0.1640 ; + RECT 3.6990 0.1380 3.8550 0.2070 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.1200 5.2650 0.1620 ; + RECT 3.7030 0.1220 3.7450 0.1640 ; + END + ANTENNAGATEAREA 0.039 ; + END RSTB + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.7250 0.7300 ; + RECT 0.4010 0.5530 0.5110 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.6630 0.6840 0.7050 0.7260 ; + END + ANTENNAGATEAREA 0.0495 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.3130 1.7710 1.4250 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.3630 1.7690 1.4050 ; + END + ANTENNAGATEAREA 0.021 ; + END SI + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8110 0.8460 5.9830 1.0230 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.8900 5.8730 0.9320 ; + END + ANTENNAGATEAREA 0.0735 ; + END SETB + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.1440 0.0300 ; + RECT 5.4310 0.3300 6.1210 0.3800 ; + RECT 2.4070 0.1960 2.9130 0.2460 ; + RECT 6.3590 0.0300 6.4090 0.2200 ; + RECT 6.6630 0.0300 6.7130 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.5150 ; + RECT 0.4310 0.0300 0.4810 0.4370 ; + RECT 6.0550 0.0300 6.1050 0.3300 ; + RECT 4.6870 0.2340 4.7370 0.2880 ; + RECT 4.0790 0.3380 4.1290 0.3910 ; + RECT 4.0790 0.2870 4.1290 0.2880 ; + RECT 3.9270 0.3380 3.9770 0.3910 ; + RECT 3.9270 0.2870 3.9770 0.2880 ; + RECT 3.5170 0.3380 3.5670 0.3840 ; + RECT 3.5170 0.2880 4.7370 0.3380 ; + RECT 3.5170 0.0300 3.5670 0.2880 ; + RECT 2.8630 0.2460 2.9130 0.4500 ; + RECT 2.7110 0.2460 2.7610 0.4500 ; + RECT 2.4070 0.0300 2.4570 0.1960 ; + RECT 2.4070 0.3850 2.4570 0.4080 ; + RECT 2.0870 0.3350 2.4570 0.3850 ; + RECT 2.4070 0.2460 2.4570 0.3350 ; + END + PORT + LAYER CO ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 6.3630 0.1580 6.4050 0.2000 ; + RECT 6.6670 0.1580 6.7090 0.2000 ; + RECT 2.7150 0.3880 2.7570 0.4300 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.6910 0.2540 4.7330 0.2960 ; + RECT 0.5870 0.3440 0.6290 0.3860 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.9310 0.3170 3.9730 0.3590 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.0830 0.3170 4.1250 0.3590 ; + RECT 6.0590 0.3340 6.1010 0.3760 ; + RECT 5.4510 0.3340 5.4930 0.3760 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 0.4350 0.3750 0.4770 0.4170 ; + RECT 2.8670 0.3880 2.9090 0.4300 ; + RECT 2.7150 0.2000 2.7570 0.2420 ; + RECT 2.8670 0.2750 2.9090 0.3170 ; + RECT 2.4110 0.3390 2.4530 0.3810 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.1070 0.3390 2.1490 0.3810 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5290 1.4240 2.7010 1.5760 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.5300 2.6810 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 4.8430 1.2910 4.8850 1.3330 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 4.9950 1.1030 5.0370 1.1450 ; + RECT 6.2870 0.6080 6.3290 0.6500 ; + RECT 3.8550 0.8240 3.8970 0.8660 ; + RECT 5.4510 0.9820 5.4930 1.0240 ; + RECT 1.1950 0.9920 1.2370 1.0340 ; + RECT 4.0070 1.4820 4.0490 1.5240 ; + RECT 3.1710 1.2520 3.2130 1.2940 ; + RECT 3.0190 0.5060 3.0610 0.5480 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 3.0190 0.4140 3.0610 0.4560 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.8030 0.4740 1.8450 0.5160 ; + RECT 4.3870 1.1700 4.4290 1.2120 ; + RECT 5.9070 1.2120 5.9490 1.2540 ; + RECT 5.7550 1.1120 5.7970 1.1540 ; + RECT 3.6270 1.1620 3.6690 1.2040 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 3.0950 1.5300 3.1370 1.5720 ; + RECT 3.8550 1.4820 3.8970 1.5240 ; + RECT 1.3470 1.1760 1.3890 1.2180 ; + RECT 2.5630 0.7600 2.6050 0.8020 ; + RECT 4.3110 1.5400 4.3530 1.5820 ; + RECT 4.8430 1.1070 4.8850 1.1490 ; + RECT 5.9830 1.4120 6.0250 1.4540 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 1.1760 1.0850 1.2180 ; + RECT 4.7670 1.4400 4.8090 1.4820 ; + RECT 1.6510 0.5160 1.6930 0.5580 ; + RECT 3.2470 1.4900 3.2890 1.5320 ; + RECT 5.5270 1.4120 5.5690 1.4540 ; + RECT 6.7430 0.6080 6.7850 0.6500 ; + RECT 6.5910 0.6080 6.6330 0.6500 ; + RECT 2.0310 0.6820 2.0730 0.7240 ; + RECT 1.9550 0.1970 1.9970 0.2390 ; + RECT 4.6150 0.7080 4.6570 0.7500 ; + RECT 4.1590 0.7080 4.2010 0.7500 ; + RECT 4.5390 0.1920 4.5810 0.2340 ; + RECT 4.2350 0.1920 4.2770 0.2340 ; + RECT 4.4630 1.5400 4.5050 1.5820 ; + RECT 4.6910 1.1700 4.7330 1.2120 ; + RECT 0.8910 0.9920 0.9330 1.0340 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 1.1950 0.4160 1.2370 0.4580 ; + RECT 2.1830 0.6820 2.2250 0.7240 ; + RECT 1.4990 0.9920 1.5410 1.0340 ; + RECT 3.0190 0.8520 3.0610 0.8940 ; + RECT 2.3350 0.6820 2.3770 0.7240 ; + RECT 4.8430 0.5080 4.8850 0.5500 ; + RECT 4.0830 1.1700 4.1250 1.2120 ; + RECT 5.1470 0.9820 5.1890 1.0240 ; + RECT 3.0190 0.7600 3.0610 0.8020 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.3470 1.1760 1.3890 1.2180 ; + RECT 1.0430 1.1760 1.0850 1.2180 ; + RECT 3.4750 1.2820 3.5170 1.3240 ; + RECT 5.3750 0.7700 5.4170 0.8120 ; + RECT 5.6030 0.4420 5.6450 0.4840 ; + RECT 4.9950 0.4900 5.0370 0.5320 ; + RECT 4.7670 0.8240 4.8090 0.8660 ; + RECT 5.5270 0.1280 5.5690 0.1700 ; + RECT 4.9190 0.2290 4.9610 0.2710 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.4750 0.4380 3.5170 0.4800 ; + RECT 3.3990 0.1000 3.4410 0.1420 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 3.5510 0.9600 3.5930 1.0020 ; + RECT 3.7790 1.2820 3.8210 1.3240 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 3.0950 0.6490 3.1370 0.6910 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.7390 0.5160 0.7810 0.5580 ; + RECT 3.1710 1.1600 3.2130 1.2020 ; + RECT 4.9190 1.4400 4.9610 1.4820 ; + RECT 2.2590 0.1970 2.3010 0.2390 ; + RECT 3.3230 1.2520 3.3650 1.2940 ; + RECT 5.1470 0.4500 5.1890 0.4920 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.3990 1.5030 3.4410 1.5450 ; + RECT 4.8430 1.1990 4.8850 1.2410 ; + RECT 5.6030 1.2120 5.6450 1.2540 ; + RECT 1.4990 0.4160 1.5410 0.4580 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 2.2590 1.0920 2.3010 1.1340 ; + RECT 0.2830 0.3670 0.3250 0.4090 ; + RECT 0.2830 0.4590 0.3250 0.5010 ; + RECT 1.6510 1.0920 1.6930 1.1340 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 3.2470 0.1000 3.2890 0.1420 ; + RECT 2.5630 0.4420 2.6050 0.4840 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 2.5630 0.8520 2.6050 0.8940 ; + RECT 5.9830 0.7400 6.0250 0.7820 ; + RECT 1.8030 0.9920 1.8450 1.0340 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.7390 1.0620 0.7810 1.1040 ; + RECT 4.3870 0.4920 4.4290 0.5340 ; + RECT 2.9430 0.6220 2.9850 0.6640 ; + RECT 5.8310 1.5400 5.8730 1.5820 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 3.1710 0.3190 3.2130 0.3610 ; + RECT 3.3230 0.3750 3.3650 0.4170 ; + LAYER PO ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.2530 0.0680 3.2830 0.6620 ; + RECT 3.2530 0.7900 3.2830 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 5.9890 0.0680 6.0190 0.7900 ; + RECT 3.8610 0.0680 3.8910 0.7040 ; + RECT 4.9250 0.8820 4.9550 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 5.9890 0.9120 6.0190 1.6060 ; + RECT 4.9250 0.0680 4.9550 0.6220 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.8610 0.8040 3.8910 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + LAYER NWELL ; + RECT -0.1120 0.6930 7.2710 1.7730 ; + RECT 2.5050 0.6880 7.2710 0.6930 ; + RECT -0.1120 0.6790 1.7670 0.6930 ; + RECT 2.5140 0.6790 7.2710 0.6880 ; + LAYER M1 ; + RECT 0.2050 0.8880 2.2620 0.9380 ; + RECT 2.0080 0.6780 2.3970 0.7280 ; + RECT 2.2120 0.7280 2.2620 0.8880 ; + RECT 0.2050 0.4780 0.3290 0.5280 ; + RECT 0.2790 0.3180 0.3290 0.4780 ; + RECT 0.2790 0.9380 0.3290 1.2160 ; + RECT 0.2050 0.5280 0.2550 0.8880 ; + RECT 5.5230 0.0880 5.5730 0.2300 ; + RECT 4.8840 0.2300 5.5730 0.2800 ; + RECT 3.8130 0.6040 4.0760 0.6540 ; + RECT 3.9250 0.5170 3.9750 0.6040 ; + RECT 4.1790 0.4380 4.2290 0.4670 ; + RECT 3.9250 0.4670 4.2290 0.5170 ; + RECT 4.1790 0.3880 4.9340 0.4380 ; + RECT 4.8840 0.2800 4.9340 0.3880 ; + RECT 4.8840 0.1920 4.9810 0.2300 ; + RECT 3.3190 0.7040 4.6940 0.7540 ; + RECT 3.3190 0.3550 3.3690 0.4340 ; + RECT 3.3190 1.2080 3.3690 1.3140 ; + RECT 3.3190 0.7540 3.3690 1.1580 ; + RECT 3.3190 0.4840 3.3690 0.7040 ; + RECT 3.3190 0.4340 3.5370 0.4840 ; + RECT 3.3190 1.1580 3.6890 1.2080 ; + RECT 3.1670 0.2990 3.2570 0.3810 ; + RECT 3.1670 1.0380 3.2170 1.3140 ; + RECT 3.1910 0.3810 3.2410 0.9880 ; + RECT 0.8710 0.9880 3.2410 1.0380 ; + RECT 0.8710 0.4120 1.8490 0.4620 ; + RECT 1.7990 0.4620 1.8490 0.5040 ; + RECT 2.4100 0.8260 2.4970 0.8760 ; + RECT 1.7990 0.5040 2.4970 0.5540 ; + RECT 2.4100 0.8760 2.4600 0.9880 ; + RECT 2.4470 0.5540 2.4970 0.8260 ; + RECT 3.8350 0.8200 4.8290 0.8700 ; + RECT 5.7420 0.5040 6.7890 0.5540 ; + RECT 6.7390 0.5540 6.7890 0.7220 ; + RECT 6.0950 0.7220 6.7890 0.7720 ; + RECT 5.7350 1.1080 6.1450 1.1580 ; + RECT 6.0950 0.7720 6.1450 1.1080 ; + RECT 5.2940 0.7660 5.4370 0.8160 ; + RECT 5.2940 0.4380 5.7920 0.4880 ; + RECT 5.7420 0.4880 5.7920 0.5040 ; + RECT 4.9910 0.3300 5.3440 0.3800 ; + RECT 4.9910 0.3800 5.0410 1.1650 ; + RECT 5.2940 0.4880 5.3440 0.7660 ; + RECT 5.2940 0.3800 5.3440 0.4380 ; + RECT 5.6250 0.7360 6.0450 0.7860 ; + RECT 5.6250 0.7860 5.6750 1.0990 ; + RECT 5.1150 1.0990 5.6750 1.1490 ; + RECT 4.9390 1.2720 5.1650 1.3220 ; + RECT 4.7470 1.4340 4.9890 1.4840 ; + RECT 4.9390 1.3220 4.9890 1.4340 ; + RECT 5.1150 1.1490 5.1650 1.2720 ; + RECT 5.4870 0.6040 6.6530 0.6540 ; + RECT 5.1260 0.9780 5.5370 1.0280 ; + RECT 5.1260 0.4960 5.1760 0.9780 ; + RECT 5.1260 0.4460 5.2330 0.4960 ; + RECT 5.4870 0.6540 5.5370 0.9780 ; + RECT 1.6310 1.0880 2.3210 1.1380 ; + RECT 0.7350 1.1560 1.3930 1.2060 ; + RECT 1.0390 1.2060 1.0890 1.2590 ; + RECT 1.3430 1.2060 1.3930 1.2590 ; + RECT 0.7350 1.2060 0.7850 1.2160 ; + RECT 0.7350 1.0420 0.7850 1.1560 ; + RECT 1.0390 0.2100 2.3050 0.2600 ; + RECT 1.3430 0.2600 1.3930 0.2920 ; + RECT 1.0390 0.2600 1.0890 0.2920 ; + RECT 1.9510 0.1690 2.0010 0.2100 ; + RECT 2.2550 0.1690 2.3050 0.2100 ; + RECT 4.2550 1.5340 5.9080 1.5840 ; + RECT 3.0750 1.5260 3.2930 1.5760 ; + RECT 3.2430 1.3780 4.0530 1.4280 ; + RECT 4.0030 1.4280 4.0530 1.5440 ; + RECT 3.2430 1.4280 3.2930 1.5260 ; + RECT 5.5830 1.2080 5.9690 1.2580 ; + RECT 4.8390 0.6060 4.9290 0.6560 ; + RECT 4.3600 0.4880 4.8890 0.5380 ; + RECT 4.0620 1.1660 4.8890 1.2160 ; + RECT 3.6540 1.0250 4.9290 1.0750 ; + RECT 4.8790 0.6560 4.9290 1.0250 ; + RECT 4.8390 0.5380 4.8890 0.6060 ; + RECT 4.8390 1.2160 4.8890 1.3580 ; + RECT 4.8390 1.0750 4.8890 1.1660 ; + RECT 3.5260 0.9560 3.7040 1.0060 ; + RECT 3.6540 1.0060 3.7040 1.0250 ; + RECT 3.4550 1.2780 3.8410 1.3280 ; + RECT 5.5060 1.4080 6.0450 1.4580 ; + RECT 3.3950 1.4780 3.9170 1.5280 ; + RECT 3.3950 1.5280 3.4450 1.5650 ; + RECT 2.5590 0.6180 3.0050 0.6680 ; + RECT 2.5590 0.6680 2.6090 0.9140 ; + RECT 2.5590 0.4220 2.6090 0.6180 ; + RECT 3.0150 0.5180 3.1410 0.5680 ; + RECT 3.0150 0.7680 3.0650 0.9140 ; + RECT 3.0150 0.7180 3.1410 0.7680 ; + RECT 3.0150 0.3940 3.0650 0.5180 ; + RECT 3.0910 0.5680 3.1410 0.7180 ; + RECT 4.2020 0.1880 4.6020 0.2380 ; + RECT 0.7140 0.5120 1.7130 0.5620 ; + RECT 2.7710 0.0960 3.4670 0.1460 ; + END +END SDFFASRSX1_HVT + +MACRO RSDFFNSRASRNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.632 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.1510 0.8920 17.5450 0.9420 ; + RECT 17.4250 0.8570 17.5450 0.8920 ; + RECT 17.1510 0.9420 17.2010 1.5640 ; + RECT 17.1510 0.2130 17.2010 0.4520 ; + RECT 17.4950 0.5020 17.5450 0.8570 ; + RECT 17.1510 0.4520 17.5450 0.5020 ; + RECT 17.4250 0.9420 17.5440 0.9670 ; + END + PORT + LAYER CO ; + RECT 17.1550 0.2430 17.1970 0.2850 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 17.1550 0.3350 17.1970 0.3770 ; + RECT 17.1550 0.3350 17.1970 0.3770 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 17.1550 1.0270 17.1970 1.0690 ; + RECT 17.1550 1.1190 17.1970 1.1610 ; + RECT 17.1550 1.0270 17.1970 1.0690 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 17.1550 1.1190 17.1970 1.1610 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 17.1550 0.4270 17.1970 0.4690 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.6320 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 17.3030 0.9920 17.3530 1.6420 ; + RECT 16.9990 0.9920 17.0490 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.6320 0.0300 ; + RECT 17.3030 0.2030 17.3990 0.2530 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.2020 ; + RECT 4.5350 0.0300 4.5850 0.2020 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 4.2310 0.0300 4.2810 0.2020 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 15.3270 0.0300 15.3770 0.2020 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 16.9990 0.0300 17.0490 0.4230 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3280 13.5770 0.3780 ; + RECT 17.3030 0.2530 17.3530 0.3950 ; + RECT 17.3490 0.0300 17.3990 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3510 0.3380 11.7450 0.3550 ; + RECT 7.5950 0.3050 11.7450 0.3380 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + RECT 7.5950 0.2880 8.4010 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 9.2510 0.3080 9.2930 0.3500 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 17.0030 0.2690 17.0450 0.3110 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 17.3070 0.2410 17.3490 0.2830 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 17.0030 0.1770 17.0450 0.2190 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 17.3070 0.3330 17.3490 0.3750 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 17.0030 0.2690 17.0450 0.3110 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 17.3070 0.3330 17.3490 0.3750 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 10.6190 0.3090 10.6610 0.3510 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 11.6830 0.3090 11.7250 0.3510 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 15.3310 0.1400 15.3730 0.1820 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.0030 0.3610 17.0450 0.4030 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 9.4750 1.3700 9.5250 1.5840 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.5220 9.5210 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0880 7.8820 0.1380 ; + RECT 13.2590 0.0880 13.3410 0.1380 ; + RECT 7.6950 0.1380 7.8820 0.1880 ; + RECT 7.8030 0.2100 13.3250 0.2380 ; + RECT 13.2750 0.1380 13.3250 0.1880 ; + RECT 7.6950 0.1880 13.3250 0.2100 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 13.2790 0.0920 13.3210 0.1340 ; + RECT 7.8070 0.0980 7.8490 0.1400 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9050 0.2490 16.0150 0.3590 ; + RECT 15.6910 0.5270 15.9660 0.5770 ; + RECT 15.9160 0.3590 15.9660 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5310 15.7530 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.0410 0.7140 11.1970 0.8240 ; + RECT 10.9500 0.6140 11.5170 0.6640 ; + RECT 11.4670 0.6130 11.5170 0.6140 ; + RECT 11.1470 0.6640 11.1970 0.7140 ; + RECT 11.1470 0.6130 11.1970 0.6140 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6640 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6200 11.0410 0.6620 ; + RECT 11.4550 0.6200 11.4970 0.6620 ; + RECT 11.3030 0.6200 11.3450 0.6620 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.1510 0.6200 11.1930 0.6620 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9470 16.0170 1.0070 ; + RECT 15.9070 0.6900 16.0170 0.9470 ; + RECT 15.7830 0.6270 15.8330 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.3310 0.9560 15.3730 0.9980 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + RECT 14.4190 0.9560 14.4610 0.9980 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6400 3.4410 0.6820 ; + RECT 3.5510 0.6400 3.5930 0.6820 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6400 2.8330 0.6820 ; + RECT 3.0950 0.6400 3.1370 0.6820 ; + RECT 2.9430 0.6400 2.9850 0.6820 ; + RECT 3.2470 0.6400 3.2890 0.6820 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0920 12.4090 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5010 12.1810 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 14.8750 0.3720 14.9170 0.4140 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.6710 0.6640 12.7130 0.7060 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5090 11.4210 0.5510 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4700 15.4490 0.5120 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 15.1790 0.1400 15.2210 0.1820 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6640 13.4730 0.7060 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7650 12.5610 0.8070 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.6310 1.5200 9.6730 1.5620 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5090 11.1170 0.5510 ; + RECT 11.9870 0.4090 12.0290 0.4510 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6380 14.6130 0.6800 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6380 14.6130 0.6800 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2560 14.7650 0.2980 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0710 15.2970 1.1130 ; + RECT 14.5710 0.8220 14.6130 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7300 14.6130 0.7720 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.3920 8.2290 0.4340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0710 14.6890 1.1130 ; + RECT 17.2310 0.6060 17.2730 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1400 15.0690 0.1820 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2560 15.5250 0.2980 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0710 15.7530 1.1130 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8220 14.6130 0.8640 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 12.9750 0.6640 13.0170 0.7060 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0920 12.2570 0.1340 ; + RECT 12.5190 0.0920 12.5610 0.1340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 7.8830 0.3920 7.9250 0.4340 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5010 12.3330 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + LAYER PO ; + RECT 12.2210 0.0660 12.2510 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.3890 0.0710 17.4190 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 11.3090 0.0610 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0610 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0610 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6470 ; + RECT 11.4610 0.0610 11.4910 0.6910 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + LAYER M1 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 10.9030 0.4050 12.1850 0.4550 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4550 12.1850 0.5630 ; + RECT 12.1350 0.3800 12.1850 0.4050 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4050 ; + RECT 11.9830 0.4550 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + RECT 13.4270 0.4800 13.4770 0.7260 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 12.7830 0.4800 12.8330 0.7820 ; + RECT 12.7830 0.7820 13.1490 0.8320 ; + RECT 13.0990 0.8320 13.1490 1.1790 ; + RECT 12.2870 0.4800 12.3370 0.5630 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8870 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3520 14.9210 0.5270 ; + RECT 14.2630 1.0670 14.7120 1.1170 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0670 ; + RECT 14.7030 0.2520 15.5450 0.3020 ; + RECT 15.0070 0.1360 15.2410 0.1860 ; + RECT 16.8860 0.6020 17.2930 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.6310 0.1260 15.6810 0.4270 ; + RECT 15.3870 0.4770 15.6410 0.5160 ; + RECT 15.3870 0.4660 15.6810 0.4770 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.4270 15.6810 0.4660 ; + RECT 15.5910 0.5160 15.6410 0.6270 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6360 3.6130 0.6860 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6600 13.0370 0.7100 ; + RECT 12.9710 0.5800 13.0210 0.6600 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7610 12.6480 0.8110 ; + RECT 12.5980 0.8110 12.6480 0.9670 ; + RECT 12.2100 0.6600 12.7330 0.7100 ; + RECT 12.2110 0.7100 12.2610 0.8450 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0670 15.7730 1.1170 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.6750 0.3040 3.7250 0.3520 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 3.6750 0.3520 4.7890 0.4020 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.4020 4.7890 0.6580 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5820 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 3.7750 0.2520 4.7370 0.3020 ; + RECT 4.6870 0.1240 4.7370 0.2520 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2520 ; + RECT 4.0790 0.1240 4.1290 0.2520 ; + RECT 4.3830 0.1240 4.4330 0.2520 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 7.4920 0.3880 8.2490 0.4380 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3880 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7380 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 11.8710 0.5550 11.9210 1.0200 ; + RECT 11.0550 0.5050 11.9200 0.5440 ; + RECT 11.0550 0.5440 11.9210 0.5550 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.7470 1.7730 ; + RECT -0.1150 0.6890 13.6340 1.5430 ; + RECT 16.5670 0.6790 17.7470 1.5430 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 12.5400 0.6890 ; + RECT 2.6600 0.6660 3.7240 0.6790 ; + RECT 14.0960 0.4950 16.1070 1.0830 ; + END +END RSDFFNSRASRNX2_HVT + +MACRO RSDFFNSRASRQX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.784 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.5770 1.1610 17.6960 1.2710 ; + RECT 17.3030 0.1160 17.3530 0.2890 ; + RECT 17.3030 0.2890 17.6610 0.3390 ; + RECT 17.3030 1.0420 17.6610 1.0920 ; + RECT 17.3030 1.0920 17.3530 1.5640 ; + RECT 17.6110 1.0920 17.6610 1.1610 ; + RECT 17.6110 0.3390 17.6610 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 0.1510 17.3490 0.1930 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 0.2430 17.3490 0.2850 ; + RECT 17.3070 0.1510 17.3490 0.1930 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.7840 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.9990 0.9590 17.0490 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.4550 1.1810 17.5050 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4780 ; + RECT 6.3590 1.1810 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 6.3630 1.3230 6.4050 1.3650 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 6.3630 1.4150 6.4050 1.4570 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2310 6.4050 1.2730 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.7840 0.0300 ; + RECT 16.9590 0.2030 17.0650 0.2530 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.2020 ; + RECT 4.5350 0.0300 4.5850 0.2020 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 4.2310 0.0300 4.2810 0.2020 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 15.3270 0.0300 15.3770 0.2020 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 17.4550 0.0300 17.5050 0.2260 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3750 13.5520 0.3780 ; + RECT 12.5750 0.3280 13.5770 0.3750 ; + RECT 16.9590 0.0300 17.0090 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 7.6450 0.2630 ; + RECT 6.3590 0.2630 6.4090 0.4630 ; + RECT 8.3510 0.3000 11.7450 0.3190 ; + RECT 7.5950 0.3190 11.7450 0.3500 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.2130 7.6450 0.2440 ; + RECT 7.5950 0.2630 7.6450 0.3190 ; + RECT 8.3510 0.3690 8.4010 0.3730 ; + RECT 7.5950 0.3500 8.4010 0.3690 ; + RECT 5.5990 0.1940 6.4090 0.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 9.2510 0.3030 9.2930 0.3450 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.0110 0.3040 10.0530 0.3460 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3040 10.2050 0.3460 ; + RECT 10.4670 0.3040 10.5090 0.3460 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.3390 0.3220 8.3810 0.3640 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 9.7070 0.3040 9.7490 0.3460 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 8.0350 0.3230 8.0770 0.3650 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 10.6190 0.3040 10.6610 0.3460 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 11.6830 0.3040 11.7250 0.3460 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3030 8.8370 0.3450 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 15.3310 0.1400 15.3730 0.1820 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 9.0190 1.3700 9.0690 1.5630 ; + RECT 8.5630 1.3700 8.6130 1.5590 ; + RECT 9.4750 1.3700 9.5250 1.5590 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.4950 9.5210 1.5370 ; + RECT 8.5670 1.4970 8.6090 1.5390 ; + RECT 9.0230 1.4970 9.0650 1.5390 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.1130 7.8530 0.1630 ; + RECT 7.6950 0.0970 7.8530 0.1130 ; + RECT 13.0610 0.1630 13.1110 0.2000 ; + RECT 13.0610 0.1130 13.3410 0.1630 ; + RECT 7.6950 0.1630 7.8530 0.1780 ; + RECT 7.9650 0.2280 13.1110 0.2500 ; + RECT 7.8030 0.2100 13.1110 0.2280 ; + RECT 7.6950 0.2000 13.1110 0.2100 ; + RECT 7.6950 0.1780 8.0200 0.2000 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.1170 7.3930 0.1590 ; + RECT 7.8070 0.1330 7.8490 0.1750 ; + RECT 13.2790 0.1170 13.3210 0.1590 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9050 0.2490 16.0150 0.3590 ; + RECT 15.6910 0.5170 15.9660 0.5670 ; + RECT 15.9160 0.3590 15.9660 0.5170 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5210 15.7530 0.5630 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.0410 0.7150 11.1970 0.8250 ; + RECT 10.9500 0.6140 11.5170 0.6640 ; + RECT 11.4670 0.6080 11.5170 0.6140 ; + RECT 11.1470 0.6640 11.1970 0.7150 ; + RECT 11.1470 0.6080 11.1970 0.6140 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6640 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6200 11.0410 0.6620 ; + RECT 11.4550 0.6200 11.4970 0.6620 ; + RECT 11.1510 0.6200 11.1930 0.6620 ; + RECT 11.3030 0.6200 11.3450 0.6620 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9470 16.0170 1.0070 ; + RECT 15.9070 0.6900 16.0170 0.9470 ; + RECT 15.7830 0.6270 15.8330 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.3310 0.9560 15.3730 0.9980 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + RECT 14.4190 0.9560 14.4610 0.9980 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6400 3.4410 0.6820 ; + RECT 3.5510 0.6400 3.5930 0.6820 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6400 2.8330 0.6820 ; + RECT 3.0950 0.6400 3.1370 0.6820 ; + RECT 2.9430 0.6400 2.9850 0.6820 ; + RECT 3.2470 0.6400 3.2890 0.6820 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 14.8750 0.3720 14.9170 0.4140 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7790 6.2530 0.8210 ; + RECT 17.3830 0.6640 17.4250 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0920 12.4090 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4040 11.5730 0.4460 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5280 7.7730 0.5700 ; + RECT 7.6550 0.7680 7.6970 0.8100 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5010 12.1810 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9640 6.2530 1.0060 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7680 7.2410 0.8100 ; + RECT 12.6710 0.6710 12.7130 0.7130 ; + RECT 12.9750 0.6950 13.0170 0.7370 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5040 11.4210 0.5460 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 17.1550 0.2490 17.1970 0.2910 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7790 6.5570 0.8210 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4700 15.4490 0.5120 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3170 7.0130 0.3590 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6890 13.4730 0.7310 ; + RECT 6.2110 0.8710 6.2530 0.9130 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7730 12.5610 0.8150 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.6310 1.4930 9.6730 1.5350 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9640 6.5570 1.0060 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8710 6.5570 0.9130 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 6.5150 0.9640 6.5570 1.0060 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5040 11.1170 0.5460 ; + RECT 17.1550 0.3410 17.1970 0.3830 ; + RECT 11.9870 0.4040 12.0290 0.4460 ; + RECT 15.1790 0.1400 15.2210 0.1820 ; + RECT 11.2270 0.4040 11.2690 0.4460 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6330 14.6130 0.6750 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6330 14.6130 0.6750 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2560 14.7650 0.2980 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0710 15.2970 1.1130 ; + RECT 14.5710 0.8170 14.6130 0.8590 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7250 14.6130 0.7670 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7420 7.0890 0.7840 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.4230 8.2290 0.4650 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6790 6.6330 0.7210 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0710 14.6890 1.1130 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1400 15.0690 0.1820 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2560 15.5250 0.2980 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0710 15.7530 1.1130 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8170 14.6130 0.8590 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0920 12.2570 0.1340 ; + RECT 12.5190 0.0920 12.5610 0.1340 ; + RECT 10.9230 0.4040 10.9650 0.4460 ; + RECT 7.8830 0.4230 7.9250 0.4650 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5010 12.3330 0.5430 ; + RECT 7.5790 0.5280 7.6210 0.5700 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + LAYER PO ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7940 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7940 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 17.6930 0.0710 17.7230 1.6060 ; + RECT 11.3090 0.0560 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0560 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0560 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6470 ; + RECT 11.4610 0.0560 11.4910 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + LAYER M1 ; + RECT 13.4270 0.4800 13.4770 0.7510 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 13.0990 0.8410 13.1490 1.1790 ; + RECT 12.7830 0.7910 13.1490 0.8410 ; + RECT 12.7830 0.4800 12.8330 0.7910 ; + RECT 12.2870 0.4800 12.3370 0.5630 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + RECT 11.0550 0.5000 11.9210 0.5500 ; + RECT 11.8710 0.5500 11.9210 1.0200 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8870 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3520 14.9210 0.5270 ; + RECT 14.2630 1.0670 14.7120 1.1170 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0670 ; + RECT 14.7030 0.2520 15.5450 0.3020 ; + RECT 15.0070 0.1360 15.2410 0.1860 ; + RECT 17.1910 0.6600 17.4450 0.7100 ; + RECT 17.1510 0.4010 17.2410 0.4510 ; + RECT 17.1510 0.7780 17.2410 0.8280 ; + RECT 17.1510 0.2250 17.2010 0.4010 ; + RECT 17.1510 0.8280 17.2010 1.3090 ; + RECT 17.1910 0.4510 17.2410 0.6600 ; + RECT 17.1910 0.7100 17.2410 0.7780 ; + RECT 16.8860 0.6020 17.1410 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 15.5910 0.4030 15.6810 0.4530 ; + RECT 15.3870 0.4660 15.6410 0.5160 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.6310 0.1260 15.6810 0.4030 ; + RECT 15.5910 0.4530 15.6410 0.4660 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.5160 15.6410 0.6270 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6360 3.6130 0.6860 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6910 13.0370 0.7410 ; + RECT 12.9710 0.5800 13.0210 0.6910 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5550 ; + RECT 12.1350 0.7670 12.2770 0.8170 ; + RECT 12.1350 0.6670 12.7330 0.7170 ; + RECT 12.1350 0.7170 12.1850 0.7670 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7690 12.6480 0.8190 ; + RECT 12.5980 0.8190 12.6480 0.9670 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0670 15.7730 1.1170 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3520 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.6750 0.3520 4.7890 0.4020 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.4020 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 3.7750 0.2520 4.7370 0.3020 ; + RECT 4.6870 0.1240 4.7370 0.2520 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2520 ; + RECT 4.0790 0.1240 4.1290 0.2520 ; + RECT 4.3830 0.1240 4.4330 0.2520 ; + RECT 5.4470 1.0780 6.7130 1.1280 ; + RECT 6.6630 0.8470 6.7530 0.8970 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3130 7.0330 0.3630 ; + RECT 6.6630 0.8970 6.7130 1.0780 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1280 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8470 ; + RECT 6.7030 0.3630 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1280 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0780 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 7.3880 0.5240 7.6410 0.5740 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1180 0.4580 7.4380 0.5080 ; + RECT 7.3880 0.5080 7.4380 0.5240 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7840 6.5610 1.0260 ; + RECT 6.2070 0.7340 6.6370 0.7840 ; + RECT 6.5870 0.5630 6.6370 0.7340 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7840 6.2570 1.0260 ; + RECT 7.4920 0.4190 8.2490 0.4690 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.4190 ; + RECT 7.1740 0.7640 8.6900 0.8140 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7640 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 7.7110 0.5240 7.7930 0.5740 ; + RECT 7.2710 0.6620 7.7770 0.7120 ; + RECT 7.2550 0.5580 7.3380 0.6080 ; + RECT 7.7270 0.5740 7.7770 0.6620 ; + RECT 7.2710 0.6080 7.3210 0.6620 ; + RECT 10.9030 0.4000 12.1850 0.4500 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4500 12.1850 0.5630 ; + RECT 12.1350 0.3800 12.1850 0.4000 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4000 ; + RECT 11.9830 0.4500 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.8990 1.7730 ; + RECT -0.1150 0.7840 13.6340 1.5430 ; + RECT 16.5670 0.6790 17.8990 1.5430 ; + RECT -0.1150 0.6890 12.4940 0.7840 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 12.4940 0.6890 ; + RECT 2.6600 0.6730 3.7240 0.6790 ; + RECT 14.0960 0.4950 16.1070 1.0830 ; + END +END RSDFFNSRASRQX1_HVT + +MACRO RSDFFNSRASRQX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.936 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.7290 1.1610 17.8480 1.2710 ; + RECT 17.4550 0.1160 17.5050 0.2890 ; + RECT 17.4550 0.2890 17.8130 0.3390 ; + RECT 17.4550 1.0420 17.8130 1.0920 ; + RECT 17.4550 1.0920 17.5050 1.5640 ; + RECT 17.7630 1.0920 17.8130 1.1610 ; + RECT 17.7630 0.3390 17.8130 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 17.4590 0.1510 17.5010 0.1930 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 17.4590 0.2430 17.5010 0.2850 ; + RECT 17.4590 0.1510 17.5010 0.1930 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.9360 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.9990 0.9590 17.0490 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.6070 1.1810 17.6570 1.6420 ; + RECT 17.3030 1.1810 17.3530 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.9360 0.0300 ; + RECT 16.9590 0.2030 17.0650 0.2530 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 3.9270 0.0300 3.9770 0.2020 ; + RECT 4.2310 0.0300 4.2810 0.2020 ; + RECT 4.5350 0.0300 4.5850 0.2020 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 15.3270 0.0300 15.3770 0.1980 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 17.6070 0.0300 17.6570 0.2260 ; + RECT 17.3030 0.0300 17.3530 0.2260 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3280 13.5770 0.3780 ; + RECT 16.9590 0.0300 17.0090 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3190 0.3380 11.7450 0.3550 ; + RECT 7.5950 0.2880 8.4060 0.3050 ; + RECT 7.5950 0.3050 11.7450 0.3380 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 9.2510 0.3080 9.2930 0.3500 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 17.6110 0.1490 17.6530 0.1910 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 10.6190 0.3090 10.6610 0.3510 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 15.3310 0.1360 15.3730 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.6110 0.1490 17.6530 0.1910 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 11.6830 0.3090 11.7250 0.3510 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 9.4750 1.3700 9.5250 1.5840 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.5220 9.5210 1.5640 ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0880 7.8530 0.1380 ; + RECT 13.2590 0.0880 13.3410 0.1380 ; + RECT 7.8030 0.2100 13.3250 0.2380 ; + RECT 7.6950 0.1880 13.3250 0.2100 ; + RECT 7.6950 0.1380 7.8530 0.1880 ; + RECT 13.2750 0.1380 13.3250 0.1880 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 7.8070 0.1080 7.8490 0.1500 ; + RECT 13.2790 0.0920 13.3210 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9050 0.2490 16.0150 0.3590 ; + RECT 15.6910 0.5270 15.9660 0.5770 ; + RECT 15.9160 0.3590 15.9660 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5310 15.7530 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.0410 0.7140 11.1970 0.8240 ; + RECT 10.9500 0.6140 11.5170 0.6640 ; + RECT 11.4670 0.6130 11.5170 0.6140 ; + RECT 11.1470 0.6640 11.1970 0.7140 ; + RECT 11.1470 0.6130 11.1970 0.6140 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6640 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6200 11.0410 0.6620 ; + RECT 11.4550 0.6200 11.4970 0.6620 ; + RECT 11.1510 0.6200 11.1930 0.6620 ; + RECT 11.3030 0.6200 11.3450 0.6620 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9470 16.0170 1.0070 ; + RECT 15.9070 0.6900 16.0170 0.9470 ; + RECT 15.7830 0.6270 15.8330 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.3310 0.9560 15.3730 0.9980 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + RECT 14.4190 0.9560 14.4610 0.9980 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6400 3.4410 0.6820 ; + RECT 3.5510 0.6400 3.5930 0.6820 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6400 2.8330 0.6820 ; + RECT 3.0950 0.6400 3.1370 0.6820 ; + RECT 2.9430 0.6400 2.9850 0.6820 ; + RECT 3.2470 0.6400 3.2890 0.6820 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5010 12.1810 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 14.8750 0.3680 14.9170 0.4100 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.3830 0.6640 17.4250 0.7060 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.5350 0.6640 17.5770 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0920 12.4090 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.3920 8.2290 0.4340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5090 11.4210 0.5510 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 17.1550 0.2490 17.1970 0.2910 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4660 15.4490 0.5080 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 15.1790 0.1400 15.2210 0.1820 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0710 15.7530 1.1130 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.6710 0.6620 12.7130 0.7040 ; + RECT 12.9750 0.6620 13.0170 0.7040 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0920 12.2570 0.1340 ; + RECT 12.5190 0.0920 12.5610 0.1340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6640 13.4730 0.7060 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7620 12.5610 0.8040 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.6310 1.5220 9.6730 1.5640 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6380 14.6130 0.6800 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6380 14.6130 0.6800 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2520 14.7650 0.2940 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0710 15.2970 1.1130 ; + RECT 14.5710 0.8220 14.6130 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7300 14.6130 0.7720 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 7.8830 0.3920 7.9250 0.4340 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5010 12.3330 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5090 11.1170 0.5510 ; + RECT 17.1550 0.3410 17.1970 0.3830 ; + RECT 11.9870 0.4090 12.0290 0.4510 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0710 14.6890 1.1130 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1400 15.0690 0.1820 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2520 15.5250 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8220 14.6130 0.8640 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + LAYER PO ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.6930 0.0690 17.7230 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 17.8450 0.0710 17.8750 1.6060 ; + RECT 11.3090 0.0610 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0610 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0610 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6470 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 11.4610 0.0610 11.4910 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + LAYER M1 ; + RECT 11.0550 0.5050 11.9200 0.5440 ; + RECT 11.0550 0.5440 11.9210 0.5550 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8870 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3480 14.9210 0.5270 ; + RECT 15.0070 0.1360 15.2410 0.1860 ; + RECT 14.2630 1.0670 14.7120 1.1170 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0670 ; + RECT 14.7030 0.2480 15.5450 0.2980 ; + RECT 17.1910 0.6600 17.5970 0.7100 ; + RECT 17.1510 0.4010 17.2410 0.4510 ; + RECT 17.1510 0.7780 17.2410 0.8280 ; + RECT 17.1510 0.2250 17.2010 0.4010 ; + RECT 17.1510 0.8280 17.2010 1.3090 ; + RECT 17.1910 0.4510 17.2410 0.6600 ; + RECT 17.1910 0.7100 17.2410 0.7780 ; + RECT 16.8860 0.6020 17.1410 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.6310 0.1260 15.6810 0.4270 ; + RECT 15.3870 0.4770 15.6410 0.5120 ; + RECT 15.5910 0.4270 15.6810 0.4620 ; + RECT 15.3870 0.4620 15.6810 0.4770 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.5120 15.6410 0.6270 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6360 3.6130 0.6860 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6580 13.0370 0.7080 ; + RECT 12.9710 0.5800 13.0210 0.6580 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5840 ; + RECT 12.1350 0.7670 12.2770 0.8170 ; + RECT 12.1350 0.6580 12.7330 0.7080 ; + RECT 12.1350 0.7080 12.1850 0.7670 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7580 12.6480 0.8080 ; + RECT 12.5980 0.8080 12.6480 0.9670 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0670 15.7730 1.1170 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3520 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.6750 0.3520 4.7890 0.4020 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.4020 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 3.7750 0.2520 4.7370 0.3020 ; + RECT 4.6870 0.1240 4.7370 0.2520 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2520 ; + RECT 4.0790 0.1240 4.1290 0.2520 ; + RECT 4.3830 0.1240 4.4330 0.2520 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 7.4920 0.3880 8.2490 0.4380 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3880 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7380 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 10.9030 0.4050 12.1850 0.4550 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4550 12.1850 0.5630 ; + RECT 12.1350 0.3800 12.1850 0.4050 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4050 ; + RECT 11.9830 0.4550 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + RECT 13.4270 0.4800 13.4770 0.7260 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 12.7830 0.4800 12.8330 0.7590 ; + RECT 12.7830 0.7590 13.1490 0.8090 ; + RECT 13.0990 0.8090 13.1490 1.1790 ; + RECT 12.2870 0.4800 12.3370 0.5630 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + RECT 11.8710 0.5550 11.9210 1.0200 ; + LAYER NWELL ; + RECT -0.1150 1.5430 18.0510 1.7730 ; + RECT -0.1150 0.6890 13.6340 1.5430 ; + RECT 16.5670 0.6790 18.0510 1.5430 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 12.5400 0.6890 ; + RECT 2.6600 0.6730 3.7240 0.6790 ; + RECT 14.0960 0.4950 16.1070 1.0830 ; + END +END RSDFFNSRASRQX2_HVT + +MACRO RSDFFNSRASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 18.088 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.3030 0.8920 17.8490 0.9420 ; + RECT 17.7290 0.8570 17.8490 0.8920 ; + RECT 17.7290 0.9420 17.8490 0.9670 ; + RECT 17.3030 0.9420 17.3530 1.5640 ; + RECT 17.3030 0.2130 17.3530 0.4520 ; + RECT 17.7990 0.5020 17.8490 0.8570 ; + RECT 17.3030 0.4520 17.8490 0.5020 ; + END + PORT + LAYER CO ; + RECT 17.3070 0.3350 17.3490 0.3770 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 0.2430 17.3490 0.2850 ; + RECT 17.3070 0.4270 17.3490 0.4690 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 0.3350 17.3490 0.3770 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.8810 1.1610 18.0000 1.2710 ; + RECT 17.6070 0.1160 17.6570 0.2890 ; + RECT 17.6070 0.2890 17.9650 0.3390 ; + RECT 17.6070 1.0420 17.9650 1.0920 ; + RECT 17.6070 1.0920 17.6570 1.5640 ; + RECT 17.9150 1.0920 17.9650 1.1610 ; + RECT 17.9150 0.3390 17.9650 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.6110 0.1510 17.6530 0.1930 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 17.6110 0.1510 17.6530 0.1930 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + RECT 17.6110 0.2430 17.6530 0.2850 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 18.0880 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.9990 0.9590 17.0490 1.6420 ; + RECT 17.4550 0.9920 17.5050 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.7590 1.1810 17.8090 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 17.4590 1.0270 17.5010 1.0690 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 17.7630 1.2110 17.8050 1.2530 ; + RECT 17.7630 1.4870 17.8050 1.5290 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 17.4590 1.1190 17.5010 1.1610 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 17.7630 1.3030 17.8050 1.3450 ; + RECT 17.7630 1.4870 17.8050 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 17.7630 1.3030 17.8050 1.3450 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 17.7630 1.3950 17.8050 1.4370 ; + RECT 17.4590 1.1190 17.5010 1.1610 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.4590 1.0270 17.5010 1.0690 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 17.7630 1.2110 17.8050 1.2530 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 17.7630 1.3950 17.8050 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5300 6.0250 1.5720 ; + RECT 5.8310 1.5300 5.8730 1.5720 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 18.0880 0.0300 ; + RECT 16.9590 0.2030 17.0650 0.2530 ; + RECT 17.4550 0.2030 17.5510 0.2530 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.2020 ; + RECT 4.5350 0.0300 4.5850 0.2020 ; + RECT 4.2310 0.0300 4.2810 0.2020 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 15.3270 0.0300 15.3770 0.2020 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 17.7590 0.0300 17.8090 0.2260 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3750 13.5520 0.3780 ; + RECT 12.5750 0.3280 13.5770 0.3750 ; + RECT 16.9590 0.0300 17.0090 0.2030 ; + RECT 17.4550 0.2530 17.5050 0.3950 ; + RECT 17.5010 0.0300 17.5510 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3510 0.3380 11.7450 0.3550 ; + RECT 7.5950 0.3050 11.7450 0.3380 ; + RECT 8.3510 0.3550 8.4010 0.3610 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + RECT 7.5950 0.2880 8.4010 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 9.2510 0.3080 9.2930 0.3500 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.6190 0.3090 10.6610 0.3510 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 17.4590 0.2410 17.5010 0.2830 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 17.4590 0.3330 17.5010 0.3750 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 17.7630 0.1490 17.8050 0.1910 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 17.4590 0.3330 17.5010 0.3750 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 15.3310 0.1400 15.3730 0.1820 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 17.7630 0.1490 17.8050 0.1910 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 11.6830 0.3090 11.7250 0.3510 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 9.4750 1.3700 9.5250 1.5840 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.5220 9.5210 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0880 7.8530 0.1380 ; + RECT 13.2590 0.0880 13.3410 0.1380 ; + RECT 7.6950 0.1380 7.8530 0.1880 ; + RECT 13.2750 0.1380 13.3250 0.1880 ; + RECT 7.6950 0.1880 13.3250 0.2380 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0900 7.3930 0.1320 ; + RECT 7.8070 0.1080 7.8490 0.1500 ; + RECT 13.2790 0.0900 13.3210 0.1320 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9050 0.2490 16.0150 0.3590 ; + RECT 15.6910 0.5270 15.9660 0.5770 ; + RECT 15.9160 0.3590 15.9660 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5310 15.7530 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.0410 0.7140 11.1970 0.8240 ; + RECT 10.9500 0.6140 11.5170 0.6640 ; + RECT 11.4670 0.6130 11.5170 0.6140 ; + RECT 11.1470 0.6640 11.1970 0.7140 ; + RECT 11.1470 0.6130 11.1970 0.6140 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6640 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6200 11.0410 0.6620 ; + RECT 11.4550 0.6200 11.4970 0.6620 ; + RECT 11.3030 0.6200 11.3450 0.6620 ; + RECT 11.1510 0.6200 11.1930 0.6620 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9570 16.0170 1.0170 ; + RECT 15.9070 0.6900 16.0170 0.9570 ; + RECT 15.7830 0.6270 15.8330 0.9570 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.3310 0.9610 15.3730 1.0030 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 14.4190 0.9610 14.4610 1.0030 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4650 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5400 2.8330 1.5820 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6440 3.4410 0.6860 ; + RECT 3.5510 0.6440 3.5930 0.6860 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6440 2.8330 0.6860 ; + RECT 3.0950 0.6440 3.1370 0.6860 ; + RECT 2.9430 0.6440 2.9850 0.6860 ; + RECT 3.2470 0.6440 3.2890 0.6860 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0900 8.3050 0.1320 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5010 12.1810 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 14.8750 0.3720 14.9170 0.4140 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.0790 0.0970 17.1210 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.6870 0.6640 17.7290 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 15.1790 0.1400 15.2210 0.1820 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9730 8.4570 1.0150 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 6.8950 0.0900 6.9370 0.1320 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5400 6.9370 1.5820 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0900 12.4090 0.1320 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6480 14.6130 0.6900 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6480 14.6130 0.6900 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2560 14.7650 0.2980 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0810 15.2970 1.1230 ; + RECT 14.5710 0.8320 14.6130 0.8740 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0900 6.7850 0.1320 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7400 14.6130 0.7820 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.4010 8.2290 0.4430 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5090 11.4210 0.5510 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 17.1550 0.2490 17.1970 0.2910 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4700 15.4490 0.5120 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5400 8.3050 1.5820 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5090 11.1170 0.5510 ; + RECT 17.1550 0.3410 17.1970 0.3830 ; + RECT 11.9870 0.4090 12.0290 0.4510 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0810 14.6890 1.1230 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 17.3830 0.0970 17.4250 0.1390 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0900 6.6330 0.1320 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.6710 0.6620 12.7130 0.7040 ; + RECT 12.9750 0.6660 13.0170 0.7080 ; + RECT 7.0470 0.0900 7.0890 0.1320 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0900 12.2570 0.1320 ; + RECT 12.5190 0.0900 12.5610 0.1320 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6620 13.4730 0.7040 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7620 12.5610 0.8040 ; + RECT 6.7430 1.5400 6.7850 1.5820 ; + RECT 9.6310 1.5220 9.6730 1.5640 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9730 8.9130 1.0150 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1400 15.0690 0.1820 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2560 15.5250 0.2980 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0810 15.7530 1.1230 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8320 14.6130 0.8740 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 7.8830 0.4010 7.9250 0.4430 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5010 12.3330 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 6.1350 1.5400 6.1770 1.5820 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + LAYER PO ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7820 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.6450 ; + RECT 6.7490 0.0660 6.7790 0.6820 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7820 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6820 ; + RECT 17.8450 0.0690 17.8750 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 17.5410 0.0710 17.5710 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 17.9970 0.0710 18.0270 1.6060 ; + RECT 11.3090 0.0610 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 17.6930 0.0690 17.7230 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0610 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0610 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6450 ; + RECT 11.4610 0.0610 11.4910 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + LAYER M1 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + RECT 13.4270 0.4800 13.4770 0.7240 ; + RECT 12.7830 0.7630 13.1490 0.8130 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 13.0990 0.7570 13.1490 0.7630 ; + RECT 12.7830 0.4800 12.8330 0.7630 ; + RECT 13.0990 0.8130 13.1490 1.1790 ; + RECT 12.2870 0.4800 12.3370 0.5630 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 11.8710 0.5550 11.9210 1.0200 ; + RECT 11.0550 0.5050 11.9200 0.5440 ; + RECT 11.0550 0.5440 11.9210 0.5550 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8970 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3520 14.9210 0.5270 ; + RECT 15.0070 0.1360 15.2410 0.1860 ; + RECT 14.2630 1.0770 14.7120 1.1270 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0770 ; + RECT 14.7030 0.2520 15.5450 0.3020 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.3870 0.4770 15.6410 0.5160 ; + RECT 15.3870 0.4660 15.6810 0.4770 ; + RECT 15.6310 0.1260 15.6810 0.4270 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.5160 15.6410 0.6270 ; + RECT 15.5910 0.4270 15.6810 0.4660 ; + RECT 17.1910 0.6600 17.7490 0.7100 ; + RECT 17.1510 0.4010 17.2410 0.4510 ; + RECT 17.1510 0.7780 17.2410 0.8280 ; + RECT 17.1510 0.2250 17.2010 0.4010 ; + RECT 17.1510 0.8280 17.2010 1.3090 ; + RECT 17.1910 0.4510 17.2410 0.6600 ; + RECT 17.1910 0.7100 17.2410 0.7780 ; + RECT 16.8860 0.6020 17.1410 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 17.0590 0.0930 17.4450 0.1430 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6400 3.6130 0.6900 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5840 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.1350 0.7670 12.2770 0.8170 ; + RECT 12.1350 0.6580 12.7330 0.7080 ; + RECT 12.1350 0.7080 12.1850 0.7670 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7580 12.6480 0.8080 ; + RECT 12.5980 0.8080 12.6480 0.9670 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0770 15.7730 1.1270 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3520 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3520 4.7890 0.4020 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.4020 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2520 4.7370 0.3020 ; + RECT 4.6870 0.1240 4.7370 0.2520 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2520 ; + RECT 4.0790 0.1240 4.1290 0.2520 ; + RECT 4.3830 0.1240 4.4330 0.2520 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.4920 0.3970 8.2490 0.4470 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3970 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7380 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 10.9030 0.4050 12.1850 0.4550 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4550 12.1850 0.5630 ; + RECT 12.1350 0.3800 12.1850 0.4050 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4050 ; + RECT 11.9830 0.4550 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6620 13.0370 0.7120 ; + RECT 12.9710 0.5800 13.0210 0.6620 ; + LAYER NWELL ; + RECT 2.6620 0.6750 3.7240 0.6790 ; + RECT -0.1150 0.6790 4.8000 0.6830 ; + RECT -0.1150 0.6830 6.6080 0.6870 ; + RECT -0.1150 0.6870 13.6340 1.5430 ; + RECT 5.2330 0.6750 6.6080 0.6830 ; + RECT -0.1150 1.5430 18.2030 1.7730 ; + RECT 7.5240 0.6790 12.5340 0.6870 ; + RECT 16.5670 0.6790 18.2030 1.5430 ; + RECT 7.5240 0.6750 9.6520 0.6790 ; + RECT 10.1080 0.6750 12.5340 0.6790 ; + RECT 14.0960 0.4950 16.1070 1.0830 ; + END +END RSDFFNSRASRX1_HVT + +MACRO RSDFFNSRASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 18.392 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.3030 0.8920 18.1540 0.9420 ; + RECT 18.0320 0.9420 18.1540 0.9670 ; + RECT 18.0320 0.8570 18.1540 0.8920 ; + RECT 17.3030 0.9420 17.3530 1.5640 ; + RECT 17.6070 0.9420 17.6570 1.5640 ; + RECT 17.3030 0.2990 17.3530 0.5380 ; + RECT 17.6070 0.4000 17.6570 0.5380 ; + RECT 18.1030 0.5880 18.1530 0.8570 ; + RECT 17.3030 0.5380 18.1530 0.5880 ; + END + PORT + LAYER CO ; + RECT 17.6110 0.4210 17.6530 0.4630 ; + RECT 17.6110 0.5130 17.6530 0.5550 ; + RECT 17.6110 1.0270 17.6530 1.0690 ; + RECT 17.6110 1.0270 17.6530 1.0690 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 17.6110 1.1190 17.6530 1.1610 ; + RECT 17.6110 1.3030 17.6530 1.3450 ; + RECT 17.6110 1.1190 17.6530 1.1610 ; + RECT 17.6110 1.2110 17.6530 1.2530 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 17.6110 1.4870 17.6530 1.5290 ; + RECT 17.6110 1.3950 17.6530 1.4370 ; + RECT 17.6110 0.4210 17.6530 0.4630 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 0.3290 17.3490 0.3710 ; + RECT 17.3070 0.4210 17.3490 0.4630 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 0.4210 17.3490 0.4630 ; + RECT 17.3070 0.5130 17.3490 0.5550 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 17.3070 1.0270 17.3490 1.0690 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.1190 17.3490 1.1610 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 18.1830 1.1610 18.3060 1.2710 ; + RECT 17.9110 0.1160 17.9610 0.4270 ; + RECT 17.9110 0.4270 18.2690 0.4770 ; + RECT 17.9110 1.0420 18.2690 1.0920 ; + RECT 17.9110 1.0920 17.9610 1.5640 ; + RECT 18.2190 1.0920 18.2690 1.1610 ; + RECT 18.2190 0.4770 18.2690 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.9150 0.2430 17.9570 0.2850 ; + RECT 17.9150 0.1510 17.9570 0.1930 ; + RECT 17.9150 1.4870 17.9570 1.5290 ; + RECT 17.9150 1.3950 17.9570 1.4370 ; + RECT 17.9150 1.2110 17.9570 1.2530 ; + RECT 17.9150 1.2110 17.9570 1.2530 ; + RECT 17.9150 1.3030 17.9570 1.3450 ; + RECT 17.9150 1.3030 17.9570 1.3450 ; + RECT 17.9150 0.1510 17.9570 0.1930 ; + RECT 17.9150 1.4870 17.9570 1.5290 ; + RECT 17.9150 1.3950 17.9570 1.4370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 18.3920 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.9990 0.9590 17.0490 1.6420 ; + RECT 17.4550 0.9920 17.5050 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 18.0630 1.1810 18.1130 1.6420 ; + RECT 17.7590 1.1810 17.8090 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 17.7630 1.2110 17.8050 1.2530 ; + RECT 17.7630 1.3950 17.8050 1.4370 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 17.7630 1.3030 17.8050 1.3450 ; + RECT 17.7630 1.2110 17.8050 1.2530 ; + RECT 17.7630 1.3030 17.8050 1.3450 ; + RECT 17.7630 1.4870 17.8050 1.5290 ; + RECT 17.7630 1.4870 17.8050 1.5290 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 18.0670 1.2110 18.1090 1.2530 ; + RECT 18.0670 1.4870 18.1090 1.5290 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 17.4590 1.1190 17.5010 1.1610 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 17.0030 1.1880 17.0450 1.2300 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 18.0670 1.3030 18.1090 1.3450 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 18.0670 1.4870 18.1090 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 17.7630 1.3950 17.8050 1.4370 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 17.0030 1.0040 17.0450 1.0460 ; + RECT 17.4590 1.0270 17.5010 1.0690 ; + RECT 18.0670 1.2110 18.1090 1.2530 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 17.0030 1.2800 17.0450 1.3220 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 18.0670 1.3030 18.1090 1.3450 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 17.0030 1.0960 17.0450 1.1380 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 18.0670 1.3950 18.1090 1.4370 ; + RECT 17.4590 1.1190 17.5010 1.1610 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.4590 1.0270 17.5010 1.0690 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 18.1430 1.6510 18.1850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 18.0670 1.3950 18.1090 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 18.2950 1.6510 18.3370 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 18.3920 0.0300 ; + RECT 16.9590 0.2030 17.0650 0.2530 ; + RECT 17.4550 0.2030 17.6970 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 15.3270 0.0300 15.3770 0.1980 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 18.0630 0.0300 18.1130 0.3660 ; + RECT 17.7590 0.0300 17.8090 0.4230 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3280 13.5770 0.3780 ; + RECT 16.9590 0.0300 17.0090 0.2030 ; + RECT 17.4550 0.2530 17.5050 0.3950 ; + RECT 17.6470 0.0300 17.6970 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3510 0.3380 11.7450 0.3550 ; + RECT 7.5950 0.3050 11.7450 0.3380 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + RECT 7.5950 0.2880 8.4010 0.3050 ; + END + PORT + LAYER CO ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 18.0670 0.2410 18.1090 0.2830 ; + RECT 17.7630 0.3610 17.8050 0.4030 ; + RECT 17.7630 0.2690 17.8050 0.3110 ; + RECT 17.7630 0.1770 17.8050 0.2190 ; + RECT 17.7630 0.2690 17.8050 0.3110 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 18.0670 0.1490 18.1090 0.1910 ; + RECT 18.0670 0.1490 18.1090 0.1910 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.2510 0.3080 9.2930 0.3500 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 18.0670 0.1490 18.1090 0.1910 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 17.4590 0.3330 17.5010 0.3750 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 10.6190 0.3090 10.6610 0.3510 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 17.4590 0.2410 17.5010 0.2830 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 17.0030 0.2070 17.0450 0.2490 ; + RECT 17.4590 0.3330 17.5010 0.3750 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 11.6830 0.3090 11.7250 0.3510 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 15.3310 0.1360 15.3730 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 18.0670 0.1490 18.1090 0.1910 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 18.1430 -0.0210 18.1850 0.0210 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 18.2950 -0.0210 18.3370 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 9.4750 1.3700 9.5250 1.5840 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.5220 9.5210 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0880 7.8750 0.1380 ; + RECT 13.2590 0.0880 13.3410 0.1380 ; + RECT 7.6950 0.1380 7.8750 0.1880 ; + RECT 7.8030 0.2320 13.3140 0.2380 ; + RECT 7.8030 0.2100 13.3250 0.2320 ; + RECT 13.2750 0.1380 13.3250 0.1880 ; + RECT 7.6950 0.1880 13.3250 0.2100 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 13.2790 0.0920 13.3210 0.1340 ; + RECT 7.8070 0.0980 7.8490 0.1400 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9040 0.2490 16.0170 0.3590 ; + RECT 15.6910 0.5270 15.9660 0.5770 ; + RECT 15.9160 0.3590 15.9660 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5310 15.7530 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.9500 0.6130 11.5170 0.6630 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6630 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + RECT 11.0410 0.6630 11.1720 0.8150 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9520 16.0170 1.0120 ; + RECT 15.9040 0.6900 16.0170 0.9520 ; + RECT 15.7830 0.6270 15.8330 0.9520 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.3310 0.9610 15.3730 1.0030 ; + RECT 14.4190 0.9610 14.4610 1.0030 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 17.5350 0.0970 17.5770 0.1390 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 17.0790 0.0970 17.1210 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.9910 0.6640 18.0330 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0920 12.4090 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4170 11.5730 0.4590 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5010 12.1810 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4660 15.4490 0.5080 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 15.1790 0.1360 15.2210 0.1780 ; + RECT 11.2270 0.4170 11.2690 0.4590 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 17.1550 1.2470 17.1970 1.2890 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 17.1550 0.9710 17.1970 1.0130 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.3680 14.9170 0.4100 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6470 14.6130 0.6890 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6470 14.6130 0.6890 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2520 14.7650 0.2940 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0770 15.2970 1.1190 ; + RECT 14.5710 0.8310 14.6130 0.8730 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7390 14.6130 0.7810 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.3920 8.2290 0.4340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5170 11.4210 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 17.1550 0.2490 17.1970 0.2910 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 17.1550 1.0630 17.1970 1.1050 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 17.1550 1.1550 17.1970 1.1970 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 17.1550 0.8790 17.1970 0.9210 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5170 11.1170 0.5590 ; + RECT 17.1550 0.3410 17.1970 0.3830 ; + RECT 11.9870 0.4170 12.0290 0.4590 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0820 14.6890 1.1240 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 17.3830 0.0970 17.4250 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.6710 0.6640 12.7130 0.7060 ; + RECT 12.9750 0.6640 13.0170 0.7060 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0920 12.2570 0.1340 ; + RECT 12.5190 0.0920 12.5610 0.1340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6640 13.4730 0.7060 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7650 12.5610 0.8070 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.6310 1.5200 9.6730 1.5620 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1360 15.0690 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2520 15.5250 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0770 15.7530 1.1190 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8310 14.6130 0.8730 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.9230 0.4170 10.9650 0.4590 ; + RECT 7.8830 0.3920 7.9250 0.4340 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5010 12.3330 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 17.8390 0.6640 17.8810 0.7060 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + LAYER PO ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.9970 0.0690 18.0270 1.6060 ; + RECT 18.1490 0.0690 18.1790 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 18.3010 0.0710 18.3310 1.6060 ; + RECT 11.3090 0.0660 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0660 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 17.6930 0.0710 17.7230 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 17.8450 0.0690 17.8750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6470 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + LAYER M1 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 11.8710 0.5630 11.9210 1.0200 ; + RECT 11.0550 0.5130 11.9200 0.5440 ; + RECT 11.0550 0.5440 11.9210 0.5630 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8960 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3480 14.9210 0.5270 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.5910 0.4270 15.6810 0.4620 ; + RECT 15.3870 0.4770 15.6410 0.5120 ; + RECT 15.3870 0.4620 15.6810 0.4770 ; + RECT 15.6310 0.1260 15.6810 0.4270 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.5120 15.6410 0.6270 ; + RECT 15.0070 0.1320 15.2410 0.1820 ; + RECT 14.2630 1.0780 14.7120 1.1280 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0780 ; + RECT 14.7030 0.2480 15.5450 0.2980 ; + RECT 17.1910 0.6600 18.0530 0.7100 ; + RECT 17.1510 0.4010 17.2410 0.4510 ; + RECT 17.1510 0.7780 17.2410 0.8280 ; + RECT 17.1510 0.2250 17.2010 0.4010 ; + RECT 17.1510 0.8280 17.2010 1.3090 ; + RECT 17.1910 0.4510 17.2410 0.6600 ; + RECT 17.1910 0.7100 17.2410 0.7780 ; + RECT 16.8860 0.6020 17.1410 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 17.0590 0.0930 17.5970 0.1430 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6600 13.0370 0.7100 ; + RECT 12.9710 0.5800 13.0210 0.6600 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5820 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.1350 0.7670 12.2770 0.8170 ; + RECT 12.1350 0.6600 12.7330 0.7100 ; + RECT 12.1350 0.7100 12.1850 0.7670 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7610 12.6480 0.8110 ; + RECT 12.5980 0.8110 12.6480 0.9670 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0730 15.7730 1.1230 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 7.4920 0.3880 8.2490 0.4380 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3880 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7380 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 10.9030 0.4130 12.1850 0.4630 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4630 12.1850 0.5630 ; + RECT 12.1350 0.3800 12.1850 0.4130 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4130 ; + RECT 11.9830 0.4630 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + RECT 13.4270 0.4800 13.4770 0.7260 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 12.7830 0.4800 12.8330 0.7670 ; + RECT 12.7830 0.7670 13.1490 0.8170 ; + RECT 13.0990 0.8170 13.1490 1.1790 ; + RECT 12.2870 0.4800 12.3370 0.5630 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + LAYER NWELL ; + RECT -0.1150 1.5430 18.5070 1.7730 ; + RECT -0.1150 0.7060 13.6340 1.5430 ; + RECT 16.5670 0.6790 18.5070 1.5430 ; + RECT -0.1150 0.6930 12.5400 0.7060 ; + RECT -0.1150 0.6790 6.6120 0.6930 ; + RECT 7.0680 0.6790 12.5400 0.6930 ; + RECT 2.6600 0.6610 3.7240 0.6790 ; + RECT 14.0960 0.4910 16.1070 1.0830 ; + END +END RSDFFNSRASRX2_HVT + +MACRO RSDFFNSRASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.48 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.6950 0.8920 17.2420 0.9420 ; + RECT 17.1200 0.8570 17.2420 0.8920 ; + RECT 17.1200 0.9420 17.2420 0.9670 ; + RECT 16.6950 0.9420 16.7450 1.5640 ; + RECT 16.6950 0.2130 16.7450 0.4520 ; + RECT 17.1910 0.5020 17.2410 0.8570 ; + RECT 16.6950 0.4520 17.2410 0.5020 ; + END + PORT + LAYER CO ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 0.2430 16.7410 0.2850 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 0.4270 16.7410 0.4690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.2710 1.1610 17.3940 1.2710 ; + RECT 16.9990 0.1160 17.0490 0.2890 ; + RECT 16.9990 0.2890 17.3570 0.3390 ; + RECT 16.9990 1.0420 17.3570 1.0920 ; + RECT 16.9990 1.0920 17.0490 1.5640 ; + RECT 17.3070 1.0920 17.3570 1.1610 ; + RECT 17.3070 0.3390 17.3570 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 0.1510 17.0450 0.1930 ; + RECT 17.0030 0.2430 17.0450 0.2850 ; + RECT 17.0030 0.1510 17.0450 0.1930 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.4800 1.7020 ; + RECT 7.5580 1.2200 11.2890 1.2700 ; + RECT 12.1350 1.4040 14.2010 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.0870 0.9590 16.1370 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.3910 0.9590 16.4410 1.6420 ; + RECT 16.8470 0.9920 16.8970 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.1510 1.1810 17.2010 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.8950 0.9530 12.9450 1.4040 ; + RECT 12.1350 1.2790 12.1850 1.4040 ; + RECT 14.1510 1.4540 14.2010 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 9.5550 1.2240 9.5970 1.2660 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 11.2270 1.2240 11.2690 1.2660 ; + RECT 12.1390 1.3010 12.1810 1.3430 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 12.8990 0.9730 12.9410 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.4800 0.0300 ; + RECT 16.3510 0.2030 16.4570 0.2530 ; + RECT 16.8470 0.2030 16.9430 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.7190 0.0300 14.7690 0.1980 ; + RECT 13.8070 0.0300 13.8570 0.4260 ; + RECT 16.0870 0.0300 16.1370 0.4230 ; + RECT 15.1750 0.0300 15.2250 0.4260 ; + RECT 17.1510 0.0300 17.2010 0.2260 ; + RECT 12.9190 0.0300 12.9690 0.3280 ; + RECT 12.1190 0.3750 12.9440 0.3780 ; + RECT 12.1190 0.3280 12.9690 0.3750 ; + RECT 16.3510 0.0300 16.4010 0.2030 ; + RECT 16.8470 0.2530 16.8970 0.3950 ; + RECT 16.8930 0.0300 16.9430 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 11.2890 0.3550 ; + RECT 7.2910 0.3050 11.2890 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 9.5550 0.3090 9.5970 0.3510 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.8510 0.2410 16.8930 0.2830 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 15.1790 0.2660 15.2210 0.3080 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 11.2270 0.3090 11.2690 0.3510 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 12.8990 0.3320 12.9410 0.3740 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.3390 0.3080 8.3810 0.3500 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 14.7230 0.1360 14.7650 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.1070 1.3200 11.7290 1.3700 ; + RECT 11.6790 1.0790 12.5190 1.1270 ; + RECT 12.3630 0.9510 12.4130 1.0090 ; + RECT 12.3630 1.0090 12.5190 1.0790 ; + RECT 8.5630 1.3700 8.6130 1.5820 ; + RECT 8.1070 1.3700 8.1570 1.5760 ; + RECT 9.0190 1.3700 9.0690 1.5760 ; + RECT 11.6790 1.1270 12.4130 1.1290 ; + RECT 11.6790 1.1290 11.7290 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.0230 1.5010 9.0650 1.5430 ; + RECT 8.1110 1.4960 8.1530 1.5380 ; + RECT 8.5670 1.5050 8.6090 1.5470 ; + RECT 12.3670 0.9710 12.4090 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.2960 0.2490 15.4090 0.3590 ; + RECT 15.0830 0.4960 15.3580 0.5460 ; + RECT 15.3080 0.3590 15.3580 0.4960 ; + END + PORT + LAYER CO ; + RECT 15.1030 0.5000 15.1450 0.5420 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4940 0.7810 10.7160 0.8140 ; + RECT 10.4940 0.6220 11.0610 0.6720 ; + RECT 11.2990 0.7810 11.3490 0.9330 ; + RECT 11.0110 0.6720 11.0610 0.7310 ; + RECT 11.0110 0.7310 11.3490 0.7810 ; + RECT 10.4940 0.6720 10.7410 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.6260 10.5850 0.6680 ; + RECT 10.9990 0.6260 11.0410 0.6680 ; + RECT 10.6950 0.6260 10.7370 0.6680 ; + RECT 10.8470 0.6260 10.8890 0.6680 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.7910 0.9420 15.4090 1.0020 ; + RECT 15.2940 0.6900 15.4090 0.9420 ; + RECT 15.1750 0.6270 15.2250 0.9420 ; + END + PORT + LAYER CO ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.7430 15.2210 0.7850 ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.3310 0.7100 15.3730 0.7520 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 15.3310 0.8620 15.3730 0.9040 ; + RECT 13.8110 0.9510 13.8530 0.9930 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5300 2.9430 1.5800 ; + RECT 2.8330 1.4510 2.9430 1.5300 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5340 2.8330 1.5760 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6520 3.4410 0.6940 ; + RECT 3.5510 0.6520 3.5930 0.6940 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6520 2.8330 0.6940 ; + RECT 3.0950 0.6520 3.1370 0.6940 ; + RECT 2.9430 0.6520 2.9850 0.6940 ; + RECT 3.2470 0.6520 3.2890 0.6940 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.4790 0.6620 9.5210 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.9350 0.6280 9.9770 0.6700 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0940 7.8490 0.1360 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 9.8590 0.9450 9.9010 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.7830 0.6280 9.8250 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 14.2670 0.3680 14.3090 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.5310 1.0240 11.5730 1.0660 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.4710 0.0970 16.5130 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.0790 0.6640 17.1210 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 15.0270 0.2690 15.0690 0.3110 ; + RECT 14.2670 0.6770 14.3090 0.7190 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 6.8950 0.0970 6.9370 0.1390 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.9110 0.0940 11.9530 0.1360 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.0750 0.4170 11.1170 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 9.4030 0.5140 9.4450 0.5560 ; + RECT 15.0270 0.6800 15.0690 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 11.0750 1.1240 11.1170 1.1660 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 10.9230 1.0240 10.9650 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.5010 11.7250 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.5710 0.8240 14.6130 0.8660 ; + RECT 13.7350 0.5310 13.7770 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0970 6.6330 0.1390 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 10.9230 0.5170 10.9650 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.5470 0.2490 16.5890 0.2910 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 10.8470 0.9240 10.8890 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.9630 0.2540 14.0050 0.2960 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 13.9630 0.1620 14.0050 0.2040 ; + RECT 11.6830 0.4090 11.7250 0.4510 ; + RECT 10.3150 0.9240 10.3570 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 1.0620 9.4450 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 14.4190 0.7130 14.4610 0.7550 ; + RECT 14.8750 0.7130 14.9170 0.7550 ; + RECT 12.0630 0.0940 12.1050 0.1360 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 14.1150 0.8240 14.1570 0.8660 ; + RECT 12.5950 0.4340 12.6370 0.4760 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 12.8230 0.6960 12.8650 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.2430 0.1770 16.2850 0.2190 ; + RECT 16.1670 0.6170 16.2090 0.6590 ; + RECT 16.2430 0.3610 16.2850 0.4030 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 12.0630 0.7730 12.1050 0.8150 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.1750 1.4990 9.2170 1.5410 ; + RECT 12.2150 0.9710 12.2570 1.0130 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.1350 1.5390 6.1770 1.5810 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 12.4430 1.1830 12.4850 1.2250 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 12.5950 1.2910 12.6370 1.3330 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 11.5310 1.2080 11.5730 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.9110 1.4240 11.9530 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.9630 0.6370 14.0050 0.6790 ; + RECT 13.2790 1.2030 13.3210 1.2450 ; + RECT 13.9630 0.6370 14.0050 0.6790 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 14.0390 1.5240 14.0810 1.5660 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 14.6470 1.0820 14.6890 1.1240 ; + RECT 13.9630 0.8210 14.0050 0.8630 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0970 6.7850 0.1390 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.9630 0.7290 14.0050 0.7710 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.2430 0.2690 16.2850 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 12.7470 0.5580 12.7890 0.6000 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 10.3150 0.5250 10.3570 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.1510 0.8510 11.1930 0.8930 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.5310 1.1160 11.5730 1.1580 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.9870 0.3340 12.0290 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 7.8070 1.5380 7.8490 1.5800 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.6190 0.5170 10.6610 0.5590 ; + RECT 16.5470 0.3410 16.5890 0.3830 ; + RECT 11.5310 0.4170 11.5730 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 14.3430 1.2030 14.3850 1.2450 ; + RECT 14.0390 1.0840 14.0810 1.1260 ; + RECT 16.4710 0.6060 16.5130 0.6480 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 11.7590 0.7710 11.8010 0.8130 ; + RECT 11.8350 1.1990 11.8770 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 13.2790 0.8050 13.3210 0.8470 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.7750 0.0970 16.8170 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.6590 0.7430 13.7010 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.8750 0.2520 14.9170 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 15.1030 1.0820 15.1450 1.1240 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 11.4550 1.5240 11.4970 1.5660 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 8.6430 0.5090 8.6850 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.2150 0.6710 12.2570 0.7130 ; + RECT 12.5190 0.7040 12.5610 0.7460 ; + RECT 7.0470 0.0970 7.0890 0.1390 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 11.7590 0.0940 11.8010 0.1360 ; + RECT 13.9630 0.8210 14.0050 0.8630 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.4670 0.4170 10.5090 0.4590 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + LAYER PO ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 16.6290 0.0710 16.6590 1.6060 ; + RECT 16.9330 0.0710 16.9630 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 17.3890 0.0710 17.4190 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 2.7970 0.0740 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 13.1330 0.0670 13.1630 1.6050 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.3090 0.8390 11.3390 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.7280 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0740 3.4350 1.6060 ; + RECT 3.5570 0.0740 3.5870 1.6060 ; + RECT 3.2530 0.0740 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0740 2.9790 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0740 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 11.3090 0.0660 11.3390 0.6910 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 11.9170 0.7710 11.9470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.8530 0.8920 10.8830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.6490 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7680 6.7790 1.6060 ; + RECT 12.2210 0.9390 12.2510 1.6060 ; + RECT 11.7650 0.0660 11.7950 0.6490 ; + RECT 6.7490 0.0660 6.7790 0.6390 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 6.9010 0.7680 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6390 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.7650 0.7540 11.7950 1.6060 ; + LAYER M1 ; + RECT 9.8320 0.5100 10.1290 0.5600 ; + RECT 9.8320 0.9410 10.1290 0.9910 ; + RECT 10.0790 0.5600 10.1290 0.9410 ; + RECT 10.0790 0.9910 10.1290 1.0200 ; + RECT 10.2910 0.9200 11.1970 0.9700 ; + RECT 11.1470 0.8310 11.1970 0.9200 ; + RECT 10.3110 0.5050 10.3610 0.9200 ; + RECT 13.7150 0.5270 14.3130 0.5770 ; + RECT 13.9590 0.5770 14.0090 0.8830 ; + RECT 13.9590 0.1260 14.0090 0.5270 ; + RECT 14.2630 0.5770 14.3130 0.7700 ; + RECT 14.2630 0.3480 14.3130 0.5270 ; + RECT 13.6550 1.0800 14.1040 1.1300 ; + RECT 13.6150 0.4270 13.7050 0.4770 ; + RECT 13.6150 0.6270 13.7050 0.6770 ; + RECT 13.6550 0.1260 13.7050 0.4270 ; + RECT 13.6150 0.4770 13.6650 0.6270 ; + RECT 13.6550 0.6770 13.7050 1.0800 ; + RECT 14.0950 0.2480 14.9370 0.2980 ; + RECT 14.7790 0.4620 15.0330 0.5120 ; + RECT 14.9830 0.3970 15.0730 0.4470 ; + RECT 14.9830 0.6270 15.0730 0.6770 ; + RECT 15.0230 0.1260 15.0730 0.3970 ; + RECT 14.9830 0.4470 15.0330 0.4620 ; + RECT 15.0230 0.6770 15.0730 0.7680 ; + RECT 14.9830 0.5120 15.0330 0.6270 ; + RECT 14.3990 0.1320 14.6330 0.1820 ; + RECT 16.5830 0.6600 17.1410 0.7100 ; + RECT 16.5430 0.4010 16.6330 0.4510 ; + RECT 16.5430 0.7780 16.6330 0.8280 ; + RECT 16.5430 0.2250 16.5930 0.4010 ; + RECT 16.5430 0.8280 16.5930 1.3090 ; + RECT 16.5830 0.4510 16.6330 0.6600 ; + RECT 16.5830 0.7100 16.6330 0.7780 ; + RECT 16.2780 0.6020 16.5330 0.6520 ; + RECT 16.2390 0.4010 16.3280 0.4510 ; + RECT 16.2390 0.7780 16.3280 0.8280 ; + RECT 16.2390 0.1570 16.2890 0.4010 ; + RECT 16.2390 0.8280 16.2890 1.3090 ; + RECT 16.2780 0.4510 16.3280 0.6020 ; + RECT 16.2780 0.6520 16.3280 0.7780 ; + RECT 16.4510 0.0930 16.8370 0.1430 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 2.7710 0.6480 3.6130 0.6980 ; + RECT 9.0270 0.6850 9.2210 0.7350 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.4830 0.9140 9.0770 0.9150 ; + RECT 7.0430 0.8650 9.0770 0.9140 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 9.1710 0.7350 9.2210 0.7510 ; + RECT 9.1710 0.6690 9.2210 0.6850 ; + RECT 9.0270 0.7350 9.0770 0.8650 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.1150 1.5340 7.8690 1.5840 ; + RECT 11.4330 1.5200 14.1010 1.5700 ; + RECT 9.1710 1.4200 11.9730 1.4700 ; + RECT 9.1710 1.4700 9.2210 1.5770 ; + RECT 11.6790 0.7670 11.8210 0.8170 ; + RECT 11.6790 0.6670 12.2770 0.7170 ; + RECT 11.6790 0.7170 11.7290 0.7670 ; + RECT 12.1420 0.9670 12.2770 1.0170 ; + RECT 12.0430 0.7690 12.1920 0.8190 ; + RECT 12.1420 0.8190 12.1920 0.9670 ; + RECT 11.1300 0.6130 11.3650 0.6630 ; + RECT 12.6970 0.8010 13.3410 0.8510 ; + RECT 12.5150 0.5540 12.8090 0.6040 ; + RECT 12.5150 0.6040 12.5650 0.7760 ; + RECT 12.6970 0.6040 12.7470 0.8010 ; + RECT 12.6970 0.8510 12.7930 0.8520 ; + RECT 12.7430 0.8520 12.7930 1.3010 ; + RECT 10.2190 1.5200 11.3650 1.5700 ; + RECT 12.2640 1.2870 12.6570 1.3370 ; + RECT 15.6850 0.6320 16.2130 0.6820 ; + RECT 16.1630 0.5970 16.2130 0.6320 ; + RECT 15.6850 0.6820 15.7350 1.1990 ; + RECT 13.2590 1.1990 15.7350 1.2490 ; + RECT 14.0950 0.8200 14.6430 0.8700 ; + RECT 14.3990 0.7090 14.9370 0.7590 ; + RECT 14.6270 1.0780 15.1650 1.1280 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.9330 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 7.7870 0.0900 12.1250 0.1400 ; + RECT 6.5700 0.0930 7.1150 0.1430 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 8.0070 0.4050 9.0120 0.4550 ; + RECT 7.1740 0.7380 8.2340 0.7880 ; + RECT 7.8630 1.1200 9.3330 1.1700 ; + RECT 8.1830 0.5050 9.3330 0.5550 ; + RECT 8.1830 0.5550 8.2330 0.7380 ; + RECT 9.2830 0.6580 9.5480 0.7080 ; + RECT 9.2830 0.7080 9.3330 1.1200 ; + RECT 9.2830 0.5550 9.3330 0.6580 ; + RECT 10.4470 0.4130 11.7290 0.4630 ; + RECT 11.5270 0.9670 12.0500 1.0170 ; + RECT 11.6790 0.4630 11.7290 0.5630 ; + RECT 11.6790 0.3800 11.7290 0.4130 ; + RECT 11.6790 0.3300 12.0550 0.3800 ; + RECT 11.6790 0.3270 11.7290 0.3300 ; + RECT 10.4470 1.1200 11.5770 1.1700 ; + RECT 11.5270 0.3840 11.5770 0.4130 ; + RECT 11.5270 0.4630 11.5770 0.9670 ; + RECT 11.5270 1.1700 11.5770 1.2700 ; + RECT 11.5270 1.0170 11.5770 1.1200 ; + RECT 11.8310 0.4300 12.9260 0.4800 ; + RECT 12.8010 0.6920 12.9260 0.7420 ; + RECT 12.8760 0.4800 12.9260 0.6920 ; + RECT 11.8320 1.1790 12.6280 1.2290 ; + RECT 12.3270 0.4800 12.3770 0.8260 ; + RECT 12.3270 0.8260 12.6280 0.8760 ; + RECT 12.5780 0.8760 12.6280 1.1790 ; + RECT 11.8310 0.4800 11.8810 0.5630 ; + RECT 11.8320 1.2290 11.8820 1.3530 ; + RECT 9.6640 0.6240 9.9970 0.6740 ; + RECT 9.3830 0.5100 9.7140 0.5600 ; + RECT 9.3990 1.1080 9.4490 1.1240 ; + RECT 9.3990 1.0420 9.4490 1.0580 ; + RECT 9.3990 1.0580 9.7140 1.1080 ; + RECT 9.6640 0.6740 9.7140 1.0580 ; + RECT 9.6640 0.5600 9.7140 0.6240 ; + RECT 11.4150 0.5630 11.4650 1.0200 ; + RECT 10.5990 0.5130 11.4640 0.5440 ; + RECT 10.5990 0.5440 11.4650 0.5630 ; + RECT 10.0790 1.0200 11.4650 1.0700 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.6210 1.7730 ; + RECT -0.1150 0.6890 13.0260 1.5430 ; + RECT 15.9590 0.6790 17.6210 1.5430 ; + RECT -0.1150 0.6790 12.0840 0.6890 ; + RECT 12.6920 0.6790 13.0260 0.6890 ; + RECT 2.6600 0.6690 3.7240 0.6790 ; + RECT 13.4880 0.4910 15.4990 1.0830 ; + END +END RSDFFNSRASX1_HVT + +MACRO RSDFFNSRASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.784 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.6950 0.8920 17.5460 0.9420 ; + RECT 17.4180 0.8570 17.5460 0.8920 ; + RECT 17.4180 0.9420 17.5460 0.9670 ; + RECT 16.6950 0.9420 16.7450 1.5640 ; + RECT 16.9990 0.9420 17.0490 1.5640 ; + RECT 16.9990 0.5020 17.0490 0.6060 ; + RECT 16.9990 0.3280 17.0490 0.4520 ; + RECT 16.6950 0.2130 16.7450 0.4520 ; + RECT 17.4950 0.5020 17.5450 0.8570 ; + RECT 16.6950 0.4520 17.5450 0.5020 ; + END + PORT + LAYER CO ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 16.6990 0.4270 16.7410 0.4690 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 0.2430 16.7410 0.2850 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 16.6990 0.3350 16.7410 0.3770 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 17.0030 0.5420 17.0450 0.5840 ; + RECT 17.0030 0.4500 17.0450 0.4920 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 17.0030 0.3580 17.0450 0.4000 ; + RECT 17.0030 0.4500 17.0450 0.4920 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.5750 1.1610 17.6980 1.2710 ; + RECT 17.3030 0.1160 17.3530 0.2890 ; + RECT 17.3030 0.2890 17.6610 0.3390 ; + RECT 17.3030 1.0420 17.6610 1.0920 ; + RECT 17.3030 1.0920 17.3530 1.5640 ; + RECT 17.6110 1.0920 17.6610 1.1610 ; + RECT 17.6110 0.3390 17.6610 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 0.1510 17.3490 0.1930 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 17.3070 0.2430 17.3490 0.2850 ; + RECT 17.3070 0.1510 17.3490 0.1930 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.7840 1.7020 ; + RECT 7.5580 1.2200 11.2890 1.2700 ; + RECT 12.1350 1.4040 14.2010 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.0870 0.9590 16.1370 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.3910 0.9590 16.4410 1.6420 ; + RECT 16.8470 0.9920 16.8970 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.4550 1.1810 17.5050 1.6420 ; + RECT 17.1510 1.1810 17.2010 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.8950 0.9530 12.9450 1.4040 ; + RECT 12.1350 1.2790 12.1850 1.4040 ; + RECT 14.1510 1.4540 14.2010 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 9.5550 1.2240 9.5970 1.2660 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 16.3950 1.0040 16.4370 1.0460 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 17.4590 1.3030 17.5010 1.3450 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 12.8990 1.1570 12.9410 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 12.8990 1.0650 12.9410 1.1070 ; + RECT 16.3950 1.0960 16.4370 1.1380 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 16.3950 1.1880 16.4370 1.2300 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 17.4590 1.4870 17.5010 1.5290 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.1880 16.1330 1.2300 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 16.0910 1.0960 16.1330 1.1380 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 17.4590 1.2110 17.5010 1.2530 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.3950 1.2800 16.4370 1.3220 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.8990 0.9730 12.9410 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 11.2270 1.2240 11.2690 1.2660 ; + RECT 12.1390 1.3010 12.1810 1.3430 ; + RECT 16.0910 1.0040 16.1330 1.0460 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.4590 1.3950 17.5010 1.4370 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.0910 1.2800 16.1330 1.3220 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 12.8990 1.2490 12.9410 1.2910 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.7840 0.0300 ; + RECT 16.3510 0.2030 16.4570 0.2530 ; + RECT 16.8470 0.2030 17.2010 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.7190 0.0300 14.7690 0.1980 ; + RECT 13.8070 0.0300 13.8570 0.4260 ; + RECT 16.0870 0.0300 16.1370 0.4230 ; + RECT 15.1750 0.0300 15.2250 0.4260 ; + RECT 17.4550 0.0300 17.5050 0.2260 ; + RECT 12.9190 0.0300 12.9690 0.3280 ; + RECT 12.1190 0.3280 12.9690 0.3780 ; + RECT 16.3510 0.0300 16.4010 0.2030 ; + RECT 16.8470 0.2530 16.8970 0.3950 ; + RECT 17.1510 0.0300 17.2010 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 11.2890 0.3550 ; + RECT 7.2910 0.3050 11.2890 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 9.5550 0.3090 9.5970 0.3510 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 15.1790 0.2660 15.2210 0.3080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.8510 0.2410 16.8930 0.2830 ; + RECT 16.3950 0.2070 16.4370 0.2490 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 17.1550 0.1490 17.1970 0.1910 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 12.8990 0.3320 12.9410 0.3740 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 15.1790 0.1740 15.2210 0.2160 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 16.8510 0.3330 16.8930 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 14.7230 0.1360 14.7650 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.4590 0.1490 17.5010 0.1910 ; + RECT 15.1790 0.3580 15.2210 0.4000 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.3390 0.3080 8.3810 0.3500 ; + RECT 11.2270 0.3090 11.2690 0.3510 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.1070 1.3200 11.7290 1.3700 ; + RECT 11.6790 1.0790 12.5190 1.1270 ; + RECT 12.3630 0.9510 12.4130 1.0090 ; + RECT 12.3630 1.0090 12.5190 1.0790 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 8.1070 1.3700 8.1570 1.5840 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 11.6790 1.1270 12.4130 1.1290 ; + RECT 11.6790 1.1290 11.7290 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + RECT 8.1110 1.5220 8.1530 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 12.3670 0.9710 12.4090 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.2940 0.2490 15.4090 0.3590 ; + RECT 15.0830 0.5270 15.3580 0.5770 ; + RECT 15.3080 0.3590 15.3580 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.1030 0.5310 15.1450 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4940 0.6180 11.0610 0.6680 ; + RECT 11.2990 0.7810 11.3490 0.9330 ; + RECT 11.0110 0.7810 11.0610 0.7860 ; + RECT 11.0110 0.7310 11.3490 0.7810 ; + RECT 11.0110 0.6680 11.0610 0.7310 ; + RECT 10.4940 0.6680 10.7410 0.7860 ; + RECT 10.4940 0.7860 10.7160 0.8190 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.6220 10.5850 0.6640 ; + RECT 10.9990 0.6220 11.0410 0.6640 ; + RECT 10.6950 0.6220 10.7370 0.6640 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + RECT 10.8470 0.6220 10.8890 0.6640 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.7910 0.9470 15.4090 0.9970 ; + RECT 15.2950 0.6900 15.4090 0.9470 ; + RECT 15.1750 0.6270 15.2250 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.6510 15.2210 0.6930 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.1790 0.7430 15.2210 0.7850 ; + RECT 15.1790 0.8350 15.2210 0.8770 ; + RECT 15.3310 0.7100 15.3730 0.7520 ; + RECT 15.1790 0.9270 15.2210 0.9690 ; + RECT 13.8110 0.9510 13.8530 0.9930 ; + RECT 15.3310 0.8620 15.3730 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 16.7750 0.0970 16.8170 0.1390 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0920 7.8490 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.4790 0.6620 9.5210 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.9350 0.6280 9.9770 0.6700 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.7830 0.6280 9.8250 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 17.2310 0.6640 17.2730 0.7060 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 9.8590 0.9450 9.9010 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.6830 0.5010 11.7250 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.5710 0.8240 14.6130 0.8660 ; + RECT 14.2670 0.3680 14.3090 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.5310 1.0240 11.5730 1.0660 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.4710 0.0970 16.5130 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.3830 0.6640 17.4250 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 9.4030 1.0620 9.4450 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 14.4190 0.7130 14.4610 0.7550 ; + RECT 14.8750 0.7130 14.9170 0.7550 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 15.0270 0.2690 15.0690 0.3110 ; + RECT 14.2670 0.6770 14.3090 0.7190 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.9110 0.0920 11.9530 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.0750 0.4170 11.1170 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 9.4030 0.5140 9.4450 0.5560 ; + RECT 15.0270 0.6800 15.0690 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.9630 0.3460 14.0050 0.3880 ; + RECT 11.0750 1.1240 11.1170 1.1660 ; + RECT 16.5470 1.2470 16.5890 1.2890 ; + RECT 10.9230 1.0240 10.9650 1.0660 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.9630 0.7300 14.0050 0.7720 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.2430 0.2690 16.2850 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 12.7470 0.5580 12.7890 0.6000 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 10.3150 0.5250 10.3570 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.1510 0.8510 11.1930 0.8930 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 13.7350 0.5310 13.7770 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 16.2430 1.1550 16.2850 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 10.9230 0.5170 10.9650 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.5470 0.2490 16.5890 0.2910 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 16.5470 1.0630 16.5890 1.1050 ; + RECT 10.8470 0.9240 10.8890 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.9630 0.2540 14.0050 0.2960 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 15.0270 0.3610 15.0690 0.4030 ; + RECT 16.5470 0.9710 16.5890 1.0130 ; + RECT 13.9630 0.1620 14.0050 0.2040 ; + RECT 11.6830 0.4090 11.7250 0.4510 ; + RECT 10.3150 0.9240 10.3570 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 16.4710 0.6060 16.5130 0.6480 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 11.7590 0.7710 11.8010 0.8130 ; + RECT 11.8350 1.1990 11.8770 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 13.2790 0.8050 13.3210 0.8470 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.9270 0.0970 16.9690 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 8.6430 0.5090 8.6850 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.2150 0.6610 12.2570 0.7030 ; + RECT 12.5190 0.6610 12.5610 0.7030 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 11.7590 0.0920 11.8010 0.1340 ; + RECT 12.0630 0.0920 12.1050 0.1340 ; + RECT 16.2430 0.8790 16.2850 0.9210 ; + RECT 9.1750 0.6890 9.2170 0.7310 ; + RECT 14.1150 0.8240 14.1570 0.8660 ; + RECT 12.5950 0.4340 12.6370 0.4760 ; + RECT 11.3030 0.6170 11.3450 0.6590 ; + RECT 12.8230 0.6960 12.8650 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.2430 0.1770 16.2850 0.2190 ; + RECT 16.1670 0.6170 16.2090 0.6590 ; + RECT 16.2430 0.3610 16.2850 0.4030 ; + RECT 11.7590 1.4240 11.8010 1.4660 ; + RECT 12.0630 0.7610 12.1050 0.8030 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 9.1750 1.5220 9.2170 1.5640 ; + RECT 12.2150 0.9710 12.2570 1.0130 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 15.0270 0.1770 15.0690 0.2190 ; + RECT 13.9630 0.6380 14.0050 0.6800 ; + RECT 13.2790 1.2030 13.3210 1.2450 ; + RECT 13.9630 0.6380 14.0050 0.6800 ; + RECT 13.6590 0.6510 13.7010 0.6930 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 14.0390 1.5240 14.0810 1.5660 ; + RECT 13.6590 0.9270 13.7010 0.9690 ; + RECT 14.6470 1.0610 14.6890 1.1030 ; + RECT 13.9630 0.8220 14.0050 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 16.2430 1.0630 16.2850 1.1050 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.6590 0.7430 13.7010 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.8750 0.2520 14.9170 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 15.1030 1.0610 15.1450 1.1030 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 11.4550 1.5240 11.4970 1.5660 ; + RECT 13.6590 0.8350 13.7010 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 13.9630 0.8220 14.0050 0.8640 ; + RECT 11.3030 1.5240 11.3450 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.4670 0.4170 10.5090 0.4590 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.5310 1.1160 11.5730 1.1580 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 12.4430 1.1830 12.4850 1.2250 ; + RECT 16.5470 1.1550 16.5890 1.1970 ; + RECT 12.5950 1.2910 12.6370 1.3330 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 16.5470 0.8790 16.5890 0.9210 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 11.5310 1.2080 11.5730 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.9110 1.4240 11.9530 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 7.8070 1.5380 7.8490 1.5800 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.6190 0.5170 10.6610 0.5590 ; + RECT 16.5470 0.3410 16.5890 0.3830 ; + RECT 11.5310 0.4170 11.5730 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 16.2430 1.2470 16.2850 1.2890 ; + RECT 14.3430 1.2030 14.3850 1.2450 ; + RECT 14.0390 1.0610 14.0810 1.1030 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.9870 0.3340 12.0290 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + LAYER PO ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.6470 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.5410 0.0690 17.5710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.7650 0.7540 11.7950 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 16.6290 0.0710 16.6590 1.6060 ; + RECT 16.9330 0.0690 16.9630 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 17.6930 0.0710 17.7230 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 13.1330 0.0670 13.1630 1.6050 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.3090 0.8390 11.3390 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.7280 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 17.0850 0.0710 17.1150 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 11.3090 0.0660 11.3390 0.6910 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 11.9170 0.7710 11.9470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.8530 0.8920 10.8830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 12.2210 0.9390 12.2510 1.6060 ; + RECT 11.7650 0.0660 11.7950 0.6470 ; + LAYER M1 ; + RECT 10.5990 0.5440 11.4650 0.5630 ; + RECT 10.0790 1.0200 11.4650 1.0700 ; + RECT 9.8320 0.5100 10.1290 0.5600 ; + RECT 9.8320 0.9410 10.1290 0.9910 ; + RECT 10.0790 0.5600 10.1290 0.9410 ; + RECT 10.0790 0.9910 10.1290 1.0200 ; + RECT 10.2910 0.9200 11.1970 0.9700 ; + RECT 11.1470 0.8310 11.1970 0.9200 ; + RECT 10.3110 0.5050 10.3610 0.9200 ; + RECT 13.7150 0.5270 14.3130 0.5770 ; + RECT 13.9590 0.5770 14.0090 0.8870 ; + RECT 13.9590 0.1260 14.0090 0.5270 ; + RECT 14.2630 0.5770 14.3130 0.7700 ; + RECT 14.2630 0.3480 14.3130 0.5270 ; + RECT 14.9830 0.6270 15.0730 0.6770 ; + RECT 15.0230 0.1260 15.0730 0.4270 ; + RECT 14.7790 0.4770 15.0330 0.5120 ; + RECT 14.9830 0.4270 15.0730 0.4620 ; + RECT 14.7790 0.4620 15.0730 0.4770 ; + RECT 15.0230 0.6770 15.0730 0.7680 ; + RECT 14.9830 0.5120 15.0330 0.6270 ; + RECT 13.6550 1.0570 14.1040 1.1070 ; + RECT 13.6150 0.4270 13.7050 0.4770 ; + RECT 13.6150 0.6270 13.7050 0.6770 ; + RECT 13.6550 0.1260 13.7050 0.4270 ; + RECT 13.6150 0.4770 13.6650 0.6270 ; + RECT 13.6550 0.6770 13.7050 1.0570 ; + RECT 14.0950 0.2480 14.9370 0.2980 ; + RECT 14.3990 0.1320 14.6330 0.1820 ; + RECT 16.5830 0.6600 17.4450 0.7100 ; + RECT 16.5430 0.4010 16.6330 0.4510 ; + RECT 16.5430 0.7780 16.6330 0.8280 ; + RECT 16.5430 0.2250 16.5930 0.4010 ; + RECT 16.5430 0.8280 16.5930 1.3090 ; + RECT 16.5830 0.4510 16.6330 0.6600 ; + RECT 16.5830 0.7100 16.6330 0.7780 ; + RECT 16.2780 0.6020 16.5330 0.6520 ; + RECT 16.2390 0.4010 16.3280 0.4510 ; + RECT 16.2390 0.7780 16.3280 0.8280 ; + RECT 16.2390 0.1570 16.2890 0.4010 ; + RECT 16.2390 0.8280 16.2890 1.3090 ; + RECT 16.2780 0.4510 16.3280 0.6020 ; + RECT 16.2780 0.6520 16.3280 0.7780 ; + RECT 16.4510 0.0930 16.9890 0.1430 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 9.0270 0.6850 9.2210 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.4830 0.9140 9.0770 0.9150 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.0430 0.8650 9.0770 0.9140 ; + RECT 9.1710 0.7350 9.2210 0.7510 ; + RECT 9.1710 0.6690 9.2210 0.6850 ; + RECT 9.0270 0.7350 9.0770 0.8650 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.1150 1.5340 7.8690 1.5840 ; + RECT 11.4330 1.5200 14.1010 1.5700 ; + RECT 9.1710 1.4200 11.9730 1.4700 ; + RECT 9.1710 1.4700 9.2210 1.5840 ; + RECT 11.1300 0.6130 11.3650 0.6630 ; + RECT 11.6790 0.7670 11.8210 0.8170 ; + RECT 11.6790 0.6570 12.2770 0.7070 ; + RECT 11.6790 0.7070 11.7290 0.7670 ; + RECT 12.1420 0.9670 12.2770 1.0170 ; + RECT 12.0430 0.7570 12.1920 0.8070 ; + RECT 12.1420 0.8070 12.1920 0.9670 ; + RECT 12.6970 0.8010 13.3410 0.8510 ; + RECT 12.5150 0.5540 12.8090 0.6040 ; + RECT 12.5150 0.6040 12.5650 0.7320 ; + RECT 12.7430 0.8520 12.7930 1.3010 ; + RECT 12.6970 0.8510 12.7930 0.8520 ; + RECT 12.6970 0.6040 12.7470 0.8010 ; + RECT 12.2640 1.2870 12.6570 1.3370 ; + RECT 10.2190 1.5200 11.3650 1.5700 ; + RECT 15.6850 0.6320 16.2130 0.6820 ; + RECT 16.1630 0.5970 16.2130 0.6320 ; + RECT 15.6850 0.6820 15.7350 1.1990 ; + RECT 13.2590 1.1990 15.7350 1.2490 ; + RECT 14.0950 0.8200 14.6430 0.8700 ; + RECT 14.3990 0.7090 14.9370 0.7590 ; + RECT 14.6270 1.0570 15.1650 1.1070 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.9330 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 7.7870 0.0880 12.1250 0.1380 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 8.0070 0.4050 9.0120 0.4550 ; + RECT 7.1740 0.7380 8.2340 0.7880 ; + RECT 7.8630 1.1200 9.3330 1.1700 ; + RECT 8.1830 0.5050 9.3330 0.5550 ; + RECT 8.1830 0.5550 8.2330 0.7380 ; + RECT 9.2830 0.6580 9.5480 0.7080 ; + RECT 9.2830 0.7080 9.3330 1.1200 ; + RECT 9.2830 0.5550 9.3330 0.6580 ; + RECT 10.4470 0.4130 11.7290 0.4630 ; + RECT 11.5270 0.9670 12.0500 1.0170 ; + RECT 11.6790 0.4630 11.7290 0.5630 ; + RECT 11.6790 0.3800 11.7290 0.4130 ; + RECT 11.6790 0.3300 12.0550 0.3800 ; + RECT 11.6790 0.3270 11.7290 0.3300 ; + RECT 10.4470 1.1200 11.5770 1.1700 ; + RECT 11.5270 0.3840 11.5770 0.4130 ; + RECT 11.5270 0.4630 11.5770 0.9670 ; + RECT 11.5270 1.1700 11.5770 1.2700 ; + RECT 11.5270 1.0170 11.5770 1.1200 ; + RECT 11.8310 0.4300 12.9260 0.4800 ; + RECT 12.8010 0.6920 12.9260 0.7420 ; + RECT 12.8760 0.4800 12.9260 0.6920 ; + RECT 11.8320 1.1790 12.6280 1.2290 ; + RECT 12.3270 0.4800 12.3770 0.8260 ; + RECT 12.3270 0.8260 12.6280 0.8760 ; + RECT 12.5780 0.8760 12.6280 1.1790 ; + RECT 11.8310 0.4800 11.8810 0.5630 ; + RECT 11.8320 1.2290 11.8820 1.3530 ; + RECT 9.6640 0.6240 9.9970 0.6740 ; + RECT 9.3830 0.5100 9.7140 0.5600 ; + RECT 9.6640 0.5600 9.7140 0.6240 ; + RECT 9.3990 1.1080 9.4490 1.1240 ; + RECT 9.3990 1.0420 9.4490 1.0580 ; + RECT 9.6640 0.6740 9.7140 1.0580 ; + RECT 9.3990 1.0580 9.7140 1.1080 ; + RECT 11.4150 0.5630 11.4650 1.0200 ; + RECT 10.5990 0.5130 11.4640 0.5440 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.8990 1.7730 ; + RECT -0.1150 0.6890 13.0260 1.5430 ; + RECT 15.9590 0.6790 17.8990 1.5430 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6860 13.0260 0.6890 ; + RECT 2.6600 0.6730 3.7240 0.6790 ; + RECT 7.0680 0.6790 12.0840 0.6860 ; + RECT 12.6920 0.6790 13.0260 0.6860 ; + RECT 13.4880 0.4910 15.4990 1.0830 ; + END +END RSDFFNSRASX2_HVT + +MACRO RSDFFNSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 16.72 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9350 0.8920 16.4820 0.9420 ; + RECT 16.3540 0.8570 16.4820 0.8920 ; + RECT 16.3540 0.9420 16.4820 0.9670 ; + RECT 15.9350 0.9420 15.9850 1.5640 ; + RECT 15.9350 0.2130 15.9850 0.4520 ; + RECT 16.4310 0.5020 16.4810 0.8570 ; + RECT 15.9350 0.4520 16.4810 0.5020 ; + END + PORT + LAYER CO ; + RECT 15.9390 1.4870 15.9810 1.5290 ; + RECT 15.9390 0.2430 15.9810 0.2850 ; + RECT 15.9390 0.3350 15.9810 0.3770 ; + RECT 15.9390 0.3350 15.9810 0.3770 ; + RECT 15.9390 1.2110 15.9810 1.2530 ; + RECT 15.9390 1.0270 15.9810 1.0690 ; + RECT 15.9390 1.1190 15.9810 1.1610 ; + RECT 15.9390 1.0270 15.9810 1.0690 ; + RECT 15.9390 1.3030 15.9810 1.3450 ; + RECT 15.9390 1.1190 15.9810 1.1610 ; + RECT 15.9390 1.4870 15.9810 1.5290 ; + RECT 15.9390 0.4270 15.9810 0.4690 ; + RECT 15.9390 1.3030 15.9810 1.3450 ; + RECT 15.9390 1.3950 15.9810 1.4370 ; + RECT 15.9390 1.3950 15.9810 1.4370 ; + RECT 15.9390 1.2110 15.9810 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.5050 1.1610 16.6340 1.2710 ; + RECT 16.2390 0.1160 16.2890 0.2890 ; + RECT 16.2390 0.2890 16.5970 0.3390 ; + RECT 16.2390 1.0420 16.5970 1.0920 ; + RECT 16.2390 1.0920 16.2890 1.5640 ; + RECT 16.5470 1.0920 16.5970 1.1610 ; + RECT 16.5470 0.3390 16.5970 1.0420 ; + END + PORT + LAYER CO ; + RECT 16.2430 1.3030 16.2850 1.3450 ; + RECT 16.2430 1.3030 16.2850 1.3450 ; + RECT 16.2430 0.1510 16.2850 0.1930 ; + RECT 16.2430 0.2430 16.2850 0.2850 ; + RECT 16.2430 0.1510 16.2850 0.1930 ; + RECT 16.2430 1.3950 16.2850 1.4370 ; + RECT 16.2430 1.2110 16.2850 1.2530 ; + RECT 16.2430 1.2110 16.2850 1.2530 ; + RECT 16.2430 1.4870 16.2850 1.5290 ; + RECT 16.2430 1.4870 16.2850 1.5290 ; + RECT 16.2430 1.3950 16.2850 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 16.7200 1.7020 ; + RECT 7.5580 1.2200 10.5290 1.2700 ; + RECT 11.3750 1.4040 13.4410 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 15.3270 0.9590 15.3770 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 15.6310 0.9590 15.6810 1.6420 ; + RECT 16.0870 0.9920 16.1370 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 16.3910 1.1810 16.4410 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.1350 0.9530 12.1850 1.4040 ; + RECT 11.3750 1.2790 11.4250 1.4040 ; + RECT 13.3910 1.4540 13.4410 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 8.7950 1.2240 8.8370 1.2660 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 16.0910 1.0270 16.1330 1.0690 ; + RECT 16.3950 1.2110 16.4370 1.2530 ; + RECT 16.3950 1.4870 16.4370 1.5290 ; + RECT 16.0910 1.4870 16.1330 1.5290 ; + RECT 16.0910 1.3950 16.1330 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.0910 1.1190 16.1330 1.1610 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 15.6350 1.0040 15.6770 1.0460 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 16.0910 1.3030 16.1330 1.3450 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 15.6350 1.1880 15.6770 1.2300 ; + RECT 15.6350 1.0040 15.6770 1.0460 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 15.6350 1.0960 15.6770 1.1380 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 12.1390 1.2490 12.1810 1.2910 ; + RECT 12.1390 1.0650 12.1810 1.1070 ; + RECT 15.6350 1.0960 15.6770 1.1380 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 15.6350 1.1880 15.6770 1.2300 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 16.0910 1.3030 16.1330 1.3450 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 16.3950 1.3030 16.4370 1.3450 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 16.3950 1.4870 16.4370 1.5290 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 15.6350 1.2800 15.6770 1.3220 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 15.6350 1.2800 15.6770 1.3220 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 16.0910 1.2110 16.1330 1.2530 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 11.3790 1.3010 11.4210 1.3430 ; + RECT 15.3310 1.0040 15.3730 1.0460 ; + RECT 16.3950 1.3950 16.4370 1.4370 ; + RECT 16.0910 1.1190 16.1330 1.1610 ; + RECT 16.0910 1.3950 16.1330 1.4370 ; + RECT 15.3310 1.2800 15.3730 1.3220 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.0910 1.2110 16.1330 1.2530 ; + RECT 16.3950 1.3030 16.4370 1.3450 ; + RECT 12.1390 1.1570 12.1810 1.1990 ; + RECT 12.1390 1.0650 12.1810 1.1070 ; + RECT 12.1390 1.1570 12.1810 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 12.1390 1.2490 12.1810 1.2910 ; + RECT 15.3310 1.1880 15.3730 1.2300 ; + RECT 15.3310 1.1880 15.3730 1.2300 ; + RECT 15.3310 1.0960 15.3730 1.1380 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.0910 1.0270 16.1330 1.0690 ; + RECT 8.3390 1.2240 8.3810 1.2660 ; + RECT 16.3950 1.3950 16.4370 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.1390 0.9730 12.1810 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.0910 1.4870 16.1330 1.5290 ; + RECT 15.3310 1.2800 15.3730 1.3220 ; + RECT 15.3310 1.0960 15.3730 1.1380 ; + RECT 16.3950 1.2110 16.4370 1.2530 ; + RECT 15.3310 1.0040 15.3730 1.0460 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 16.7200 0.0300 ; + RECT 15.5910 0.2030 15.6970 0.2530 ; + RECT 16.0870 0.2030 16.1830 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 13.9590 0.0300 14.0090 0.1980 ; + RECT 13.0470 0.0300 13.0970 0.4260 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 15.3270 0.0300 15.3770 0.4230 ; + RECT 16.3910 0.0300 16.4410 0.2260 ; + RECT 12.1590 0.0300 12.2090 0.3280 ; + RECT 11.3590 0.3750 12.1840 0.3780 ; + RECT 11.3590 0.3280 12.2090 0.3750 ; + RECT 15.5910 0.0300 15.6410 0.2030 ; + RECT 16.0870 0.2530 16.1370 0.3950 ; + RECT 16.1330 0.0300 16.1830 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 10.5290 0.3550 ; + RECT 7.2910 0.3050 10.5290 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.7950 0.3090 8.8370 0.3510 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 8.9470 0.3090 8.9890 0.3510 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.0910 0.2410 16.1330 0.2830 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 8.4910 0.3090 8.5330 0.3510 ; + RECT 15.3310 0.3610 15.3730 0.4030 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.6350 0.2070 15.6770 0.2490 ; + RECT 16.0910 0.3330 16.1330 0.3750 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 16.3950 0.1490 16.4370 0.1910 ; + RECT 16.0910 0.3330 16.1330 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 15.6350 0.2070 15.6770 0.2490 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 14.4190 0.2660 14.4610 0.3080 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 15.3310 0.2690 15.3730 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 9.4030 0.3090 9.4450 0.3510 ; + RECT 13.0510 0.2540 13.0930 0.2960 ; + RECT 13.0510 0.1620 13.0930 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 11.3790 0.3320 11.4210 0.3740 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 16.3950 0.1490 16.4370 0.1910 ; + RECT 15.3310 0.1770 15.3730 0.2190 ; + RECT 15.3310 0.2690 15.3730 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5300 0.2490 14.6490 0.3590 ; + RECT 14.3230 0.5270 14.5980 0.5770 ; + RECT 14.5480 0.3590 14.5980 0.5270 ; + END + PORT + LAYER CO ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.7340 0.6220 10.3010 0.6720 ; + RECT 10.5390 0.7810 10.5890 0.9330 ; + RECT 10.2510 0.7810 10.3010 0.7900 ; + RECT 10.2510 0.7310 10.5890 0.7810 ; + RECT 10.2510 0.6720 10.3010 0.7310 ; + RECT 9.7340 0.6720 9.9810 0.7900 ; + RECT 9.7340 0.7900 9.9560 0.8150 ; + END + PORT + LAYER CO ; + RECT 9.7830 0.6260 9.8250 0.6680 ; + RECT 10.2390 0.6260 10.2810 0.6680 ; + RECT 10.0870 0.6260 10.1290 0.6680 ; + RECT 10.5430 0.8710 10.5850 0.9130 ; + RECT 9.9350 0.6260 9.9770 0.6680 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.0310 0.9480 14.6490 1.0080 ; + RECT 14.5320 0.6900 14.6490 0.9480 ; + RECT 14.4150 0.6270 14.4650 0.9480 ; + END + PORT + LAYER CO ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.7430 14.4610 0.7850 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 13.9630 0.9510 14.0050 0.9930 ; + RECT 14.5710 0.8620 14.6130 0.9040 ; + RECT 13.0510 0.9510 13.0930 0.9930 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.9270 14.4610 0.9690 ; + RECT 14.5710 0.7100 14.6130 0.7520 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4650 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5400 2.8330 1.5820 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 9.7070 1.1240 9.7490 1.1660 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 8.2630 0.9710 8.3050 1.0130 ; + RECT 8.1870 1.1260 8.2290 1.1680 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 8.7190 0.6620 8.7610 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.1750 0.6280 9.2170 0.6700 ; + RECT 8.4150 0.6890 8.4570 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 9.7830 0.9240 9.8250 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0900 7.8490 0.1320 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.3390 0.4090 8.3810 0.4510 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.0230 0.6280 9.0650 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 9.0990 0.5140 9.1410 0.5560 ; + RECT 9.0990 0.9450 9.1410 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 10.7710 1.0240 10.8130 1.0660 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 15.7110 0.0970 15.7530 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 16.3190 0.6640 16.3610 0.7060 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0900 6.9370 0.1320 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 15.7870 0.8790 15.8290 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5400 6.9370 1.5820 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.1510 0.0900 11.1930 0.1320 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 8.5670 0.6620 8.6090 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 10.3150 0.4170 10.3570 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 8.6430 0.5140 8.6850 0.5560 ; + RECT 14.2670 0.6800 14.3090 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 10.9230 0.5010 10.9650 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 13.8110 0.8240 13.8530 0.8660 ; + RECT 13.5070 0.3680 13.5490 0.4100 ; + RECT 11.9870 1.2390 12.0290 1.2810 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 13.2030 0.3460 13.2450 0.3880 ; + RECT 10.1630 0.5170 10.2050 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 15.7870 0.2490 15.8290 0.2910 ; + RECT 15.7870 1.0630 15.8290 1.1050 ; + RECT 15.7870 1.0630 15.8290 1.1050 ; + RECT 10.0870 0.9240 10.1290 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.2030 0.2540 13.2450 0.2960 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 13.2030 0.1620 13.2450 0.2040 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 9.5550 0.9240 9.5970 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 9.8590 1.0240 9.9010 1.0660 ; + RECT 10.0110 1.1240 10.0530 1.1660 ; + RECT 13.2030 0.3460 13.2450 0.3880 ; + RECT 10.3150 1.1240 10.3570 1.1660 ; + RECT 15.7870 1.2470 15.8290 1.2890 ; + RECT 10.1630 1.0240 10.2050 1.0660 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 8.6430 1.0620 8.6850 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 15.7870 1.2470 15.8290 1.2890 ; + RECT 13.6590 0.7130 13.7010 0.7550 ; + RECT 14.1150 0.7130 14.1570 0.7550 ; + RECT 11.9870 1.2390 12.0290 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 11.6830 1.1830 11.7250 1.2250 ; + RECT 15.7870 1.1550 15.8290 1.1970 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 15.7870 0.8790 15.8290 0.9210 ; + RECT 15.4830 0.9710 15.5250 1.0130 ; + RECT 10.7710 1.2080 10.8130 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.1510 1.4240 11.1930 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 7.8070 1.5400 7.8490 1.5820 ; + RECT 11.9870 0.9630 12.0290 1.0050 ; + RECT 9.8590 0.5170 9.9010 0.5590 ; + RECT 15.7870 0.3410 15.8290 0.3830 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 15.4830 1.2470 15.5250 1.2890 ; + RECT 13.8110 0.1360 13.8530 0.1780 ; + RECT 10.0110 0.4170 10.0530 0.4590 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.2030 0.6480 13.2450 0.6900 ; + RECT 12.5190 1.2030 12.5610 1.2450 ; + RECT 13.2030 0.6480 13.2450 0.6900 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 12.8990 0.1620 12.9410 0.2040 ; + RECT 13.3550 0.2520 13.3970 0.2940 ; + RECT 12.8990 0.2540 12.9410 0.2960 ; + RECT 13.2790 1.5240 13.3210 1.5660 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 13.8870 1.0780 13.9290 1.1200 ; + RECT 13.2030 0.8320 13.2450 0.8740 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0900 6.7850 0.1320 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.2030 0.7400 13.2450 0.7820 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 11.5310 1.2910 11.5730 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 15.4830 0.2690 15.5250 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 11.9870 0.5580 12.0290 0.6000 ; + RECT 15.4830 1.1550 15.5250 1.1970 ; + RECT 9.5550 0.5250 9.5970 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 15.4830 0.8790 15.5250 0.9210 ; + RECT 10.9990 1.4240 11.0410 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 10.3910 0.8510 10.4330 0.8930 ; + RECT 10.2390 0.9240 10.2810 0.9660 ; + RECT 12.9750 0.5310 13.0170 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0900 6.6330 0.1320 ; + RECT 9.9350 0.9240 9.9770 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 15.4830 1.1550 15.5250 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 11.4550 0.6580 11.4970 0.7000 ; + RECT 11.7590 0.6540 11.8010 0.6960 ; + RECT 7.0470 0.0900 7.0890 0.1320 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 10.9990 0.0900 11.0410 0.1320 ; + RECT 11.3030 0.0900 11.3450 0.1320 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 13.5070 0.6770 13.5490 0.7190 ; + RECT 15.7870 1.1550 15.8290 1.1970 ; + RECT 11.5310 0.2270 11.5730 0.2690 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.2270 0.3340 11.2690 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 13.5830 1.2030 13.6250 1.2450 ; + RECT 11.6830 0.2270 11.7250 0.2690 ; + RECT 13.2790 1.0790 13.3210 1.1210 ; + RECT 15.7110 0.6060 15.7530 0.6480 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 10.9990 0.7710 11.0410 0.8130 ; + RECT 11.0750 1.1990 11.1170 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 11.0750 1.2910 11.1170 1.3330 ; + RECT 12.5190 0.8050 12.5610 0.8470 ; + RECT 15.4830 1.2470 15.5250 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.0150 0.0970 16.0570 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 15.4830 1.0630 15.5250 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 15.4830 1.0630 15.5250 1.1050 ; + RECT 15.4830 0.9710 15.5250 1.0130 ; + RECT 11.9870 1.0550 12.0290 1.0970 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 13.6590 0.1360 13.7010 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 12.8990 0.7430 12.9410 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 11.9870 1.0550 12.0290 1.0970 ; + RECT 14.3430 1.0780 14.3850 1.1200 ; + RECT 11.9870 1.1470 12.0290 1.1890 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 11.9870 1.1470 12.0290 1.1890 ; + RECT 13.2030 0.8320 13.2450 0.8740 ; + RECT 10.5430 1.5240 10.5850 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 9.7070 0.4170 9.7490 0.4590 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.0750 0.5010 11.1170 0.5430 ; + RECT 15.4830 0.8790 15.5250 0.9210 ; + RECT 8.4150 0.6890 8.4570 0.7310 ; + RECT 13.3550 0.8240 13.3970 0.8660 ; + RECT 11.8350 0.4340 11.8770 0.4760 ; + RECT 10.5430 0.6170 10.5850 0.6590 ; + RECT 12.0630 0.6960 12.1050 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 15.4830 0.1770 15.5250 0.2190 ; + RECT 15.4070 0.6170 15.4490 0.6590 ; + RECT 15.4830 0.3610 15.5250 0.4030 ; + RECT 10.9990 1.4240 11.0410 1.4660 ; + RECT 11.3030 0.7560 11.3450 0.7980 ; + RECT 6.7430 1.5400 6.7850 1.5820 ; + RECT 8.4150 1.5220 8.4570 1.5640 ; + RECT 11.4550 0.9710 11.4970 1.0130 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 8.1110 0.9710 8.1530 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.1350 1.5400 6.1770 1.5820 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 10.7710 1.1160 10.8130 1.1580 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + LAYER PO ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 15.8690 0.0710 15.8990 1.6060 ; + RECT 16.1730 0.0710 16.2030 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.6290 0.0710 16.6590 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 16.3250 0.0690 16.3550 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6910 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 12.3730 0.0670 12.4030 1.6050 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 10.5490 0.8390 10.5790 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.7280 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 9.7890 0.8920 9.8190 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 9.7890 0.0660 9.8190 0.6910 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 9.9410 0.8920 9.9710 1.6060 ; + RECT 11.1570 0.7710 11.1870 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 10.0930 0.8920 10.1230 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 10.2450 0.8920 10.2750 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.1570 0.0660 11.1870 0.6450 ; + RECT 10.2450 0.0660 10.2750 0.6910 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 6.9010 0.7820 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6820 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7820 6.7790 1.6060 ; + RECT 11.4610 0.9390 11.4910 1.6060 ; + RECT 11.0050 0.0660 11.0350 0.6450 ; + RECT 6.7490 0.0660 6.7790 0.6820 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.4770 0.0690 16.5070 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.0050 0.7540 11.0350 1.6060 ; + RECT 16.0210 0.0690 16.0510 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + LAYER M1 ; + RECT 10.6550 0.5630 10.7050 1.0200 ; + RECT 9.8390 0.5130 10.7040 0.5440 ; + RECT 9.8390 0.5440 10.7050 0.5630 ; + RECT 9.3190 1.0200 10.7050 1.0700 ; + RECT 9.0720 0.5100 9.3690 0.5600 ; + RECT 9.0720 0.9410 9.3690 0.9910 ; + RECT 9.3190 0.5600 9.3690 0.9410 ; + RECT 9.3190 0.9910 9.3690 1.0200 ; + RECT 9.5310 0.9200 10.4370 0.9700 ; + RECT 10.3870 0.8310 10.4370 0.9200 ; + RECT 9.5510 0.5050 9.6010 0.9200 ; + RECT 12.9550 0.5270 13.5530 0.5770 ; + RECT 13.1990 0.5770 13.2490 0.8970 ; + RECT 13.1990 0.1260 13.2490 0.5270 ; + RECT 13.5030 0.5770 13.5530 0.7700 ; + RECT 13.5030 0.3480 13.5530 0.5270 ; + RECT 12.8950 1.0750 13.3440 1.1250 ; + RECT 12.8550 0.4270 12.9450 0.4770 ; + RECT 12.8550 0.6270 12.9450 0.6770 ; + RECT 12.8950 0.1260 12.9450 0.4270 ; + RECT 12.8550 0.4770 12.9050 0.6270 ; + RECT 12.8950 0.6770 12.9450 1.0750 ; + RECT 13.3350 0.2480 14.1770 0.2980 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2230 0.4270 14.3130 0.4620 ; + RECT 14.0190 0.4770 14.2730 0.5120 ; + RECT 14.0190 0.4620 14.3130 0.4770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2630 0.6770 14.3130 0.7680 ; + RECT 14.2230 0.5120 14.2730 0.6270 ; + RECT 13.6390 0.1320 13.8730 0.1820 ; + RECT 15.8230 0.6600 16.3810 0.7100 ; + RECT 15.7830 0.4010 15.8730 0.4510 ; + RECT 15.7830 0.7780 15.8730 0.8280 ; + RECT 15.7830 0.2250 15.8330 0.4010 ; + RECT 15.8230 0.4510 15.8730 0.6600 ; + RECT 15.7830 0.8280 15.8330 1.3090 ; + RECT 15.8230 0.7100 15.8730 0.7780 ; + RECT 15.5180 0.6020 15.7730 0.6520 ; + RECT 15.4790 0.4010 15.5680 0.4510 ; + RECT 15.4790 0.7780 15.5680 0.8280 ; + RECT 15.4790 0.1570 15.5290 0.4010 ; + RECT 15.4790 0.8280 15.5290 1.3090 ; + RECT 15.5180 0.4510 15.5680 0.6020 ; + RECT 15.5180 0.6520 15.5680 0.7780 ; + RECT 15.6910 0.0930 16.0770 0.1430 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 8.4110 1.4200 11.2130 1.4700 ; + RECT 8.4110 1.4700 8.4610 1.5840 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.4830 0.9140 8.4690 0.9150 ; + RECT 7.0430 0.8650 8.4690 0.9140 ; + RECT 8.4190 0.7510 8.4690 0.8650 ; + RECT 8.4110 0.6690 8.4610 0.6860 ; + RECT 8.4110 0.6860 8.4690 0.7510 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.1150 1.5340 7.8690 1.5840 ; + RECT 10.6730 1.5200 13.3410 1.5700 ; + RECT 10.9190 0.7670 11.0610 0.8170 ; + RECT 10.9190 0.6520 11.5170 0.7020 ; + RECT 10.9190 0.7020 10.9690 0.7670 ; + RECT 10.9190 0.6500 10.9690 0.6520 ; + RECT 11.3820 0.9670 11.5170 1.0170 ; + RECT 11.2830 0.7520 11.4320 0.8020 ; + RECT 11.3820 0.8020 11.4320 0.9670 ; + RECT 10.3700 0.6130 10.6050 0.6630 ; + RECT 11.9370 0.8010 12.5810 0.8510 ; + RECT 11.7550 0.5540 12.0490 0.6040 ; + RECT 11.7550 0.6040 11.8050 0.7250 ; + RECT 11.9830 0.8520 12.0330 1.3010 ; + RECT 11.9370 0.8510 12.0330 0.8520 ; + RECT 11.9370 0.6040 11.9870 0.8010 ; + RECT 9.4590 1.5200 10.6050 1.5700 ; + RECT 11.5040 1.2870 11.8970 1.3370 ; + RECT 14.9250 0.6320 15.4530 0.6820 ; + RECT 15.4030 0.5970 15.4530 0.6320 ; + RECT 14.9250 0.6820 14.9750 1.1990 ; + RECT 12.4990 1.1990 14.9750 1.2490 ; + RECT 13.3350 0.8200 13.8830 0.8700 ; + RECT 13.6390 0.7090 14.1770 0.7590 ; + RECT 13.8670 1.0740 14.4050 1.1240 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.3250 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 7.7870 0.0880 11.3650 0.1380 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 7.1740 0.7380 8.0940 0.7880 ; + RECT 7.8630 1.1200 8.5730 1.1700 ; + RECT 8.0070 0.4050 8.5730 0.4550 ; + RECT 8.0430 0.4550 8.0930 0.7380 ; + RECT 8.5230 0.6580 8.7880 0.7080 ; + RECT 8.5230 0.7080 8.5730 1.1200 ; + RECT 8.5230 0.4550 8.5730 0.6580 ; + RECT 9.6870 0.4130 10.9690 0.4630 ; + RECT 10.7670 0.9670 11.2900 1.0170 ; + RECT 9.6870 1.1200 10.8170 1.1700 ; + RECT 10.7670 0.3840 10.8170 0.4130 ; + RECT 10.9190 0.4630 10.9690 0.5630 ; + RECT 10.7670 0.4630 10.8170 0.9670 ; + RECT 10.7670 1.1700 10.8170 1.2700 ; + RECT 10.7670 1.0170 10.8170 1.1200 ; + RECT 10.9190 0.3800 10.9690 0.4130 ; + RECT 10.9190 0.3300 11.2950 0.3800 ; + RECT 10.9190 0.3270 10.9690 0.3300 ; + RECT 11.0710 0.4300 12.1660 0.4800 ; + RECT 12.0410 0.6920 12.1660 0.7420 ; + RECT 12.1160 0.4800 12.1660 0.6920 ; + RECT 11.0710 0.4800 11.1210 0.5630 ; + RECT 11.0720 1.2290 11.1220 1.3530 ; + RECT 11.0720 1.1790 11.8680 1.2290 ; + RECT 11.5670 0.4800 11.6170 0.8260 ; + RECT 11.5670 0.8260 11.8680 0.8760 ; + RECT 11.8180 0.8760 11.8680 1.1790 ; + RECT 11.5100 0.2230 11.7450 0.2730 ; + RECT 8.9040 0.6240 9.2370 0.6740 ; + RECT 8.6230 0.5100 8.9540 0.5600 ; + RECT 8.9040 0.5600 8.9540 0.6240 ; + RECT 8.6390 1.1080 8.6890 1.1240 ; + RECT 8.6390 1.0420 8.6890 1.0580 ; + RECT 8.9040 0.6740 8.9540 1.0580 ; + RECT 8.6390 1.0580 8.9540 1.1080 ; + LAYER NWELL ; + RECT -0.1150 1.5430 16.8350 1.7730 ; + RECT -0.1150 0.6870 12.2660 1.5430 ; + RECT 15.1990 0.6790 16.8350 1.5430 ; + RECT 7.0710 0.6830 12.2660 0.6870 ; + RECT -0.1150 0.6790 6.6090 0.6870 ; + RECT 7.0710 0.6790 11.3240 0.6830 ; + RECT 0.1170 0.6750 4.7880 0.6790 ; + RECT 5.2450 0.6750 6.6090 0.6790 ; + RECT 7.0710 0.6750 8.4360 0.6790 ; + RECT 8.8920 0.6750 11.3240 0.6790 ; + RECT 12.7280 0.4910 14.7390 1.0830 ; + END +END RSDFFNSRX1_HVT + +MACRO RSDFFNSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.024 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9350 0.8920 16.7860 0.9420 ; + RECT 16.6580 0.8570 16.7860 0.8920 ; + RECT 16.6580 0.9420 16.7860 0.9670 ; + RECT 16.2390 0.9420 16.2890 1.5640 ; + RECT 15.9350 0.9420 15.9850 1.5640 ; + RECT 16.2390 0.5020 16.2890 0.5950 ; + RECT 16.2390 0.3170 16.2890 0.4520 ; + RECT 15.9350 0.2130 15.9850 0.4520 ; + RECT 16.7350 0.5020 16.7850 0.8570 ; + RECT 15.9350 0.4520 16.7850 0.5020 ; + END + PORT + LAYER CO ; + RECT 16.2430 1.2110 16.2850 1.2530 ; + RECT 16.2430 1.0270 16.2850 1.0690 ; + RECT 16.2430 1.0270 16.2850 1.0690 ; + RECT 16.2430 0.3470 16.2850 0.3890 ; + RECT 16.2430 1.3030 16.2850 1.3450 ; + RECT 16.2430 1.1190 16.2850 1.1610 ; + RECT 16.2430 1.3950 16.2850 1.4370 ; + RECT 16.2430 0.4390 16.2850 0.4810 ; + RECT 15.9390 1.2110 15.9810 1.2530 ; + RECT 16.2430 0.4390 16.2850 0.4810 ; + RECT 15.9390 0.2430 15.9810 0.2850 ; + RECT 16.2430 1.3950 16.2850 1.4370 ; + RECT 15.9390 1.4870 15.9810 1.5290 ; + RECT 15.9390 1.3950 15.9810 1.4370 ; + RECT 15.9390 0.3350 15.9810 0.3770 ; + RECT 16.2430 0.5310 16.2850 0.5730 ; + RECT 15.9390 0.3350 15.9810 0.3770 ; + RECT 15.9390 1.0270 15.9810 1.0690 ; + RECT 15.9390 1.1190 15.9810 1.1610 ; + RECT 15.9390 1.0270 15.9810 1.0690 ; + RECT 15.9390 1.3030 15.9810 1.3450 ; + RECT 15.9390 1.1190 15.9810 1.1610 ; + RECT 15.9390 1.4870 15.9810 1.5290 ; + RECT 15.9390 0.4270 15.9810 0.4690 ; + RECT 15.9390 1.3030 15.9810 1.3450 ; + RECT 15.9390 1.3950 15.9810 1.4370 ; + RECT 16.2430 1.3030 16.2850 1.3450 ; + RECT 16.2430 1.4870 16.2850 1.5290 ; + RECT 16.2430 1.2110 16.2850 1.2530 ; + RECT 16.2430 1.1190 16.2850 1.1610 ; + RECT 15.9390 1.2110 15.9810 1.2530 ; + RECT 16.2430 1.4870 16.2850 1.5290 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.8130 1.1610 16.9380 1.2710 ; + RECT 16.5430 0.1160 16.5930 0.2890 ; + RECT 16.5430 0.2890 16.9010 0.3390 ; + RECT 16.5430 1.0420 16.9010 1.0920 ; + RECT 16.5430 1.0920 16.5930 1.5640 ; + RECT 16.8510 1.0920 16.9010 1.1610 ; + RECT 16.8510 0.3390 16.9010 1.0420 ; + END + PORT + LAYER CO ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.5470 0.1510 16.5890 0.1930 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 0.2430 16.5890 0.2850 ; + RECT 16.5470 0.1510 16.5890 0.1930 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.0240 1.7020 ; + RECT 7.5580 1.2200 10.5290 1.2700 ; + RECT 11.3750 1.4040 13.4410 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 15.3270 0.9590 15.3770 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 15.6310 0.9590 15.6810 1.6420 ; + RECT 16.0870 0.9920 16.1370 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 16.3910 1.1810 16.4410 1.6420 ; + RECT 16.6950 1.1810 16.7450 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 7.9670 1.2700 8.0170 1.6420 ; + RECT 12.1350 0.9530 12.1850 1.4040 ; + RECT 11.3750 1.2790 11.4250 1.4040 ; + RECT 13.3910 1.4540 13.4410 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 16.3950 1.4870 16.4370 1.5290 ; + RECT 16.3950 1.3030 16.4370 1.3450 ; + RECT 8.7950 1.2240 8.8370 1.2660 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 16.3950 1.4870 16.4370 1.5290 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 15.6350 1.1880 15.6770 1.2300 ; + RECT 15.6350 1.0040 15.6770 1.0460 ; + RECT 16.0910 1.0270 16.1330 1.0690 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.0910 1.4870 16.1330 1.5290 ; + RECT 16.0910 1.3950 16.1330 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 16.0910 1.1190 16.1330 1.1610 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 15.6350 1.0040 15.6770 1.0460 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 16.0910 1.3030 16.1330 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 16.0910 1.3030 16.1330 1.3450 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 16.3950 1.3030 16.4370 1.3450 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 12.1390 1.0650 12.1810 1.1070 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 15.6350 1.0960 15.6770 1.1380 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 12.1390 1.2490 12.1810 1.2910 ; + RECT 12.1390 1.0650 12.1810 1.1070 ; + RECT 15.6350 1.0960 15.6770 1.1380 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 15.6350 1.1880 15.6770 1.2300 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 16.3950 1.3950 16.4370 1.4370 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 15.6350 1.2800 15.6770 1.3220 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 16.3950 1.2110 16.4370 1.2530 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 16.0910 1.4870 16.1330 1.5290 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 15.3310 1.2800 15.3730 1.3220 ; + RECT 15.3310 1.0960 15.3730 1.1380 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 15.3310 1.0040 15.3730 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 16.3950 1.2110 16.4370 1.2530 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 15.6350 1.2800 15.6770 1.3220 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 16.0910 1.2110 16.1330 1.2530 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 12.1390 1.1570 12.1810 1.1990 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.3950 1.3950 16.4370 1.4370 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 12.1390 1.2490 12.1810 1.2910 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 16.0910 1.2110 16.1330 1.2530 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 11.3790 1.3010 11.4210 1.3430 ; + RECT 15.3310 1.0040 15.3730 1.0460 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 16.0910 1.1190 16.1330 1.1610 ; + RECT 16.0910 1.3950 16.1330 1.4370 ; + RECT 15.3310 1.2800 15.3730 1.3220 ; + RECT 15.3310 1.1880 15.3730 1.2300 ; + RECT 15.3310 1.1880 15.3730 1.2300 ; + RECT 15.3310 1.0960 15.3730 1.1380 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.0910 1.0270 16.1330 1.0690 ; + RECT 8.3390 1.2240 8.3810 1.2660 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.1390 1.1570 12.1810 1.1990 ; + RECT 12.1390 0.9730 12.1810 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.0240 0.0300 ; + RECT 15.5910 0.2030 15.6970 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 13.9590 0.0300 14.0090 0.1980 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 13.0470 0.0300 13.0970 0.4260 ; + RECT 15.3270 0.0300 15.3770 0.4230 ; + RECT 16.6950 0.0300 16.7450 0.2260 ; + RECT 16.3910 0.0300 16.4410 0.2260 ; + RECT 12.1590 0.0300 12.2090 0.3280 ; + RECT 11.3590 0.3750 12.1840 0.3780 ; + RECT 11.3590 0.3280 12.2090 0.3750 ; + RECT 16.0870 0.2530 16.1370 0.3950 ; + RECT 16.2790 0.0300 16.3290 0.2030 ; + RECT 16.0870 0.2030 16.3290 0.2530 ; + RECT 15.5910 0.0300 15.6410 0.2030 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 7.8950 0.3320 10.5290 0.3550 ; + RECT 7.2910 0.3050 10.5290 0.3320 ; + RECT 5.5990 0.1940 7.3410 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.2910 0.2440 7.3410 0.2820 ; + RECT 7.2910 0.2820 7.9450 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 8.1870 0.3090 8.2290 0.3510 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 8.7950 0.3090 8.8370 0.3510 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 8.9470 0.3090 8.9890 0.3510 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 16.3950 0.1490 16.4370 0.1910 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.8830 0.2860 7.9250 0.3280 ; + RECT 14.4190 0.2660 14.4610 0.3080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 16.0910 0.2410 16.1330 0.2830 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 8.4910 0.3090 8.5330 0.3510 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 15.3310 0.3610 15.3730 0.4030 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 12.1390 0.3320 12.1810 0.3740 ; + RECT 11.3790 0.3320 11.4210 0.3740 ; + RECT 15.6350 0.2070 15.6770 0.2490 ; + RECT 16.0910 0.3330 16.1330 0.3750 ; + RECT 14.4190 0.1740 14.4610 0.2160 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 16.6990 0.1490 16.7410 0.1910 ; + RECT 16.0910 0.3330 16.1330 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.4030 0.3090 9.4450 0.3510 ; + RECT 15.6350 0.2070 15.6770 0.2490 ; + RECT 7.5790 0.2860 7.6210 0.3280 ; + RECT 16.3950 0.1490 16.4370 0.1910 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 16.6990 0.1490 16.7410 0.1910 ; + RECT 14.4190 0.3580 14.4610 0.4000 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 13.0510 0.2540 13.0930 0.2960 ; + RECT 13.0510 0.1620 13.0930 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 13.0510 0.3460 13.0930 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 15.3310 0.2690 15.3730 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 15.3310 0.1770 15.3730 0.2190 ; + RECT 15.3310 0.2690 15.3730 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5280 0.2490 14.6490 0.3590 ; + RECT 14.3230 0.5270 14.5980 0.5770 ; + RECT 14.5480 0.3590 14.5980 0.5270 ; + END + PORT + LAYER CO ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.7340 0.6680 9.9810 0.8150 ; + RECT 9.7340 0.6180 10.3000 0.6190 ; + RECT 9.7340 0.6190 10.3010 0.6680 ; + RECT 10.5390 0.7810 10.5890 0.9330 ; + RECT 10.2510 0.7810 10.3010 0.7870 ; + RECT 10.2510 0.7310 10.5890 0.7810 ; + RECT 10.2510 0.6680 10.3010 0.7310 ; + END + PORT + LAYER CO ; + RECT 9.7830 0.6230 9.8250 0.6650 ; + RECT 10.2390 0.6230 10.2810 0.6650 ; + RECT 9.9350 0.6230 9.9770 0.6650 ; + RECT 10.0870 0.6230 10.1290 0.6650 ; + RECT 10.5430 0.8710 10.5850 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.0310 0.9420 14.6490 1.0020 ; + RECT 14.5280 0.6900 14.6490 0.9420 ; + RECT 14.4150 0.6270 14.4650 0.9420 ; + END + PORT + LAYER CO ; + RECT 14.4190 0.9270 14.4610 0.9690 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + RECT 14.4190 0.7430 14.4610 0.7850 ; + RECT 14.4190 0.6510 14.4610 0.6930 ; + RECT 14.5710 0.7100 14.6130 0.7520 ; + RECT 14.4190 0.9270 14.4610 0.9690 ; + RECT 13.9630 0.9510 14.0050 0.9930 ; + RECT 14.5710 0.8620 14.6130 0.9040 ; + RECT 13.0510 0.9510 13.0930 0.9930 ; + RECT 14.4190 0.8350 14.4610 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 9.7070 1.1240 9.7490 1.1660 ; + RECT 9.7830 0.9240 9.8250 0.9660 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 8.3390 0.4090 8.3810 0.4510 ; + RECT 8.2630 0.9710 8.3050 1.0130 ; + RECT 8.1870 1.1260 8.2290 1.1680 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 8.7190 0.6620 8.7610 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.1750 0.6280 9.2170 0.6700 ; + RECT 8.4150 0.6890 8.4570 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 7.8070 0.0920 7.8490 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.0990 0.5140 9.1410 0.5560 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 9.0990 0.9450 9.1410 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.0230 0.6280 9.0650 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 10.9230 0.5010 10.9650 0.5430 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 13.8110 0.8240 13.8530 0.8660 ; + RECT 13.5070 0.3680 13.5490 0.4100 ; + RECT 11.9870 1.2390 12.0290 1.2810 ; + RECT 10.7710 1.0240 10.8130 1.0660 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 15.7110 0.0970 15.7530 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 16.6230 0.6640 16.6650 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 16.1670 0.0970 16.2090 0.1390 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 15.7870 1.2470 15.8290 1.2890 ; + RECT 13.6590 0.7130 13.7010 0.7550 ; + RECT 14.1150 0.7130 14.1570 0.7550 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 13.5070 0.6770 13.5490 0.7190 ; + RECT 15.7870 1.1550 15.8290 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 15.7870 0.8790 15.8290 0.9210 ; + RECT 7.7310 1.0720 7.7730 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.1510 0.0920 11.1930 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 8.5670 0.6620 8.6090 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 10.3150 0.4170 10.3570 0.4590 ; + RECT 7.8830 1.1260 7.9250 1.1680 ; + RECT 7.3510 0.7420 7.3930 0.7840 ; + RECT 8.6430 0.5140 8.6850 0.5560 ; + RECT 14.2670 0.6800 14.3090 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.2030 0.3460 13.2450 0.3880 ; + RECT 10.3150 1.1240 10.3570 1.1660 ; + RECT 15.7870 1.2470 15.8290 1.2890 ; + RECT 10.1630 1.0240 10.2050 1.0660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 15.4830 0.2690 15.5250 0.3110 ; + RECT 7.8070 0.6330 7.8490 0.6750 ; + RECT 11.9870 0.5580 12.0290 0.6000 ; + RECT 15.4830 1.1550 15.5250 1.1970 ; + RECT 9.5550 0.5250 9.5970 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 7.7310 0.3860 7.7730 0.4280 ; + RECT 15.4830 0.8790 15.5250 0.9210 ; + RECT 10.9990 1.4240 11.0410 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 13.2030 0.3460 13.2450 0.3880 ; + RECT 10.1630 0.5170 10.2050 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 15.7870 0.2490 15.8290 0.2910 ; + RECT 15.7870 1.0630 15.8290 1.1050 ; + RECT 15.7870 1.0630 15.8290 1.1050 ; + RECT 10.0870 0.9240 10.1290 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.2030 0.2540 13.2450 0.2960 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 14.2670 0.3610 14.3090 0.4030 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 13.2030 0.1620 13.2450 0.2040 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 9.5550 0.9240 9.5970 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 9.8590 1.0240 9.9010 1.0660 ; + RECT 10.0110 1.1240 10.0530 1.1660 ; + RECT 13.8110 0.1360 13.8530 0.1780 ; + RECT 10.0110 0.4170 10.0530 0.4590 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 8.6430 1.0620 8.6850 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 7.9590 0.9710 8.0010 1.0130 ; + RECT 10.2390 0.9240 10.2810 0.9660 ; + RECT 12.9750 0.5310 13.0170 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 9.9350 0.9240 9.9770 0.9660 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 15.4830 1.1550 15.5250 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 7.6550 0.6330 7.6970 0.6750 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 11.4550 0.6640 11.4970 0.7060 ; + RECT 11.7590 0.6540 11.8010 0.6960 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.5030 0.6330 7.5450 0.6750 ; + RECT 10.9990 0.0920 11.0410 0.1340 ; + RECT 11.3030 0.0920 11.3450 0.1340 ; + RECT 15.4830 0.8790 15.5250 0.9210 ; + RECT 8.4150 0.6890 8.4570 0.7310 ; + RECT 13.3550 0.8240 13.3970 0.8660 ; + RECT 11.8350 0.4340 11.8770 0.4760 ; + RECT 10.5430 0.6170 10.5850 0.6590 ; + RECT 12.0630 0.6960 12.1050 0.7380 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 15.4830 0.1770 15.5250 0.2190 ; + RECT 15.4070 0.6170 15.4490 0.6590 ; + RECT 15.4830 0.3610 15.5250 0.4030 ; + RECT 10.9990 1.4240 11.0410 1.4660 ; + RECT 11.3030 0.7650 11.3450 0.8070 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 8.4150 1.5220 8.4570 1.5640 ; + RECT 11.4550 0.9710 11.4970 1.0130 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 8.1110 0.9710 8.1530 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 11.9870 1.2390 12.0290 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 11.6830 1.1830 11.7250 1.2250 ; + RECT 15.7870 1.1550 15.8290 1.1970 ; + RECT 11.8350 1.2910 11.8770 1.3330 ; + RECT 15.7870 0.8790 15.8290 0.9210 ; + RECT 15.4830 0.9710 15.5250 1.0130 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.2030 0.6380 13.2450 0.6800 ; + RECT 12.5190 1.2030 12.5610 1.2450 ; + RECT 13.2030 0.6380 13.2450 0.6800 ; + RECT 12.8990 0.6510 12.9410 0.6930 ; + RECT 12.8990 0.1620 12.9410 0.2040 ; + RECT 13.3550 0.2520 13.3970 0.2940 ; + RECT 12.8990 0.2540 12.9410 0.2960 ; + RECT 13.2790 1.5240 13.3210 1.5660 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 13.8870 1.0610 13.9290 1.1030 ; + RECT 13.2030 0.8220 13.2450 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 13.2030 0.7300 13.2450 0.7720 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 11.5310 1.2910 11.5730 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 7.4270 0.3860 7.4690 0.4280 ; + RECT 11.0750 0.5010 11.1170 0.5430 ; + RECT 7.2750 0.5020 7.3170 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 10.7710 1.1160 10.8130 1.1580 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 16.4710 0.6640 16.5130 0.7060 ; + RECT 11.5310 0.2270 11.5730 0.2690 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.2270 0.3340 11.2690 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 10.7710 1.2080 10.8130 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.1510 1.4240 11.1930 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 7.8070 1.5380 7.8490 1.5800 ; + RECT 11.9870 0.9630 12.0290 1.0050 ; + RECT 9.8590 0.5170 9.9010 0.5590 ; + RECT 15.7870 0.3410 15.8290 0.3830 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 7.5030 0.8680 7.5450 0.9100 ; + RECT 15.4830 1.2470 15.5250 1.2890 ; + RECT 13.5830 1.2030 13.6250 1.2450 ; + RECT 11.6830 0.2270 11.7250 0.2690 ; + RECT 13.2790 1.0610 13.3210 1.1030 ; + RECT 15.7110 0.6060 15.7530 0.6480 ; + RECT 12.8990 0.9270 12.9410 0.9690 ; + RECT 10.9990 0.7710 11.0410 0.8130 ; + RECT 11.0750 1.1990 11.1170 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 11.0750 1.2910 11.1170 1.3330 ; + RECT 12.5190 0.8050 12.5610 0.8470 ; + RECT 15.4830 1.2470 15.5250 1.2890 ; + RECT 7.6550 0.8680 7.6970 0.9100 ; + RECT 7.1230 0.3860 7.1650 0.4280 ; + RECT 16.0150 0.0970 16.0570 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 15.4830 1.0630 15.5250 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 15.4830 1.0630 15.5250 1.1050 ; + RECT 15.4830 0.9710 15.5250 1.0130 ; + RECT 11.9870 1.0550 12.0290 1.0970 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 13.6590 0.1360 13.7010 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 12.8990 0.7430 12.9410 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.1150 0.2520 14.1570 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 10.3910 0.8510 10.4330 0.8930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.8990 0.3460 12.9410 0.3880 ; + RECT 11.9870 1.0550 12.0290 1.0970 ; + RECT 14.3430 1.0610 14.3850 1.1030 ; + RECT 11.9870 1.1470 12.0290 1.1890 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.8990 0.8350 12.9410 0.8770 ; + RECT 11.9870 1.1470 12.0290 1.1890 ; + RECT 13.2030 0.8220 13.2450 0.8640 ; + RECT 10.5430 1.5240 10.5850 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 9.7070 0.4170 9.7490 0.4590 ; + LAYER PO ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.6610 0.8360 7.6910 1.6060 ; + RECT 11.0050 0.7540 11.0350 1.6060 ; + RECT 16.4770 0.0690 16.5070 1.6060 ; + RECT 16.0210 0.0690 16.0510 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 15.8690 0.0710 15.8990 1.6060 ; + RECT 16.3250 0.0690 16.3550 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.9330 0.0710 16.9630 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 16.6290 0.0690 16.6590 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6910 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.8360 7.5390 1.6060 ; + RECT 12.3730 0.0670 12.4030 1.6050 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 10.5490 0.8390 10.5790 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.7280 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 9.7890 0.8920 9.8190 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 9.7890 0.0660 9.8190 0.6910 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 9.9410 0.8920 9.9710 1.6060 ; + RECT 11.1570 0.7710 11.1870 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 10.0930 0.8920 10.1230 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 10.2450 0.8920 10.2750 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.1570 0.0660 11.1870 0.6470 ; + RECT 10.2450 0.0660 10.2750 0.6910 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6950 ; + RECT 7.5090 0.0660 7.5390 0.6950 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 11.4610 0.9390 11.4910 1.6060 ; + RECT 11.0050 0.0660 11.0350 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.1730 0.0690 16.2030 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + LAYER M1 ; + RECT 10.6550 0.5630 10.7050 1.0200 ; + RECT 9.8390 0.5130 10.7040 0.5440 ; + RECT 9.8390 0.5440 10.7050 0.5630 ; + RECT 9.3190 1.0200 10.7050 1.0700 ; + RECT 9.0720 0.5100 9.3690 0.5600 ; + RECT 9.0720 0.9410 9.3690 0.9910 ; + RECT 9.3190 0.5600 9.3690 0.9410 ; + RECT 9.3190 0.9910 9.3690 1.0200 ; + RECT 12.9550 0.5270 13.5530 0.5770 ; + RECT 13.1990 0.5770 13.2490 0.8870 ; + RECT 13.1990 0.1260 13.2490 0.5270 ; + RECT 13.5030 0.5770 13.5530 0.7700 ; + RECT 13.5030 0.3480 13.5530 0.5270 ; + RECT 12.8950 1.0570 13.3440 1.1070 ; + RECT 12.8550 0.4270 12.9450 0.4770 ; + RECT 12.8550 0.6270 12.9450 0.6770 ; + RECT 12.8950 0.1260 12.9450 0.4270 ; + RECT 12.8550 0.4770 12.9050 0.6270 ; + RECT 12.8950 0.6770 12.9450 1.0570 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.0190 0.4770 14.2730 0.5120 ; + RECT 14.0190 0.4620 14.3130 0.4770 ; + RECT 14.2630 0.6770 14.3130 0.7680 ; + RECT 14.2230 0.4270 14.3130 0.4620 ; + RECT 14.2230 0.5120 14.2730 0.6270 ; + RECT 13.3350 0.2480 14.1770 0.2980 ; + RECT 13.6390 0.1320 13.8730 0.1820 ; + RECT 15.8230 0.6600 16.6850 0.7100 ; + RECT 15.7830 0.4010 15.8730 0.4510 ; + RECT 15.7830 0.7780 15.8730 0.8280 ; + RECT 15.7830 0.2250 15.8330 0.4010 ; + RECT 15.7830 0.8280 15.8330 1.3090 ; + RECT 15.8230 0.4510 15.8730 0.6600 ; + RECT 15.8230 0.7100 15.8730 0.7780 ; + RECT 15.5180 0.6020 15.7730 0.6520 ; + RECT 15.4790 0.4010 15.5680 0.4510 ; + RECT 15.4790 0.7780 15.5680 0.8280 ; + RECT 15.4790 0.1570 15.5290 0.4010 ; + RECT 15.4790 0.8280 15.5290 1.3090 ; + RECT 15.5180 0.4510 15.5680 0.6020 ; + RECT 15.5180 0.6520 15.5680 0.7780 ; + RECT 15.6910 0.0930 16.2290 0.1430 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 8.4110 1.4200 11.2130 1.4700 ; + RECT 8.4110 1.4700 8.4610 1.5840 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.4830 0.9140 8.4690 0.9150 ; + RECT 7.0430 0.8640 7.5650 0.8650 ; + RECT 7.0430 0.8650 8.4690 0.9140 ; + RECT 8.4110 0.6690 8.4610 0.6860 ; + RECT 8.4110 0.6860 8.4690 0.7510 ; + RECT 8.4190 0.7510 8.4690 0.8650 ; + RECT 7.4230 1.0680 7.7930 1.1180 ; + RECT 7.1030 1.2920 7.4890 1.3420 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.1180 7.4730 1.2920 ; + RECT 7.4830 0.6290 7.8690 0.6790 ; + RECT 6.1150 1.5340 7.8690 1.5840 ; + RECT 14.9250 0.6320 15.4530 0.6820 ; + RECT 15.4030 0.5970 15.4530 0.6320 ; + RECT 12.4990 1.1990 14.9750 1.2490 ; + RECT 14.9250 0.6820 14.9750 1.1990 ; + RECT 10.6730 1.5200 13.3410 1.5700 ; + RECT 11.3820 0.9670 11.5170 1.0170 ; + RECT 11.2830 0.7610 11.4320 0.8110 ; + RECT 11.3820 0.8110 11.4320 0.9670 ; + RECT 11.9370 0.8010 12.5810 0.8510 ; + RECT 11.7550 0.5540 12.0490 0.6040 ; + RECT 11.7550 0.6040 11.8050 0.7250 ; + RECT 11.9370 0.6040 11.9870 0.8010 ; + RECT 11.9370 0.8510 12.0330 0.8520 ; + RECT 11.9830 0.8520 12.0330 1.3010 ; + RECT 10.3700 0.6130 10.6050 0.6630 ; + RECT 10.9950 0.6600 11.5170 0.7100 ; + RECT 10.9950 0.7100 11.0450 0.8390 ; + RECT 9.4590 1.5200 10.6050 1.5700 ; + RECT 11.5040 1.2870 11.8970 1.3370 ; + RECT 13.3350 0.8200 13.8830 0.8700 ; + RECT 13.6390 0.7090 14.1770 0.7590 ; + RECT 13.8670 1.0570 14.4050 1.1070 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.3250 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1190 0.5480 7.1690 0.5630 ; + RECT 7.1190 0.4980 7.3370 0.5480 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 7.7870 0.0880 11.3650 0.1380 ; + RECT 7.0990 0.3820 7.7930 0.4320 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.1740 0.7380 8.0940 0.7880 ; + RECT 7.8630 1.1200 8.5730 1.1700 ; + RECT 8.0070 0.4050 8.5730 0.4550 ; + RECT 8.0430 0.4550 8.0930 0.7380 ; + RECT 8.5230 0.6580 8.7880 0.7080 ; + RECT 8.5230 0.7080 8.5730 1.1200 ; + RECT 8.5230 0.4550 8.5730 0.6580 ; + RECT 8.9040 0.6240 9.2370 0.6740 ; + RECT 8.6230 0.5100 8.9540 0.5600 ; + RECT 8.6390 1.1080 8.6890 1.1240 ; + RECT 8.6390 1.0420 8.6890 1.0580 ; + RECT 8.6390 1.0580 8.9540 1.1080 ; + RECT 8.9040 0.6740 8.9540 1.0580 ; + RECT 8.9040 0.5600 8.9540 0.6240 ; + RECT 9.6870 0.4130 10.9690 0.4630 ; + RECT 10.7670 0.9670 11.2900 1.0170 ; + RECT 9.6870 1.1200 10.8170 1.1700 ; + RECT 10.7670 0.3840 10.8170 0.4130 ; + RECT 10.9190 0.4630 10.9690 0.5630 ; + RECT 10.7670 0.4630 10.8170 0.9670 ; + RECT 10.7670 1.1700 10.8170 1.2700 ; + RECT 10.7670 1.0170 10.8170 1.1200 ; + RECT 10.9190 0.3800 10.9690 0.4130 ; + RECT 10.9190 0.3300 11.2950 0.3800 ; + RECT 10.9190 0.3270 10.9690 0.3300 ; + RECT 11.0710 0.4300 12.1660 0.4800 ; + RECT 12.0410 0.6920 12.1660 0.7420 ; + RECT 12.1160 0.4800 12.1660 0.6920 ; + RECT 11.0710 0.4800 11.1210 0.5630 ; + RECT 11.0720 1.2290 11.1220 1.3530 ; + RECT 11.0720 1.1790 11.8680 1.2290 ; + RECT 11.5670 0.4800 11.6170 0.8260 ; + RECT 11.5670 0.8260 11.8680 0.8760 ; + RECT 11.8180 0.8760 11.8680 1.1790 ; + RECT 11.5100 0.2230 11.7450 0.2730 ; + RECT 9.5310 0.9200 10.4370 0.9700 ; + RECT 10.3870 0.8310 10.4370 0.9200 ; + RECT 9.5510 0.5050 9.6010 0.9200 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.1400 1.7730 ; + RECT -0.1150 0.6890 12.2660 1.5430 ; + RECT 15.1990 0.6790 17.1400 1.5430 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 11.3240 0.6890 ; + RECT 11.6280 0.6790 12.2660 0.6890 ; + RECT 2.6600 0.6690 3.7240 0.6790 ; + RECT 12.7280 0.4910 14.7390 1.0830 ; + END +END RSDFFNSRX2_HVT + +MACRO RSDFFNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.632 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4980 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5300 2.9430 1.5800 ; + RECT 2.8330 1.4510 2.9430 1.5300 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5340 2.8330 1.5760 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 15.4630 0.9420 16.9300 1.0020 ; + RECT 16.8130 0.6900 16.9300 0.9420 ; + END + PORT + LAYER CO ; + RECT 15.4830 0.9510 15.5250 0.9930 ; + RECT 16.8510 0.8360 16.8930 0.8780 ; + RECT 16.5470 0.9510 16.5890 0.9930 ; + RECT 16.2430 0.9510 16.2850 0.9930 ; + RECT 16.8510 0.7100 16.8930 0.7520 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.6320 0.0300 ; + RECT 11.8150 0.2830 14.0090 0.3330 ; + RECT 9.7140 0.2190 10.8350 0.2690 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.7510 0.0300 5.8010 0.5570 ; + RECT 6.0550 0.0300 6.1050 0.5570 ; + RECT 5.4470 0.0300 5.4970 0.5570 ; + RECT 14.4150 0.0300 14.4650 0.2410 ; + RECT 16.5430 0.0300 16.5930 0.3120 ; + RECT 16.2390 0.0300 16.2890 0.2020 ; + RECT 15.4790 0.0300 15.5290 0.2060 ; + RECT 13.9590 0.3330 14.0090 0.4430 ; + RECT 13.9590 0.0300 14.0090 0.2830 ; + RECT 8.4870 0.3570 8.5370 0.4460 ; + RECT 8.4870 0.2640 8.5370 0.3070 ; + RECT 6.5110 0.0300 6.5610 0.3070 ; + RECT 9.7140 0.2690 9.7640 0.3070 ; + RECT 6.4950 0.3070 9.7640 0.3570 ; + END + PORT + LAYER CO ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 8.4910 0.2920 8.5330 0.3340 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 12.4430 0.2870 12.4850 0.3290 ; + RECT 6.5150 0.3110 6.5570 0.3530 ; + RECT 6.8190 0.3110 6.8610 0.3530 ; + RECT 10.3150 0.2230 10.3570 0.2650 ; + RECT 16.5470 0.1440 16.5890 0.1860 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 12.1390 0.2870 12.1810 0.3290 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 11.8350 0.2870 11.8770 0.3290 ; + RECT 14.4190 0.1720 14.4610 0.2140 ; + RECT 5.4510 0.4030 5.4930 0.4450 ; + RECT 5.4510 0.4950 5.4930 0.5370 ; + RECT 13.6590 0.2870 13.7010 0.3290 ; + RECT 16.2430 0.1360 16.2850 0.1780 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 5.7550 0.3110 5.7970 0.3530 ; + RECT 5.7550 0.4950 5.7970 0.5370 ; + RECT 5.7550 0.4030 5.7970 0.4450 ; + RECT 8.7950 0.3120 8.8370 0.3540 ; + RECT 6.0590 0.3110 6.1010 0.3530 ; + RECT 6.0590 0.4030 6.1010 0.4450 ; + RECT 6.0590 0.4950 6.1010 0.5370 ; + RECT 9.2510 0.3120 9.2930 0.3540 ; + RECT 7.1230 0.3110 7.1650 0.3530 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 13.0510 0.2870 13.0930 0.3290 ; + RECT 13.9630 0.3710 14.0050 0.4130 ; + RECT 10.7710 0.2230 10.8130 0.2650 ; + RECT 9.8590 0.2230 9.9010 0.2650 ; + RECT 15.4830 0.1440 15.5250 0.1860 ; + RECT 8.4910 0.3840 8.5330 0.4260 ; + RECT 12.5950 0.2870 12.6370 0.3290 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2660 1.5240 6.1990 1.5740 ; + RECT 5.2660 1.5740 5.4380 1.5750 ; + RECT 5.2660 1.4650 5.4380 1.5240 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.5270 1.5280 5.5690 1.5700 ; + RECT 5.3750 1.5280 5.4170 1.5700 ; + RECT 6.1350 1.5280 6.1770 1.5700 ; + RECT 5.6790 1.5280 5.7210 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5670 0.9690 15.1010 1.0190 ; + RECT 14.5510 0.3010 15.1010 0.3510 ; + RECT 15.0510 0.3510 15.1010 0.9690 ; + RECT 14.5670 1.1290 14.6170 1.3270 ; + RECT 14.5670 1.0190 14.7990 1.1290 ; + END + PORT + LAYER CO ; + RECT 14.5710 0.3050 14.6130 0.3470 ; + RECT 14.5710 1.2650 14.6130 1.3070 ; + RECT 14.5710 1.2650 14.6130 1.3070 ; + RECT 14.5710 1.1730 14.6130 1.2150 ; + RECT 14.5710 0.9890 14.6130 1.0310 ; + RECT 14.5710 1.0810 14.6130 1.1230 ; + RECT 14.5710 0.9890 14.6130 1.0310 ; + RECT 14.5710 1.1730 14.6130 1.2150 ; + RECT 14.5710 1.0810 14.6130 1.1230 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.2630 0.4010 14.9630 0.4510 ; + RECT 14.2630 0.8590 14.9630 0.9090 ; + RECT 14.8410 0.4510 14.9630 0.5380 ; + RECT 14.2630 0.1570 14.3130 0.4010 ; + RECT 14.2630 0.9090 14.3130 1.2320 ; + RECT 14.9130 0.5380 14.9630 0.8590 ; + END + PORT + LAYER CO ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.6320 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 4.8390 1.2460 4.8890 1.6420 ; + RECT 5.1430 1.3600 5.1930 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 7.0790 1.2900 14.4660 1.3400 ; + RECT 7.0790 1.4090 7.1290 1.6420 ; + RECT 5.4280 1.3590 7.1290 1.4090 ; + RECT 14.4150 0.9590 14.4650 1.2900 ; + RECT 12.1750 1.3400 12.2250 1.6420 ; + RECT 7.0790 1.3400 7.1290 1.3590 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 5.4510 1.3630 5.4930 1.4050 ; + RECT 6.5150 1.3630 6.5570 1.4050 ; + RECT 6.0590 1.3630 6.1010 1.4050 ; + RECT 5.7550 1.3630 5.7970 1.4050 ; + RECT 6.8190 1.3630 6.8610 1.4050 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 12.4430 1.2940 12.4850 1.3360 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 11.8350 1.2940 11.8770 1.3360 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 9.2510 1.2920 9.2930 1.3340 ; + RECT 14.4190 1.0710 14.4610 1.1130 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 14.4190 1.1630 14.4610 1.2050 ; + RECT 14.4190 1.1630 14.4610 1.2050 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 14.4190 1.2550 14.4610 1.2970 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 8.7950 1.2920 8.8370 1.3340 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 13.9630 1.2940 14.0050 1.3360 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 13.6590 1.2940 13.7010 1.3360 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 14.4190 0.9790 14.4610 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 14.4190 0.9790 14.4610 1.0210 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 14.4190 1.0710 14.4610 1.1130 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 14.4190 1.2550 14.4610 1.2970 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 12.5950 1.2940 12.6370 1.3360 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 13.0510 1.2940 13.0930 1.3360 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 12.1390 1.2940 12.1810 1.3360 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.6330 1.4080 16.1700 1.4580 ; + RECT 16.0550 1.3130 16.1700 1.4080 ; + END + PORT + LAYER CO ; + RECT 16.0150 1.4120 16.0570 1.4540 ; + RECT 13.5830 1.4100 13.6250 1.4520 ; + RECT 12.9750 1.4120 13.0170 1.4540 ; + RECT 12.6710 1.4120 12.7130 1.4540 ; + RECT 15.4070 1.4120 15.4490 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.3990 0.6520 3.4410 0.6940 ; + RECT 3.5510 0.6520 3.5930 0.6940 ; + RECT 2.7910 0.6520 2.8330 0.6940 ; + RECT 3.0950 0.6520 3.1370 0.6940 ; + RECT 2.9430 0.6520 2.9850 0.6940 ; + RECT 3.2470 0.6520 3.2890 0.6940 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 9.6310 0.6250 9.6730 0.6670 ; + RECT 8.2630 0.7100 8.3050 0.7520 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 16.0910 0.6230 16.1330 0.6650 ; + RECT 14.0390 0.6170 14.0810 0.6590 ; + RECT 5.6030 0.4330 5.6450 0.4750 ; + RECT 11.5310 0.9940 11.5730 1.0360 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 16.3950 0.6710 16.4370 0.7130 ; + RECT 13.8870 0.6170 13.9290 0.6590 ; + RECT 5.2990 1.0380 5.3410 1.0800 ; + RECT 8.1110 0.8100 8.1530 0.8520 ; + RECT 13.8110 1.1560 13.8530 1.1980 ; + RECT 8.8710 0.0990 8.9130 0.1410 ; + RECT 9.4790 0.7250 9.5210 0.7670 ; + RECT 6.2110 1.0380 6.2530 1.0800 ; + RECT 6.2110 1.1300 6.2530 1.1720 ; + RECT 6.2110 0.4330 6.2530 0.4750 ; + RECT 11.9110 0.8710 11.9530 0.9130 ; + RECT 6.6670 1.0420 6.7090 1.0840 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 6.9710 1.0420 7.0130 1.0840 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 6.3630 0.4590 6.4050 0.5010 ; + RECT 7.2750 0.4590 7.3170 0.5010 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 6.3630 1.0420 6.4050 1.0840 ; + RECT 6.4390 0.8990 6.4810 0.9410 ; + RECT 6.6670 0.4590 6.7090 0.5010 ; + RECT 6.5910 0.8990 6.6330 0.9410 ; + RECT 6.7430 0.8990 6.7850 0.9410 ; + RECT 7.1990 1.5330 7.2410 1.5750 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 9.3270 0.0990 9.3690 0.1410 ; + RECT 9.5550 0.5110 9.5970 0.5530 ; + RECT 9.7830 0.6100 9.8250 0.6520 ; + RECT 7.9590 1.5320 8.0010 1.5740 ; + RECT 15.6350 0.6330 15.6770 0.6750 ; + RECT 11.6830 0.3890 11.7250 0.4310 ; + RECT 11.6830 1.1940 11.7250 1.2360 ; + RECT 12.2910 0.4230 12.3330 0.4650 ; + RECT 13.8110 0.5010 13.8530 0.5430 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 8.1870 0.4330 8.2290 0.4750 ; + RECT 16.6990 0.6800 16.7410 0.7220 ; + RECT 9.4030 1.0770 9.4450 1.1190 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 9.0230 0.7250 9.0650 0.7670 ; + RECT 8.5670 0.6000 8.6090 0.6420 ; + RECT 16.6990 0.3290 16.7410 0.3710 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 13.8110 0.4090 13.8530 0.4510 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 16.3190 0.4660 16.3610 0.5080 ; + RECT 9.9350 0.0990 9.9770 0.1410 ; + RECT 10.0870 0.6100 10.1290 0.6520 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 11.5310 1.1780 11.5730 1.2200 ; + RECT 14.4950 0.6170 14.5370 0.6590 ; + RECT 11.3790 1.0860 11.4210 1.1280 ; + RECT 11.0750 1.0860 11.1170 1.1280 ; + RECT 7.6550 0.8100 7.6970 0.8520 ; + RECT 7.3510 0.7940 7.3930 0.8360 ; + RECT 8.9470 0.4110 8.9890 0.4530 ; + RECT 11.9870 1.1940 12.0290 1.2360 ; + RECT 7.7310 0.5250 7.7730 0.5670 ; + RECT 14.7990 0.7630 14.8410 0.8050 ; + RECT 10.8470 1.5380 10.8890 1.5800 ; + RECT 7.1990 0.8990 7.2410 0.9410 ; + RECT 12.8990 0.4020 12.9410 0.4440 ; + RECT 11.9870 0.4590 12.0290 0.5010 ; + RECT 15.9390 0.3680 15.9810 0.4100 ; + RECT 7.0470 0.8990 7.0890 0.9410 ; + RECT 8.4150 0.6000 8.4570 0.6420 ; + RECT 13.5070 0.4880 13.5490 0.5300 ; + RECT 6.8950 0.8990 6.9370 0.9410 ; + RECT 9.0990 1.1820 9.1410 1.2240 ; + RECT 10.4670 0.3230 10.5090 0.3650 ; + RECT 5.9070 0.4330 5.9490 0.4750 ; + RECT 5.6030 1.1300 5.6450 1.1720 ; + RECT 11.4550 0.8110 11.4970 0.8530 ; + RECT 8.8710 1.5380 8.9130 1.5800 ; + RECT 5.6030 1.0380 5.6450 1.0800 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 5.9070 1.1300 5.9490 1.1720 ; + RECT 5.9070 1.0380 5.9490 1.0800 ; + RECT 11.3790 0.4810 11.4210 0.5230 ; + RECT 11.5310 0.4810 11.5730 0.5230 ; + RECT 12.2150 0.6310 12.2570 0.6730 ; + RECT 10.0110 0.3230 10.0530 0.3650 ; + RECT 5.9070 0.5250 5.9490 0.5670 ; + RECT 10.1630 0.4830 10.2050 0.5250 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 7.5790 1.4280 7.6210 1.4700 ; + RECT 9.9350 1.5380 9.9770 1.5800 ; + RECT 13.8110 0.9720 13.8530 1.0140 ; + RECT 13.8110 0.9720 13.8530 1.0140 ; + RECT 12.9750 0.6680 13.0170 0.7100 ; + RECT 13.1270 0.8710 13.1690 0.9130 ; + RECT 15.6350 0.3740 15.6770 0.4160 ; + RECT 7.2750 0.4590 7.3170 0.5010 ; + RECT 7.9590 0.0980 8.0010 0.1400 ; + RECT 5.2990 0.4330 5.3410 0.4750 ; + RECT 12.3670 0.6310 12.4090 0.6730 ; + RECT 13.8110 1.1560 13.8530 1.1980 ; + RECT 15.3310 0.6650 15.3730 0.7070 ; + RECT 16.9270 1.5320 16.9690 1.5740 ; + RECT 16.6230 0.4660 16.6650 0.5080 ; + RECT 9.7830 0.7250 9.8250 0.7670 ; + RECT 15.7870 0.1360 15.8290 0.1780 ; + RECT 15.3310 0.3740 15.3730 0.4160 ; + RECT 15.9390 0.8230 15.9810 0.8650 ; + RECT 14.7990 1.2040 14.8410 1.2460 ; + RECT 15.7870 0.6390 15.8290 0.6810 ; + RECT 10.8470 0.0990 10.8890 0.1410 ; + RECT 16.3950 0.3500 16.4370 0.3920 ; + RECT 13.7350 0.0980 13.7770 0.1400 ; + RECT 10.0110 1.4040 10.0530 1.4460 ; + RECT 13.3550 0.4020 13.3970 0.4440 ; + RECT 10.9990 0.6100 11.0410 0.6520 ; + RECT 11.3790 0.9940 11.4210 1.0360 ; + RECT 9.6310 0.8250 9.6730 0.8670 ; + RECT 10.3910 1.5380 10.4330 1.5800 ; + RECT 8.0350 1.4280 8.0770 1.4700 ; + RECT 13.5070 1.0640 13.5490 1.1060 ; + RECT 11.0750 1.1780 11.1170 1.2200 ; + RECT 9.0990 0.5110 9.1410 0.5530 ; + RECT 10.9230 1.4040 10.9650 1.4460 ; + RECT 14.1150 0.5010 14.1570 0.5430 ; + RECT 8.9470 1.0770 8.9890 1.1190 ; + RECT 12.8230 1.5320 12.8650 1.5740 ; + RECT 12.8990 1.0710 12.9410 1.1130 ; + RECT 15.8630 0.4980 15.9050 0.5400 ; + RECT 8.1870 1.1820 8.2290 1.2240 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 8.3390 0.4270 8.3810 0.4690 ; + RECT 10.4670 1.4040 10.5090 1.4460 ; + RECT 7.5030 0.0980 7.5450 0.1400 ; + RECT 8.6430 0.4270 8.6850 0.4690 ; + RECT 9.5550 1.1820 9.5970 1.2240 ; + RECT 8.4910 1.0710 8.5330 1.1130 ; + RECT 16.9270 0.5660 16.9690 0.6080 ; + RECT 11.6830 0.2970 11.7250 0.3390 ; + RECT 7.3510 0.0980 7.3930 0.1400 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 7.5030 1.5320 7.5450 1.5740 ; + RECT 5.2990 1.1300 5.3410 1.1720 ; + RECT 9.3270 1.5380 9.3690 1.5800 ; + RECT 13.2790 0.7630 13.3210 0.8050 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 10.6190 0.9710 10.6610 1.0130 ; + RECT 7.0470 0.0960 7.0890 0.1380 ; + RECT 7.7310 1.1820 7.7730 1.2240 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 8.1870 1.1820 8.2290 1.2240 ; + RECT 13.1270 0.6680 13.1690 0.7100 ; + RECT 14.3430 0.6620 14.3850 0.7040 ; + RECT 10.1630 0.9710 10.2050 1.0130 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.3790 0.3890 11.4210 0.4310 ; + RECT 10.3910 0.0990 10.4330 0.1410 ; + RECT 11.3030 0.8110 11.3450 0.8530 ; + RECT 15.7110 1.0750 15.7530 1.1170 ; + RECT 14.1150 0.4090 14.1570 0.4510 ; + RECT 9.4030 0.4110 9.4450 0.4530 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 11.5310 0.3890 11.5730 0.4310 ; + RECT 10.5430 0.6100 10.5850 0.6520 ; + RECT 13.3550 1.0710 13.3970 1.1130 ; + RECT 11.0750 0.4530 11.1170 0.4950 ; + RECT 12.2910 1.1370 12.3330 1.1790 ; + RECT 15.8630 1.2040 15.9050 1.2460 ; + RECT 11.5310 1.0860 11.5730 1.1280 ; + RECT 16.0910 0.1750 16.1330 0.2170 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 10.9230 0.3230 10.9650 0.3650 ; + RECT 11.6070 1.5380 11.6490 1.5800 ; + RECT 10.6190 0.4830 10.6610 0.5250 ; + RECT 13.7350 0.6170 13.7770 0.6590 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 11.3030 0.0990 11.3450 0.1410 ; + RECT 11.3790 1.1780 11.4210 1.2200 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + LAYER PO ; + RECT 7.9650 0.8320 7.9950 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 13.1330 0.8610 13.1630 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 11.4610 0.7850 11.4910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 7.5090 0.8320 7.5390 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6340 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 12.6770 0.8590 12.7070 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 14.8050 0.0670 14.8350 1.6050 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 9.9410 0.7340 9.9710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.3330 0.8300 9.3630 1.6060 ; + RECT 9.3330 0.0660 9.3630 0.6660 ; + RECT 8.8770 0.0660 8.9070 0.6660 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 10.3970 0.7340 10.4270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6850 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.7320 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.8770 0.8300 8.9070 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7320 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 10.8530 0.7380 10.8830 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6340 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 13.1330 0.0660 13.1630 0.7200 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6340 ; + LAYER M1 ; + RECT 11.7190 0.5050 11.7690 0.7670 ; + RECT 11.5270 0.9740 11.5770 0.9780 ; + RECT 11.6390 0.8170 11.6890 0.9780 ; + RECT 11.5270 1.0280 11.5770 1.1900 ; + RECT 14.2390 0.5010 14.5410 0.5510 ; + RECT 13.7150 0.6130 14.2890 0.6630 ; + RECT 14.4910 0.5510 14.5410 0.6790 ; + RECT 14.2390 0.5510 14.2890 0.6130 ; + RECT 12.6670 0.5140 13.5530 0.5640 ; + RECT 12.8550 0.8670 13.5530 0.9170 ; + RECT 12.6670 0.5640 12.7170 0.6790 ; + RECT 13.5030 0.3830 13.5530 0.5140 ; + RECT 13.5030 0.9170 13.5530 1.2400 ; + RECT 12.8550 0.9170 12.9050 1.0170 ; + RECT 12.8550 0.5640 12.9050 0.8670 ; + RECT 12.5510 0.3980 13.4170 0.4480 ; + RECT 12.1950 0.6270 12.6010 0.6770 ; + RECT 12.5510 1.0670 13.4170 1.1170 ; + RECT 12.5510 0.4480 12.6010 0.6270 ; + RECT 12.5510 0.6770 12.6010 1.0670 ; + RECT 12.0950 0.7670 12.3370 0.8170 ; + RECT 11.9840 0.7080 12.1450 0.7580 ; + RECT 11.7390 0.8670 12.0340 0.9170 ; + RECT 12.0950 0.5270 12.3370 0.5770 ; + RECT 12.2870 0.8170 12.3370 1.2400 ; + RECT 12.0950 0.7580 12.1450 0.7670 ; + RECT 12.0950 0.5770 12.1450 0.7080 ; + RECT 12.2870 0.4030 12.3370 0.5270 ; + RECT 11.9840 0.7580 12.0340 0.8670 ; + RECT 15.3270 1.0710 15.7730 1.1210 ; + RECT 15.3270 0.1260 15.3770 1.0710 ; + RECT 15.6310 0.4940 15.9850 0.5440 ; + RECT 15.6310 0.5440 15.6810 0.7190 ; + RECT 15.9350 0.3480 15.9850 0.4940 ; + RECT 16.0870 0.4620 16.3810 0.5120 ; + RECT 15.7670 0.1320 16.1370 0.1820 ; + RECT 15.7670 0.6350 16.1370 0.6850 ; + RECT 16.0870 0.1820 16.1370 0.4620 ; + RECT 16.0870 0.5120 16.1370 0.6350 ; + RECT 16.3910 0.3620 16.5840 0.4120 ; + RECT 16.5340 0.4620 16.6850 0.5120 ; + RECT 16.3910 0.5890 16.5840 0.6390 ; + RECT 16.5340 0.4120 16.5840 0.4620 ; + RECT 16.5340 0.5120 16.5840 0.5890 ; + RECT 16.3910 0.1260 16.4410 0.3620 ; + RECT 16.3910 0.6390 16.4410 0.7470 ; + RECT 16.6950 0.5620 16.9890 0.6120 ; + RECT 16.6950 0.3620 16.8800 0.4120 ; + RECT 16.8300 0.4120 16.8800 0.5620 ; + RECT 15.5310 0.8190 16.7450 0.8690 ; + RECT 16.6950 0.6120 16.7450 0.8190 ; + RECT 16.6950 0.1260 16.7450 0.3620 ; + RECT 15.5310 0.3700 15.6990 0.4200 ; + RECT 15.5310 0.4200 15.5810 0.8190 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 2.7710 0.6480 3.6130 0.6980 ; + RECT 7.5570 1.4240 8.0970 1.4740 ; + RECT 7.1790 1.5280 8.0210 1.5780 ; + RECT 8.9250 1.0730 9.4650 1.1230 ; + RECT 9.7630 0.6060 11.0610 0.6560 ; + RECT 11.4350 0.6130 11.6690 0.6630 ; + RECT 9.9910 1.4000 10.9850 1.4500 ; + RECT 11.2830 0.8070 11.5370 0.8570 ; + RECT 12.9550 0.6640 13.1890 0.7140 ; + RECT 14.7790 1.2000 15.9250 1.2500 ; + RECT 12.8030 1.5280 16.9910 1.5780 ; + RECT 8.2270 0.0950 11.3650 0.1450 ; + RECT 8.2270 0.1450 8.2770 0.1940 ; + RECT 7.1540 0.1940 8.2770 0.2440 ; + RECT 7.1540 0.1420 7.2040 0.1940 ; + RECT 7.0270 0.0920 7.2040 0.1420 ; + RECT 8.2430 1.5340 11.6690 1.5840 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 5.2950 0.8950 7.2610 0.9450 ; + RECT 6.2070 0.9450 6.2570 1.1920 ; + RECT 6.2070 0.4130 6.2570 0.8950 ; + RECT 5.2950 0.9450 5.3450 1.1920 ; + RECT 5.2950 0.4130 5.3450 0.8950 ; + RECT 5.5990 0.9450 5.6490 1.1920 ; + RECT 5.5990 0.4130 5.6490 0.8950 ; + RECT 5.9030 0.9450 5.9530 1.1920 ; + RECT 5.9030 0.4130 5.9530 0.8950 ; + RECT 6.4810 1.1770 7.6430 1.2270 ; + RECT 7.5930 0.8060 8.1730 0.8560 ; + RECT 7.5930 0.8560 7.6430 1.1770 ; + RECT 4.9910 1.2480 6.5310 1.2980 ; + RECT 6.4810 1.2270 6.5310 1.2480 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 1.2980 5.0410 1.5460 ; + RECT 4.9910 0.8280 5.0410 1.2480 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.5750 5.2340 0.7780 ; + RECT 6.3410 0.4550 7.3970 0.5050 ; + RECT 7.3470 0.7060 8.3250 0.7560 ; + RECT 7.3470 0.5050 7.3970 0.7060 ; + RECT 7.3470 0.7560 7.3970 1.0380 ; + RECT 6.3430 1.0380 7.3970 1.0880 ; + RECT 8.1830 0.5960 8.6290 0.6460 ; + RECT 8.3490 0.9360 8.5080 0.9860 ; + RECT 9.6110 0.8210 9.6930 0.8710 ; + RECT 8.4580 0.6460 8.5080 0.9360 ; + RECT 7.7090 1.1780 9.6770 1.2280 ; + RECT 9.6270 0.8710 9.6770 1.1780 ; + RECT 7.7090 0.5210 8.2330 0.5710 ; + RECT 8.1830 0.4130 8.2330 0.5210 ; + RECT 8.1830 0.5710 8.2330 0.5960 ; + RECT 8.3490 0.9860 8.3990 1.1780 ; + RECT 7.5570 0.4120 8.0970 0.4620 ; + RECT 8.4710 1.0670 8.8410 1.1170 ; + RECT 8.3350 0.4960 8.8410 0.5460 ; + RECT 8.6390 0.4070 8.6890 0.4960 ; + RECT 8.7910 0.7710 8.8410 1.0670 ; + RECT 8.7910 0.7210 9.8450 0.7710 ; + RECT 8.7910 0.5460 8.8410 0.7210 ; + RECT 8.3350 0.4070 8.3850 0.4960 ; + RECT 7.3260 0.0940 8.0300 0.1440 ; + RECT 11.4150 0.0920 13.7980 0.1420 ; + RECT 11.3750 0.1940 11.4650 0.2440 ; + RECT 11.0310 0.8670 11.1610 0.9170 ; + RECT 10.1240 0.9670 11.1370 1.0170 ; + RECT 11.0710 1.1900 11.4250 1.2400 ; + RECT 11.4150 0.1420 11.4650 0.1940 ; + RECT 10.1240 0.4790 11.4250 0.5290 ; + RECT 11.0310 0.9170 11.0810 0.9670 ; + RECT 11.3750 0.9740 11.4250 1.1900 ; + RECT 11.0710 1.0170 11.1210 1.1900 ; + RECT 11.3750 0.5290 11.4250 0.5430 ; + RECT 11.3750 0.2440 11.4250 0.4790 ; + RECT 11.0710 0.2420 11.1210 0.4790 ; + RECT 11.1110 0.5290 11.1610 0.8670 ; + RECT 8.9250 0.4070 9.4650 0.4570 ; + RECT 9.0780 0.5070 9.6170 0.5570 ; + RECT 9.5110 0.6210 9.6930 0.6710 ; + RECT 9.5110 0.5570 9.5610 0.6210 ; + RECT 9.9720 0.3190 10.9850 0.3690 ; + RECT 13.2590 0.7590 14.8610 0.8090 ; + RECT 13.6150 0.5130 14.1640 0.5630 ; + RECT 14.1110 0.3830 14.1610 0.5130 ; + RECT 14.1110 0.8090 14.1610 1.2400 ; + RECT 13.8070 0.3830 13.8570 0.5130 ; + RECT 13.8070 0.8090 13.8570 1.2400 ; + RECT 13.6150 0.5630 13.6650 0.7590 ; + RECT 14.3390 0.6420 14.3890 0.7590 ; + RECT 11.6790 0.4550 12.0490 0.5050 ; + RECT 11.5270 1.1900 12.0490 1.2400 ; + RECT 11.5270 0.2770 11.7290 0.3270 ; + RECT 11.6390 0.7670 11.7690 0.8170 ; + RECT 11.5270 0.9780 11.6890 1.0280 ; + RECT 11.5270 0.3270 11.5770 0.5560 ; + RECT 11.6790 0.3270 11.7290 0.4550 ; + LAYER NWELL ; + RECT -0.0860 1.5430 17.7340 1.7730 ; + RECT -0.0860 0.7770 14.6990 1.5430 ; + RECT 17.4590 0.6790 17.7340 1.5430 ; + RECT -0.0860 0.6790 5.0710 0.7770 ; + RECT 9.7120 0.6790 14.6990 0.7770 ; + RECT 2.6600 0.6690 3.7240 0.6790 ; + RECT 15.1610 0.4910 16.9990 1.0830 ; + END +END RSDFFNX1_HVT + +MACRO RSDFFNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 18.088 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4980 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 15.9190 0.9420 17.3860 1.0020 ; + RECT 17.2700 0.6900 17.3860 0.9420 ; + END + PORT + LAYER CO ; + RECT 17.3070 0.8620 17.3490 0.9040 ; + RECT 15.9390 0.9510 15.9810 0.9930 ; + RECT 17.3070 0.7100 17.3490 0.7520 ; + RECT 17.0030 0.9510 17.0450 0.9930 ; + RECT 16.6990 0.9510 16.7410 0.9930 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 18.0880 0.0300 ; + RECT 11.8150 0.2830 14.0090 0.3330 ; + RECT 9.7140 0.2210 10.8350 0.2710 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 5.7510 0.0300 5.8010 0.5570 ; + RECT 5.4470 0.0300 5.4970 0.5570 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 6.0550 0.0300 6.1050 0.5570 ; + RECT 14.4150 0.0300 14.4650 0.2410 ; + RECT 14.8710 0.0300 14.9210 0.2410 ; + RECT 16.9990 0.0300 17.0490 0.3120 ; + RECT 16.6950 0.0300 16.7450 0.2020 ; + RECT 15.9350 0.0300 15.9850 0.2060 ; + RECT 13.9590 0.3330 14.0090 0.4430 ; + RECT 13.9590 0.0300 14.0090 0.2830 ; + RECT 8.4870 0.3570 8.5370 0.4460 ; + RECT 8.4870 0.2640 8.5370 0.3070 ; + RECT 6.5110 0.0300 6.5610 0.3070 ; + RECT 9.7140 0.2710 9.7640 0.3070 ; + RECT 6.4950 0.3070 9.7640 0.3570 ; + END + PORT + LAYER CO ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 14.8750 0.1720 14.9170 0.2140 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 12.4430 0.2870 12.4850 0.3290 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 6.5150 0.3110 6.5570 0.3530 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 6.8190 0.3110 6.8610 0.3530 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 13.6590 0.2870 13.7010 0.3290 ; + RECT 16.6990 0.1360 16.7410 0.1780 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 5.7550 0.3110 5.7970 0.3530 ; + RECT 5.7550 0.4950 5.7970 0.5370 ; + RECT 5.7550 0.4030 5.7970 0.4450 ; + RECT 8.7950 0.3120 8.8370 0.3540 ; + RECT 6.0590 0.3110 6.1010 0.3530 ; + RECT 6.0590 0.4030 6.1010 0.4450 ; + RECT 6.0590 0.4950 6.1010 0.5370 ; + RECT 9.2510 0.3120 9.2930 0.3540 ; + RECT 7.1230 0.3110 7.1650 0.3530 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 8.4910 0.2920 8.5330 0.3340 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 13.0510 0.2870 13.0930 0.3290 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 13.9630 0.3710 14.0050 0.4130 ; + RECT 10.7710 0.2250 10.8130 0.2670 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 9.8590 0.2250 9.9010 0.2670 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 17.0030 0.1440 17.0450 0.1860 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 12.1390 0.2870 12.1810 0.3290 ; + RECT 11.8350 0.2870 11.8770 0.3290 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 14.4190 0.1720 14.4610 0.2140 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 12.5950 0.2870 12.6370 0.3290 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 15.9390 0.1440 15.9810 0.1860 ; + RECT 10.3150 0.2250 10.3570 0.2670 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 5.4510 0.4030 5.4930 0.4450 ; + RECT 5.4510 0.4950 5.4930 0.5370 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 8.4910 0.3840 8.5330 0.4260 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.2620 1.5240 6.1990 1.5740 ; + RECT 5.2620 1.5740 5.4380 1.5750 ; + RECT 5.2620 1.4650 5.4380 1.5240 ; + END + PORT + LAYER CO ; + RECT 6.1350 1.5280 6.1770 1.5700 ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.3750 1.5280 5.4170 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + RECT 5.5270 1.5280 5.5690 1.5700 ; + RECT 5.6790 1.5280 5.7210 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5670 0.9690 15.5570 1.0190 ; + RECT 14.5510 0.3010 15.5570 0.3510 ; + RECT 15.0230 1.0190 15.0730 1.3270 ; + RECT 15.5070 0.3510 15.5570 0.9690 ; + RECT 14.5670 1.1290 14.6170 1.2380 ; + RECT 14.5670 1.0190 14.7990 1.1290 ; + END + PORT + LAYER CO ; + RECT 15.0270 1.2650 15.0690 1.3070 ; + RECT 15.0270 1.1730 15.0690 1.2150 ; + RECT 15.0270 0.9890 15.0690 1.0310 ; + RECT 15.0270 1.0810 15.0690 1.1230 ; + RECT 15.0270 1.0810 15.0690 1.1230 ; + RECT 15.0270 0.9890 15.0690 1.0310 ; + RECT 15.0270 0.3050 15.0690 0.3470 ; + RECT 14.5710 1.1730 14.6130 1.2150 ; + RECT 14.5710 1.0810 14.6130 1.1230 ; + RECT 14.5710 1.1730 14.6130 1.2150 ; + RECT 14.5710 0.9890 14.6130 1.0310 ; + RECT 14.5710 0.3050 14.6130 0.3470 ; + RECT 15.0270 1.1730 15.0690 1.2150 ; + RECT 14.5710 1.0810 14.6130 1.1230 ; + RECT 14.5710 0.9890 14.6130 1.0310 ; + RECT 15.0270 1.2650 15.0690 1.3070 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.2630 0.4010 15.4190 0.4510 ; + RECT 14.2630 0.8590 15.4190 0.9090 ; + RECT 15.2970 0.4510 15.4190 0.5380 ; + RECT 14.2630 0.1570 14.3130 0.4010 ; + RECT 14.2630 0.9090 14.3130 1.2320 ; + RECT 15.3690 0.5380 15.4190 0.8590 ; + END + PORT + LAYER CO ; + RECT 14.7230 0.8630 14.7650 0.9050 ; + RECT 14.7230 0.8630 14.7650 0.9050 ; + RECT 14.7230 0.4050 14.7650 0.4470 ; + RECT 14.7230 0.4050 14.7650 0.4470 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 14.2670 0.9710 14.3090 1.0130 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 14.2670 1.0630 14.3090 1.1050 ; + RECT 14.2670 0.1770 14.3090 0.2190 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 14.2670 0.8790 14.3090 0.9210 ; + RECT 14.2670 1.1550 14.3090 1.1970 ; + RECT 14.2670 0.2690 14.3090 0.3110 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 18.0880 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 4.8390 1.2460 4.8890 1.6420 ; + RECT 5.1430 1.3600 5.1930 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 7.0790 1.4090 7.1290 1.6420 ; + RECT 5.4280 1.3590 7.1290 1.4090 ; + RECT 14.4150 0.9590 14.4650 1.2900 ; + RECT 7.0790 1.2900 14.9210 1.3400 ; + RECT 14.8710 1.0710 14.9210 1.2900 ; + RECT 12.1750 1.3400 12.2250 1.6420 ; + RECT 7.0790 1.3400 7.1290 1.3590 ; + END + PORT + LAYER CO ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 5.4510 1.3630 5.4930 1.4050 ; + RECT 6.5150 1.3630 6.5570 1.4050 ; + RECT 6.0590 1.3630 6.1010 1.4050 ; + RECT 5.7550 1.3630 5.7970 1.4050 ; + RECT 6.8190 1.3630 6.8610 1.4050 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.8750 1.0910 14.9170 1.1330 ; + RECT 14.8750 1.1830 14.9170 1.2250 ; + RECT 14.8750 1.0910 14.9170 1.1330 ; + RECT 14.8750 1.1830 14.9170 1.2250 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 8.7950 1.2920 8.8370 1.3340 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 14.4190 0.9790 14.4610 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 14.4190 0.9790 14.4610 1.0210 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 14.4190 1.0710 14.4610 1.1130 ; + RECT 14.4190 1.2550 14.4610 1.2970 ; + RECT 12.4430 1.2940 12.4850 1.3360 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.8350 1.2940 11.8770 1.3360 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 9.2510 1.2920 9.2930 1.3340 ; + RECT 14.4190 1.0710 14.4610 1.1130 ; + RECT 12.5950 1.2940 12.6370 1.3360 ; + RECT 13.0510 1.2940 13.0930 1.3360 ; + RECT 12.1390 1.2940 12.1810 1.3360 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 14.8750 1.2750 14.9170 1.3170 ; + RECT 14.8750 1.2750 14.9170 1.3170 ; + RECT 13.9630 1.2940 14.0050 1.3360 ; + RECT 14.4190 1.1630 14.4610 1.2050 ; + RECT 14.4190 1.1630 14.4610 1.2050 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 14.4190 1.2550 14.4610 1.2970 ; + RECT 13.6590 1.2940 13.7010 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.6330 1.4080 16.6260 1.4580 ; + RECT 16.5130 1.3130 16.6260 1.4080 ; + END + PORT + LAYER CO ; + RECT 12.9750 1.4120 13.0170 1.4540 ; + RECT 15.8630 1.4120 15.9050 1.4540 ; + RECT 13.5830 1.4100 13.6250 1.4520 ; + RECT 16.4710 1.4120 16.5130 1.4540 ; + RECT 12.6710 1.4120 12.7130 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 14.9510 0.6170 14.9930 0.6590 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9110 0.8710 11.9530 0.9130 ; + RECT 6.6670 1.0420 6.7090 1.0840 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 6.9710 1.0420 7.0130 1.0840 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 6.3630 0.4590 6.4050 0.5010 ; + RECT 7.2750 0.4590 7.3170 0.5010 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 6.3630 1.0420 6.4050 1.0840 ; + RECT 6.4390 0.8990 6.4810 0.9410 ; + RECT 6.6670 0.4590 6.7090 0.5010 ; + RECT 6.5910 0.8990 6.6330 0.9410 ; + RECT 6.7430 0.8990 6.7850 0.9410 ; + RECT 7.1990 1.5390 7.2410 1.5810 ; + RECT 6.8950 0.8990 6.9370 0.9410 ; + RECT 9.0990 1.1820 9.1410 1.2240 ; + RECT 10.4670 0.3460 10.5090 0.3880 ; + RECT 5.9070 0.4330 5.9490 0.4750 ; + RECT 5.6030 1.1300 5.6450 1.1720 ; + RECT 11.4550 0.8110 11.4970 0.8530 ; + RECT 8.8710 1.5380 8.9130 1.5800 ; + RECT 5.6030 1.0380 5.6450 1.0800 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 5.9070 1.1300 5.9490 1.1720 ; + RECT 5.9070 1.0380 5.9490 1.0800 ; + RECT 11.3790 0.4810 11.4210 0.5230 ; + RECT 11.5310 0.4810 11.5730 0.5230 ; + RECT 12.2150 0.6310 12.2570 0.6730 ; + RECT 10.0110 0.3460 10.0530 0.3880 ; + RECT 5.9070 0.5250 5.9490 0.5670 ; + RECT 16.5470 0.6230 16.5890 0.6650 ; + RECT 14.0390 0.6170 14.0810 0.6590 ; + RECT 5.6030 0.4330 5.6450 0.4750 ; + RECT 11.5310 0.9940 11.5730 1.0360 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 9.6310 0.6190 9.6730 0.6610 ; + RECT 8.2630 0.7100 8.3050 0.7520 ; + RECT 13.5070 0.4880 13.5490 0.5300 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 8.3390 0.4270 8.3810 0.4690 ; + RECT 10.4670 1.4040 10.5090 1.4460 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 8.6430 0.4270 8.6850 0.4690 ; + RECT 9.5550 1.1820 9.5970 1.2240 ; + RECT 8.4910 1.0710 8.5330 1.1130 ; + RECT 17.3830 0.5660 17.4250 0.6080 ; + RECT 11.6830 0.2970 11.7250 0.3390 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 7.2750 1.0420 7.3170 1.0840 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 5.2990 1.1300 5.3410 1.1720 ; + RECT 9.3270 1.5380 9.3690 1.5800 ; + RECT 13.2790 0.7630 13.3210 0.8050 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 10.6190 0.9710 10.6610 1.0130 ; + RECT 7.0470 0.0960 7.0890 0.1380 ; + RECT 7.7310 1.1820 7.7730 1.2240 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 8.1870 1.1820 8.2290 1.2240 ; + RECT 13.1270 0.6680 13.1690 0.7100 ; + RECT 14.3430 0.6620 14.3850 0.7040 ; + RECT 10.1630 0.9710 10.2050 1.0130 ; + RECT 16.8510 0.7130 16.8930 0.7550 ; + RECT 13.8870 0.6170 13.9290 0.6590 ; + RECT 5.2990 1.0380 5.3410 1.0800 ; + RECT 8.1110 0.8100 8.1530 0.8520 ; + RECT 13.8110 1.1560 13.8530 1.1980 ; + RECT 8.8710 0.0920 8.9130 0.1340 ; + RECT 9.4790 0.7190 9.5210 0.7610 ; + RECT 13.8110 0.5010 13.8530 0.5430 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 8.1870 0.4330 8.2290 0.4750 ; + RECT 17.1550 0.6800 17.1970 0.7220 ; + RECT 9.4030 1.0770 9.4450 1.1190 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 9.0230 0.7190 9.0650 0.7610 ; + RECT 8.5670 0.6000 8.6090 0.6420 ; + RECT 17.1550 0.3290 17.1970 0.3710 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 13.8110 0.4090 13.8530 0.4510 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 16.7750 0.4660 16.8170 0.5080 ; + RECT 9.9350 0.0920 9.9770 0.1340 ; + RECT 10.0870 0.6180 10.1290 0.6600 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 8.1870 0.5250 8.2290 0.5670 ; + RECT 11.5310 1.1780 11.5730 1.2200 ; + RECT 14.4950 0.6170 14.5370 0.6590 ; + RECT 11.3790 1.0860 11.4210 1.1280 ; + RECT 11.0750 1.0860 11.1170 1.1280 ; + RECT 7.6550 0.8100 7.6970 0.8520 ; + RECT 7.3510 0.7940 7.3930 0.8360 ; + RECT 8.9470 0.4170 8.9890 0.4590 ; + RECT 6.2110 1.0380 6.2530 1.0800 ; + RECT 6.2110 1.1300 6.2530 1.1720 ; + RECT 6.2110 0.4330 6.2530 0.4750 ; + RECT 11.5310 0.3890 11.5730 0.4310 ; + RECT 10.5430 0.6180 10.5850 0.6600 ; + RECT 13.3550 1.0710 13.3970 1.1130 ; + RECT 11.0750 0.4530 11.1170 0.4950 ; + RECT 12.2910 1.1370 12.3330 1.1790 ; + RECT 16.3190 1.2040 16.3610 1.2460 ; + RECT 11.5310 1.0860 11.5730 1.1280 ; + RECT 16.5470 0.1750 16.5890 0.2170 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 11.6070 1.5380 11.6490 1.5800 ; + RECT 10.6190 0.4830 10.6610 0.5250 ; + RECT 13.7350 0.6170 13.7770 0.6590 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 11.3030 0.0920 11.3450 0.1340 ; + RECT 11.3790 1.1780 11.4210 1.2200 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.3790 0.3890 11.4210 0.4310 ; + RECT 10.3910 0.0920 10.4330 0.1340 ; + RECT 9.3270 0.0920 9.3690 0.1340 ; + RECT 9.5550 0.5170 9.5970 0.5590 ; + RECT 9.7830 0.6180 9.8250 0.6600 ; + RECT 7.9590 1.5380 8.0010 1.5800 ; + RECT 16.0910 0.6330 16.1330 0.6750 ; + RECT 11.6830 0.3890 11.7250 0.4310 ; + RECT 11.6830 1.1940 11.7250 1.2360 ; + RECT 12.2910 0.4230 12.3330 0.4650 ; + RECT 10.1630 0.4830 10.2050 0.5250 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 7.5790 1.4280 7.6210 1.4700 ; + RECT 9.9350 1.5380 9.9770 1.5800 ; + RECT 13.8110 0.9720 13.8530 1.0140 ; + RECT 13.8110 0.9720 13.8530 1.0140 ; + RECT 12.9750 0.6680 13.0170 0.7100 ; + RECT 13.1270 0.8710 13.1690 0.9130 ; + RECT 16.0910 0.3740 16.1330 0.4160 ; + RECT 7.2750 0.4590 7.3170 0.5010 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 5.2990 0.4330 5.3410 0.4750 ; + RECT 12.3670 0.6310 12.4090 0.6730 ; + RECT 13.8110 1.1560 13.8530 1.1980 ; + RECT 15.7870 0.6650 15.8290 0.7070 ; + RECT 17.3830 1.5320 17.4250 1.5740 ; + RECT 17.0790 0.4660 17.1210 0.5080 ; + RECT 9.7830 0.7190 9.8250 0.7610 ; + RECT 16.2430 0.1360 16.2850 0.1780 ; + RECT 15.7870 0.3740 15.8290 0.4160 ; + RECT 16.3950 0.8410 16.4370 0.8830 ; + RECT 15.2550 1.2040 15.2970 1.2460 ; + RECT 16.2430 0.6390 16.2850 0.6810 ; + RECT 10.8470 0.0920 10.8890 0.1340 ; + RECT 16.8510 0.3500 16.8930 0.3920 ; + RECT 13.7350 0.0980 13.7770 0.1400 ; + RECT 10.0110 1.4040 10.0530 1.4460 ; + RECT 13.3550 0.4020 13.3970 0.4440 ; + RECT 10.9990 0.6180 11.0410 0.6600 ; + RECT 11.9870 1.1940 12.0290 1.2360 ; + RECT 7.7310 0.5250 7.7730 0.5670 ; + RECT 15.2550 0.7630 15.2970 0.8050 ; + RECT 10.8470 1.5380 10.8890 1.5800 ; + RECT 7.1990 0.8990 7.2410 0.9410 ; + RECT 14.7990 0.6620 14.8410 0.7040 ; + RECT 12.8990 0.4020 12.9410 0.4440 ; + RECT 11.9870 0.4590 12.0290 0.5010 ; + RECT 16.3950 0.3680 16.4370 0.4100 ; + RECT 7.0470 0.8990 7.0890 0.9410 ; + RECT 8.4150 0.6000 8.4570 0.6420 ; + RECT 11.3790 0.9940 11.4210 1.0360 ; + RECT 9.6310 0.8190 9.6730 0.8610 ; + RECT 10.3910 1.5380 10.4330 1.5800 ; + RECT 8.0350 1.4280 8.0770 1.4700 ; + RECT 13.5070 1.0640 13.5490 1.1060 ; + RECT 11.0750 1.1780 11.1170 1.2200 ; + RECT 9.0990 0.5170 9.1410 0.5590 ; + RECT 10.9230 1.4040 10.9650 1.4460 ; + RECT 14.1150 0.5010 14.1570 0.5430 ; + RECT 8.9470 1.0770 8.9890 1.1190 ; + RECT 12.8230 1.5320 12.8650 1.5740 ; + RECT 12.8990 1.0710 12.9410 1.1130 ; + RECT 16.3190 0.4980 16.3610 0.5400 ; + RECT 8.1870 1.1820 8.2290 1.2240 ; + RECT 11.3030 0.8110 11.3450 0.8530 ; + RECT 16.1670 1.0610 16.2090 1.1030 ; + RECT 14.1150 0.4090 14.1570 0.4510 ; + RECT 9.4030 0.4170 9.4450 0.4590 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + LAYER PO ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.6380 ; + RECT 7.9650 0.8320 7.9950 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 13.1330 0.8610 13.1630 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 11.4610 0.7850 11.4910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 7.5090 0.8320 7.5390 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6340 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 12.6770 0.8590 12.7070 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 15.2610 0.0670 15.2910 1.6050 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 9.9410 0.7340 9.9710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.3330 0.8300 9.3630 1.6060 ; + RECT 9.3330 0.0660 9.3630 0.6660 ; + RECT 8.8770 0.0660 8.9070 0.6660 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 10.3970 0.7340 10.4270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6850 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.7320 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.8770 0.8300 8.9070 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7320 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 17.9970 0.0660 18.0270 1.6060 ; + RECT 10.8530 0.7380 10.8830 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.6910 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6340 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 13.1330 0.0660 13.1630 0.7200 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + LAYER M1 ; + RECT 13.8070 0.3830 13.8570 0.5130 ; + RECT 13.8070 0.8090 13.8570 1.2400 ; + RECT 13.6150 0.5630 13.6650 0.7590 ; + RECT 14.3390 0.6420 14.3890 0.7590 ; + RECT 14.7950 0.6420 14.8450 0.7590 ; + RECT 14.2390 0.5010 14.9970 0.5510 ; + RECT 13.7150 0.6130 14.2890 0.6630 ; + RECT 14.4910 0.5510 14.5410 0.6790 ; + RECT 14.9470 0.5510 14.9970 0.6790 ; + RECT 14.2390 0.5510 14.2890 0.6130 ; + RECT 12.6670 0.5140 13.5530 0.5640 ; + RECT 12.8550 0.8670 13.5530 0.9170 ; + RECT 12.6670 0.5640 12.7170 0.6790 ; + RECT 13.5030 0.3830 13.5530 0.5140 ; + RECT 13.5030 0.9170 13.5530 1.2400 ; + RECT 12.8550 0.9170 12.9050 1.0170 ; + RECT 12.8550 0.5640 12.9050 0.8670 ; + RECT 12.5510 0.3980 13.4170 0.4480 ; + RECT 12.1950 0.6270 12.6010 0.6770 ; + RECT 12.5510 1.0670 13.4170 1.1170 ; + RECT 12.5510 0.4480 12.6010 0.6270 ; + RECT 12.5510 0.6770 12.6010 1.0670 ; + RECT 12.0950 0.7670 12.3370 0.8170 ; + RECT 11.9840 0.7080 12.1450 0.7580 ; + RECT 11.7390 0.8670 12.0340 0.9170 ; + RECT 12.0950 0.5270 12.3370 0.5770 ; + RECT 12.2870 0.8170 12.3370 1.2400 ; + RECT 12.0950 0.7580 12.1450 0.7670 ; + RECT 12.0950 0.5770 12.1450 0.7080 ; + RECT 12.2870 0.4030 12.3370 0.5270 ; + RECT 11.9840 0.7580 12.0340 0.8670 ; + RECT 15.7830 1.0570 16.2290 1.1070 ; + RECT 15.7830 0.1260 15.8330 1.0570 ; + RECT 16.5430 0.4620 16.8370 0.5120 ; + RECT 16.2230 0.1320 16.5930 0.1820 ; + RECT 16.2230 0.6350 16.5930 0.6850 ; + RECT 16.5430 0.1820 16.5930 0.4620 ; + RECT 16.5430 0.5120 16.5930 0.6350 ; + RECT 16.8470 0.3620 17.0400 0.4120 ; + RECT 16.9900 0.4620 17.1410 0.5120 ; + RECT 16.8470 0.5890 17.0400 0.6390 ; + RECT 16.9900 0.4120 17.0400 0.4620 ; + RECT 16.9900 0.5120 17.0400 0.5890 ; + RECT 16.8470 0.1260 16.8970 0.3620 ; + RECT 16.8470 0.6390 16.8970 0.7870 ; + RECT 16.0870 0.4940 16.4410 0.5440 ; + RECT 16.3910 0.3480 16.4410 0.4940 ; + RECT 16.0870 0.5440 16.1370 0.7770 ; + RECT 17.1510 0.5620 17.4450 0.6120 ; + RECT 17.1510 0.3620 17.3360 0.4120 ; + RECT 17.2860 0.4120 17.3360 0.5620 ; + RECT 15.9870 0.8370 17.2010 0.8870 ; + RECT 17.1510 0.6120 17.2010 0.8370 ; + RECT 17.1510 0.1260 17.2010 0.3620 ; + RECT 15.9870 0.3700 16.1550 0.4200 ; + RECT 15.9870 0.4200 16.0370 0.8370 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 7.5570 1.4240 8.0970 1.4740 ; + RECT 7.1790 1.5340 8.0210 1.5840 ; + RECT 8.9250 1.0730 9.4650 1.1230 ; + RECT 9.7630 0.6140 11.0610 0.6640 ; + RECT 11.4350 0.6130 11.6690 0.6630 ; + RECT 9.9910 1.4000 10.9850 1.4500 ; + RECT 11.2830 0.8070 11.5370 0.8570 ; + RECT 12.9550 0.6640 13.1890 0.7140 ; + RECT 15.2350 1.2000 16.3810 1.2500 ; + RECT 12.8030 1.5280 17.4470 1.5780 ; + RECT 8.2270 0.0880 11.3650 0.1380 ; + RECT 8.2270 0.1380 8.2770 0.1940 ; + RECT 7.1540 0.1940 8.2770 0.2440 ; + RECT 7.1540 0.1420 7.2040 0.1940 ; + RECT 7.0270 0.0920 7.2040 0.1420 ; + RECT 8.2430 1.5340 11.6690 1.5840 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 5.2950 0.8950 7.2610 0.9450 ; + RECT 6.2070 0.9450 6.2570 1.1920 ; + RECT 6.2070 0.4130 6.2570 0.8950 ; + RECT 5.2950 0.9450 5.3450 1.1920 ; + RECT 5.2950 0.4130 5.3450 0.8950 ; + RECT 5.5990 0.9450 5.6490 1.1920 ; + RECT 5.5990 0.4130 5.6490 0.8950 ; + RECT 5.9030 0.9450 5.9530 1.1920 ; + RECT 5.9030 0.4130 5.9530 0.8950 ; + RECT 6.4810 1.1770 7.6430 1.2270 ; + RECT 7.5930 0.8060 8.1730 0.8560 ; + RECT 7.5930 0.8560 7.6430 1.1770 ; + RECT 4.9910 1.2480 6.5310 1.2980 ; + RECT 6.4810 1.2270 6.5310 1.2480 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 1.2980 5.0410 1.5460 ; + RECT 4.9910 0.8280 5.0410 1.2480 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.5750 5.2340 0.7780 ; + RECT 8.4710 1.0670 8.8410 1.1170 ; + RECT 8.3350 0.4960 8.8410 0.5460 ; + RECT 8.7910 0.7650 8.8410 1.0670 ; + RECT 8.7910 0.7150 9.8450 0.7650 ; + RECT 8.7910 0.5460 8.8410 0.7150 ; + RECT 8.6390 0.4070 8.6890 0.4960 ; + RECT 8.3350 0.4070 8.3850 0.4960 ; + RECT 6.3410 0.4550 7.3970 0.5050 ; + RECT 7.3470 0.7060 8.3250 0.7560 ; + RECT 7.3470 0.5050 7.3970 0.7060 ; + RECT 7.3470 0.7560 7.3970 1.0380 ; + RECT 6.3430 1.0380 7.3970 1.0880 ; + RECT 8.1830 0.5960 8.6290 0.6460 ; + RECT 8.3490 0.9360 8.5080 0.9860 ; + RECT 9.6110 0.8150 9.6930 0.8650 ; + RECT 8.4580 0.6460 8.5080 0.9360 ; + RECT 7.7090 1.1780 9.6770 1.2280 ; + RECT 9.6270 0.8650 9.6770 1.1780 ; + RECT 7.7090 0.5210 8.2330 0.5710 ; + RECT 8.1830 0.4130 8.2330 0.5210 ; + RECT 8.1830 0.5710 8.2330 0.5960 ; + RECT 8.3490 0.9860 8.3990 1.1780 ; + RECT 7.5570 0.4120 8.0970 0.4620 ; + RECT 7.3260 0.0880 8.0300 0.1380 ; + RECT 11.4150 0.0920 13.7980 0.1420 ; + RECT 11.3750 0.1940 11.4650 0.2440 ; + RECT 11.0310 0.7300 11.1610 0.7800 ; + RECT 10.1240 0.9670 11.1370 1.0170 ; + RECT 11.0710 1.1900 11.4250 1.2400 ; + RECT 11.4150 0.1420 11.4650 0.1940 ; + RECT 10.1240 0.4790 11.4250 0.5290 ; + RECT 11.0310 0.7800 11.0810 0.9670 ; + RECT 11.3750 0.9740 11.4250 1.1900 ; + RECT 11.0710 1.0170 11.1210 1.1900 ; + RECT 11.3750 0.5290 11.4250 0.5430 ; + RECT 11.3750 0.2440 11.4250 0.4790 ; + RECT 11.0710 0.2420 11.1210 0.4790 ; + RECT 11.1110 0.5290 11.1610 0.7300 ; + RECT 11.6790 0.4550 12.0490 0.5050 ; + RECT 11.5270 1.1900 12.0490 1.2400 ; + RECT 11.5270 0.2770 11.7290 0.3270 ; + RECT 11.6390 0.7670 11.7690 0.8170 ; + RECT 11.5270 0.9780 11.6890 1.0280 ; + RECT 11.5270 0.3270 11.5770 0.5560 ; + RECT 11.6790 0.3270 11.7290 0.4550 ; + RECT 11.7190 0.5050 11.7690 0.7670 ; + RECT 11.5270 0.9740 11.5770 0.9780 ; + RECT 11.6390 0.8170 11.6890 0.9780 ; + RECT 11.5270 1.0280 11.5770 1.1900 ; + RECT 9.0780 0.5130 9.6170 0.5630 ; + RECT 9.5670 0.6150 9.6930 0.6650 ; + RECT 9.5670 0.5630 9.6170 0.6150 ; + RECT 8.9250 0.4130 9.4650 0.4630 ; + RECT 9.9720 0.3420 10.9850 0.3920 ; + RECT 13.2590 0.7590 15.3170 0.8090 ; + RECT 13.6150 0.5130 14.1640 0.5630 ; + RECT 14.1110 0.3830 14.1610 0.5130 ; + RECT 14.1110 0.8090 14.1610 1.2400 ; + LAYER NWELL ; + RECT 15.6170 0.4910 17.4500 1.0830 ; + RECT -0.1030 1.5430 18.1850 1.7730 ; + RECT -0.1030 0.7770 15.1550 1.5430 ; + RECT 17.9100 0.6790 18.1850 1.5430 ; + RECT -0.1030 0.6790 5.0710 0.7770 ; + RECT 9.7120 0.6790 15.1550 0.7770 ; + RECT 2.6600 0.6730 3.7240 0.6790 ; + END +END RSDFFNX2_HVT + +MACRO RSDFFSRARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.048 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.2630 0.8920 14.8100 0.9420 ; + RECT 14.6800 0.8570 14.8100 0.8920 ; + RECT 14.6800 0.9420 14.8100 0.9670 ; + RECT 14.2630 0.9420 14.3130 1.5640 ; + RECT 14.2630 0.2130 14.3130 0.4520 ; + RECT 14.7590 0.5020 14.8090 0.8570 ; + RECT 14.2630 0.4520 14.8090 0.5020 ; + END + PORT + LAYER CO ; + RECT 14.2670 0.2430 14.3090 0.2850 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 0.3350 14.3090 0.3770 ; + RECT 14.2670 0.3350 14.3090 0.3770 ; + RECT 14.2670 0.4270 14.3090 0.4690 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.8370 1.1610 14.9620 1.2710 ; + RECT 14.5670 0.1160 14.6170 0.2890 ; + RECT 14.5670 0.2890 14.9250 0.3390 ; + RECT 14.5670 1.0420 14.9250 1.0920 ; + RECT 14.5670 1.0920 14.6170 1.5640 ; + RECT 14.8750 1.0920 14.9250 1.1610 ; + RECT 14.8750 0.3390 14.9250 1.0420 ; + END + PORT + LAYER CO ; + RECT 14.5710 0.2430 14.6130 0.2850 ; + RECT 14.5710 0.1510 14.6130 0.1930 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 14.5710 0.1510 14.6130 0.1930 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.0480 1.7020 ; + RECT 5.5820 1.2200 8.7050 1.2700 ; + RECT 9.5510 1.4040 11.7690 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 13.6550 0.9590 13.7050 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 13.9590 0.9590 14.0090 1.6420 ; + RECT 14.4150 0.9920 14.4650 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 14.7190 1.1810 14.7690 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.9910 1.2700 6.0410 1.6420 ; + RECT 10.3110 0.9530 10.3610 1.4040 ; + RECT 9.5510 1.2790 9.6010 1.4040 ; + RECT 11.7190 1.4540 11.7690 1.6420 ; + END + PORT + LAYER CO ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 13.9630 1.0040 14.0050 1.0460 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 13.9630 1.2800 14.0050 1.3220 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.9630 1.1880 14.0050 1.2300 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 13.9630 1.0040 14.0050 1.0460 ; + RECT 14.4190 1.0270 14.4610 1.0690 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 14.4190 1.1190 14.4610 1.1610 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 10.3150 1.1570 10.3570 1.1990 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 10.3150 1.0650 10.3570 1.1070 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 13.9630 1.0960 14.0050 1.1380 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 10.3150 1.2490 10.3570 1.2910 ; + RECT 10.3150 1.0650 10.3570 1.1070 ; + RECT 13.9630 1.0960 14.0050 1.1380 ; + RECT 13.9630 1.1880 14.0050 1.2300 ; + RECT 9.5550 1.3010 9.5970 1.3430 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.4190 1.1190 14.4610 1.1610 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 14.4190 1.0270 14.4610 1.0690 ; + RECT 10.3150 1.1570 10.3570 1.1990 ; + RECT 7.7310 1.2240 7.7730 1.2660 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 6.3630 1.2240 6.4050 1.2660 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 10.3150 1.2490 10.3570 1.2910 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 10.3150 0.9730 10.3570 1.0150 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 13.9630 1.2800 14.0050 1.3220 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 8.6430 1.2240 8.6850 1.2660 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.0480 0.0300 ; + RECT 13.9190 0.2030 14.0250 0.2530 ; + RECT 14.4150 0.2030 14.5110 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 12.2870 0.0300 12.3370 0.1980 ; + RECT 11.3750 0.0300 11.4250 0.4260 ; + RECT 12.7430 0.0300 12.7930 0.4260 ; + RECT 13.6550 0.0300 13.7050 0.4230 ; + RECT 14.7190 0.0300 14.7690 0.2260 ; + RECT 10.4870 0.0300 10.5370 0.3280 ; + RECT 9.5350 0.3750 10.5120 0.3780 ; + RECT 9.5350 0.3280 10.5370 0.3750 ; + RECT 13.9190 0.0300 13.9690 0.2030 ; + RECT 14.4150 0.2530 14.4650 0.3950 ; + RECT 14.4610 0.0300 14.5110 0.2030 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.9190 0.3480 8.7050 0.3550 ; + RECT 5.1630 0.3050 8.7050 0.3480 ; + RECT 3.1670 0.1940 5.2130 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 5.1630 0.2440 5.2130 0.2980 ; + RECT 5.1630 0.2980 5.9690 0.3050 ; + RECT 5.9190 0.2970 5.9690 0.2980 ; + END + PORT + LAYER CO ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 6.5150 0.3080 6.5570 0.3500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.8190 0.3090 6.8610 0.3510 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 13.6590 0.3610 13.7010 0.4030 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 13.9630 0.2070 14.0050 0.2490 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 5.9070 0.3020 5.9490 0.3440 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 12.7470 0.2660 12.7890 0.3080 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 11.3790 0.2540 11.4210 0.2960 ; + RECT 11.3790 0.1620 11.4210 0.2040 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 10.4670 0.3320 10.5090 0.3740 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.4190 0.2410 14.4610 0.2830 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 13.9630 0.2070 14.0050 0.2490 ; + RECT 14.4190 0.3330 14.4610 0.3750 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 14.7230 0.1490 14.7650 0.1910 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 14.4190 0.3330 14.4610 0.3750 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 13.6590 0.1770 13.7010 0.2190 ; + RECT 9.5550 0.3320 9.5970 0.3740 ; + RECT 5.6030 0.3020 5.6450 0.3440 ; + RECT 12.2910 0.1360 12.3330 0.1780 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 14.7230 0.1490 14.7650 0.1910 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 7.7310 0.3090 7.7730 0.3510 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 13.6590 0.2690 13.7010 0.3110 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 8.6430 0.3090 8.6850 0.3510 ; + RECT 13.6590 0.2690 13.7010 0.3110 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 6.2110 0.3080 6.2530 0.3500 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.8990 0.0880 5.4210 0.1380 ; + RECT 10.2190 0.0880 10.3010 0.1380 ; + RECT 5.2630 0.1380 5.4210 0.1880 ; + RECT 10.2350 0.1380 10.2850 0.1880 ; + RECT 5.2630 0.2360 10.2760 0.2380 ; + RECT 5.2630 0.1880 10.2850 0.2360 ; + END + PORT + LAYER CO ; + RECT 4.9190 0.0900 4.9610 0.1320 ; + RECT 5.3750 0.1080 5.4170 0.1500 ; + RECT 10.2390 0.0900 10.2810 0.1320 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.8610 0.2490 12.9770 0.3590 ; + RECT 12.6510 0.5270 12.9260 0.5770 ; + RECT 12.8760 0.3590 12.9260 0.5270 ; + END + PORT + LAYER CO ; + RECT 12.6710 0.5310 12.7130 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.0010 0.6630 8.1570 0.8150 ; + RECT 8.0910 0.6130 8.4770 0.6630 ; + RECT 8.7150 0.7810 8.7650 0.9330 ; + RECT 8.4270 0.6630 8.4770 0.7310 ; + RECT 8.4270 0.7310 8.7650 0.7810 ; + END + PORT + LAYER CO ; + RECT 8.4150 0.6170 8.4570 0.6590 ; + RECT 8.2630 0.6170 8.3050 0.6590 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.3590 0.9480 12.9770 1.0080 ; + RECT 12.8620 0.6900 12.9770 0.9480 ; + RECT 12.7430 0.6270 12.7930 0.9480 ; + END + PORT + LAYER CO ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.2910 0.9570 12.3330 0.9990 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.7430 12.7890 0.7850 ; + RECT 11.3790 0.9570 11.4210 0.9990 ; + RECT 12.8990 0.7100 12.9410 0.7520 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.8990 0.8620 12.9410 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 7.0470 0.6620 7.0890 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.5030 0.6280 7.5450 0.6700 ; + RECT 6.7430 0.6890 6.7850 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 5.8310 0.0900 5.8730 0.1320 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 7.4270 0.9450 7.4690 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.6670 0.5090 6.7090 0.5510 ; + RECT 6.5910 0.8580 6.6330 0.9000 ; + RECT 6.5150 1.1260 6.5570 1.1680 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 7.3510 0.6280 7.3930 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 5.2990 1.0860 5.3410 1.1280 ; + RECT 5.2990 1.1780 5.3410 1.2200 ; + RECT 5.4510 1.1120 5.4930 1.1540 ; + RECT 5.4510 1.2040 5.4930 1.2460 ; + RECT 5.1470 1.2040 5.1890 1.2460 ; + RECT 5.1470 1.1120 5.1890 1.1540 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.2040 4.7330 1.2460 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 4.6910 1.1120 4.7330 1.1540 ; + RECT 7.4270 0.5140 7.4690 0.5560 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 4.4630 0.0900 4.5050 0.1320 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 14.1150 0.8790 14.1570 0.9210 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.3270 0.0900 9.3690 0.1320 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 6.8950 0.6620 6.9370 0.7040 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.4910 0.4170 8.5330 0.4590 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 9.7070 0.4370 9.7490 0.4790 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 8.0350 1.0640 8.0770 1.1060 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 5.9070 1.1260 5.9490 1.1680 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 6.9710 0.5140 7.0130 0.5560 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.8430 0.5620 4.8850 0.6040 ; + RECT 12.5950 0.6800 12.6370 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 11.5310 0.3460 11.5730 0.3880 ; + RECT 8.4910 1.1240 8.5330 1.1660 ; + RECT 14.1150 1.2470 14.1570 1.2890 ; + RECT 4.6910 0.4330 4.7330 0.4750 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 9.4030 0.9710 9.4450 1.0130 ; + RECT 6.9710 1.0620 7.0130 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 14.1150 1.2470 14.1570 1.2890 ; + RECT 11.9870 0.7130 12.0290 0.7550 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 9.8590 0.4370 9.9010 0.4790 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 11.8350 0.3680 11.8770 0.4100 ; + RECT 10.4670 1.2390 10.5090 1.2810 ; + RECT 8.9470 1.0240 8.9890 1.0660 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 14.0390 0.0970 14.0810 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 14.6470 0.6640 14.6890 0.7060 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 8.1870 0.4170 8.2290 0.4590 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.5310 0.6480 11.5730 0.6900 ; + RECT 10.8470 1.2030 10.8890 1.2450 ; + RECT 11.5310 0.6480 11.5730 0.6900 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 11.2270 0.1620 11.2690 0.2040 ; + RECT 11.6830 0.2520 11.7250 0.2940 ; + RECT 11.2270 0.2540 11.2690 0.2960 ; + RECT 11.6070 1.5240 11.6490 1.5660 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 12.2150 1.0820 12.2570 1.1240 ; + RECT 11.5310 0.8320 11.5730 0.8740 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0900 4.3530 0.1320 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.5310 0.7400 11.5730 0.7820 ; + RECT 9.7070 1.2910 9.7490 1.3330 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 13.8110 0.2690 13.8530 0.3110 ; + RECT 5.8310 0.6330 5.8730 0.6750 ; + RECT 10.1630 0.5620 10.2050 0.6040 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 7.8830 0.5250 7.9250 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 5.7550 0.4020 5.7970 0.4440 ; + RECT 14.1150 0.9710 14.1570 1.0130 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 11.8350 0.6770 11.8770 0.7190 ; + RECT 14.1150 1.1550 14.1570 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 11.5310 0.3460 11.5730 0.3880 ; + RECT 8.3390 0.5170 8.3810 0.5590 ; + RECT 3.8550 0.6180 3.8970 0.6600 ; + RECT 14.1150 0.2490 14.1570 0.2910 ; + RECT 14.1150 1.0630 14.1570 1.1050 ; + RECT 14.1150 1.0630 14.1570 1.1050 ; + RECT 8.2630 0.9240 8.3050 0.9660 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.5310 0.2540 11.5730 0.2960 ; + RECT 12.3670 0.4660 12.4090 0.5080 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 14.1150 0.9710 14.1570 1.0130 ; + RECT 11.5310 0.1620 11.5730 0.2040 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 7.8830 0.9720 7.9250 1.0140 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + RECT 10.4670 0.9630 10.5090 1.0050 ; + RECT 8.0350 0.5170 8.0770 0.5590 ; + RECT 14.1150 0.3410 14.1570 0.3830 ; + RECT 8.9470 0.4170 8.9890 0.4590 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 11.9110 1.2030 11.9530 1.2450 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 11.6070 1.0760 11.6490 1.1180 ; + RECT 14.0390 0.6060 14.0810 0.6480 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 9.1750 0.7710 9.2170 0.8130 ; + RECT 9.2510 1.1990 9.2930 1.2410 ; + RECT 4.0070 0.6180 4.0490 0.6600 ; + RECT 7.8070 1.5240 7.8490 1.5660 ; + RECT 9.2510 1.2910 9.2930 1.3330 ; + RECT 10.8470 0.8050 10.8890 0.8470 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 5.6790 0.9710 5.7210 1.0130 ; + RECT 4.9950 0.3240 5.0370 0.3660 ; + RECT 14.3430 0.0970 14.3850 0.1390 ; + RECT 3.7030 0.6180 3.7450 0.6600 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 9.1750 1.4240 9.2170 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 8.5670 0.8510 8.6090 0.8930 ; + RECT 8.4150 0.9240 8.4570 0.9660 ; + RECT 11.3030 0.5310 11.3450 0.5730 ; + RECT 4.1590 0.6600 4.2010 0.7020 ; + RECT 3.7030 0.0900 3.7450 0.1320 ; + RECT 8.1110 0.9240 8.1530 0.9660 ; + RECT 5.4510 1.2960 5.4930 1.3380 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.6790 0.6330 5.7210 0.6750 ; + RECT 6.3630 0.5090 6.4050 0.5510 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 9.6310 0.6620 9.6730 0.7040 ; + RECT 9.9350 0.6540 9.9770 0.6960 ; + RECT 4.6150 0.0900 4.6570 0.1320 ; + RECT 5.5270 0.6330 5.5690 0.6750 ; + RECT 9.1750 0.0900 9.2170 0.1320 ; + RECT 9.4790 0.0900 9.5210 0.1320 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 6.7430 0.6890 6.7850 0.7310 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 11.6830 0.8240 11.7250 0.8660 ; + RECT 10.0110 0.5460 10.0530 0.5880 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 10.3910 0.6620 10.4330 0.7040 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 13.8110 0.1770 13.8530 0.2190 ; + RECT 13.7350 0.6170 13.7770 0.6590 ; + RECT 5.1470 1.2960 5.1890 1.3380 ; + RECT 13.8110 0.3610 13.8530 0.4030 ; + RECT 9.1750 1.4240 9.2170 1.4660 ; + RECT 9.4790 0.7620 9.5210 0.8040 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 9.6310 0.9710 9.6730 1.0130 ; + RECT 9.0990 0.9710 9.1410 1.0130 ; + RECT 6.2870 0.8580 6.3290 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.5270 1.4280 5.5690 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.4670 1.2390 10.5090 1.2810 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 12.1390 0.1360 12.1810 0.1780 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 9.4030 0.3340 9.4450 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 10.1630 0.9630 10.2050 1.0050 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 6.2110 1.1260 6.2530 1.1680 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 10.4670 1.0550 10.5090 1.0970 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 11.9870 0.1360 12.0290 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 11.2270 0.7430 11.2690 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.4430 0.2520 12.4850 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 10.4670 1.0550 10.5090 1.0970 ; + RECT 12.6710 1.0820 12.7130 1.1240 ; + RECT 10.4670 1.1470 10.5090 1.1890 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 10.4670 1.1470 10.5090 1.1890 ; + RECT 11.5310 0.8320 11.5730 0.8740 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 8.7190 1.5240 8.7610 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 5.4510 0.4020 5.4930 0.4440 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 9.2510 0.5010 9.2930 0.5430 ; + RECT 5.1470 0.5020 5.1890 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 9.8590 1.1830 9.9010 1.2250 ; + RECT 14.1150 1.1550 14.1570 1.1970 ; + RECT 10.0110 1.2910 10.0530 1.3330 ; + RECT 14.1150 0.8790 14.1570 0.9210 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 8.9470 1.2080 8.9890 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 9.3270 1.4240 9.3690 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 8.9470 1.1160 8.9890 1.1580 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 8.5670 0.6170 8.6090 0.6590 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + LAYER PO ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 9.6370 0.0660 9.6670 0.7280 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + RECT 9.6370 0.9390 9.6670 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.6450 ; + RECT 4.3170 0.0660 4.3470 0.6820 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 8.1170 0.8920 8.1470 1.6060 ; + RECT 9.3330 0.7710 9.3630 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.2690 0.8920 8.2990 1.6060 ; + RECT 14.5010 0.0710 14.5310 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 8.4210 0.8920 8.4510 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.3330 0.0660 9.3630 0.6450 ; + RECT 8.4210 0.0660 8.4510 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6820 ; + RECT 14.8050 0.0690 14.8350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.6850 0.9390 5.7150 1.6060 ; + RECT 9.1810 0.7540 9.2110 1.6060 ; + RECT 14.3490 0.0690 14.3790 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 14.1970 0.0710 14.2270 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 14.9570 0.0710 14.9870 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 14.6530 0.0690 14.6830 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6950 ; + RECT 5.5330 0.0660 5.5630 0.6950 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6910 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 10.7010 0.0670 10.7310 1.6050 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 8.7250 0.8390 8.7550 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + LAYER M1 ; + RECT 9.2470 0.5420 10.0730 0.5920 ; + RECT 9.2480 1.1790 10.1090 1.2290 ; + RECT 10.0070 0.4890 10.0570 0.5420 ; + RECT 9.7430 0.5920 9.7930 0.7570 ; + RECT 9.7430 0.7570 10.1090 0.8070 ; + RECT 10.0590 0.8070 10.1090 1.1790 ; + RECT 9.6800 0.4330 9.9210 0.4830 ; + RECT 11.6630 0.2480 12.5050 0.2980 ; + RECT 12.5510 0.6270 12.6410 0.6770 ; + RECT 12.5510 0.4270 12.6410 0.4620 ; + RECT 12.3470 0.4770 12.6010 0.5120 ; + RECT 12.3470 0.4620 12.6410 0.4770 ; + RECT 12.5910 0.1260 12.6410 0.4270 ; + RECT 12.5910 0.6770 12.6410 0.7680 ; + RECT 12.5510 0.5120 12.6010 0.6270 ; + RECT 11.9670 0.1320 12.2010 0.1820 ; + RECT 11.2230 1.0720 11.6720 1.1220 ; + RECT 11.1830 0.4270 11.2730 0.4770 ; + RECT 11.1830 0.6270 11.2730 0.6770 ; + RECT 11.2230 0.1260 11.2730 0.4270 ; + RECT 11.1830 0.4770 11.2330 0.6270 ; + RECT 11.2230 0.6770 11.2730 1.0720 ; + RECT 14.1510 0.6600 14.7090 0.7100 ; + RECT 14.1110 0.4010 14.2010 0.4510 ; + RECT 14.1110 0.7780 14.2010 0.8280 ; + RECT 14.1110 0.2250 14.1610 0.4010 ; + RECT 14.1110 0.8280 14.1610 1.3090 ; + RECT 14.1510 0.4510 14.2010 0.6600 ; + RECT 14.1510 0.7100 14.2010 0.7780 ; + RECT 13.8460 0.6020 14.1010 0.6520 ; + RECT 13.8070 0.4010 13.8960 0.4510 ; + RECT 13.8070 0.7780 13.8960 0.8280 ; + RECT 13.8070 0.1570 13.8570 0.4010 ; + RECT 13.8460 0.4510 13.8960 0.6020 ; + RECT 13.8070 0.8280 13.8570 1.3090 ; + RECT 13.8460 0.6520 13.8960 0.7780 ; + RECT 14.0190 0.0930 14.4050 0.1430 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 5.2790 0.4980 5.3610 0.5480 ; + RECT 4.8230 0.5580 4.9060 0.6070 ; + RECT 4.8390 0.6080 5.3450 0.6570 ; + RECT 4.8230 0.6070 5.3450 0.6080 ; + RECT 5.2950 0.5480 5.3450 0.6070 ; + RECT 4.7420 0.7380 6.1060 0.7880 ; + RECT 5.8870 1.1200 6.9010 1.1700 ; + RECT 6.0550 0.5050 6.9010 0.5550 ; + RECT 6.8510 0.6580 7.1160 0.7080 ; + RECT 6.8510 0.7080 6.9010 1.1200 ; + RECT 6.0550 0.5550 6.1050 0.7380 ; + RECT 6.8510 0.5550 6.9010 0.6580 ; + RECT 5.5070 0.9680 6.7970 1.0180 ; + RECT 6.7470 0.7510 6.7970 0.9680 ; + RECT 6.7390 0.6690 6.7890 0.6860 ; + RECT 6.7390 0.6860 6.7970 0.7510 ; + RECT 7.2320 0.6240 7.5650 0.6740 ; + RECT 6.9670 1.1080 7.0170 1.1240 ; + RECT 6.9670 1.0420 7.0170 1.0580 ; + RECT 7.2320 0.6740 7.2820 1.0580 ; + RECT 6.9670 1.0580 7.2820 1.1080 ; + RECT 7.2320 0.5600 7.2820 0.6240 ; + RECT 6.9510 0.5100 7.2820 0.5600 ; + RECT 5.5070 0.6290 5.8930 0.6790 ; + RECT 5.4470 1.0680 5.8170 1.1180 ; + RECT 4.6710 1.2920 5.5130 1.3420 ; + RECT 5.1430 1.0920 5.1930 1.2920 ; + RECT 4.9910 1.0920 5.0410 1.2920 ; + RECT 4.6870 1.0920 4.7370 1.2920 ; + RECT 5.4470 1.1180 5.4970 1.2920 ; + RECT 9.4590 0.7580 9.6080 0.8080 ; + RECT 9.5580 0.9670 9.6930 1.0170 ; + RECT 9.5580 0.8080 9.6080 0.9670 ; + RECT 8.5460 0.6130 8.7810 0.6630 ; + RECT 9.0950 0.7670 9.2370 0.8170 ; + RECT 9.0950 0.6580 9.6930 0.7080 ; + RECT 9.0950 0.7080 9.1450 0.7670 ; + RECT 9.0950 0.6500 9.1450 0.6580 ; + RECT 7.8790 0.9200 8.6130 0.9700 ; + RECT 8.5630 0.8310 8.6130 0.9200 ; + RECT 7.8790 0.9700 7.9290 1.0340 ; + RECT 7.8790 0.5050 7.9290 0.9200 ; + RECT 11.9670 0.7090 12.5050 0.7590 ; + RECT 11.6630 0.8200 12.2110 0.8700 ; + RECT 12.1950 1.0780 12.7330 1.1280 ; + RECT 4.5950 1.4240 5.5890 1.4740 ; + RECT 4.1390 1.5240 5.8930 1.5740 ; + RECT 7.7870 1.5200 8.7810 1.5700 ; + RECT 9.6800 1.2870 10.0730 1.3370 ; + RECT 8.8490 1.5200 11.6690 1.5700 ; + RECT 3.3190 0.6140 4.0690 0.6640 ; + RECT 3.3190 0.6640 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6140 ; + RECT 3.6230 0.6640 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6140 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 13.2530 0.6320 13.7810 0.6820 ; + RECT 13.7310 0.5970 13.7810 0.6320 ; + RECT 10.8270 1.1990 13.3030 1.2490 ; + RECT 13.2530 0.6820 13.3030 1.1990 ; + RECT 8.1670 0.4130 9.1450 0.4630 ; + RECT 8.9430 0.9670 9.4660 1.0170 ; + RECT 8.1670 1.1200 8.9930 1.1700 ; + RECT 8.9430 0.3840 8.9930 0.4130 ; + RECT 9.0950 0.4630 9.1450 0.5630 ; + RECT 8.9430 0.4630 8.9930 0.9670 ; + RECT 8.9430 1.1700 8.9930 1.2700 ; + RECT 8.9430 1.0170 8.9930 1.1200 ; + RECT 9.0950 0.3800 9.1450 0.4130 ; + RECT 9.0950 0.3300 9.4710 0.3800 ; + RECT 9.0950 0.3270 9.1450 0.3300 ; + RECT 4.3830 0.8540 6.6530 0.9040 ; + RECT 4.3830 0.5630 4.7370 0.6130 ; + RECT 4.3830 0.4130 4.4330 0.5630 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 5.2950 0.9040 5.3450 1.2420 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.3830 0.6130 4.4330 0.8540 ; + RECT 4.6860 0.4580 5.0050 0.4980 ; + RECT 4.9550 0.5080 5.2090 0.5480 ; + RECT 4.6860 0.4980 5.2090 0.5080 ; + RECT 4.6870 0.4070 4.7370 0.4580 ; + RECT 4.6870 0.5080 4.7370 0.5630 ; + RECT 6.7230 1.4200 9.3890 1.4700 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 11.2830 0.5270 11.8810 0.5770 ; + RECT 11.5270 0.5770 11.5770 0.8970 ; + RECT 11.5270 0.1260 11.5770 0.5270 ; + RECT 11.8310 0.5770 11.8810 0.7700 ; + RECT 11.8310 0.3480 11.8810 0.5270 ; + RECT 8.8310 0.5630 8.8810 1.0200 ; + RECT 8.0150 0.5130 8.8800 0.5440 ; + RECT 8.0150 0.5440 8.8810 0.5630 ; + RECT 8.0310 1.0200 8.8810 1.0700 ; + RECT 7.4000 0.5100 7.6970 0.5600 ; + RECT 7.4000 0.9410 7.6970 0.9910 ; + RECT 7.6470 0.5600 7.6970 0.9410 ; + RECT 7.6470 0.9910 7.6970 1.1200 ; + RECT 7.6470 1.1200 8.0810 1.1700 ; + RECT 8.0310 1.0700 8.0810 1.1200 ; + RECT 10.4630 0.8510 10.5130 1.3010 ; + RECT 10.1590 0.8010 10.9090 0.8510 ; + RECT 10.1590 0.5420 10.2090 0.6500 ; + RECT 9.9150 0.6500 10.2090 0.7000 ; + RECT 10.1590 0.8510 10.2090 1.3010 ; + RECT 10.1590 0.7000 10.2090 0.8010 ; + RECT 5.8110 0.0880 9.5410 0.1380 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 5.0600 0.3980 5.8170 0.4480 ; + RECT 4.9720 0.3200 5.1100 0.3700 ; + RECT 5.0600 0.3700 5.1100 0.3980 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7640 4.1290 1.0050 ; + RECT 3.7750 0.7140 4.2050 0.7640 ; + RECT 4.1550 0.5630 4.2050 0.7140 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7640 3.8250 1.0050 ; + RECT 10.0070 0.4390 10.4370 0.4890 ; + RECT 10.3870 0.4890 10.4370 0.7240 ; + RECT 9.2470 0.4640 9.2970 0.5420 ; + RECT 9.2480 1.2290 9.2980 1.3530 ; + LAYER NWELL ; + RECT -0.1150 1.5430 15.1630 1.7730 ; + RECT -0.1150 0.6870 10.5940 1.5430 ; + RECT 13.5270 0.6790 15.1630 1.5430 ; + RECT -0.1150 0.6790 4.1800 0.6870 ; + RECT 4.6380 0.6790 9.4930 0.6870 ; + RECT 11.0560 0.4910 13.0670 1.0830 ; + END +END RSDFFSRARX1_HVT + +MACRO RSDFFSRARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.352 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.2630 0.8920 15.1140 0.9420 ; + RECT 14.9850 0.9420 15.1140 0.9670 ; + RECT 14.9850 0.8570 15.1140 0.8920 ; + RECT 14.2630 0.9420 14.3130 1.5640 ; + RECT 14.5670 0.9420 14.6170 1.5640 ; + RECT 14.5670 0.5020 14.6170 0.5970 ; + RECT 14.5670 0.3190 14.6170 0.4520 ; + RECT 14.2630 0.2130 14.3130 0.4520 ; + RECT 15.0630 0.5020 15.1130 0.8570 ; + RECT 14.2630 0.4520 15.1130 0.5020 ; + END + PORT + LAYER CO ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 14.5710 1.0270 14.6130 1.0690 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + RECT 14.5710 1.0270 14.6130 1.0690 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 14.5710 0.4410 14.6130 0.4830 ; + RECT 14.5710 0.4410 14.6130 0.4830 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 0.4270 14.3090 0.4690 ; + RECT 14.5710 1.2110 14.6130 1.2530 ; + RECT 14.5710 1.1190 14.6130 1.1610 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.5710 0.3490 14.6130 0.3910 ; + RECT 14.2670 0.2430 14.3090 0.2850 ; + RECT 14.2670 0.3350 14.3090 0.3770 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 1.0270 14.3090 1.0690 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.1190 14.3090 1.1610 ; + RECT 14.2670 0.3350 14.3090 0.3770 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 14.5710 1.4870 14.6130 1.5290 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.5710 0.5330 14.6130 0.5750 ; + RECT 14.5710 1.3950 14.6130 1.4370 ; + RECT 14.5710 1.3030 14.6130 1.3450 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 14.5710 1.1190 14.6130 1.1610 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.1400 1.1610 15.2660 1.2710 ; + RECT 14.7190 0.1160 14.7690 0.2890 ; + RECT 15.0230 0.1160 15.0730 0.2890 ; + RECT 14.7190 0.2890 15.2290 0.3390 ; + RECT 14.7190 1.0420 15.2290 1.0920 ; + RECT 14.7190 1.0920 14.7690 1.5640 ; + RECT 15.0230 1.0920 15.0730 1.5640 ; + RECT 15.1790 1.0920 15.2290 1.1610 ; + RECT 15.1790 0.3390 15.2290 1.0420 ; + END + PORT + LAYER CO ; + RECT 15.0270 0.1510 15.0690 0.1930 ; + RECT 15.0270 0.1510 15.0690 0.1930 ; + RECT 15.0270 0.2430 15.0690 0.2850 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.2110 15.0690 1.2530 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 15.0270 1.3950 15.0690 1.4370 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 1.3030 15.0690 1.3450 ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + RECT 15.0270 1.4870 15.0690 1.5290 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.2110 14.7650 1.2530 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 1.3030 14.7650 1.3450 ; + RECT 14.7230 0.1510 14.7650 0.1930 ; + RECT 14.7230 0.2430 14.7650 0.2850 ; + RECT 14.7230 0.1510 14.7650 0.1930 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 1.4870 14.7650 1.5290 ; + RECT 14.7230 1.3950 14.7650 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.3520 1.7020 ; + RECT 5.5820 1.2200 8.7050 1.2700 ; + RECT 9.5510 1.4040 11.7690 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 13.6550 0.9590 13.7050 1.6420 ; + RECT 2.4070 0.9400 2.4570 1.6420 ; + RECT 2.8630 0.7690 2.9130 1.6420 ; + RECT 13.9590 0.9590 14.0090 1.6420 ; + RECT 14.4150 0.9920 14.4650 1.6420 ; + RECT 2.7110 0.9820 2.7610 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 3.1670 1.1780 3.2170 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 14.8710 1.1810 14.9210 1.6420 ; + RECT 3.4710 1.2630 3.5210 1.3590 ; + RECT 3.4710 1.1830 3.5210 1.2130 ; + RECT 3.9270 1.2630 3.9770 1.6420 ; + RECT 3.4710 1.2130 3.9770 1.2630 ; + RECT 3.9270 1.1790 3.9770 1.2130 ; + RECT 5.9910 1.2700 6.0410 1.6420 ; + RECT 10.3110 0.9530 10.3610 1.4040 ; + RECT 9.5510 1.2790 9.6010 1.4040 ; + RECT 11.7190 1.4540 11.7690 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.0160 2.7570 1.0580 ; + RECT 2.7150 1.1080 2.7570 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.1710 1.3840 3.2130 1.4260 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 3.9310 1.3210 3.9730 1.3630 ; + RECT 3.4750 1.2950 3.5170 1.3370 ; + RECT 3.4750 1.2030 3.5170 1.2450 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.8320 2.9090 0.8740 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.2000 2.9090 1.2420 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.2920 2.9090 1.3340 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 0.9240 2.9090 0.9660 ; + RECT 2.8670 1.1080 2.9090 1.1500 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 2.8670 1.3840 2.9090 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.0160 2.4530 1.0580 ; + RECT 2.4110 1.1080 2.4530 1.1500 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 2.8670 1.4760 2.9090 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.9630 1.1880 14.0050 1.2300 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 13.9630 1.0040 14.0050 1.0460 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 13.9630 1.2800 14.0050 1.3220 ; + RECT 14.4190 1.0270 14.4610 1.0690 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 14.8750 1.4870 14.9170 1.5290 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 14.4190 1.1190 14.4610 1.1610 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 13.9630 1.0040 14.0050 1.0460 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.8750 1.3030 14.9170 1.3450 ; + RECT 10.3150 1.1570 10.3570 1.1990 ; + RECT 3.9310 1.4130 3.9730 1.4550 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 13.9630 1.0960 14.0050 1.1380 ; + RECT 10.3150 1.2490 10.3570 1.2910 ; + RECT 10.3150 1.0650 10.3570 1.1070 ; + RECT 13.9630 1.0960 14.0050 1.1380 ; + RECT 13.9630 1.1880 14.0050 1.2300 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 10.3150 1.0650 10.3570 1.1070 ; + RECT 10.3150 1.1570 10.3570 1.1990 ; + RECT 7.7310 1.2240 7.7730 1.2660 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 6.3630 1.2240 6.4050 1.2660 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 3.1710 1.2920 3.2130 1.3340 ; + RECT 10.3150 0.9730 10.3570 1.0150 ; + RECT 3.1710 1.2000 3.2130 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 14.8750 1.2110 14.9170 1.2530 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 3.1710 1.4760 3.2130 1.5180 ; + RECT 3.9310 1.2290 3.9730 1.2710 ; + RECT 13.9630 1.2800 14.0050 1.3220 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 8.6430 1.2240 8.6850 1.2660 ; + RECT 9.5550 1.3010 9.5970 1.3430 ; + RECT 13.6590 1.0040 13.7010 1.0460 ; + RECT 14.8750 1.3950 14.9170 1.4370 ; + RECT 14.4190 1.1190 14.4610 1.1610 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 13.6590 1.2800 13.7010 1.3220 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 13.6590 1.1880 13.7010 1.2300 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 10.3150 1.2490 10.3570 1.2910 ; + RECT 13.6590 1.0960 13.7010 1.1380 ; + RECT 7.2750 1.2240 7.3170 1.2660 ; + RECT 14.4190 1.0270 14.4610 1.0690 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5920 1.3840 3.7030 1.4230 ; + RECT 3.5930 1.3130 3.7030 1.3840 ; + RECT 3.5920 1.4230 3.6420 1.5240 ; + RECT 3.3790 1.5240 3.6420 1.5740 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.5280 3.5930 1.5700 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.3520 0.0300 ; + RECT 13.9190 0.2030 14.0250 0.2530 ; + RECT 14.4150 0.2030 14.6570 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 2.8630 0.0300 2.9130 0.5730 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 12.7430 0.0300 12.7930 0.4260 ; + RECT 12.2870 0.0300 12.3370 0.1980 ; + RECT 11.3750 0.0300 11.4250 0.4260 ; + RECT 13.6550 0.0300 13.7050 0.4230 ; + RECT 14.8710 0.0300 14.9210 0.2260 ; + RECT 10.4870 0.0300 10.5370 0.3280 ; + RECT 9.5350 0.3750 10.5120 0.3780 ; + RECT 9.5350 0.3280 10.5370 0.3750 ; + RECT 13.9190 0.0300 13.9690 0.2030 ; + RECT 14.4150 0.2530 14.4650 0.3950 ; + RECT 14.6070 0.0300 14.6570 0.2030 ; + RECT 3.4710 0.2440 3.5210 0.5570 ; + RECT 3.9270 0.2440 3.9770 0.4630 ; + RECT 5.9190 0.3380 8.7050 0.3550 ; + RECT 5.1630 0.3050 8.7050 0.3380 ; + RECT 3.1670 0.1940 5.2130 0.2440 ; + RECT 3.1670 0.2440 3.2170 0.4280 ; + RECT 3.1670 0.0300 3.2170 0.1940 ; + RECT 5.1630 0.2440 5.2130 0.2880 ; + RECT 5.1630 0.2880 5.9690 0.3050 ; + END + PORT + LAYER CO ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 6.5150 0.3080 6.5570 0.3500 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.5020 2.9090 0.5440 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 7.2750 0.3090 7.3170 0.3510 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 3.9310 0.3980 3.9730 0.4400 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 13.9630 0.2070 14.0050 0.2490 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.9070 0.2920 5.9490 0.3340 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 6.8190 0.3090 6.8610 0.3510 ; + RECT 13.6590 0.3610 13.7010 0.4030 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 3.4750 0.3110 3.5170 0.3530 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 12.7470 0.2660 12.7890 0.3080 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 14.4190 0.2410 14.4610 0.2830 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 13.9630 0.2070 14.0050 0.2490 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 14.4190 0.3330 14.4610 0.3750 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 14.8750 0.1490 14.9170 0.1910 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 13.6590 0.1770 13.7010 0.2190 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 10.4670 0.3320 10.5090 0.3740 ; + RECT 9.5550 0.3320 9.5970 0.3740 ; + RECT 5.6030 0.2920 5.6450 0.3340 ; + RECT 12.2910 0.1360 12.3330 0.1780 ; + RECT 3.9310 0.3060 3.9730 0.3480 ; + RECT 14.8750 0.1490 14.9170 0.1910 ; + RECT 14.4190 0.3330 14.4610 0.3750 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 7.7310 0.3090 7.7730 0.3510 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 11.3790 0.2540 11.4210 0.2960 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 11.3790 0.1620 11.4210 0.2040 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 13.6590 0.2690 13.7010 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 2.8670 0.4100 2.9090 0.4520 ; + RECT 3.1710 0.3660 3.2130 0.4080 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + RECT 3.9310 0.2140 3.9730 0.2560 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 8.6430 0.3090 8.6850 0.3510 ; + RECT 13.6590 0.2690 13.7010 0.3110 ; + RECT 3.4750 0.4950 3.5170 0.5370 ; + RECT 3.4750 0.4030 3.5170 0.4450 ; + RECT 6.2110 0.3080 6.2530 0.3500 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.8990 0.0880 5.4730 0.1380 ; + RECT 10.2190 0.0880 10.3010 0.1380 ; + RECT 5.2630 0.1380 5.4730 0.1880 ; + RECT 5.3710 0.2320 10.2760 0.2380 ; + RECT 5.3710 0.2100 10.2850 0.2320 ; + RECT 10.2350 0.1380 10.2850 0.1880 ; + RECT 5.2630 0.1880 10.2850 0.2100 ; + END + PORT + LAYER CO ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 5.3750 0.0980 5.4170 0.1400 ; + RECT 10.2390 0.0920 10.2810 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.8640 0.2490 12.9770 0.3590 ; + RECT 12.6510 0.5270 12.9260 0.5770 ; + RECT 12.8760 0.3590 12.9260 0.5270 ; + END + PORT + LAYER CO ; + RECT 12.6710 0.5310 12.7130 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.0010 0.6630 8.1570 0.8150 ; + RECT 8.0910 0.6130 8.4770 0.6630 ; + RECT 8.7150 0.7810 8.7650 0.9330 ; + RECT 8.4270 0.6630 8.4770 0.7310 ; + RECT 8.4270 0.7310 8.7650 0.7810 ; + END + PORT + LAYER CO ; + RECT 8.4150 0.6170 8.4570 0.6590 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 8.2630 0.6170 8.3050 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.3590 0.9420 12.9770 1.0020 ; + RECT 12.8670 0.6900 12.9770 0.9420 ; + RECT 12.7430 0.6270 12.7930 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.2910 0.9510 12.3330 0.9930 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.7430 12.7890 0.7850 ; + RECT 12.8990 0.7100 12.9410 0.7520 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 11.3790 0.9510 11.4210 0.9930 ; + RECT 12.8990 0.8620 12.9410 0.9040 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + OBS + LAYER CO ; + RECT 5.8310 0.0920 5.8730 0.1340 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 6.6670 0.5090 6.7090 0.5510 ; + RECT 6.5910 0.8580 6.6330 0.9000 ; + RECT 6.5150 1.1260 6.5570 1.1680 ; + RECT 14.9510 0.6640 14.9930 0.7060 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 7.3510 0.6280 7.3930 0.6700 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 7.0470 0.6620 7.0890 0.7040 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 7.5030 0.6280 7.5450 0.6700 ; + RECT 6.7430 0.6890 6.7850 0.7310 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.4250 4.1250 0.4670 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.7790 0.4250 3.8210 0.4670 ; + RECT 3.6270 0.3410 3.6690 0.3830 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.3230 0.3410 3.3650 0.3830 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4980 3.0610 0.5400 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 4.5390 0.9990 4.5810 1.0410 ; + RECT 4.5390 1.0910 4.5810 1.1330 ; + RECT 5.2990 1.0860 5.3410 1.1280 ; + RECT 5.2990 1.1780 5.3410 1.2200 ; + RECT 5.4510 1.1120 5.4930 1.1540 ; + RECT 5.4510 1.2040 5.4930 1.2460 ; + RECT 5.1470 1.2040 5.1890 1.2460 ; + RECT 5.1470 1.1120 5.1890 1.1540 ; + RECT 4.8430 1.0860 4.8850 1.1280 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 4.6910 1.2040 4.7330 1.2460 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 4.6910 1.1120 4.7330 1.1540 ; + RECT 7.4270 0.5140 7.4690 0.5560 ; + RECT 7.4270 0.9450 7.4690 0.9870 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.8320 3.0610 0.8740 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.2000 3.0610 1.2420 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.2920 3.0610 1.3340 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 1.0160 3.0610 1.0580 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 0.9240 3.0610 0.9660 ; + RECT 3.0190 1.1080 3.0610 1.1500 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.4760 3.0610 1.5180 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.0160 2.6050 1.0580 ; + RECT 2.5630 1.1080 2.6050 1.1500 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 9.7070 0.4370 9.7490 0.4790 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 3.7790 0.9430 3.8210 0.9850 ; + RECT 9.8590 0.4370 9.9010 0.4790 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 3.0190 1.3840 3.0610 1.4260 ; + RECT 3.0190 0.4060 3.0610 0.4480 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 11.8350 0.3680 11.8770 0.4100 ; + RECT 10.4670 1.2390 10.5090 1.2810 ; + RECT 8.9470 1.0240 8.9890 1.0660 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 9.4030 0.9710 9.4450 1.0130 ; + RECT 6.9710 1.0620 7.0130 1.1040 ; + RECT 4.5390 0.3140 4.5810 0.3560 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 4.3870 1.1830 4.4290 1.2250 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 5.9830 0.8580 6.0250 0.9000 ; + RECT 4.5390 1.2750 4.5810 1.3170 ; + RECT 14.1150 1.2470 14.1570 1.2890 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 14.0390 0.0970 14.0810 0.1390 ; + RECT 4.5390 1.1830 4.5810 1.2250 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 3.7790 0.7580 3.8210 0.8000 ; + RECT 14.7990 0.6640 14.8410 0.7060 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 4.9950 1.2960 5.0370 1.3380 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 3.6270 0.8500 3.6690 0.8920 ; + RECT 3.6270 0.7580 3.6690 0.8000 ; + RECT 14.1150 0.8790 14.1570 0.9210 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.3270 0.0920 9.3690 0.1340 ; + RECT 3.6270 0.9420 3.6690 0.9840 ; + RECT 6.8950 0.6620 6.9370 0.7040 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + RECT 8.4910 0.4170 8.5330 0.4590 ; + RECT 5.9070 1.1260 5.9490 1.1680 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2230 0.7420 5.2650 0.7840 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 11.2270 0.1620 11.2690 0.2040 ; + RECT 11.6830 0.2520 11.7250 0.2940 ; + RECT 11.2270 0.2540 11.2690 0.2960 ; + RECT 11.6070 1.5240 11.6490 1.5660 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 12.2150 1.0610 12.2570 1.1030 ; + RECT 11.5310 0.8220 11.5730 0.8640 ; + RECT 4.2350 0.4160 4.2770 0.4580 ; + RECT 4.3870 0.5250 4.4290 0.5670 ; + RECT 4.3870 0.4330 4.4290 0.4750 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 4.3110 0.0920 4.3530 0.1340 ; + RECT 4.0830 0.5170 4.1250 0.5590 ; + RECT 11.9870 0.7130 12.0290 0.7550 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 14.1150 0.9710 14.1570 1.0130 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 11.8350 0.6770 11.8770 0.7190 ; + RECT 14.1150 1.1550 14.1570 1.1970 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 11.5310 0.3460 11.5730 0.3880 ; + RECT 8.3390 0.5170 8.3810 0.5590 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 14.1150 0.2490 14.1570 0.2910 ; + RECT 14.1150 1.0630 14.1570 1.1050 ; + RECT 14.1150 1.0630 14.1570 1.1050 ; + RECT 8.2630 0.9240 8.3050 0.9660 ; + RECT 4.0830 0.7580 4.1250 0.8000 ; + RECT 11.5310 0.2540 11.5730 0.2960 ; + RECT 12.3670 0.4660 12.4090 0.5080 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 14.1150 0.9710 14.1570 1.0130 ; + RECT 11.5310 0.1620 11.5730 0.2040 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 6.9710 0.5140 7.0130 0.5560 ; + RECT 4.8430 1.1780 4.8850 1.2200 ; + RECT 4.8430 0.5620 4.8850 0.6040 ; + RECT 12.5950 0.6800 12.6370 0.7220 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 14.4950 0.0970 14.5370 0.1390 ; + RECT 11.5310 0.3460 11.5730 0.3880 ; + RECT 8.4910 1.1240 8.5330 1.1660 ; + RECT 14.1150 1.2470 14.1570 1.2890 ; + RECT 4.6910 0.4330 4.7330 0.4750 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 9.1750 0.7710 9.2170 0.8130 ; + RECT 9.2510 1.1990 9.2930 1.2410 ; + RECT 4.0070 0.6170 4.0490 0.6590 ; + RECT 7.8070 1.5240 7.8490 1.5660 ; + RECT 9.2510 1.2910 9.2930 1.3330 ; + RECT 10.8470 0.8050 10.8890 0.8470 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 11.5310 0.7300 11.5730 0.7720 ; + RECT 9.7070 1.2910 9.7490 1.3330 ; + RECT 4.6150 1.4280 4.6570 1.4700 ; + RECT 13.8110 0.2690 13.8530 0.3110 ; + RECT 5.8310 0.6330 5.8730 0.6750 ; + RECT 10.1630 0.5620 10.2050 0.6040 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 7.8830 0.5250 7.9250 0.5670 ; + RECT 3.7790 0.5170 3.8210 0.5590 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 5.7550 0.3920 5.7970 0.4340 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 9.1750 1.4240 9.2170 1.4660 ; + RECT 4.2350 1.1830 4.2770 1.2250 ; + RECT 8.5670 0.8510 8.6090 0.8930 ; + RECT 8.4150 0.9240 8.4570 0.9660 ; + RECT 11.3030 0.5310 11.3450 0.5730 ; + RECT 4.1590 0.6620 4.2010 0.7040 ; + RECT 3.7030 0.0920 3.7450 0.1340 ; + RECT 8.1110 0.9240 8.1530 0.9660 ; + RECT 5.4510 1.2960 5.4930 1.3380 ; + RECT 13.8110 1.1550 13.8530 1.1970 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 5.6790 0.6330 5.7210 0.6750 ; + RECT 6.3630 0.5090 6.4050 0.5510 ; + RECT 4.7670 0.7420 4.8090 0.7840 ; + RECT 9.6310 0.6640 9.6730 0.7060 ; + RECT 9.9350 0.6540 9.9770 0.6960 ; + RECT 4.6150 0.0920 4.6570 0.1340 ; + RECT 5.5270 0.6330 5.5690 0.6750 ; + RECT 9.1750 0.0920 9.2170 0.1340 ; + RECT 9.4790 0.0920 9.5210 0.1340 ; + RECT 13.8110 0.8790 13.8530 0.9210 ; + RECT 6.7430 0.6890 6.7850 0.7310 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 11.6830 0.8240 11.7250 0.8660 ; + RECT 10.0110 0.5460 10.0530 0.5880 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 10.3910 0.6640 10.4330 0.7060 ; + RECT 3.7790 0.8500 3.8210 0.8920 ; + RECT 13.8110 0.1770 13.8530 0.2190 ; + RECT 13.7350 0.6170 13.7770 0.6590 ; + RECT 5.1470 1.2960 5.1890 1.3380 ; + RECT 13.8110 0.3610 13.8530 0.4030 ; + RECT 9.1750 1.4240 9.2170 1.4660 ; + RECT 9.4790 0.7650 9.5210 0.8070 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 7.8830 0.9720 7.9250 1.0140 ; + RECT 4.6910 1.2960 4.7330 1.3380 ; + RECT 8.0350 1.0640 8.0770 1.1060 ; + RECT 8.1870 1.1240 8.2290 1.1660 ; + RECT 12.1390 0.1360 12.1810 0.1780 ; + RECT 8.1870 0.4170 8.2290 0.4590 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.5310 0.6380 11.5730 0.6800 ; + RECT 10.8470 1.2030 10.8890 1.2450 ; + RECT 11.5310 0.6380 11.5730 0.6800 ; + RECT 10.1630 0.9630 10.2050 1.0050 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 6.2110 1.1260 6.2530 1.1680 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 10.4670 1.0550 10.5090 1.0970 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 11.9870 0.1360 12.0290 0.1780 ; + RECT 4.3870 1.0910 4.4290 1.1330 ; + RECT 11.2270 0.7430 11.2690 0.7850 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 12.4430 0.2520 12.4850 0.2940 ; + RECT 3.0950 0.6340 3.1370 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 10.4670 1.0550 10.5090 1.0970 ; + RECT 12.6710 1.0610 12.7130 1.1030 ; + RECT 10.4670 1.1470 10.5090 1.1890 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 10.4670 1.1470 10.5090 1.1890 ; + RECT 11.5310 0.8220 11.5730 0.8640 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 8.7190 1.5240 8.7610 1.5660 ; + RECT 3.3230 0.9420 3.3650 0.9840 ; + RECT 3.3230 0.7580 3.3650 0.8000 ; + RECT 5.4510 0.3920 5.4930 0.4340 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 9.2510 0.5010 9.2930 0.5430 ; + RECT 5.1470 0.5020 5.1890 0.5440 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 9.6310 0.9710 9.6730 1.0130 ; + RECT 9.0990 0.9710 9.1410 1.0130 ; + RECT 6.2870 0.8580 6.3290 0.9000 ; + RECT 3.3230 0.8500 3.3650 0.8920 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 5.5270 1.4280 5.5690 1.4700 ; + RECT 4.1590 1.5280 4.2010 1.5700 ; + RECT 10.4670 1.2390 10.5090 1.2810 ; + RECT 4.2350 0.9990 4.2770 1.0410 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 9.8590 1.1830 9.9010 1.2250 ; + RECT 14.1150 1.1550 14.1570 1.1970 ; + RECT 10.0110 1.2910 10.0530 1.3330 ; + RECT 14.1150 0.8790 14.1570 0.9210 ; + RECT 13.8110 0.9710 13.8530 1.0130 ; + RECT 8.9470 1.2080 8.9890 1.2500 ; + RECT 4.0830 0.8500 4.1250 0.8920 ; + RECT 9.3270 1.4240 9.3690 1.4660 ; + RECT 4.0830 0.9430 4.1250 0.9850 ; + RECT 4.2350 1.2750 4.2770 1.3170 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + RECT 10.4670 0.9630 10.5090 1.0050 ; + RECT 8.0350 0.5170 8.0770 0.5590 ; + RECT 14.1150 0.3410 14.1570 0.3830 ; + RECT 8.9470 0.4170 8.9890 0.4590 ; + RECT 5.5270 0.9710 5.5690 1.0130 ; + RECT 13.8110 1.2470 13.8530 1.2890 ; + RECT 11.9110 1.2030 11.9530 1.2450 ; + RECT 10.1630 1.2390 10.2050 1.2810 ; + RECT 11.6070 1.0610 11.6490 1.1030 ; + RECT 14.0390 0.6060 14.0810 0.6480 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 8.9470 1.1160 8.9890 1.1580 ; + RECT 10.1630 1.0550 10.2050 1.0970 ; + RECT 8.5670 0.6170 8.6090 0.6590 ; + RECT 10.1630 1.1470 10.2050 1.1890 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 9.4030 0.3340 9.4450 0.3760 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 5.6790 0.9710 5.7210 1.0130 ; + RECT 4.9950 0.3240 5.0370 0.3660 ; + RECT 14.3430 0.0970 14.3850 0.1390 ; + RECT 3.7030 0.6170 3.7450 0.6590 ; + RECT 13.8110 1.0630 13.8530 1.1050 ; + RECT 4.2350 1.0910 4.2770 1.1330 ; + LAYER PO ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 9.6370 0.0660 9.6670 0.7280 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.8400 4.3470 1.6060 ; + RECT 9.6370 0.9390 9.6670 1.6060 ; + RECT 9.1810 0.0660 9.2110 0.6470 ; + RECT 4.3170 0.0660 4.3470 0.6840 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 14.9570 0.0690 14.9870 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 8.1170 0.8920 8.1470 1.6060 ; + RECT 9.3330 0.7710 9.3630 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.2690 0.8920 8.2990 1.6060 ; + RECT 14.5010 0.0690 14.5310 1.6060 ; + RECT 14.6530 0.0690 14.6830 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 8.4210 0.8920 8.4510 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.3330 0.0660 9.3630 0.6470 ; + RECT 8.4210 0.0660 8.4510 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 4.4690 0.8400 4.4990 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6840 ; + RECT 15.1090 0.0690 15.1390 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 5.6850 0.9390 5.7150 1.6060 ; + RECT 9.1810 0.7540 9.2110 1.6060 ; + RECT 14.3490 0.0690 14.3790 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 14.1970 0.0710 14.2270 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 15.2610 0.0710 15.2910 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6910 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6950 ; + RECT 5.5330 0.0660 5.5630 0.6950 ; + RECT 14.8050 0.0690 14.8350 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6910 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.9390 5.5630 1.6060 ; + RECT 10.7010 0.0670 10.7310 1.6050 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 8.7250 0.8390 8.7550 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + LAYER M1 ; + RECT 9.2470 0.5420 10.0730 0.5920 ; + RECT 9.2480 1.1790 10.1090 1.2290 ; + RECT 10.0070 0.4890 10.0570 0.5420 ; + RECT 9.7430 0.5920 9.7930 0.7670 ; + RECT 9.7430 0.7670 10.1090 0.8170 ; + RECT 10.0590 0.8170 10.1090 1.1790 ; + RECT 9.6800 0.4330 9.9210 0.4830 ; + RECT 11.6630 0.2480 12.5050 0.2980 ; + RECT 12.5510 0.6270 12.6410 0.6770 ; + RECT 12.5510 0.4270 12.6410 0.4620 ; + RECT 12.3470 0.4770 12.6010 0.5120 ; + RECT 12.3470 0.4620 12.6410 0.4770 ; + RECT 12.5910 0.1260 12.6410 0.4270 ; + RECT 12.5910 0.6770 12.6410 0.7680 ; + RECT 12.5510 0.5120 12.6010 0.6270 ; + RECT 11.9670 0.1320 12.2010 0.1820 ; + RECT 11.2230 1.0570 11.6720 1.1070 ; + RECT 11.1830 0.4270 11.2730 0.4770 ; + RECT 11.1830 0.6270 11.2730 0.6770 ; + RECT 11.2230 0.1260 11.2730 0.4270 ; + RECT 11.1830 0.4770 11.2330 0.6270 ; + RECT 11.2230 0.6770 11.2730 1.0570 ; + RECT 13.8460 0.6020 14.1010 0.6520 ; + RECT 13.8070 0.4010 13.8960 0.4510 ; + RECT 13.8070 0.7780 13.8960 0.8280 ; + RECT 13.8070 0.1570 13.8570 0.4010 ; + RECT 13.8460 0.4510 13.8960 0.6020 ; + RECT 13.8070 0.8280 13.8570 1.3090 ; + RECT 13.8460 0.6520 13.8960 0.7780 ; + RECT 14.1510 0.6600 15.0130 0.7100 ; + RECT 14.1110 0.4010 14.2010 0.4510 ; + RECT 14.1110 0.7780 14.2010 0.8280 ; + RECT 14.1110 0.2250 14.1610 0.4010 ; + RECT 14.1510 0.4510 14.2010 0.6600 ; + RECT 14.1110 0.8280 14.1610 1.3090 ; + RECT 14.1510 0.7100 14.2010 0.7780 ; + RECT 14.0190 0.0930 14.5570 0.1430 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 5.2790 0.4980 5.3610 0.5480 ; + RECT 4.8230 0.5580 4.9060 0.6070 ; + RECT 4.8390 0.6080 5.3450 0.6570 ; + RECT 4.8230 0.6070 5.3450 0.6080 ; + RECT 5.2950 0.5480 5.3450 0.6070 ; + RECT 4.7420 0.7380 6.1060 0.7880 ; + RECT 5.8870 1.1200 6.9010 1.1700 ; + RECT 6.0550 0.5050 6.9010 0.5550 ; + RECT 6.8510 0.6580 7.1160 0.7080 ; + RECT 6.8510 0.7080 6.9010 1.1200 ; + RECT 6.0550 0.5550 6.1050 0.7380 ; + RECT 6.8510 0.5550 6.9010 0.6580 ; + RECT 5.4470 1.0680 5.8170 1.1180 ; + RECT 5.1430 1.0920 5.1930 1.2920 ; + RECT 4.9910 1.0920 5.0410 1.2920 ; + RECT 4.6870 1.0920 4.7370 1.2920 ; + RECT 5.4470 1.1180 5.4970 1.2920 ; + RECT 4.6710 1.2920 5.5130 1.3420 ; + RECT 5.5070 0.6290 5.8930 0.6790 ; + RECT 5.5070 0.9680 6.7970 1.0180 ; + RECT 6.7470 0.7510 6.7970 0.9680 ; + RECT 6.7390 0.6690 6.7890 0.6860 ; + RECT 6.7390 0.6860 6.7970 0.7510 ; + RECT 7.2320 0.6240 7.5650 0.6740 ; + RECT 6.9670 1.1080 7.0170 1.1240 ; + RECT 6.9670 1.0420 7.0170 1.0580 ; + RECT 7.2320 0.6740 7.2820 1.0580 ; + RECT 6.9670 1.0580 7.2820 1.1080 ; + RECT 7.2320 0.5600 7.2820 0.6240 ; + RECT 6.9510 0.5100 7.2820 0.5600 ; + RECT 7.8790 0.9200 8.6130 0.9700 ; + RECT 8.5630 0.8310 8.6130 0.9200 ; + RECT 7.8790 0.9700 7.9290 1.0340 ; + RECT 7.8790 0.5050 7.9290 0.9200 ; + RECT 9.4590 0.7610 9.6080 0.8110 ; + RECT 9.5580 0.9670 9.6930 1.0170 ; + RECT 9.5580 0.8110 9.6080 0.9670 ; + RECT 8.5460 0.6130 8.7810 0.6630 ; + RECT 9.1700 0.6600 9.6930 0.7100 ; + RECT 9.1710 0.7100 9.2210 0.8450 ; + RECT 11.6630 0.8200 12.2110 0.8700 ; + RECT 11.9670 0.7090 12.5050 0.7590 ; + RECT 12.1950 1.0570 12.7330 1.1070 ; + RECT 4.5950 1.4240 5.5890 1.4740 ; + RECT 4.1390 1.5240 5.8930 1.5740 ; + RECT 7.7870 1.5200 8.7810 1.5700 ; + RECT 9.6800 1.2870 10.0730 1.3370 ; + RECT 8.8490 1.5200 11.6690 1.5700 ; + RECT 3.3190 0.6130 4.0690 0.6630 ; + RECT 3.3190 0.6630 3.3690 1.0050 ; + RECT 3.3190 0.2940 3.3690 0.6130 ; + RECT 3.6230 0.6630 3.6730 1.0050 ; + RECT 3.6230 0.2940 3.6730 0.6130 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 13.2530 0.6320 13.7810 0.6820 ; + RECT 13.7310 0.5970 13.7810 0.6320 ; + RECT 10.8270 1.1990 13.3030 1.2490 ; + RECT 13.2530 0.6820 13.3030 1.1990 ; + RECT 8.1670 0.4130 9.1450 0.4630 ; + RECT 8.9430 0.9670 9.4660 1.0170 ; + RECT 8.1670 1.1200 8.9930 1.1700 ; + RECT 8.9430 0.3840 8.9930 0.4130 ; + RECT 9.0950 0.4630 9.1450 0.5630 ; + RECT 8.9430 0.4630 8.9930 0.9670 ; + RECT 8.9430 1.1700 8.9930 1.2700 ; + RECT 8.9430 1.0170 8.9930 1.1200 ; + RECT 9.0950 0.3800 9.1450 0.4130 ; + RECT 9.0950 0.3300 9.4710 0.3800 ; + RECT 9.0950 0.3270 9.1450 0.3300 ; + RECT 4.3830 0.8540 6.6530 0.9040 ; + RECT 4.3830 0.5630 4.7370 0.6130 ; + RECT 4.3830 0.4130 4.4330 0.5630 ; + RECT 4.8390 0.9040 4.8890 1.2420 ; + RECT 5.2950 0.9040 5.3450 1.2420 ; + RECT 4.3830 0.9040 4.4330 1.2450 ; + RECT 4.3830 0.6130 4.4330 0.8540 ; + RECT 4.6860 0.4580 5.0050 0.4980 ; + RECT 4.9550 0.5080 5.2090 0.5480 ; + RECT 4.6860 0.4980 5.2090 0.5080 ; + RECT 4.6870 0.4070 4.7370 0.4580 ; + RECT 4.6870 0.5080 4.7370 0.5630 ; + RECT 6.7230 1.4200 9.3890 1.4700 ; + RECT 2.7520 0.6300 3.1570 0.6800 ; + RECT 2.5590 0.8280 2.6090 1.5460 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.7520 0.6800 2.8020 0.7780 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.6300 ; + RECT 3.0150 1.0570 4.2810 1.1070 ; + RECT 4.2310 0.8130 4.3210 0.8630 ; + RECT 4.2310 1.2960 4.5850 1.3460 ; + RECT 4.2150 0.4120 4.3210 0.4620 ; + RECT 4.2710 0.3100 4.6010 0.3600 ; + RECT 4.2310 0.8630 4.2810 1.0570 ; + RECT 4.5350 0.9540 4.5850 1.2960 ; + RECT 4.2310 1.1070 4.2810 1.2960 ; + RECT 4.2710 0.4620 4.3210 0.8130 ; + RECT 4.2710 0.3600 4.3210 0.4120 ; + RECT 3.0150 1.1070 3.0650 1.5450 ; + RECT 3.0150 0.8010 3.0650 1.0570 ; + RECT 3.0150 0.3860 3.0650 0.5100 ; + RECT 3.0150 0.7510 3.2690 0.8010 ; + RECT 3.0150 0.5100 3.2690 0.5600 ; + RECT 3.2190 0.5600 3.2690 0.7510 ; + RECT 11.2830 0.5270 11.8810 0.5770 ; + RECT 11.5270 0.5770 11.5770 0.8870 ; + RECT 11.5270 0.1260 11.5770 0.5270 ; + RECT 11.8310 0.5770 11.8810 0.7700 ; + RECT 11.8310 0.3480 11.8810 0.5270 ; + RECT 8.8310 0.5630 8.8810 1.0200 ; + RECT 8.0150 0.5130 8.8800 0.5440 ; + RECT 8.0150 0.5440 8.8810 0.5630 ; + RECT 8.0310 1.0200 8.8810 1.0700 ; + RECT 7.4000 0.5100 7.6970 0.5600 ; + RECT 7.4000 0.9410 7.6970 0.9910 ; + RECT 7.6470 0.5600 7.6970 0.9410 ; + RECT 7.6470 0.9910 7.6970 1.1200 ; + RECT 7.6470 1.1200 8.0810 1.1700 ; + RECT 8.0310 1.0700 8.0810 1.1200 ; + RECT 10.4630 0.8510 10.5130 1.3010 ; + RECT 10.1590 0.8010 10.9090 0.8510 ; + RECT 10.1590 0.5420 10.2090 0.6500 ; + RECT 9.9150 0.6500 10.2090 0.7000 ; + RECT 10.1590 0.8510 10.2090 1.3010 ; + RECT 10.1590 0.7000 10.2090 0.8010 ; + RECT 5.8110 0.0880 9.5410 0.1380 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 5.0600 0.3880 5.8170 0.4380 ; + RECT 4.9720 0.3200 5.1100 0.3700 ; + RECT 5.0600 0.3700 5.1100 0.3880 ; + RECT 3.6820 0.0880 4.6830 0.1380 ; + RECT 3.7580 0.5130 4.2050 0.5630 ; + RECT 4.0790 0.3130 4.1290 0.5130 ; + RECT 4.0790 0.7630 4.1290 1.0050 ; + RECT 3.7750 0.7130 4.2050 0.7630 ; + RECT 4.1550 0.5630 4.2050 0.7130 ; + RECT 3.7750 0.3130 3.8250 0.5130 ; + RECT 3.7750 0.7630 3.8250 1.0050 ; + RECT 10.0070 0.4390 10.4370 0.4890 ; + RECT 10.3870 0.4890 10.4370 0.7260 ; + RECT 9.2470 0.4640 9.2970 0.5420 ; + RECT 9.2480 1.2290 9.2980 1.3530 ; + LAYER NWELL ; + RECT -0.1150 1.5430 15.4670 1.7730 ; + RECT -0.1150 0.6890 10.5940 1.5430 ; + RECT 13.5270 0.6790 15.4670 1.5430 ; + RECT -0.1150 0.6790 4.1770 0.6890 ; + RECT 4.6360 0.6790 9.5000 0.6890 ; + RECT 11.0560 0.4910 13.0670 1.0830 ; + END +END RSDFFSRARX2_HVT + +MACRO RDFFSRSSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.68 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.6800 1.7020 ; + RECT 0.2790 1.2960 0.8370 1.3460 ; + RECT 4.3660 1.2200 6.8810 1.2700 ; + RECT 8.3350 1.4040 11.7690 1.4540 ; + RECT 2.5590 1.1310 2.6090 1.6420 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 3.1670 1.3880 3.2170 1.6420 ; + RECT 2.9950 1.3380 3.2170 1.3880 ; + RECT 5.0310 1.2700 5.0810 1.6420 ; + RECT 9.2470 0.9530 9.2970 1.4040 ; + RECT 8.3350 1.2790 8.3850 1.4040 ; + RECT 10.3110 0.9590 10.3610 1.4040 ; + RECT 8.9430 0.9530 8.9930 1.4040 ; + RECT 11.7190 1.4540 11.7690 1.6420 ; + RECT 9.7030 0.8590 9.7530 1.4040 ; + END + PORT + LAYER CO ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 9.7070 1.1550 9.7490 1.1970 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 9.7070 1.0630 9.7490 1.1050 ; + RECT 9.2510 0.9730 9.2930 1.0150 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 9.7070 1.2470 9.7490 1.2890 ; + RECT 9.7070 1.2470 9.7490 1.2890 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 9.3270 1.4080 9.3690 1.4500 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.7070 0.8790 9.7490 0.9210 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 9.7070 1.1550 9.7490 1.1970 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 10.3150 1.2800 10.3570 1.3220 ; + RECT 10.3150 1.0960 10.3570 1.1380 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 10.3150 1.0040 10.3570 1.0460 ; + RECT 3.1710 1.3650 3.2130 1.4070 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.7070 0.9710 9.7490 1.0130 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 8.8710 1.4080 8.9130 1.4500 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 3.0190 1.3420 3.0610 1.3840 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 8.3390 1.3010 8.3810 1.3430 ; + RECT 10.3150 1.0040 10.3570 1.0460 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 9.7070 0.9710 9.7490 1.0130 ; + RECT 10.3150 1.2800 10.3570 1.3220 ; + RECT 10.3150 1.1880 10.3570 1.2300 ; + RECT 10.3150 1.1880 10.3570 1.2300 ; + RECT 9.7070 1.0630 9.7490 1.1050 ; + RECT 10.3150 1.0960 10.3570 1.1380 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 2.5630 1.1620 2.6050 1.2040 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 9.7070 0.8790 9.7490 0.9210 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 8.9470 0.9730 8.9890 1.0150 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4630 0.9690 10.9970 1.0190 ; + RECT 10.4470 0.1710 10.9970 0.2210 ; + RECT 10.9470 0.2210 10.9970 0.9690 ; + RECT 10.4630 1.1290 10.5130 1.3270 ; + RECT 10.4630 1.0190 10.6950 1.1290 ; + END + PORT + LAYER CO ; + RECT 10.4670 1.2650 10.5090 1.3070 ; + RECT 10.4670 1.2650 10.5090 1.3070 ; + RECT 10.4670 1.0810 10.5090 1.1230 ; + RECT 10.4670 1.1730 10.5090 1.2150 ; + RECT 10.4670 0.9890 10.5090 1.0310 ; + RECT 10.4670 1.0810 10.5090 1.1230 ; + RECT 10.4670 0.1750 10.5090 0.2170 ; + RECT 10.4670 0.9890 10.5090 1.0310 ; + RECT 10.4670 1.1730 10.5090 1.2150 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.4010 10.8590 0.5110 ; + RECT 10.1590 0.2910 10.8590 0.3410 ; + RECT 10.1590 0.8590 10.8590 0.9090 ; + RECT 10.1590 0.1570 10.2090 0.2910 ; + RECT 10.8090 0.3410 10.8590 0.4010 ; + RECT 10.1590 0.9090 10.2090 1.3090 ; + RECT 10.8090 0.5110 10.8590 0.8590 ; + END + PORT + LAYER CO ; + RECT 10.1630 0.1770 10.2050 0.2190 ; + RECT 10.1630 0.2690 10.2050 0.3110 ; + RECT 10.1630 1.1550 10.2050 1.1970 ; + RECT 10.1630 0.8790 10.2050 0.9210 ; + RECT 10.1630 0.9710 10.2050 1.0130 ; + RECT 10.1630 1.2470 10.2050 1.2890 ; + RECT 10.1630 1.2470 10.2050 1.2890 ; + RECT 10.1630 1.1550 10.2050 1.1970 ; + RECT 10.1630 0.8790 10.2050 0.9210 ; + RECT 10.1630 1.0630 10.2050 1.1050 ; + RECT 10.1630 1.0630 10.2050 1.1050 ; + RECT 10.1630 0.9710 10.2050 1.0130 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 1.4650 3.0950 1.5750 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.5280 2.9850 1.5700 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.6800 0.0300 ; + RECT 0.2790 0.3550 0.6180 0.4050 ; + RECT 2.2190 0.1950 2.6450 0.2450 ; + RECT 0.5680 0.2300 1.9020 0.2800 ; + RECT 3.3320 0.2440 3.3820 0.2950 ; + RECT 10.3110 0.0300 10.3610 0.2410 ; + RECT 9.4640 0.0300 9.5140 0.3000 ; + RECT 12.7430 0.0300 12.7930 0.4260 ; + RECT 12.2870 0.0300 12.3370 0.1980 ; + RECT 11.3750 0.0300 11.4250 0.4260 ; + RECT 0.5680 0.2800 0.6180 0.3550 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 2.5590 0.0300 2.6090 0.1950 ; + RECT 3.0150 0.3450 3.0650 0.5570 ; + RECT 2.9390 0.2950 3.3820 0.3450 ; + RECT 3.1670 0.3450 3.2170 0.5570 ; + RECT 9.7030 0.3500 9.7530 0.3610 ; + RECT 9.7030 0.1870 9.7530 0.3000 ; + RECT 8.3190 0.3000 9.7530 0.3500 ; + RECT 3.9510 0.2440 4.0010 0.2950 ; + RECT 3.3320 0.1940 4.0010 0.2440 ; + RECT 3.9510 0.2950 5.0570 0.3450 ; + RECT 4.3830 0.3450 4.4330 0.5570 ; + RECT 4.9900 0.1950 6.8810 0.2450 ; + RECT 5.4470 0.2450 5.4970 0.3490 ; + RECT 2.9390 0.0300 2.9890 0.2950 ; + RECT 4.9900 0.2450 5.0400 0.2950 ; + END + PORT + LAYER CO ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.2590 0.1990 2.3010 0.2410 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 5.4510 0.2870 5.4930 0.3290 ; + RECT 9.7070 0.2070 9.7490 0.2490 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 12.2910 0.1360 12.3330 0.1780 ; + RECT 12.7470 0.3580 12.7890 0.4000 ; + RECT 11.3790 0.2540 11.4210 0.2960 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 5.2990 0.1990 5.3410 0.2410 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 3.1710 0.4950 3.2130 0.5370 ; + RECT 4.3870 0.4030 4.4290 0.4450 ; + RECT 9.0990 0.3040 9.1410 0.3460 ; + RECT 8.3390 0.3040 8.3810 0.3460 ; + RECT 9.7070 0.2990 9.7490 0.3410 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 6.8190 0.2000 6.8610 0.2420 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 12.7470 0.2660 12.7890 0.3080 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 10.3150 0.1720 10.3570 0.2140 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 1.8030 0.2330 1.8450 0.2750 ; + RECT 3.1710 0.4030 3.2130 0.4450 ; + RECT 4.3870 0.4950 4.4290 0.5370 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 11.3790 0.1620 11.4210 0.2040 ; + RECT 4.9950 0.2990 5.0370 0.3410 ; + RECT 12.7470 0.1740 12.7890 0.2160 ; + RECT 4.6910 0.2990 4.7330 0.3410 ; + RECT 2.5630 0.1990 2.6050 0.2410 ; + RECT 11.3790 0.3460 11.4210 0.3880 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0980 0.7240 1.6800 0.7740 ; + RECT 1.1030 0.7740 1.2750 0.8150 ; + RECT 1.1030 0.7050 1.2750 0.7240 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.7280 1.4650 0.7700 ; + RECT 1.1190 0.7280 1.1610 0.7700 ; + RECT 1.5750 0.7270 1.6170 0.7690 ; + RECT 1.2710 0.7270 1.3130 0.7690 ; + END + ANTENNAGATEAREA 0.078 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.8650 0.2490 12.9750 0.3590 ; + RECT 12.6510 0.5170 12.9260 0.5670 ; + RECT 12.8760 0.3590 12.9260 0.5170 ; + END + PORT + LAYER CO ; + RECT 12.6710 0.5210 12.7130 0.5630 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8270 0.7300 6.9410 0.7800 ; + RECT 6.4350 0.7800 6.5910 0.8160 ; + RECT 6.4350 0.7050 6.5910 0.7300 ; + RECT 5.8110 0.6050 6.6530 0.6550 ; + RECT 6.8910 0.7800 6.9410 0.9330 ; + RECT 5.8270 0.6550 5.8770 0.7300 ; + END + PORT + LAYER CO ; + RECT 6.5910 0.6110 6.6330 0.6530 ; + RECT 6.4390 0.6110 6.4810 0.6530 ; + RECT 6.2870 0.6110 6.3290 0.6530 ; + RECT 5.8310 0.6110 5.8730 0.6530 ; + RECT 6.1350 0.6110 6.1770 0.6530 ; + RECT 5.9830 0.6110 6.0250 0.6530 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + END + ANTENNAGATEAREA 0.105 ; + END NRESTORE + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0990 1.9790 0.1490 ; + RECT 0.4010 0.1490 0.5110 0.2410 ; + RECT 0.4010 0.0970 0.5110 0.0990 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1030 0.8570 0.1450 ; + RECT 1.7270 0.1030 1.7690 0.1450 ; + RECT 0.5110 0.1030 0.5530 0.1450 ; + RECT 0.9670 0.1030 1.0090 0.1450 ; + RECT 1.8790 0.1030 1.9210 0.1450 ; + END + ANTENNAGATEAREA 0.063 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.3590 0.9430 12.9770 1.0030 ; + RECT 12.8670 0.6900 12.9770 0.9430 ; + RECT 12.7430 0.6270 12.7930 0.9430 ; + END + PORT + LAYER CO ; + RECT 11.3790 0.9520 11.4210 0.9940 ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.7470 0.6510 12.7890 0.6930 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.8990 0.7100 12.9410 0.7520 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.8350 12.7890 0.8770 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.7470 0.7430 12.7890 0.7850 ; + RECT 12.8990 0.8620 12.9410 0.9040 ; + RECT 12.7470 0.9270 12.7890 0.9690 ; + RECT 12.2910 0.9520 12.3330 0.9940 ; + END + END VDDG + OBS + LAYER CO ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 9.4030 0.9630 9.4450 1.0050 ; + RECT 6.6670 0.5090 6.7090 0.5510 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 7.1230 1.0240 7.1650 1.0660 ; + RECT 7.5030 0.8520 7.5450 0.8940 ; + RECT 2.4110 0.3820 2.4530 0.4240 ; + RECT 8.1110 0.6300 8.1530 0.6720 ; + RECT 2.1830 0.6090 2.2250 0.6510 ; + RECT 7.5790 1.2840 7.6210 1.3260 ; + RECT 2.3350 0.6090 2.3770 0.6510 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 7.5030 0.6300 7.5450 0.6720 ; + RECT 2.7150 1.0480 2.7570 1.0900 ; + RECT 9.2510 0.2050 9.2930 0.2470 ; + RECT 5.0710 0.8580 5.1130 0.9000 ; + RECT 2.4870 0.6090 2.5290 0.6510 ; + RECT 9.4030 0.5280 9.4450 0.5700 ; + RECT 3.7790 0.2990 3.8210 0.3410 ; + RECT 3.6270 1.0080 3.6690 1.0500 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 2.7150 0.3820 2.7570 0.4240 ; + RECT 0.5110 1.4200 0.5530 1.4620 ; + RECT 4.7670 0.8580 4.8090 0.9000 ; + RECT 7.8070 0.8520 7.8490 0.8940 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 9.8590 0.2070 9.9010 0.2490 ; + RECT 8.1870 0.3120 8.2290 0.3540 ; + RECT 7.7310 0.4120 7.7730 0.4540 ; + RECT 6.3630 0.5090 6.4050 0.5510 ; + RECT 9.0230 0.6360 9.0650 0.6780 ; + RECT 9.8590 1.1460 9.9010 1.1880 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 7.3510 0.8520 7.3930 0.8940 ; + RECT 6.1350 0.8670 6.1770 0.9090 ; + RECT 5.9830 0.8670 6.0250 0.9090 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 7.2750 0.4090 7.3170 0.4510 ; + RECT 5.6030 0.9720 5.6450 1.0140 ; + RECT 1.3470 0.4090 1.3890 0.4510 ; + RECT 5.7550 1.0640 5.7970 1.1060 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 5.9070 0.4090 5.9490 0.4510 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 2.4110 1.2840 2.4530 1.3260 ; + RECT 4.6150 0.8580 4.6570 0.9000 ; + RECT 9.8590 0.9620 9.9010 1.0040 ; + RECT 7.3510 0.6300 7.3930 0.6720 ; + RECT 6.0590 0.5090 6.1010 0.5510 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 2.4110 1.1920 2.4530 1.2340 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 6.2110 0.4090 6.2530 0.4510 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 9.9350 0.1060 9.9770 0.1480 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + RECT 2.4110 1.0080 2.4530 1.0500 ; + RECT 10.2390 0.6170 10.2810 0.6590 ; + RECT 3.9310 1.1940 3.9730 1.2360 ; + RECT 8.2630 0.8730 8.3050 0.9150 ; + RECT 3.5510 1.5250 3.5930 1.5670 ; + RECT 5.3750 1.4240 5.4170 1.4660 ; + RECT 2.1070 0.3820 2.1490 0.4240 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 7.2750 1.2840 7.3170 1.3260 ; + RECT 7.5030 0.8520 7.5450 0.8940 ; + RECT 5.2230 0.8580 5.2650 0.9000 ; + RECT 2.8670 0.8500 2.9090 0.8920 ; + RECT 3.3230 0.9500 3.3650 0.9920 ; + RECT 4.3110 1.5260 4.3530 1.5680 ; + RECT 3.3990 1.5250 3.4410 1.5670 ; + RECT 11.3030 0.5310 11.3450 0.5730 ; + RECT 8.8710 0.0980 8.9130 0.1400 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 11.5310 0.1630 11.5730 0.2050 ; + RECT 11.6830 0.2520 11.7250 0.2940 ; + RECT 11.5310 0.3470 11.5730 0.3890 ; + RECT 10.6950 1.2030 10.7370 1.2450 ; + RECT 11.5310 0.3470 11.5730 0.3890 ; + RECT 11.5310 0.2550 11.5730 0.2970 ; + RECT 11.6070 1.5240 11.6490 1.5660 ; + RECT 9.9350 0.6440 9.9770 0.6860 ; + RECT 12.2150 1.0670 12.2570 1.1090 ; + RECT 7.8070 0.6300 7.8490 0.6720 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 3.5510 0.0970 3.5930 0.1390 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 3.3230 0.5170 3.3650 0.5590 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 9.1750 0.6360 9.2170 0.6780 ; + RECT 7.9590 0.6300 8.0010 0.6720 ; + RECT 0.6630 0.7240 0.7050 0.7660 ; + RECT 3.8550 1.5260 3.8970 1.5680 ; + RECT 4.4630 0.6170 4.5050 0.6590 ; + RECT 8.7950 0.5280 8.8370 0.5700 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + RECT 7.3510 1.4240 7.3930 1.4660 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 9.8590 1.2380 9.9010 1.2800 ; + RECT 0.8150 1.5200 0.8570 1.5620 ; + RECT 8.0350 0.4120 8.0770 0.4540 ; + RECT 8.7190 0.6320 8.7610 0.6740 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 9.8590 1.0540 9.9010 1.0960 ; + RECT 3.7030 0.0970 3.7450 0.1390 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 9.8590 1.1460 9.9010 1.1880 ; + RECT 3.7030 1.5220 3.7450 1.5640 ; + RECT 8.7950 1.2390 8.8370 1.2810 ; + RECT 12.1390 0.1360 12.1810 0.1780 ; + RECT 3.9310 0.5250 3.9730 0.5670 ; + RECT 1.1950 0.5090 1.2370 0.5510 ; + RECT 0.7390 0.4090 0.7810 0.4510 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 9.3270 0.0980 9.3690 0.1400 ; + RECT 11.5310 0.6370 11.5730 0.6790 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 11.6830 0.8240 11.7250 0.8660 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 4.0830 1.0630 4.1250 1.1050 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 8.6430 1.1830 8.6850 1.2250 ; + RECT 3.7790 1.2780 3.8210 1.3200 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.6630 1.5200 0.7050 1.5620 ; + RECT 9.8590 0.9620 9.9010 1.0040 ; + RECT 7.1230 1.2080 7.1650 1.2500 ; + RECT 8.5670 0.6320 8.6090 0.6740 ; + RECT 1.9550 0.4100 1.9970 0.4520 ; + RECT 3.4750 1.2780 3.5170 1.3200 ; + RECT 4.4630 1.4680 4.5050 1.5100 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 5.7550 0.5090 5.7970 0.5510 ; + RECT 9.8590 0.2990 9.9010 0.3410 ; + RECT 9.7830 0.6560 9.8250 0.6980 ; + RECT 7.1230 0.4090 7.1650 0.4510 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 4.3110 0.9710 4.3530 1.0130 ; + RECT 4.8430 1.1240 4.8850 1.1660 ; + RECT 11.9110 1.2030 11.9530 1.2450 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 8.7950 1.2390 8.8370 1.2810 ; + RECT 9.8590 1.2380 9.9010 1.2800 ; + RECT 11.6070 1.0670 11.6490 1.1090 ; + RECT 3.6270 1.1000 3.6690 1.1420 ; + RECT 7.6550 0.8520 7.6970 0.8940 ; + RECT 7.4270 1.1830 7.4690 1.2250 ; + RECT 3.2470 0.6170 3.2890 0.6590 ; + RECT 5.5270 1.5240 5.5690 1.5660 ; + RECT 7.8830 1.2840 7.9250 1.3260 ; + RECT 10.6950 0.6170 10.7370 0.6590 ; + RECT 8.2630 0.6300 8.3050 0.6720 ; + RECT 6.7430 0.8500 6.7850 0.8920 ; + RECT 11.5310 0.1630 11.5730 0.2050 ; + RECT 3.3990 0.6470 3.4410 0.6890 ; + RECT 3.0950 0.0970 3.1370 0.1390 ; + RECT 5.8310 0.8670 5.8730 0.9090 ; + RECT 4.2350 1.1940 4.2770 1.2360 ; + RECT 1.4990 0.5090 1.5410 0.5510 ; + RECT 4.0070 0.7420 4.0490 0.7840 ; + RECT 8.4150 0.6540 8.4570 0.6960 ; + RECT 3.8550 0.0970 3.8970 0.1390 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 1.1950 1.1920 1.2370 1.2340 ; + RECT 8.2630 0.2010 8.3050 0.2430 ; + RECT 5.3750 0.8620 5.4170 0.9040 ; + RECT 7.4270 0.4120 7.4690 0.4540 ; + RECT 7.5790 0.3120 7.6210 0.3540 ; + RECT 4.1590 0.0990 4.2010 0.1410 ; + RECT 8.7950 1.1470 8.8370 1.1890 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 8.6430 0.4120 8.6850 0.4540 ; + RECT 6.8950 0.6340 6.9370 0.6760 ; + RECT 8.9470 0.2050 8.9890 0.2470 ; + RECT 11.2270 0.2540 11.2690 0.2960 ; + RECT 1.6510 0.4090 1.6930 0.4510 ; + RECT 11.2270 0.7430 11.2690 0.7850 ; + RECT 11.2270 0.6510 11.2690 0.6930 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 11.2270 0.3460 11.2690 0.3880 ; + RECT 11.2270 0.8350 11.2690 0.8770 ; + RECT 7.1230 1.1160 7.1650 1.1580 ; + RECT 8.7950 1.0550 8.8370 1.0970 ; + RECT 6.7430 0.6340 6.7850 0.6760 ; + RECT 7.2750 0.3170 7.3170 0.3590 ; + RECT 8.7950 1.1470 8.8370 1.1890 ; + RECT 11.9870 0.7130 12.0290 0.7550 ; + RECT 11.8350 0.6770 11.8770 0.7190 ; + RECT 11.5310 0.6370 11.5730 0.6790 ; + RECT 11.5310 0.8210 11.5730 0.8630 ; + RECT 11.5310 0.8210 11.5730 0.8630 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 11.2270 0.1620 11.2690 0.2040 ; + RECT 7.6550 0.6300 7.6970 0.6720 ; + RECT 11.5310 0.7290 11.5730 0.7710 ; + RECT 12.5950 0.6800 12.6370 0.7220 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 7.8070 0.6300 7.8490 0.6720 ; + RECT 7.8830 0.3120 7.9250 0.3540 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 3.0950 0.6170 3.1370 0.6590 ; + RECT 0.5870 0.5110 0.6290 0.5530 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 6.2110 1.1240 6.2530 1.1660 ; + RECT 8.7950 0.9630 8.8370 1.0050 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 3.6270 0.9160 3.6690 0.9580 ; + RECT 12.3670 0.4660 12.4090 0.5080 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.0430 0.4090 1.0850 0.4510 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 2.6390 0.6090 2.6810 0.6510 ; + RECT 11.9870 0.1360 12.0290 0.1780 ; + RECT 3.9310 0.4330 3.9730 0.4750 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 12.6710 1.0670 12.7130 1.1090 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 7.0470 1.5240 7.0890 1.5660 ; + RECT 12.4430 0.2520 12.4850 0.2940 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 0.9670 1.4200 1.0090 1.4620 ; + RECT 11.8350 0.3680 11.8770 0.4100 ; + RECT 8.7950 1.0550 8.8370 1.0970 ; + RECT 9.8590 1.0540 9.9010 1.0960 ; + RECT 6.8950 1.5240 6.9370 1.5660 ; + RECT 2.8670 0.9420 2.9090 0.9840 ; + RECT 11.2270 0.9270 11.2690 0.9690 ; + RECT 2.8670 0.7580 2.9090 0.8000 ; + RECT 0.8910 1.1920 0.9330 1.2340 ; + LAYER PO ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.8210 0.8200 0.8510 1.6060 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.6600 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.5090 0.8200 7.5390 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 0.9730 0.0760 1.0030 0.6600 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 6.1410 0.7910 6.1710 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 4.3170 0.9390 4.3470 1.6060 ; + RECT 10.7010 0.0670 10.7310 1.6050 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 7.6610 0.8200 7.6910 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 6.9010 0.8390 6.9310 1.6060 ; + RECT 0.9730 0.8200 1.0030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 0.6410 ; + RECT 3.7090 0.7640 3.7390 1.6060 ; + RECT 4.3170 0.0660 4.3470 0.6910 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7130 ; + RECT 8.4210 0.0660 8.4510 0.6910 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.7640 3.5870 1.6060 ; + RECT 8.4210 0.9390 8.4510 1.6060 ; + RECT 7.3570 0.0680 7.3870 0.7090 ; + RECT 3.5570 0.0660 3.5870 0.6400 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.2930 0.0630 6.3230 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7200 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.6690 0.0760 0.6990 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 5.8370 0.7910 5.8670 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 7.3570 0.8200 7.3870 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 6.5970 0.0630 6.6270 1.6060 ; + RECT 5.9890 0.7910 6.0190 1.6060 ; + RECT 6.4450 0.0630 6.4750 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6040 ; + RECT 7.9650 0.0640 7.9950 1.6060 ; + RECT 7.8130 0.0640 7.8430 0.7130 ; + RECT 7.8130 0.8200 7.8430 1.6060 ; + RECT 6.1410 0.0630 6.1710 0.6910 ; + RECT 7.6610 0.0640 7.6910 0.7130 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 8.1170 0.0640 8.1470 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 1.7330 0.0760 1.7630 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + LAYER M1 ; + RECT 9.8550 0.9280 9.9050 1.3000 ; + RECT 10.3350 0.6130 10.7570 0.6630 ; + RECT 8.7910 0.8090 8.8410 1.3010 ; + RECT 8.7910 0.5080 8.8410 0.5240 ; + RECT 8.7910 0.6780 8.8410 0.7590 ; + RECT 8.5470 0.6280 8.8410 0.6780 ; + RECT 8.7910 0.5740 8.8410 0.6280 ; + RECT 9.0950 0.8090 9.1450 1.3010 ; + RECT 8.7750 0.5240 9.4650 0.5740 ; + RECT 9.3990 0.8090 9.4490 1.3010 ; + RECT 8.7910 0.7590 9.8290 0.8090 ; + RECT 9.7790 0.4610 9.8290 0.7590 ; + RECT 9.7790 0.4110 10.4500 0.4610 ; + RECT 10.4000 0.4610 10.4500 0.6130 ; + RECT 8.9270 0.2000 9.3250 0.2500 ; + RECT 11.9670 0.7090 12.5050 0.7590 ; + RECT 11.2230 1.0630 11.6720 1.1130 ; + RECT 11.1830 0.6270 11.2730 0.6770 ; + RECT 11.1830 0.4270 11.2730 0.4770 ; + RECT 11.2230 0.1260 11.2730 0.4270 ; + RECT 11.1830 0.4770 11.2330 0.6270 ; + RECT 11.2230 0.6770 11.2730 1.0630 ; + RECT 12.5510 0.6270 12.6410 0.6770 ; + RECT 12.3470 0.4620 12.6010 0.5120 ; + RECT 12.5510 0.3980 12.6410 0.4480 ; + RECT 12.5910 0.6770 12.6410 0.7680 ; + RECT 12.5910 0.1260 12.6410 0.3980 ; + RECT 12.5510 0.5120 12.6010 0.6270 ; + RECT 12.5510 0.4480 12.6010 0.4620 ; + RECT 11.2830 0.5270 11.8810 0.5770 ; + RECT 11.5270 0.5770 11.5770 0.8830 ; + RECT 11.5270 0.1260 11.5770 0.5270 ; + RECT 11.8310 0.5770 11.8810 0.7700 ; + RECT 11.8310 0.3480 11.8810 0.5270 ; + RECT 11.6630 0.2480 12.5050 0.2980 ; + RECT 11.9670 0.1320 12.2010 0.1820 ; + RECT 0.5670 1.1880 1.2770 1.2380 ; + RECT 0.5830 1.0530 0.6330 1.1880 ; + RECT 0.4910 1.4160 1.0300 1.4660 ; + RECT 0.6430 1.5160 0.8770 1.5660 ; + RECT 3.9110 1.1900 4.2970 1.2400 ; + RECT 3.8350 1.5220 4.3730 1.5720 ; + RECT 3.3790 1.5210 3.7480 1.5710 ; + RECT 3.6980 1.3740 4.5090 1.4240 ; + RECT 4.4590 1.4240 4.5090 1.5320 ; + RECT 3.6980 1.5710 3.7480 1.5840 ; + RECT 3.6980 1.4240 3.7480 1.5210 ; + RECT 5.3710 0.8380 5.4210 0.9680 ; + RECT 4.2910 0.9680 5.4210 1.0180 ; + RECT 5.5070 1.5200 6.9570 1.5700 ; + RECT 5.3550 1.4200 7.4210 1.4700 ; + RECT 8.3590 0.9670 8.4770 1.0170 ; + RECT 8.2590 0.8530 8.4090 0.9030 ; + RECT 8.2590 0.9030 8.3090 0.9390 ; + RECT 8.3590 0.9030 8.4090 0.9670 ; + RECT 10.6750 1.1990 11.9730 1.2490 ; + RECT 11.6630 0.8200 12.2110 0.8700 ; + RECT 12.1950 1.0630 12.7330 1.1130 ; + RECT 5.8670 0.4050 7.3210 0.4550 ; + RECT 7.1190 1.2800 7.9450 1.3300 ; + RECT 5.8870 1.1200 7.1690 1.1700 ; + RECT 7.1190 0.3840 7.1690 0.4050 ; + RECT 7.2710 0.4550 7.3210 0.4710 ; + RECT 7.1190 1.1700 7.1690 1.2800 ; + RECT 7.1190 0.4550 7.1690 1.1200 ; + RECT 7.2710 0.3580 7.3210 0.4050 ; + RECT 7.2710 0.3080 8.2490 0.3580 ; + RECT 7.2710 0.2970 7.3210 0.3080 ; + RECT 8.3750 0.1980 8.8770 0.2480 ; + RECT 4.1390 0.0950 8.4250 0.1450 ; + RECT 8.3750 0.2480 8.4250 0.2500 ; + RECT 8.3750 0.1450 8.4250 0.1980 ; + RECT 8.8270 0.0940 9.4140 0.1440 ; + RECT 8.8270 0.1440 8.8770 0.1980 ; + RECT 7.0250 1.5200 11.6690 1.5700 ; + RECT 0.9560 0.6050 2.7010 0.6550 ; + RECT 0.5670 0.5050 1.5970 0.5550 ; + RECT 0.8000 1.0880 1.4090 1.1380 ; + RECT 0.8000 0.7360 1.0060 0.7860 ; + RECT 0.9560 0.5550 1.0060 0.6050 ; + RECT 0.9560 0.6550 1.0060 0.7360 ; + RECT 0.8000 0.7860 0.8500 1.0880 ; + RECT 0.7190 0.4050 2.0170 0.4550 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.7200 0.7250 0.7700 ; + RECT 0.4710 0.6510 0.5210 0.7200 ; + RECT 0.4310 0.8960 0.4810 1.2460 ; + RECT 0.4710 0.7700 0.5210 0.8440 ; + RECT 0.4310 0.8440 0.5210 0.8960 ; + RECT 3.3190 0.7130 3.4450 0.7630 ; + RECT 3.3030 0.5130 3.4450 0.5630 ; + RECT 3.3190 0.7630 3.3690 1.0330 ; + RECT 3.3950 0.5630 3.4450 0.7130 ; + RECT 3.6230 0.8540 5.2850 0.9040 ; + RECT 3.6230 0.5630 3.9770 0.6130 ; + RECT 3.6230 1.0590 4.1450 1.1090 ; + RECT 3.9270 0.4070 3.9770 0.5630 ; + RECT 3.6230 0.4130 3.6730 0.5630 ; + RECT 3.6230 1.1090 3.6730 1.1620 ; + RECT 3.6230 0.9040 3.6730 1.0590 ; + RECT 3.6230 0.6130 3.6730 0.8540 ; + RECT 3.4710 0.8130 3.5610 0.8630 ; + RECT 3.4710 1.2740 3.8410 1.3240 ; + RECT 3.4550 0.4120 3.5610 0.4620 ; + RECT 3.4660 0.2950 3.8410 0.3450 ; + RECT 3.4710 0.8630 3.5210 1.2020 ; + RECT 3.4710 1.3240 3.5210 1.3540 ; + RECT 3.4710 1.2520 3.5210 1.2740 ; + RECT 3.4660 0.3450 3.5160 0.4120 ; + RECT 3.5110 0.4620 3.5610 0.8130 ; + RECT 2.7110 1.2020 3.5210 1.2520 ; + RECT 2.4070 1.0540 2.4570 1.3610 ; + RECT 2.4070 0.9710 2.4570 1.0040 ; + RECT 2.7110 0.8190 2.8010 0.8690 ; + RECT 2.3910 1.0040 2.7610 1.0540 ; + RECT 2.0870 0.3780 2.7610 0.4250 ; + RECT 2.0870 0.4250 2.8010 0.4280 ; + RECT 2.7110 0.4280 2.8010 0.4750 ; + RECT 2.7510 0.4750 2.8010 0.8190 ; + RECT 2.7110 0.8690 2.7610 1.0040 ; + RECT 2.7110 0.3620 2.7610 0.3780 ; + RECT 2.7110 1.0540 2.7610 1.2020 ; + RECT 2.8630 0.6130 3.3090 0.6630 ; + RECT 2.8630 0.6630 2.9130 1.0040 ; + RECT 2.8630 0.4130 2.9130 0.6130 ; + RECT 3.0740 0.0930 3.9230 0.1430 ; + RECT 6.9710 0.1970 8.3250 0.2470 ; + RECT 5.5700 0.3050 7.0210 0.3550 ; + RECT 6.9710 0.2470 7.0210 0.3050 ; + RECT 4.2910 0.6130 4.7530 0.6630 ; + RECT 4.7030 0.4550 4.7530 0.6130 ; + RECT 4.7030 0.4050 5.6200 0.4550 ; + RECT 5.5700 0.3550 5.6200 0.4050 ; + RECT 7.0070 0.5550 7.0570 1.0200 ; + RECT 5.7350 0.5050 7.0560 0.5150 ; + RECT 5.7350 0.5150 7.0570 0.5550 ; + RECT 5.7510 1.0200 7.0570 1.0700 ; + RECT 3.9820 0.7380 5.5390 0.7880 ; + RECT 4.5190 1.1200 5.8010 1.1700 ; + RECT 4.8030 0.5210 5.2290 0.5710 ; + RECT 5.4870 0.7880 5.5370 1.1200 ; + RECT 4.9740 0.5710 5.0240 0.7380 ; + RECT 5.7510 1.0700 5.8010 1.1200 ; + RECT 7.3870 0.4080 9.5650 0.4580 ; + RECT 9.0010 0.6320 9.5650 0.6820 ; + RECT 9.5150 0.4070 9.5650 0.4080 ; + RECT 9.5150 0.4580 9.5650 0.6320 ; + RECT 7.2190 0.5210 7.4730 0.5710 ; + RECT 7.2190 0.5710 7.2690 0.9790 ; + RECT 7.2190 0.9790 7.7460 1.0290 ; + RECT 7.3870 1.1790 8.7410 1.2290 ; + RECT 7.4230 0.4580 7.4730 0.5210 ; + RECT 7.6960 1.0290 7.7460 1.1790 ; + RECT 7.3310 0.6260 8.3450 0.6760 ; + RECT 6.7200 0.6300 6.9570 0.6800 ; + RECT 6.2830 0.9230 6.6370 0.9700 ; + RECT 6.2830 0.9200 6.7890 0.9230 ; + RECT 6.5870 0.8730 6.7890 0.9200 ; + RECT 6.5870 0.8660 6.6370 0.8730 ; + RECT 6.7390 0.9230 6.7890 0.9700 ; + RECT 6.7390 0.8300 6.7890 0.8730 ; + RECT 5.5990 0.9140 5.6490 1.0340 ; + RECT 5.5990 0.5050 5.6490 0.8640 ; + RECT 6.2830 0.9140 6.3330 0.9200 ; + RECT 5.5990 0.8640 6.3080 0.8660 ; + RECT 5.5990 0.8660 6.3330 0.9140 ; + RECT 9.8550 0.1020 10.0160 0.1520 ; + RECT 9.8550 0.1520 9.9050 0.3610 ; + RECT 7.8030 0.7530 8.4610 0.8030 ; + RECT 8.4110 0.5870 8.4610 0.7530 ; + RECT 7.3290 0.8480 7.8530 0.8980 ; + RECT 7.8030 0.8030 7.8530 0.8480 ; + RECT 7.8030 0.8980 7.8530 0.9140 ; + RECT 10.2350 0.5970 10.2850 0.6410 ; + RECT 9.9310 0.6410 10.2850 0.6910 ; + RECT 9.8550 0.8780 9.9810 0.9280 ; + RECT 9.9310 0.6910 9.9810 0.8780 ; + RECT 9.9310 0.5690 9.9810 0.6410 ; + LAYER NWELL ; + RECT -0.0840 1.5430 13.7680 1.7730 ; + RECT -0.0840 0.7100 10.6500 1.5430 ; + RECT 13.5310 0.6790 13.7680 1.5430 ; + RECT -0.0840 0.6790 7.2200 0.7100 ; + RECT 8.7260 0.6790 10.6500 0.7100 ; + RECT 11.1120 0.4910 13.0670 1.0830 ; + END +END RDFFSRSSRX1_HVT + +MACRO RDFFSRSSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.136 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.1360 1.7020 ; + RECT 0.2790 1.2960 0.8370 1.3460 ; + RECT 4.3660 1.2200 6.8810 1.2700 ; + RECT 8.3350 1.4040 12.2250 1.4540 ; + RECT 2.5590 1.1310 2.6090 1.6420 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 3.1670 1.3880 3.2170 1.6420 ; + RECT 2.9950 1.3380 3.2170 1.3880 ; + RECT 5.0310 1.2700 5.0810 1.6420 ; + RECT 10.3110 1.0590 10.3610 1.4040 ; + RECT 10.6150 0.9590 10.6650 1.4040 ; + RECT 8.9430 0.9530 8.9930 1.4040 ; + RECT 10.9190 1.2400 10.9690 1.4040 ; + RECT 8.3350 1.2790 8.3850 1.4040 ; + RECT 9.2470 0.9530 9.2970 1.4040 ; + RECT 12.1750 1.4540 12.2250 1.6420 ; + RECT 10.0070 1.1120 10.0570 1.4040 ; + RECT 9.7030 0.8590 9.7530 1.4040 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 9.2510 0.9730 9.2930 1.0150 ; + RECT 9.7070 1.0630 9.7490 1.1050 ; + RECT 8.9470 0.9730 8.9890 1.0150 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.7070 0.8790 9.7490 0.9210 ; + RECT 10.0110 1.1550 10.0530 1.1970 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 10.0110 1.2470 10.0530 1.2890 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 10.0110 1.1550 10.0530 1.1970 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 10.0110 1.2470 10.0530 1.2890 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 10.3150 1.2800 10.3570 1.3220 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.7070 0.8790 9.7490 0.9210 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 10.6190 1.2800 10.6610 1.3220 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 3.0190 1.3420 3.0610 1.3840 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 2.5630 1.1620 2.6050 1.2040 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 6.8190 1.2240 6.8610 1.2660 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 10.3150 1.0960 10.3570 1.1380 ; + RECT 10.3150 1.1880 10.3570 1.2300 ; + RECT 10.3150 1.2800 10.3570 1.3220 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 10.6190 1.0960 10.6610 1.1380 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 9.7070 1.1550 9.7490 1.1970 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 10.6190 1.1880 10.6610 1.2300 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 10.3150 1.0960 10.3570 1.1380 ; + RECT 10.3150 1.1880 10.3570 1.2300 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.7070 1.2470 9.7490 1.2890 ; + RECT 8.8710 1.4080 8.9130 1.4500 ; + RECT 10.6190 1.1880 10.6610 1.2300 ; + RECT 10.6190 1.2800 10.6610 1.3220 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 9.7070 1.0630 9.7490 1.1050 ; + RECT 10.6190 1.0960 10.6610 1.1380 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 9.7070 0.9710 9.7490 1.0130 ; + RECT 8.3390 1.3010 8.3810 1.3430 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 9.3270 1.4080 9.3690 1.4500 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 3.1710 1.3650 3.2130 1.4070 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 9.7070 0.9710 9.7490 1.0130 ; + RECT 9.7070 1.2470 9.7490 1.2890 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 9.7070 1.1550 9.7490 1.1970 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 10.6190 1.0040 10.6610 1.0460 ; + RECT 10.6190 1.0040 10.6610 1.0460 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7670 0.9690 11.4530 1.0190 ; + RECT 11.0930 0.1540 11.4530 0.2040 ; + RECT 11.0930 0.2040 11.1430 0.2700 ; + RECT 10.7670 0.2700 11.1430 0.3200 ; + RECT 10.7670 0.1570 10.8170 0.2700 ; + RECT 10.9390 1.0190 11.1510 1.1290 ; + RECT 10.7670 1.0190 10.8170 1.3270 ; + RECT 11.4030 0.2040 11.4530 0.9690 ; + END + PORT + LAYER CO ; + RECT 10.7710 0.1770 10.8130 0.2190 ; + RECT 10.7710 1.1730 10.8130 1.2150 ; + RECT 10.7710 1.2650 10.8130 1.3070 ; + RECT 10.7710 0.9890 10.8130 1.0310 ; + RECT 10.7710 1.0810 10.8130 1.1230 ; + RECT 10.7710 1.1730 10.8130 1.2150 ; + RECT 10.7710 0.9890 10.8130 1.0310 ; + RECT 10.7710 1.2650 10.8130 1.3070 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.4630 0.8590 11.3150 0.9090 ; + RECT 10.4270 0.3970 11.3150 0.4470 ; + RECT 10.4630 0.9090 10.5130 1.3090 ; + RECT 11.2650 0.5110 11.3150 0.8590 ; + RECT 11.1930 0.4470 11.3150 0.5110 ; + END + PORT + LAYER CO ; + RECT 10.4670 1.1550 10.5090 1.1970 ; + RECT 10.4670 1.2470 10.5090 1.2890 ; + RECT 10.4670 0.8790 10.5090 0.9210 ; + RECT 10.4670 1.0630 10.5090 1.1050 ; + RECT 10.4670 0.4010 10.5090 0.4430 ; + RECT 10.4670 0.9710 10.5090 1.0130 ; + RECT 10.4670 1.0630 10.5090 1.1050 ; + RECT 10.4670 0.9710 10.5090 1.0130 ; + RECT 10.4670 0.8790 10.5090 0.9210 ; + RECT 10.4670 1.1550 10.5090 1.1970 ; + RECT 10.4670 1.2470 10.5090 1.2890 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.3210 0.2490 13.4310 0.3590 ; + RECT 13.1070 0.5270 13.3820 0.5770 ; + RECT 13.3320 0.3590 13.3820 0.5270 ; + END + PORT + LAYER CO ; + RECT 13.1270 0.5310 13.1690 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0980 0.7240 1.6800 0.7740 ; + RECT 1.1030 0.7740 1.2750 0.8150 ; + RECT 1.1030 0.7050 1.2750 0.7240 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.7270 1.3130 0.7690 ; + RECT 1.5750 0.7270 1.6170 0.7690 ; + RECT 1.4230 0.7280 1.4650 0.7700 ; + RECT 1.1190 0.7280 1.1610 0.7700 ; + END + ANTENNAGATEAREA 0.078 ; + END D + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 11.8150 0.9470 13.4330 1.0070 ; + RECT 13.3230 0.6900 13.4330 0.9470 ; + RECT 13.1990 0.6270 13.2490 0.9470 ; + END + PORT + LAYER CO ; + RECT 12.7470 0.9560 12.7890 0.9980 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 13.2030 0.6510 13.2450 0.6930 ; + RECT 13.2030 0.9270 13.2450 0.9690 ; + RECT 13.2030 0.7430 13.2450 0.7850 ; + RECT 11.8350 0.9560 11.8770 0.9980 ; + RECT 13.3550 0.8620 13.3970 0.9040 ; + RECT 13.3550 0.7100 13.3970 0.7520 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + RECT 13.2030 0.8350 13.2450 0.8770 ; + END + END VDDG + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0990 1.9790 0.1490 ; + RECT 0.4010 0.1490 0.5110 0.2410 ; + RECT 0.4010 0.0970 0.5110 0.0990 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.1030 0.5530 0.1450 ; + RECT 1.8790 0.1030 1.9210 0.1450 ; + RECT 1.7270 0.1030 1.7690 0.1450 ; + RECT 0.8150 0.1030 0.8570 0.1450 ; + RECT 0.9670 0.1030 1.0090 0.1450 ; + END + ANTENNAGATEAREA 0.063 ; + END RSTB + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8270 0.7180 6.9410 0.7680 ; + RECT 6.4350 0.7680 6.5910 0.8160 ; + RECT 6.4350 0.7050 6.5910 0.7180 ; + RECT 5.8270 0.6030 6.6530 0.6530 ; + RECT 6.8910 0.7680 6.9410 0.9330 ; + RECT 5.8270 0.6530 5.8770 0.7180 ; + RECT 5.8270 0.5890 5.8770 0.6030 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6120 5.8730 0.6540 ; + RECT 6.4390 0.6070 6.4810 0.6490 ; + RECT 6.5910 0.6070 6.6330 0.6490 ; + RECT 6.2870 0.6070 6.3290 0.6490 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + RECT 5.9830 0.6070 6.0250 0.6490 ; + RECT 6.1350 0.6070 6.1770 0.6490 ; + END + ANTENNAGATEAREA 0.105 ; + END NRESTORE + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.1360 0.0300 ; + RECT 0.2790 0.3550 0.6180 0.4050 ; + RECT 2.2190 0.1950 2.6450 0.2450 ; + RECT 10.2950 0.2540 10.6650 0.3040 ; + RECT 10.8830 0.1700 10.9850 0.2200 ; + RECT 0.5680 0.2300 1.9020 0.2800 ; + RECT 3.3320 0.2440 3.3820 0.2950 ; + RECT 9.7030 0.4060 10.0570 0.4560 ; + RECT 3.3320 0.1940 4.4330 0.2440 ; + RECT 4.3830 0.2950 5.0570 0.3450 ; + RECT 9.4640 0.0300 9.5140 0.3000 ; + RECT 10.9350 0.0300 10.9850 0.1700 ; + RECT 12.7430 0.0300 12.7930 0.1980 ; + RECT 13.1990 0.0300 13.2490 0.4260 ; + RECT 11.8310 0.0300 11.8810 0.4260 ; + RECT 0.5680 0.2800 0.6180 0.3550 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 2.9390 0.2950 3.3820 0.3450 ; + RECT 2.5590 0.0300 2.6090 0.1950 ; + RECT 10.6150 0.0300 10.6650 0.2540 ; + RECT 3.1670 0.3450 3.2170 0.5570 ; + RECT 3.0150 0.3450 3.0650 0.5570 ; + RECT 8.3190 0.3000 9.7530 0.3500 ; + RECT 10.0070 0.1910 10.0570 0.4060 ; + RECT 4.3830 0.2440 4.4330 0.2950 ; + RECT 4.3830 0.3450 4.4330 0.5570 ; + RECT 5.4470 0.2380 5.4970 0.3490 ; + RECT 4.9900 0.2380 5.0400 0.2950 ; + RECT 4.9910 0.1880 6.8810 0.1890 ; + RECT 4.9900 0.1890 6.8810 0.2380 ; + RECT 2.9390 0.0300 2.9890 0.2950 ; + RECT 9.7030 0.3500 9.7530 0.4060 ; + RECT 9.7030 0.1870 9.7530 0.3000 ; + END + PORT + LAYER CO ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 4.6910 0.2990 4.7330 0.3410 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 10.0110 0.3030 10.0530 0.3450 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 10.0110 0.2110 10.0530 0.2530 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 9.0990 0.3040 9.1410 0.3460 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.2990 0.1920 5.3410 0.2340 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 9.7070 0.2070 9.7490 0.2490 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.5630 0.1990 2.6050 0.2410 ; + RECT 1.8030 0.2330 1.8450 0.2750 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 8.3390 0.3040 8.3810 0.3460 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 10.3150 0.2580 10.3570 0.3000 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 12.7470 0.1360 12.7890 0.1780 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 13.2030 0.2660 13.2450 0.3080 ; + RECT 4.9950 0.2990 5.0370 0.3410 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 10.9230 0.1740 10.9650 0.2160 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 11.8350 0.1620 11.8770 0.2040 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 4.3870 0.4950 4.4290 0.5370 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + RECT 5.4510 0.2870 5.4930 0.3290 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 9.7070 0.2990 9.7490 0.3410 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 2.2590 0.1990 2.3010 0.2410 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 11.8350 0.3460 11.8770 0.3880 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 10.6190 0.1720 10.6610 0.2140 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 13.2030 0.3580 13.2450 0.4000 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 6.8190 0.1920 6.8610 0.2340 ; + RECT 3.1710 0.4030 3.2130 0.4450 ; + RECT 3.1710 0.4950 3.2130 0.5370 ; + RECT 4.3870 0.4030 4.4290 0.4450 ; + RECT 11.8350 0.2540 11.8770 0.2960 ; + RECT 13.2030 0.1740 13.2450 0.2160 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 1.4650 3.0950 1.5750 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.5280 2.9850 1.5700 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 11.9870 0.3470 12.0290 0.3890 ; + RECT 9.1750 0.6360 9.2170 0.6780 ; + RECT 6.1350 0.8680 6.1770 0.9100 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + RECT 8.6430 0.4040 8.6850 0.4460 ; + RECT 12.0630 1.5240 12.1050 1.5660 ; + RECT 10.3910 0.6440 10.4330 0.6860 ; + RECT 9.8590 0.9620 9.9010 1.0040 ; + RECT 4.6150 0.8580 4.6570 0.9000 ; + RECT 9.8590 1.1460 9.9010 1.1880 ; + RECT 2.7150 0.3820 2.7570 0.4240 ; + RECT 7.2750 0.4090 7.3170 0.4510 ; + RECT 2.6390 0.6090 2.6810 0.6510 ; + RECT 8.7950 0.5280 8.8370 0.5700 ; + RECT 12.1390 0.8240 12.1810 0.8660 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 9.8590 0.9620 9.9010 1.0040 ; + RECT 8.7950 0.9630 8.8370 1.0050 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 3.5510 0.0970 3.5930 0.1390 ; + RECT 8.7950 1.1470 8.8370 1.1890 ; + RECT 9.8590 1.2380 9.9010 1.2800 ; + RECT 12.1390 0.2520 12.1810 0.2940 ; + RECT 8.2630 0.6250 8.3050 0.6670 ; + RECT 6.8950 0.6170 6.9370 0.6590 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 4.4630 0.6170 4.5050 0.6590 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 11.9870 0.7300 12.0290 0.7720 ; + RECT 3.6270 1.0080 3.6690 1.0500 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 9.9350 0.6370 9.9770 0.6790 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 7.5790 0.3040 7.6210 0.3460 ; + RECT 11.9870 0.1630 12.0290 0.2050 ; + RECT 3.3990 1.5180 3.4410 1.5600 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 11.1510 1.2030 11.1930 1.2450 ; + RECT 7.1230 1.2080 7.1650 1.2500 ; + RECT 13.1270 1.0710 13.1690 1.1130 ; + RECT 8.1870 0.3040 8.2290 0.3460 ; + RECT 7.3510 0.8310 7.3930 0.8730 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 8.1110 0.6250 8.1530 0.6670 ; + RECT 6.2110 1.1240 6.2530 1.1660 ; + RECT 6.0590 0.4930 6.1010 0.5350 ; + RECT 5.9830 0.8680 6.0250 0.9100 ; + RECT 2.8670 0.9420 2.9090 0.9840 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 3.0950 0.0970 3.1370 0.1390 ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 11.6830 0.2540 11.7250 0.2960 ; + RECT 7.8070 0.8310 7.8490 0.8730 ; + RECT 9.8590 1.2380 9.9010 1.2800 ; + RECT 3.8550 0.0970 3.8970 0.1390 ; + RECT 7.6550 0.6250 7.6970 0.6670 ; + RECT 0.9670 1.4200 1.0090 1.4620 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 6.7430 0.6170 6.7850 0.6590 ; + RECT 4.7670 0.8580 4.8090 0.9000 ; + RECT 6.8950 1.5240 6.9370 1.5660 ; + RECT 5.0710 0.8580 5.1130 0.9000 ; + RECT 7.9590 0.6250 8.0010 0.6670 ; + RECT 5.6030 0.9720 5.6450 1.0140 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 11.9870 0.8220 12.0290 0.8640 ; + RECT 8.7950 1.1470 8.8370 1.1890 ; + RECT 5.7550 1.0640 5.7970 1.1060 ; + RECT 4.3110 1.5260 4.3530 1.5680 ; + RECT 3.6270 0.9160 3.6690 0.9580 ; + RECT 5.5270 1.5240 5.5690 1.5660 ; + RECT 11.6830 0.1620 11.7250 0.2040 ; + RECT 7.7310 0.4040 7.7730 0.4460 ; + RECT 12.8230 0.4660 12.8650 0.5080 ; + RECT 11.9870 0.1630 12.0290 0.2050 ; + RECT 8.7190 0.6320 8.7610 0.6740 ; + RECT 11.6830 0.3460 11.7250 0.3880 ; + RECT 8.7950 1.2390 8.8370 1.2810 ; + RECT 9.7830 0.6370 9.8250 0.6790 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 12.2910 0.3680 12.3330 0.4100 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 13.0510 0.6800 13.0930 0.7220 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 7.4270 0.4040 7.4690 0.4460 ; + RECT 7.4270 1.1830 7.4690 1.2250 ; + RECT 12.5950 0.1360 12.6370 0.1780 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 11.9870 0.2550 12.0290 0.2970 ; + RECT 4.2350 1.1940 4.2770 1.2360 ; + RECT 5.6030 0.5250 5.6450 0.5670 ; + RECT 7.1230 1.0240 7.1650 1.0660 ; + RECT 11.6830 0.7430 11.7250 0.7850 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 2.4110 0.3820 2.4530 0.4240 ; + RECT 8.5670 0.6320 8.6090 0.6740 ; + RECT 12.8990 0.7130 12.9410 0.7550 ; + RECT 3.4750 1.2780 3.5170 1.3200 ; + RECT 8.4150 0.6170 8.4570 0.6590 ; + RECT 0.6630 1.5200 0.7050 1.5620 ; + RECT 8.9470 0.2050 8.9890 0.2470 ; + RECT 7.2750 1.2840 7.3170 1.3260 ; + RECT 4.3110 0.9710 4.3530 1.0130 ; + RECT 11.9870 0.3470 12.0290 0.3890 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 3.7790 1.2780 3.8210 1.3200 ; + RECT 0.5870 0.5110 0.6290 0.5530 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 7.8070 0.6250 7.8490 0.6670 ; + RECT 1.6510 0.4090 1.6930 0.4510 ; + RECT 7.5030 0.6250 7.5450 0.6670 ; + RECT 3.9310 1.1940 3.9730 1.2360 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 7.8070 0.6250 7.8490 0.6670 ; + RECT 11.7590 0.5310 11.8010 0.5730 ; + RECT 7.0470 1.5240 7.0890 1.5660 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 3.7790 0.2990 3.8210 0.3410 ; + RECT 3.6270 1.1000 3.6690 1.1420 ; + RECT 9.8590 1.0540 9.9010 1.0960 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 2.8670 0.7580 2.9090 0.8000 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 9.8590 1.1460 9.9010 1.1880 ; + RECT 1.1950 0.5090 1.2370 0.5510 ; + RECT 12.5950 0.8240 12.6370 0.8660 ; + RECT 12.4430 0.1360 12.4850 0.1780 ; + RECT 12.4430 0.7130 12.4850 0.7550 ; + RECT 12.2910 0.6770 12.3330 0.7190 ; + RECT 6.5150 0.3930 6.5570 0.4350 ; + RECT 7.3510 1.4240 7.3930 1.4660 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 6.2110 0.3930 6.2530 0.4350 ; + RECT 12.8990 0.2520 12.9410 0.2940 ; + RECT 7.5790 1.2840 7.6210 1.3260 ; + RECT 4.0830 1.0630 4.1250 1.1050 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 1.1950 1.1920 1.2370 1.2340 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 6.7430 0.8500 6.7850 0.8920 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 4.0070 0.7420 4.0490 0.7840 ; + RECT 0.8910 1.1920 0.9330 1.2340 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 5.2230 0.8580 5.2650 0.9000 ; + RECT 4.1590 0.0920 4.2010 0.1340 ; + RECT 12.0630 1.0710 12.1050 1.1130 ; + RECT 10.0870 0.6440 10.1290 0.6860 ; + RECT 0.8150 1.5200 0.8570 1.5620 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 7.2750 0.3170 7.3170 0.3590 ; + RECT 9.3270 0.0980 9.3690 0.1400 ; + RECT 7.8830 0.3040 7.9250 0.3460 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 7.1230 0.3930 7.1650 0.4350 ; + RECT 1.4990 0.5090 1.5410 0.5510 ; + RECT 8.8710 0.0980 8.9130 0.1400 ; + RECT 9.2510 0.2050 9.2930 0.2470 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 9.8590 0.2940 9.9010 0.3360 ; + RECT 8.7950 1.0550 8.8370 1.0970 ; + RECT 6.6670 0.4930 6.7090 0.5350 ; + RECT 7.5030 0.8310 7.5450 0.8730 ; + RECT 9.8590 0.2020 9.9010 0.2440 ; + RECT 3.7030 0.0970 3.7450 0.1390 ; + RECT 8.7950 1.2390 8.8370 1.2810 ; + RECT 11.9870 0.6380 12.0290 0.6800 ; + RECT 5.8310 0.8680 5.8730 0.9100 ; + RECT 7.5030 0.8310 7.5450 0.8730 ; + RECT 6.0590 1.0240 6.1010 1.0660 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 3.7030 1.5180 3.7450 1.5600 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 2.4110 1.2840 2.4530 1.3260 ; + RECT 3.9310 0.5250 3.9730 0.5670 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 3.3230 0.5170 3.3650 0.5590 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 3.2470 0.6170 3.2890 0.6590 ; + RECT 3.3230 0.9500 3.3650 0.9920 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 8.7950 1.0550 8.8370 1.0970 ; + RECT 8.2630 0.1920 8.3050 0.2340 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 4.4630 1.5180 4.5050 1.5600 ; + RECT 7.3510 0.6250 7.3930 0.6670 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 5.7550 0.4930 5.7970 0.5350 ; + RECT 8.6430 1.1830 8.6850 1.2250 ; + RECT 6.3630 0.4930 6.4050 0.5350 ; + RECT 5.3750 0.8620 5.4170 0.9040 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 9.0230 0.6360 9.0650 0.6780 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 8.2630 0.8730 8.3050 0.9150 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 10.5430 0.6260 10.5850 0.6680 ; + RECT 2.7150 1.0480 2.7570 1.0900 ; + RECT 0.7390 0.4090 0.7810 0.4510 ; + RECT 7.1230 1.1160 7.1650 1.1580 ; + RECT 2.8670 0.8500 2.9090 0.8920 ; + RECT 1.0430 0.4090 1.0850 0.4510 ; + RECT 8.0350 0.4040 8.0770 0.4460 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 1.3470 1.0920 1.3890 1.1340 ; + RECT 5.9070 0.3930 5.9490 0.4350 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 2.4110 1.0080 2.4530 1.0500 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + RECT 1.3470 0.4090 1.3890 0.4510 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 1.0430 1.0920 1.0850 1.1340 ; + RECT 2.4110 1.1920 2.4530 1.2340 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 9.8590 1.0540 9.9010 1.0960 ; + RECT 7.6550 0.8310 7.6970 0.8730 ; + RECT 5.3750 1.4240 5.4170 1.4660 ; + RECT 0.6630 0.7240 0.7050 0.7660 ; + RECT 12.3670 1.2030 12.4090 1.2450 ; + RECT 3.9310 0.4330 3.9730 0.4750 ; + RECT 7.8830 1.2840 7.9250 1.3260 ; + RECT 10.0870 0.0920 10.1290 0.1340 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 2.1070 0.3820 2.1490 0.4240 ; + RECT 3.5510 1.5180 3.5930 1.5600 ; + RECT 9.4030 0.9630 9.4450 1.0050 ; + RECT 3.3990 0.6470 3.4410 0.6890 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 3.8550 1.5260 3.8970 1.5680 ; + RECT 2.4870 0.6090 2.5290 0.6510 ; + RECT 1.9550 0.4100 1.9970 0.4520 ; + RECT 2.3350 0.6090 2.3770 0.6510 ; + RECT 2.1830 0.6090 2.2250 0.6510 ; + RECT 3.0950 0.6170 3.1370 0.6590 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 10.6950 0.6170 10.7370 0.6590 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 4.8430 1.1240 4.8850 1.1660 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 12.6710 1.0710 12.7130 1.1130 ; + RECT 9.4030 0.5280 9.4450 0.5700 ; + RECT 0.5110 1.4200 0.5530 1.4620 ; + LAYER PO ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 7.8130 0.7990 7.8430 1.6060 ; + RECT 7.6610 0.0640 7.6910 0.6990 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 0.9730 0.0760 1.0030 0.6600 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 7.3570 0.0660 7.3870 0.6990 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.6600 ; + RECT 6.1410 0.0630 6.1710 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 3.7090 0.8050 3.7390 1.6060 ; + RECT 4.3170 0.0660 4.3470 0.6910 ; + RECT 3.7090 0.0660 3.7390 0.6410 ; + RECT 8.4210 0.9390 8.4510 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 8.1170 0.0640 8.1470 1.6060 ; + RECT 0.9730 0.8200 1.0030 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 4.3170 0.9390 4.3470 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 7.9650 0.0640 7.9950 1.6060 ; + RECT 3.5570 0.0660 3.5870 0.6400 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 0.6690 0.0760 0.6990 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 3.5570 0.8050 3.5870 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 1.7330 0.0760 1.7630 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 6.1410 0.7910 6.1710 1.6070 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 6.2930 0.0630 6.3230 1.6060 ; + RECT 7.3570 0.7990 7.3870 1.6060 ; + RECT 6.4450 0.0630 6.4750 1.6060 ; + RECT 5.9890 0.7910 6.0190 1.6070 ; + RECT 6.5970 0.0630 6.6270 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 7.6610 0.7990 7.6910 1.6060 ; + RECT 7.8130 0.0640 7.8430 0.6990 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.8370 0.7910 5.8670 1.6070 ; + RECT 6.9010 0.8390 6.9310 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 8.4210 0.0660 8.4510 0.6910 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 7.5090 0.7990 7.5390 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6990 ; + RECT 11.1570 0.0670 11.1870 1.6050 ; + RECT 0.8210 0.8200 0.8510 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + LAYER M1 ; + RECT 10.7040 0.5560 10.7540 0.6130 ; + RECT 10.0830 0.6410 10.5890 0.6910 ; + RECT 10.5390 0.6060 10.5890 0.6410 ; + RECT 9.8550 0.9280 9.9050 1.3000 ; + RECT 9.8550 0.8780 10.1330 0.9280 ; + RECT 10.0830 0.6910 10.1330 0.8780 ; + RECT 10.0830 0.6240 10.1330 0.6410 ; + RECT 8.9270 0.2000 9.3250 0.2500 ; + RECT 11.6790 1.0670 12.1280 1.1170 ; + RECT 11.6390 0.4270 11.7290 0.4770 ; + RECT 11.6390 0.6270 11.7290 0.6770 ; + RECT 11.6790 0.1260 11.7290 0.4270 ; + RECT 11.6390 0.4770 11.6890 0.6270 ; + RECT 11.6790 0.6770 11.7290 1.0670 ; + RECT 13.0070 0.6270 13.0970 0.6770 ; + RECT 12.8030 0.4770 13.0570 0.5120 ; + RECT 12.8030 0.4620 13.0970 0.4770 ; + RECT 13.0470 0.1260 13.0970 0.4270 ; + RECT 13.0070 0.4270 13.0970 0.4620 ; + RECT 13.0470 0.6770 13.0970 0.7680 ; + RECT 13.0070 0.5120 13.0570 0.6270 ; + RECT 12.4230 0.7090 12.9610 0.7590 ; + RECT 11.7390 0.5270 12.3370 0.5770 ; + RECT 11.9830 0.5770 12.0330 0.8870 ; + RECT 11.9830 0.1260 12.0330 0.5270 ; + RECT 12.2870 0.5770 12.3370 0.7700 ; + RECT 12.2870 0.3480 12.3370 0.5270 ; + RECT 12.1190 0.2480 12.9610 0.2980 ; + RECT 12.4230 0.1320 12.6570 0.1820 ; + RECT 0.5670 1.1880 1.2770 1.2380 ; + RECT 0.5830 1.0530 0.6330 1.1880 ; + RECT 0.4910 1.4160 1.0300 1.4660 ; + RECT 0.6430 1.5160 0.8770 1.5660 ; + RECT 5.3710 0.8380 5.4210 0.9680 ; + RECT 4.2910 0.9680 5.4210 1.0180 ; + RECT 3.3790 1.5140 3.7480 1.5640 ; + RECT 3.6980 1.4240 3.7480 1.5140 ; + RECT 3.6980 1.3740 4.5090 1.4240 ; + RECT 4.4590 1.4240 4.5090 1.5840 ; + RECT 3.6980 1.5640 3.7480 1.5840 ; + RECT 3.8350 1.5220 4.3730 1.5720 ; + RECT 3.9110 1.1900 4.2970 1.2400 ; + RECT 5.5070 1.5200 6.9570 1.5700 ; + RECT 5.3550 1.4200 7.4210 1.4700 ; + RECT 8.3590 0.9670 8.4770 1.0170 ; + RECT 8.2590 0.8530 8.4090 0.9030 ; + RECT 8.2590 0.9030 8.3090 0.9390 ; + RECT 8.3590 0.9030 8.4090 0.9670 ; + RECT 11.1310 1.1990 12.4290 1.2490 ; + RECT 12.6510 1.0670 13.1890 1.1170 ; + RECT 12.1190 0.8200 12.6670 0.8700 ; + RECT 8.3750 0.1980 8.8770 0.2480 ; + RECT 4.1390 0.0880 8.4250 0.1380 ; + RECT 8.3750 0.2480 8.4250 0.2500 ; + RECT 8.3750 0.1380 8.4250 0.1980 ; + RECT 8.8270 0.0940 9.4140 0.1440 ; + RECT 8.8270 0.1440 8.8770 0.1980 ; + RECT 5.8670 0.3890 7.3210 0.4390 ; + RECT 7.1190 1.2800 7.9450 1.3300 ; + RECT 5.8870 1.1200 7.1690 1.1700 ; + RECT 7.1190 0.3840 7.1690 0.3890 ; + RECT 7.2710 0.4390 7.3210 0.4710 ; + RECT 7.1190 1.1700 7.1690 1.2800 ; + RECT 7.1190 0.4390 7.1690 1.1200 ; + RECT 7.2710 0.3500 7.3210 0.3890 ; + RECT 7.2710 0.3000 8.2490 0.3500 ; + RECT 7.2710 0.2880 7.3210 0.3000 ; + RECT 7.0250 1.5200 12.1250 1.5700 ; + RECT 0.9560 0.6050 2.7010 0.6550 ; + RECT 0.5670 0.5050 1.5970 0.5550 ; + RECT 0.8000 1.0880 1.4090 1.1380 ; + RECT 0.8000 0.7360 1.0060 0.7860 ; + RECT 0.9560 0.6550 1.0060 0.7360 ; + RECT 0.9560 0.5550 1.0060 0.6050 ; + RECT 0.8000 0.7860 0.8500 1.0880 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.7200 0.7250 0.7700 ; + RECT 0.4710 0.6510 0.5210 0.7200 ; + RECT 0.4310 0.8960 0.4810 1.2460 ; + RECT 0.4710 0.7700 0.5210 0.8440 ; + RECT 0.4310 0.8440 0.5210 0.8960 ; + RECT 0.7190 0.4050 2.0170 0.4550 ; + RECT 3.6230 0.8540 5.2850 0.9040 ; + RECT 3.6230 0.5630 3.9770 0.6130 ; + RECT 3.6230 1.0590 4.1450 1.1090 ; + RECT 3.6230 0.4130 3.6730 0.5630 ; + RECT 3.9270 0.4070 3.9770 0.5630 ; + RECT 3.6230 1.1090 3.6730 1.1620 ; + RECT 3.6230 0.9040 3.6730 1.0590 ; + RECT 3.6230 0.6130 3.6730 0.8540 ; + RECT 3.3190 0.7130 3.4450 0.7630 ; + RECT 3.3030 0.5130 3.4450 0.5630 ; + RECT 3.3190 0.7630 3.3690 1.0330 ; + RECT 3.3950 0.5630 3.4450 0.7130 ; + RECT 5.7350 0.4890 7.0570 0.5390 ; + RECT 7.0070 0.5390 7.0570 1.0200 ; + RECT 5.7510 1.0200 7.0570 1.0700 ; + RECT 3.9820 0.7380 5.5390 0.7880 ; + RECT 4.5190 1.1200 5.8010 1.1700 ; + RECT 4.8030 0.5210 5.2290 0.5710 ; + RECT 5.4870 0.7880 5.5370 1.1200 ; + RECT 4.9740 0.5710 5.0240 0.7380 ; + RECT 5.7510 1.0700 5.8010 1.1200 ; + RECT 3.4550 0.4120 3.5610 0.4620 ; + RECT 3.4710 0.8130 3.5610 0.8630 ; + RECT 3.4660 0.2950 3.8410 0.3450 ; + RECT 3.4710 1.2740 3.8410 1.3240 ; + RECT 3.4660 0.3450 3.5160 0.4120 ; + RECT 3.5110 0.4620 3.5610 0.8130 ; + RECT 3.4710 1.3240 3.5210 1.3540 ; + RECT 3.4710 1.2520 3.5210 1.2740 ; + RECT 2.7110 1.2020 3.5210 1.2520 ; + RECT 3.4710 0.8630 3.5210 1.2020 ; + RECT 2.4070 1.0540 2.4570 1.3610 ; + RECT 2.4070 0.9710 2.4570 1.0040 ; + RECT 2.3910 1.0040 2.7610 1.0540 ; + RECT 2.7110 0.8190 2.8010 0.8690 ; + RECT 2.7110 1.0540 2.7610 1.2020 ; + RECT 2.7110 0.8690 2.7610 1.0040 ; + RECT 2.7110 0.3620 2.7610 0.3780 ; + RECT 2.7110 0.4280 2.8010 0.4750 ; + RECT 2.0870 0.3780 2.7610 0.4250 ; + RECT 2.0870 0.4250 2.8010 0.4280 ; + RECT 2.7510 0.4750 2.8010 0.8190 ; + RECT 2.8630 0.6130 3.3090 0.6630 ; + RECT 2.8630 0.6630 2.9130 1.0040 ; + RECT 2.8630 0.4130 2.9130 0.6130 ; + RECT 3.0740 0.0930 3.9230 0.1430 ; + RECT 6.9710 0.1880 8.3250 0.2380 ; + RECT 5.5700 0.2890 7.0210 0.3390 ; + RECT 6.9710 0.2380 7.0210 0.2890 ; + RECT 4.2910 0.6130 4.7530 0.6630 ; + RECT 4.7030 0.4550 4.7530 0.6130 ; + RECT 4.7030 0.4050 5.6200 0.4550 ; + RECT 5.5700 0.3390 5.6200 0.4050 ; + RECT 7.3870 0.4000 9.5650 0.4500 ; + RECT 9.0010 0.6320 9.5650 0.6820 ; + RECT 9.5150 0.4500 9.5650 0.6320 ; + RECT 7.2190 0.5210 7.4730 0.5710 ; + RECT 7.2190 0.5710 7.2690 0.9790 ; + RECT 7.2190 0.9790 7.7460 1.0290 ; + RECT 7.3870 1.1790 8.7410 1.2290 ; + RECT 7.4230 0.4500 7.4730 0.5210 ; + RECT 7.6960 1.0290 7.7460 1.1790 ; + RECT 6.7200 0.6130 6.9570 0.6630 ; + RECT 5.5990 0.8660 6.7890 0.9160 ; + RECT 6.7390 0.9160 6.7890 0.9700 ; + RECT 6.7390 0.8300 6.7890 0.8660 ; + RECT 5.5990 0.9160 5.6490 1.0340 ; + RECT 5.5990 0.5050 5.6490 0.8660 ; + RECT 7.3310 0.6210 8.3450 0.6710 ; + RECT 7.8030 0.7530 8.4610 0.8030 ; + RECT 8.4110 0.5870 8.4610 0.7530 ; + RECT 7.3290 0.8270 7.8530 0.8770 ; + RECT 7.8030 0.8770 7.8530 0.9130 ; + RECT 7.8030 0.8030 7.8530 0.8270 ; + RECT 9.8550 0.0880 10.1490 0.1380 ; + RECT 9.8550 0.1380 9.9050 0.3560 ; + RECT 10.6390 0.6130 11.2130 0.6630 ; + RECT 8.7910 0.8090 8.8410 1.3010 ; + RECT 8.7910 0.5000 8.8410 0.5240 ; + RECT 8.7910 0.6780 8.8410 0.7590 ; + RECT 8.5470 0.6280 8.8410 0.6780 ; + RECT 8.7910 0.5740 8.8410 0.6280 ; + RECT 9.0950 0.8090 9.1450 1.3010 ; + RECT 8.7750 0.5240 9.4650 0.5740 ; + RECT 9.3990 0.8090 9.4490 1.3010 ; + RECT 8.7910 0.7590 9.8290 0.8090 ; + RECT 9.7790 0.6830 9.8290 0.7590 ; + RECT 9.7790 0.5560 9.8290 0.6330 ; + RECT 9.7790 0.6330 10.0010 0.6830 ; + RECT 9.7790 0.5060 10.7540 0.5560 ; + LAYER NWELL ; + RECT -0.1060 1.5430 14.2380 1.7730 ; + RECT -0.1060 0.6790 11.1060 1.5430 ; + RECT 13.9870 0.6790 14.2380 1.5430 ; + RECT 2.2690 0.6750 3.5710 0.6790 ; + RECT 11.5680 0.4910 13.5230 1.0830 ; + END +END RDFFSRSSRX2_HVT + +MACRO RDFFSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.68 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6300 0.5730 0.6800 ; + RECT 0.2490 0.6800 0.4210 0.6910 ; + RECT 0.2490 0.5530 0.4210 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.8950 0.8920 13.4780 0.9420 ; + RECT 13.3190 0.9420 13.4780 0.9670 ; + RECT 13.3190 0.8570 13.4780 0.8920 ; + RECT 12.8950 0.9420 12.9450 1.5640 ; + RECT 12.8950 0.2130 12.9450 0.4520 ; + RECT 13.4180 0.5020 13.4680 0.8570 ; + RECT 12.8950 0.4520 13.4680 0.5020 ; + END + PORT + LAYER CO ; + RECT 12.8990 0.3350 12.9410 0.3770 ; + RECT 12.8990 0.3350 12.9410 0.3770 ; + RECT 12.8990 0.4270 12.9410 0.4690 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + RECT 12.8990 1.0270 12.9410 1.0690 ; + RECT 12.8990 1.1190 12.9410 1.1610 ; + RECT 12.8990 1.0270 12.9410 1.0690 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + RECT 12.8990 0.2430 12.9410 0.2850 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + RECT 12.8990 1.1190 12.9410 1.1610 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.4740 1.1610 13.6060 1.2710 ; + RECT 13.1990 1.0420 13.5920 1.0920 ; + RECT 13.1830 0.3140 13.5920 0.3640 ; + RECT 13.1990 1.0920 13.2490 1.5640 ; + RECT 13.5420 1.0920 13.5920 1.1610 ; + RECT 13.5420 0.3640 13.5920 1.0420 ; + END + PORT + LAYER CO ; + RECT 13.2030 0.3180 13.2450 0.3600 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.6800 1.7020 ; + RECT 4.0620 1.2200 7.1850 1.2700 ; + RECT 8.0310 1.4040 10.4370 1.4540 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 12.2860 0.9590 12.3360 1.6420 ; + RECT 0.5820 1.3690 0.6320 1.6420 ; + RECT 0.2780 0.7690 0.3280 1.6420 ; + RECT 12.5900 0.9590 12.6400 1.6420 ; + RECT 13.0460 0.9920 13.0960 1.6420 ; + RECT 13.3500 1.1810 13.4000 1.6420 ; + RECT 4.4700 1.2700 4.5200 1.6420 ; + RECT 8.0310 1.2790 8.0810 1.4040 ; + RECT 8.9430 0.9530 8.9930 1.4040 ; + RECT 10.3860 1.4540 10.4360 1.6420 ; + RECT 2.6040 1.4140 2.6540 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.3890 0.6290 1.4310 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 0.5870 1.3890 0.6290 1.4310 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 13.0510 1.3030 13.0930 1.3450 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 0.5870 1.4810 0.6290 1.5230 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 13.0510 1.0270 13.0930 1.0690 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 13.0510 1.4870 13.0930 1.5290 ; + RECT 13.0510 1.3950 13.0930 1.4370 ; + RECT 13.0510 1.1190 13.0930 1.1610 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 13.0510 1.4870 13.0930 1.5290 ; + RECT 12.2910 1.2800 12.3330 1.3220 ; + RECT 4.8430 1.2240 4.8850 1.2660 ; + RECT 12.2910 1.0960 12.3330 1.1380 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 12.2910 1.0040 12.3330 1.0460 ; + RECT 0.5870 1.4810 0.6290 1.5230 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 13.0510 1.2110 13.0930 1.2530 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 8.0350 1.3010 8.0770 1.3430 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 13.0510 1.2110 13.0930 1.2530 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 13.0510 1.3030 13.0930 1.3450 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 12.2910 1.0040 12.3330 1.0460 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 13.0510 1.1190 13.0930 1.1610 ; + RECT 13.0510 1.3950 13.0930 1.4370 ; + RECT 12.2910 1.2800 12.3330 1.3220 ; + RECT 12.2910 1.1880 12.3330 1.2300 ; + RECT 12.2910 1.1880 12.3330 1.2300 ; + RECT 12.2910 1.0960 12.3330 1.1380 ; + RECT 5.7550 1.2240 5.7970 1.2660 ; + RECT 13.0510 1.0270 13.0930 1.0690 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 8.9470 0.9730 8.9890 1.0150 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.6800 0.0300 ; + RECT 8.0150 0.3280 9.2990 0.3780 ; + RECT 13.0470 0.2030 13.1660 0.2530 ; + RECT 1.0380 0.0300 1.0880 0.5650 ; + RECT 10.9180 0.0300 10.9680 0.1980 ; + RECT 11.3740 0.0300 11.4240 0.4260 ; + RECT 12.2860 0.0300 12.3360 0.4230 ; + RECT 0.5820 0.0300 0.6320 0.3140 ; + RECT 0.2780 0.0300 0.3280 0.4840 ; + RECT 10.0060 0.0300 10.0560 0.4260 ; + RECT 13.3500 0.0300 13.4000 0.2260 ; + RECT 1.3420 0.0300 1.3920 0.5650 ; + RECT 12.5500 0.0300 12.6000 0.2030 ; + RECT 12.5500 0.2030 12.6570 0.2530 ; + RECT 9.2470 0.0300 9.2970 0.3280 ; + RECT 13.0470 0.2530 13.0970 0.3950 ; + RECT 13.1150 0.0300 13.1650 0.2030 ; + RECT 4.3990 0.3320 7.1850 0.3550 ; + RECT 3.6430 0.3050 7.1850 0.3320 ; + RECT 1.6460 0.1940 3.6930 0.2440 ; + RECT 1.6460 0.2440 1.6960 0.5650 ; + RECT 1.6460 0.0300 1.6960 0.1940 ; + RECT 3.6430 0.2440 3.6930 0.2820 ; + RECT 3.6430 0.2820 4.4490 0.3050 ; + END + PORT + LAYER CO ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 4.9950 0.3080 5.0370 0.3500 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 2.7150 0.1980 2.7570 0.2400 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 5.7550 0.3090 5.7970 0.3510 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 2.4110 0.1980 2.4530 0.2400 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 12.5950 0.2070 12.6370 0.2490 ; + RECT 4.3870 0.2860 4.4290 0.3280 ; + RECT 11.3790 0.2660 11.4210 0.3080 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 13.0510 0.2410 13.0930 0.2830 ; + RECT 12.5950 0.2070 12.6370 0.2490 ; + RECT 13.0510 0.3330 13.0930 0.3750 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 11.3790 0.1740 11.4210 0.2160 ; + RECT 11.3790 0.3580 11.4210 0.4000 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 11.3790 0.1740 11.4210 0.2160 ; + RECT 11.3790 0.3580 11.4210 0.4000 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 13.3550 0.1490 13.3970 0.1910 ; + RECT 13.0510 0.3330 13.0930 0.3750 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 10.0110 0.2540 10.0530 0.2960 ; + RECT 10.0110 0.1620 10.0530 0.2040 ; + RECT 9.0990 0.3320 9.1410 0.3740 ; + RECT 8.0350 0.3320 8.0770 0.3740 ; + RECT 5.2990 0.3090 5.3410 0.3510 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 10.0110 0.3460 10.0530 0.3880 ; + RECT 10.0110 0.3460 10.0530 0.3880 ; + RECT 4.6910 0.3080 4.7330 0.3500 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 0.5870 0.2470 0.6290 0.2890 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 4.0830 0.2860 4.1250 0.3280 ; + RECT 10.9230 0.1360 10.9650 0.1780 ; + RECT 13.3550 0.1490 13.3970 0.1910 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.4980 0.2490 11.6090 0.3590 ; + RECT 11.2830 0.5270 11.5580 0.5770 ; + RECT 11.5080 0.3590 11.5580 0.5270 ; + END + PORT + LAYER CO ; + RECT 11.3030 0.5310 11.3450 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.4810 0.6630 6.6370 0.8150 ; + RECT 6.5710 0.6130 6.9570 0.6630 ; + RECT 7.1950 0.7810 7.2450 0.9330 ; + RECT 6.9070 0.6630 6.9570 0.7310 ; + RECT 6.9070 0.7310 7.2450 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.8950 0.6170 6.9370 0.6590 ; + RECT 6.7430 0.6170 6.7850 0.6590 ; + RECT 7.1990 0.8710 7.2410 0.9130 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.9910 0.9540 11.6090 1.0140 ; + RECT 11.4970 0.6900 11.6090 0.9540 ; + RECT 11.3750 0.6270 11.4250 0.9540 ; + END + PORT + LAYER CO ; + RECT 11.3790 0.6510 11.4210 0.6930 ; + RECT 11.3790 0.6510 11.4210 0.6930 ; + RECT 11.3790 0.9270 11.4210 0.9690 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.3790 0.7430 11.4210 0.7850 ; + RECT 10.9230 0.9610 10.9650 1.0030 ; + RECT 11.5310 0.8620 11.5730 0.9040 ; + RECT 10.0110 0.9610 10.0530 1.0030 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.5310 0.7100 11.5730 0.7520 ; + RECT 11.3790 0.9270 11.4210 0.9690 ; + END + END VDDG + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8580 1.5240 1.7910 1.5740 ; + RECT 0.8580 1.5740 1.0300 1.5750 ; + RECT 0.8580 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + OBS + LAYER CO ; + RECT 9.0230 0.0900 9.0650 0.1320 ; + RECT 8.3390 0.4340 8.3810 0.4760 ; + RECT 8.5670 0.4340 8.6090 0.4760 ; + RECT 8.5670 1.1830 8.6090 1.2250 ; + RECT 4.3110 0.0900 4.3530 0.1320 ; + RECT 5.1470 0.5090 5.1890 0.5510 ; + RECT 5.0710 0.8580 5.1130 0.9000 ; + RECT 4.9950 1.1260 5.0370 1.1680 ; + RECT 3.3990 0.0900 3.4410 0.1320 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 3.0950 0.6860 3.1370 0.7280 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 5.5270 0.6620 5.5690 0.7040 ; + RECT 5.9830 0.6280 6.0250 0.6700 ; + RECT 5.2230 0.6890 5.2650 0.7310 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 0.4350 0.3140 0.4770 0.3560 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 5.8310 0.6280 5.8730 0.6700 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.1770 3.6690 1.2190 ; + RECT 3.6270 1.0850 3.6690 1.1270 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 5.9070 0.5140 5.9490 0.5560 ; + RECT 5.9070 0.9450 5.9490 0.9870 ; + RECT 1.8790 0.0900 1.9210 0.1320 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 4.3870 1.1260 4.4290 1.1680 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 5.4510 0.5140 5.4930 0.5560 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 11.2270 0.6800 11.2690 0.7220 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 6.9710 1.1240 7.0130 1.1660 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 7.8830 0.9710 7.9250 1.0130 ; + RECT 5.4510 1.0620 5.4930 1.1040 ; + RECT 3.4750 0.3140 3.5170 0.3560 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 4.4630 0.8580 4.5050 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 10.6190 0.7130 10.6610 0.7550 ; + RECT 11.0750 0.7130 11.1170 0.7550 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 11.2270 0.2690 11.2690 0.3110 ; + RECT 10.4670 0.6770 10.5090 0.7190 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 6.8190 0.5170 6.8610 0.5590 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 12.7470 0.2490 12.7890 0.2910 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 7.5790 0.5010 7.6210 0.5430 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.7710 0.8240 10.8130 0.8660 ; + RECT 10.4670 0.3680 10.5090 0.4100 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 12.6710 0.0970 12.7130 0.1390 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 4.5390 0.5250 4.5810 0.5670 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 13.2790 0.6640 13.3210 0.7060 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 5.2230 1.4240 5.2650 1.4660 ; + RECT 8.1110 0.9710 8.1530 1.0130 ; + RECT 7.5790 0.9710 7.6210 1.0130 ; + RECT 4.7670 0.8580 4.8090 0.9000 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 4.0070 1.4280 4.0490 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 3.6270 0.3860 3.6690 0.4280 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 8.3390 1.1830 8.3810 1.2250 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 7.8070 1.4240 7.8490 1.4660 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 6.7430 0.9240 6.7850 0.9660 ; + RECT 10.1630 0.2540 10.2050 0.2960 ; + RECT 10.9990 0.4660 11.0410 0.5080 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 10.1630 0.1620 10.2050 0.2040 ; + RECT 7.5790 0.4090 7.6210 0.4510 ; + RECT 6.3630 0.9720 6.4050 1.0140 ; + RECT 6.5150 1.0640 6.5570 1.1060 ; + RECT 6.6670 1.1240 6.7090 1.1660 ; + RECT 10.7710 0.1360 10.8130 0.1780 ; + RECT 6.6670 0.4170 6.7090 0.4590 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 10.1630 0.6480 10.2050 0.6900 ; + RECT 9.4790 1.2030 9.5210 1.2450 ; + RECT 10.1630 0.6480 10.2050 0.6900 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.8590 0.1620 9.9010 0.2040 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.8590 0.2540 9.9010 0.2960 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 10.8470 1.0790 10.8890 1.1210 ; + RECT 10.1630 0.8320 10.2050 0.8740 ; + RECT 3.1710 0.3140 3.2130 0.3560 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 3.2470 0.0900 3.2890 0.1320 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 10.1630 0.7400 10.2050 0.7820 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 12.4430 0.2690 12.4850 0.3110 ; + RECT 4.3110 0.6330 4.3530 0.6750 ; + RECT 8.9470 0.5520 8.9890 0.5940 ; + RECT 12.4430 1.1550 12.4850 1.1970 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 4.2350 0.3860 4.2770 0.4280 ; + RECT 12.4430 0.8790 12.4850 0.9210 ; + RECT 7.6550 1.4240 7.6970 1.4660 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.0470 0.8510 7.0890 0.8930 ; + RECT 6.8950 0.9240 6.9370 0.9660 ; + RECT 9.9350 0.5310 9.9770 0.5730 ; + RECT 6.5910 0.9240 6.6330 0.9660 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 7.8070 0.0900 7.8490 0.1320 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 5.3750 0.6620 5.4170 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 6.9710 0.4170 7.0130 0.4590 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 7.7310 0.5010 7.7730 0.5430 ; + RECT 3.7790 0.5020 3.8210 0.5440 ; + RECT 3.8550 0.7420 3.8970 0.7840 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 7.0470 0.6170 7.0890 0.6590 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 7.8830 0.3340 7.9250 0.3760 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 12.7470 0.3410 12.7890 0.3830 ; + RECT 7.4270 0.4170 7.4690 0.4590 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 4.0070 0.9710 4.0490 1.0130 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 12.4430 1.2470 12.4850 1.2890 ; + RECT 10.5430 1.2030 10.5850 1.2450 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 10.2390 1.0680 10.2810 1.1100 ; + RECT 12.6710 0.6060 12.7130 0.6480 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 7.6550 0.7710 7.6970 0.8130 ; + RECT 7.7310 1.1990 7.7730 1.2410 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 7.7310 1.2910 7.7730 1.3330 ; + RECT 9.4790 0.8050 9.5210 0.8470 ; + RECT 12.4430 1.2470 12.4850 1.2890 ; + RECT 4.1590 0.9710 4.2010 1.0130 ; + RECT 12.9750 0.0970 13.0170 0.1390 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 12.4430 1.0630 12.4850 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 12.4430 1.0630 12.4850 1.1050 ; + RECT 4.6910 1.1260 4.7330 1.1680 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 9.8590 0.3460 9.9010 0.3880 ; + RECT 10.6190 0.1360 10.6610 0.1780 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 9.8590 0.7430 9.9010 0.7850 ; + RECT 11.0750 0.2520 11.1170 0.2940 ; + RECT 9.8590 0.3460 9.9010 0.3880 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 11.3030 1.0790 11.3450 1.1210 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 7.3510 1.5240 7.3930 1.5660 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 10.1630 0.8320 10.2050 0.8740 ; + RECT 7.1990 1.5240 7.2410 1.5660 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 3.9310 0.3860 3.9730 0.4280 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 12.4430 1.1550 12.4850 1.1970 ; + RECT 4.1590 0.6330 4.2010 0.6750 ; + RECT 4.8430 0.5090 4.8850 0.5510 ; + RECT 8.1110 0.6620 8.1530 0.7040 ; + RECT 8.2630 0.6620 8.3050 0.7040 ; + RECT 3.5510 0.0900 3.5930 0.1320 ; + RECT 4.0070 0.6330 4.0490 0.6750 ; + RECT 7.6550 0.0900 7.6970 0.1320 ; + RECT 7.9590 0.0900 8.0010 0.1320 ; + RECT 12.4430 0.8790 12.4850 0.9210 ; + RECT 5.2230 0.6890 5.2650 0.7310 ; + RECT 10.3150 0.8240 10.3570 0.8660 ; + RECT 8.7190 0.4340 8.7610 0.4760 ; + RECT 7.1990 0.6170 7.2410 0.6590 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 12.4430 0.1770 12.4850 0.2190 ; + RECT 12.3670 0.6170 12.4090 0.6590 ; + RECT 3.6270 1.2690 3.6690 1.3110 ; + RECT 12.4430 0.3610 12.4850 0.4030 ; + RECT 7.6550 1.4240 7.6970 1.4660 ; + RECT 7.9590 0.7620 8.0010 0.8040 ; + LAYER PO ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 4.1650 0.0660 4.1950 0.6950 ; + RECT 4.0130 0.0660 4.0430 0.6950 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 13.2850 0.0690 13.3150 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 4.0130 0.9390 4.0430 1.6060 ; + RECT 9.3330 0.0670 9.3630 1.6050 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.2050 0.8390 7.2350 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0590 9.0590 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7280 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 13.4370 0.0690 13.4670 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 8.7250 0.0590 8.7550 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 8.1170 0.9390 8.1470 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6450 ; + RECT 3.2530 0.0660 3.2830 0.6820 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.8770 0.0590 8.9070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6120 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 13.1330 0.0710 13.1630 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6120 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 6.5970 0.8920 6.6270 1.6060 ; + RECT 7.8130 0.7710 7.8430 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 6.7490 0.8920 6.7790 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 6.9010 0.8920 6.9310 1.6060 ; + RECT 7.8130 0.0660 7.8430 0.6450 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6820 ; + RECT 13.5890 0.0690 13.6190 1.6060 ; + RECT 4.1650 0.9390 4.1950 1.6060 ; + RECT 7.6610 0.7540 7.6910 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 12.9810 0.0690 13.0110 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 12.8290 0.0710 12.8590 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6910 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + LAYER M1 ; + RECT 11.2230 0.1260 11.2730 0.4270 ; + RECT 11.1830 0.5120 11.2330 0.6270 ; + RECT 11.1830 0.4270 11.2730 0.4620 ; + RECT 7.7280 1.1790 8.6640 1.2290 ; + RECT 7.7280 1.2290 7.7780 1.3530 ; + RECT 6.2670 1.5200 7.2610 1.5700 ; + RECT 7.0260 0.6130 7.2610 0.6630 ; + RECT 7.5750 0.7670 7.7170 0.8170 ; + RECT 7.5750 0.6580 8.1730 0.7080 ; + RECT 7.5750 0.7080 7.6250 0.7670 ; + RECT 7.5750 0.6500 7.6250 0.6580 ; + RECT 1.8480 0.0880 3.6190 0.1380 ; + RECT 6.3590 0.9200 7.0930 0.9700 ; + RECT 7.0430 0.8310 7.0930 0.9200 ; + RECT 6.3590 0.9700 6.4090 1.0340 ; + RECT 6.3590 0.5050 6.4090 0.9200 ; + RECT 3.9270 1.0680 4.2970 1.1180 ; + RECT 3.6100 1.2920 3.9930 1.3420 ; + RECT 3.6230 1.0650 3.6730 1.2920 ; + RECT 3.9270 1.1180 3.9770 1.2920 ; + RECT 3.5310 1.4240 4.0690 1.4740 ; + RECT 4.2910 0.0880 8.0210 0.1380 ; + RECT 12.6510 0.0930 13.0610 0.1430 ; + RECT 3.9870 0.6290 4.3730 0.6790 ; + RECT 3.6070 0.3820 4.2970 0.4320 ; + RECT 5.2030 1.4200 7.8690 1.4700 ; + RECT 10.5990 0.1320 10.8330 0.1820 ; + RECT 8.6490 0.0880 9.1290 0.1380 ; + RECT 3.3190 0.8540 5.1330 0.9040 ; + RECT 3.3190 0.4980 3.8550 0.5480 ; + RECT 3.3190 0.4130 3.3690 0.4980 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.2450 ; + RECT 3.3190 0.5480 3.3690 0.8540 ; + RECT 3.9870 0.9680 5.2690 1.0180 ; + RECT 5.2190 0.6690 5.2690 0.9680 ; + RECT 12.7830 0.6600 13.3490 0.7100 ; + RECT 12.7430 0.4010 12.8330 0.4510 ; + RECT 12.7430 0.7780 12.8330 0.8280 ; + RECT 12.7430 0.2250 12.7930 0.4010 ; + RECT 12.7830 0.4510 12.8330 0.6600 ; + RECT 12.7430 0.8280 12.7930 1.3090 ; + RECT 12.7830 0.7100 12.8330 0.7780 ; + RECT 6.6470 0.4130 7.6250 0.4630 ; + RECT 7.4230 0.9670 7.9460 1.0170 ; + RECT 6.6470 1.1200 7.4730 1.1700 ; + RECT 7.5750 0.3300 7.9510 0.3800 ; + RECT 7.5750 0.3270 7.6250 0.3300 ; + RECT 7.4230 0.3840 7.4730 0.4130 ; + RECT 7.5750 0.4630 7.6250 0.5630 ; + RECT 7.5750 0.3800 7.6250 0.4130 ; + RECT 7.4230 0.4630 7.4730 0.9670 ; + RECT 7.4230 1.1700 7.4730 1.2700 ; + RECT 7.4230 1.0170 7.4730 1.1200 ; + RECT 7.7270 0.4300 8.7930 0.4800 ; + RECT 7.7270 0.4800 7.7770 0.5630 ; + RECT 12.4780 0.6020 12.7330 0.6520 ; + RECT 12.4390 0.4010 12.5280 0.4510 ; + RECT 12.4390 0.7780 12.5280 0.8280 ; + RECT 12.4390 0.1570 12.4890 0.4010 ; + RECT 12.4780 0.4510 12.5280 0.6020 ; + RECT 12.4390 0.8280 12.4890 1.3090 ; + RECT 12.4780 0.6520 12.5280 0.7780 ; + RECT 11.8850 0.6320 12.4130 0.6820 ; + RECT 12.3630 0.5970 12.4130 0.6320 ; + RECT 11.8850 0.6820 11.9350 1.1990 ; + RECT 9.4590 1.1990 11.9350 1.2490 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 6.4950 0.5130 7.3600 0.5440 ; + RECT 6.4950 0.5440 7.3610 0.5630 ; + RECT 7.3110 0.5630 7.3610 1.0200 ; + RECT 6.5110 1.0200 7.3610 1.0700 ; + RECT 5.8800 0.5100 6.1770 0.5600 ; + RECT 6.1270 0.9910 6.1770 1.1200 ; + RECT 5.8800 0.9410 6.1770 0.9910 ; + RECT 6.1270 0.5600 6.1770 0.9410 ; + RECT 6.1270 1.1200 6.5610 1.1700 ; + RECT 6.5110 1.0700 6.5610 1.1200 ; + RECT 8.2620 0.5300 8.9930 0.5800 ; + RECT 9.0950 0.8510 9.1450 1.3010 ; + RECT 8.9380 0.8010 9.5410 0.8510 ; + RECT 8.9430 0.5800 8.9930 0.8010 ; + RECT 8.2430 0.6580 8.3250 0.7080 ; + RECT 8.2620 0.5800 8.3120 0.6580 ; + RECT 3.1670 0.8850 3.2570 0.9350 ; + RECT 3.1510 0.3100 3.5370 0.3600 ; + RECT 3.1670 1.3090 3.5210 1.3460 ; + RECT 3.2070 0.3600 3.2570 0.8850 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 0.4310 1.2960 3.5210 1.3090 ; + RECT 3.1670 0.9350 3.2170 1.2590 ; + RECT 0.4310 1.2590 3.2170 1.2960 ; + RECT 0.4310 0.2860 0.4810 0.4260 ; + RECT 0.4310 0.8010 0.4810 1.2590 ; + RECT 0.4310 1.3090 0.4810 1.5450 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.4260 0.6850 0.4760 ; + RECT 0.6350 0.4760 0.6850 0.7510 ; + RECT 10.2950 0.8200 10.8430 0.8700 ; + RECT 7.9390 0.7580 8.0880 0.8080 ; + RECT 8.0380 0.9670 8.1730 1.0170 ; + RECT 8.0380 0.8080 8.0880 0.9670 ; + RECT 10.5990 0.7090 11.1370 0.7590 ; + RECT 9.8550 1.0640 10.3040 1.1140 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8150 0.4270 9.9050 0.4770 ; + RECT 9.8550 0.6770 9.9050 1.0640 ; + RECT 9.8550 0.1260 9.9050 0.4270 ; + RECT 9.8150 0.4770 9.8650 0.6270 ; + RECT 3.0750 1.5240 4.3730 1.5740 ; + RECT 9.9150 0.5270 10.5130 0.5770 ; + RECT 10.1590 0.5770 10.2090 0.8970 ; + RECT 10.1590 0.1260 10.2090 0.5270 ; + RECT 10.4630 0.5770 10.5130 0.7700 ; + RECT 10.4630 0.3480 10.5130 0.5270 ; + RECT 3.6810 0.7380 4.5860 0.7880 ; + RECT 4.3670 1.1200 5.3810 1.1700 ; + RECT 4.5350 0.5050 5.3810 0.5550 ; + RECT 5.3310 0.6580 5.5960 0.7080 ; + RECT 5.3310 0.7080 5.3810 1.1200 ; + RECT 4.5350 0.5550 4.5850 0.7380 ; + RECT 5.3310 0.5550 5.3810 0.6580 ; + RECT 10.8270 1.0750 11.3650 1.1250 ; + RECT 10.2950 0.2480 11.1370 0.2980 ; + RECT 7.3290 1.5200 10.3010 1.5700 ; + RECT 5.7120 0.6240 6.0450 0.6740 ; + RECT 5.4470 1.1080 5.4970 1.1240 ; + RECT 5.4470 1.0420 5.4970 1.0580 ; + RECT 5.7120 0.6740 5.7620 1.0580 ; + RECT 5.4470 1.0580 5.7620 1.1080 ; + RECT 5.7120 0.5600 5.7620 0.6240 ; + RECT 5.4310 0.5100 5.7620 0.5600 ; + RECT 11.1830 0.6270 11.2730 0.6770 ; + RECT 11.2230 0.6770 11.2730 0.7680 ; + RECT 10.9790 0.4770 11.2330 0.5120 ; + RECT 10.9790 0.4620 11.2730 0.4770 ; + LAYER NWELL ; + RECT -0.1150 1.5430 13.7950 1.7730 ; + RECT -0.1150 0.8170 9.2260 1.5430 ; + RECT 12.1590 0.6790 13.7950 1.5430 ; + RECT 3.0400 0.6870 9.2260 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 9.6880 0.4910 11.6990 1.0830 ; + END +END RDFFSRX1_HVT + +MACRO RDFFSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.984 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.8950 0.8920 13.7820 0.9420 ; + RECT 13.6230 0.9420 13.7820 0.9670 ; + RECT 13.6230 0.8570 13.7820 0.8920 ; + RECT 13.1990 0.9420 13.2490 1.5640 ; + RECT 12.8950 0.9420 12.9450 1.5640 ; + RECT 13.1990 0.3090 13.2490 0.4520 ; + RECT 12.8950 0.2130 12.9450 0.4520 ; + RECT 13.7220 0.5020 13.7720 0.8570 ; + RECT 12.8950 0.4520 13.7720 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 12.8990 0.2430 12.9410 0.2850 ; + RECT 12.8990 0.3350 12.9410 0.3770 ; + RECT 12.8990 0.3350 12.9410 0.3770 ; + RECT 12.8990 0.4270 12.9410 0.4690 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + RECT 12.8990 1.0270 12.9410 1.0690 ; + RECT 12.8990 1.1190 12.9410 1.1610 ; + RECT 12.8990 1.0270 12.9410 1.0690 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 12.8990 1.1190 12.9410 1.1610 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 0.4270 13.2450 0.4690 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.7770 1.1610 13.9100 1.2710 ; + RECT 13.4870 0.3140 13.8960 0.3640 ; + RECT 13.5030 1.0420 13.8960 1.0920 ; + RECT 13.5030 1.0920 13.5530 1.5640 ; + RECT 13.8460 1.0920 13.8960 1.1610 ; + RECT 13.8460 0.3640 13.8960 1.0420 ; + END + PORT + LAYER CO ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.5070 0.3180 13.5490 0.3600 ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.9840 1.7020 ; + RECT 4.0620 1.2200 7.1850 1.2700 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 12.2860 0.9590 12.3360 1.6420 ; + RECT 0.2780 0.7690 0.3280 1.6420 ; + RECT 12.5900 0.9590 12.6400 1.6420 ; + RECT 13.0460 0.9920 13.0960 1.6420 ; + RECT 0.5820 1.3690 0.6320 1.6420 ; + RECT 13.3500 1.1810 13.4000 1.6420 ; + RECT 13.6540 1.1810 13.7040 1.6420 ; + RECT 4.4700 1.2700 4.5200 1.6420 ; + RECT 2.6040 1.4140 2.6540 1.6420 ; + RECT 8.9430 0.9530 8.9930 1.4040 ; + RECT 8.0310 1.2790 8.0810 1.4040 ; + RECT 10.3530 1.4540 10.4030 1.6420 ; + RECT 8.0310 1.4040 10.4030 1.4540 ; + RECT 10.3530 1.4030 10.4030 1.4040 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.5870 1.3890 0.6290 1.4310 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 0.5870 1.3890 0.6290 1.4310 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 13.0510 1.0270 13.0930 1.0690 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.0510 1.4870 13.0930 1.5290 ; + RECT 13.0510 1.3950 13.0930 1.4370 ; + RECT 13.0510 1.1190 13.0930 1.1610 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 13.0510 1.3030 13.0930 1.3450 ; + RECT 13.0510 1.3030 13.0930 1.3450 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 0.5870 1.4810 0.6290 1.5230 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 12.2910 1.0960 12.3330 1.1380 ; + RECT 5.7550 1.2240 5.7970 1.2660 ; + RECT 13.0510 1.0270 13.0930 1.0690 ; + RECT 13.0510 1.2110 13.0930 1.2530 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 8.9470 1.1570 8.9890 1.1990 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + RECT 13.0510 1.4870 13.0930 1.5290 ; + RECT 12.2910 1.2800 12.3330 1.3220 ; + RECT 4.8430 1.2240 4.8850 1.2660 ; + RECT 12.2910 1.0960 12.3330 1.1380 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 8.9470 1.0650 8.9890 1.1070 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 8.9470 0.9730 8.9890 1.0150 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 8.9470 1.2490 8.9890 1.2910 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 12.2910 1.0040 12.3330 1.0460 ; + RECT 0.5870 1.4810 0.6290 1.5230 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 13.0510 1.2110 13.0930 1.2530 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 8.0350 1.3010 8.0770 1.3430 ; + RECT 12.2910 1.0040 12.3330 1.0460 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.0510 1.1190 13.0930 1.1610 ; + RECT 13.0510 1.3950 13.0930 1.4370 ; + RECT 12.2910 1.2800 12.3330 1.3220 ; + RECT 12.2910 1.1880 12.3330 1.2300 ; + RECT 12.2910 1.1880 12.3330 1.2300 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.9840 0.0300 ; + RECT 8.0150 0.3280 9.2990 0.3780 ; + RECT 1.0380 0.0300 1.0880 0.5650 ; + RECT 0.5820 0.0300 0.6320 0.4280 ; + RECT 0.2780 0.0300 0.3280 0.5730 ; + RECT 1.3420 0.0300 1.3920 0.5650 ; + RECT 10.9180 0.0300 10.9680 0.1980 ; + RECT 10.0060 0.0300 10.0560 0.4260 ; + RECT 13.3500 0.0300 13.4000 0.2260 ; + RECT 11.3740 0.0300 11.4240 0.4260 ; + RECT 12.2860 0.0300 12.3360 0.4230 ; + RECT 13.6540 0.0300 13.7040 0.2260 ; + RECT 9.2470 0.0300 9.2970 0.3280 ; + RECT 12.5500 0.0300 12.6000 0.2030 ; + RECT 12.5500 0.2030 12.6570 0.2530 ; + RECT 13.0470 0.2530 13.0970 0.3950 ; + RECT 13.2420 0.0300 13.2920 0.2030 ; + RECT 13.0470 0.2030 13.2920 0.2530 ; + RECT 3.6430 0.2820 4.4490 0.3050 ; + RECT 4.3990 0.3320 7.1850 0.3550 ; + RECT 3.6430 0.3050 7.1850 0.3320 ; + RECT 1.6460 0.1940 3.6930 0.2440 ; + RECT 1.6460 0.2440 1.6960 0.5650 ; + RECT 1.6460 0.0300 1.6960 0.1940 ; + RECT 3.6430 0.2440 3.6930 0.2820 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 4.9950 0.3080 5.0370 0.3500 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 2.7150 0.1980 2.7570 0.2400 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.7550 0.3090 5.7970 0.3510 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 13.0510 0.3330 13.0930 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 10.0110 0.2540 10.0530 0.2960 ; + RECT 10.0110 0.1620 10.0530 0.2040 ; + RECT 11.3790 0.1740 11.4210 0.2160 ; + RECT 5.2990 0.3090 5.3410 0.3510 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 12.2910 0.3610 12.3330 0.4030 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 12.5950 0.2070 12.6370 0.2490 ; + RECT 2.4110 0.1980 2.4530 0.2400 ; + RECT 13.3550 0.1490 13.3970 0.1910 ; + RECT 13.3550 0.1490 13.3970 0.1910 ; + RECT 9.0990 0.3320 9.1410 0.3740 ; + RECT 8.0350 0.3320 8.0770 0.3740 ; + RECT 4.0830 0.2860 4.1250 0.3280 ; + RECT 10.9230 0.1360 10.9650 0.1780 ; + RECT 13.6590 0.1490 13.7010 0.1910 ; + RECT 4.3870 0.2860 4.4290 0.3280 ; + RECT 11.3790 0.2660 11.4210 0.3080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 13.0510 0.2410 13.0930 0.2830 ; + RECT 12.5950 0.2070 12.6370 0.2490 ; + RECT 13.0510 0.3330 13.0930 0.3750 ; + RECT 11.3790 0.1740 11.4210 0.2160 ; + RECT 11.3790 0.3580 11.4210 0.4000 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 13.6590 0.1490 13.7010 0.1910 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.0110 0.3460 10.0530 0.3880 ; + RECT 10.0110 0.3460 10.0530 0.3880 ; + RECT 4.6910 0.3080 4.7330 0.3500 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 11.3790 0.3580 11.4210 0.4000 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 12.2910 0.2690 12.3330 0.3110 ; + RECT 12.2910 0.1770 12.3330 0.2190 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.4970 0.2490 11.6090 0.3590 ; + RECT 11.2830 0.5270 11.5580 0.5770 ; + RECT 11.5080 0.3590 11.5580 0.5270 ; + END + PORT + LAYER CO ; + RECT 11.3030 0.5310 11.3450 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.4810 0.6630 6.6370 0.8150 ; + RECT 6.5710 0.6130 6.9570 0.6630 ; + RECT 7.1950 0.7810 7.2450 0.9330 ; + RECT 6.9070 0.6630 6.9570 0.7310 ; + RECT 6.9070 0.7310 7.2450 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.8950 0.6170 6.9370 0.6590 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 7.1990 0.8710 7.2410 0.9130 ; + RECT 6.7430 0.6170 6.7850 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2080 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.9910 0.9420 11.6090 1.0020 ; + RECT 11.4970 0.6900 11.6090 0.9420 ; + RECT 11.3750 0.6270 11.4250 0.9420 ; + END + PORT + LAYER CO ; + RECT 11.3790 0.6510 11.4210 0.6930 ; + RECT 11.3790 0.6510 11.4210 0.6930 ; + RECT 10.9230 0.9510 10.9650 0.9930 ; + RECT 11.5310 0.7100 11.5730 0.7520 ; + RECT 11.3790 0.9270 11.4210 0.9690 ; + RECT 11.3790 0.9270 11.4210 0.9690 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.3790 0.7430 11.4210 0.7850 ; + RECT 11.3790 0.8350 11.4210 0.8770 ; + RECT 11.5310 0.8620 11.5730 0.9040 ; + RECT 10.0110 0.9510 10.0530 0.9930 ; + END + END VDDG + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.5240 1.7910 1.5740 ; + RECT 0.8570 1.5740 1.0300 1.5750 ; + RECT 0.8570 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + OBS + LAYER CO ; + RECT 9.0230 0.0920 9.0650 0.1340 ; + RECT 8.3390 0.4340 8.3810 0.4760 ; + RECT 8.5670 0.4340 8.6090 0.4760 ; + RECT 8.5670 1.1830 8.6090 1.2250 ; + RECT 5.1470 0.5090 5.1890 0.5510 ; + RECT 5.0710 0.8580 5.1130 0.9000 ; + RECT 4.9950 1.1260 5.0370 1.1680 ; + RECT 5.5270 0.6620 5.5690 0.7040 ; + RECT 5.9830 0.6280 6.0250 0.6700 ; + RECT 5.2230 0.6890 5.2650 0.7310 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 4.3110 0.0920 4.3530 0.1340 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 7.5790 0.5010 7.6210 0.5430 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.7710 0.8240 10.8130 0.8660 ; + RECT 10.4670 0.3680 10.5090 0.4100 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 5.8310 0.6280 5.8730 0.6700 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.1770 3.6690 1.2190 ; + RECT 3.6270 1.0850 3.6690 1.1270 ; + RECT 7.5790 0.4090 7.6210 0.4510 ; + RECT 6.3630 0.9720 6.4050 1.0140 ; + RECT 6.5150 1.0640 6.5570 1.1060 ; + RECT 6.6670 1.1240 6.7090 1.1660 ; + RECT 10.7710 0.1360 10.8130 0.1780 ; + RECT 6.6670 0.4170 6.7090 0.4590 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 10.1630 0.6380 10.2050 0.6800 ; + RECT 9.4790 1.2030 9.5210 1.2450 ; + RECT 10.1630 0.6380 10.2050 0.6800 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.8590 0.1620 9.9010 0.2040 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.8590 0.2540 9.9010 0.2960 ; + RECT 10.2390 1.5240 10.2810 1.5660 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 10.8470 1.0610 10.8890 1.1030 ; + RECT 10.1630 0.8220 10.2050 0.8640 ; + RECT 3.1710 0.3140 3.2130 0.3560 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 7.4270 1.0240 7.4690 1.0660 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 12.6710 0.0970 12.7130 0.1390 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 4.5390 0.5250 4.5810 0.5670 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 13.5830 0.6640 13.6250 0.7060 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 3.3990 0.0920 3.4410 0.1340 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 3.0950 0.6860 3.1370 0.7280 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 7.8070 0.0920 7.8490 0.1340 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 5.3750 0.6620 5.4170 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 6.9710 0.4170 7.0130 0.4590 ; + RECT 4.3870 1.1260 4.4290 1.1680 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 5.4510 0.5140 5.4930 0.5560 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 11.2270 0.6800 11.2690 0.7220 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 6.9710 1.1240 7.0130 1.1660 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 7.8830 0.9710 7.9250 1.0130 ; + RECT 5.4510 1.0620 5.4930 1.1040 ; + RECT 3.4750 0.3140 3.5170 0.3560 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 4.4630 0.8580 4.5050 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 5.9070 0.5140 5.9490 0.5560 ; + RECT 5.9070 0.9450 5.9490 0.9870 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 7.7310 1.2910 7.7730 1.3330 ; + RECT 9.4790 0.8050 9.5210 0.8470 ; + RECT 12.4430 1.2470 12.4850 1.2890 ; + RECT 4.1590 0.9710 4.2010 1.0130 ; + RECT 12.9750 0.0970 13.0170 0.1390 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 10.1630 0.7300 10.2050 0.7720 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 12.4430 0.2690 12.4850 0.3110 ; + RECT 4.3110 0.6330 4.3530 0.6750 ; + RECT 8.9470 0.5520 8.9890 0.5940 ; + RECT 12.4430 1.1550 12.4850 1.1970 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 13.1270 0.0970 13.1690 0.1390 ; + RECT 4.2350 0.3860 4.2770 0.4280 ; + RECT 12.4430 0.8790 12.4850 0.9210 ; + RECT 7.6550 1.4240 7.6970 1.4660 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.0470 0.8510 7.0890 0.8930 ; + RECT 6.8950 0.9240 6.9370 0.9660 ; + RECT 9.9350 0.5310 9.9770 0.5730 ; + RECT 6.5910 0.9240 6.6330 0.9660 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 12.4430 1.1550 12.4850 1.1970 ; + RECT 4.1590 0.6330 4.2010 0.6750 ; + RECT 4.8430 0.5090 4.8850 0.5510 ; + RECT 8.1110 0.6640 8.1530 0.7060 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 3.5510 0.0920 3.5930 0.1340 ; + RECT 4.0070 0.6330 4.0490 0.6750 ; + RECT 7.6550 0.0920 7.6970 0.1340 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 12.4430 0.8790 12.4850 0.9210 ; + RECT 5.2230 0.6890 5.2650 0.7310 ; + RECT 10.3150 0.8240 10.3570 0.8660 ; + RECT 8.7190 0.4340 8.7610 0.4760 ; + RECT 7.1990 0.6170 7.2410 0.6590 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 12.4430 0.1770 12.4850 0.2190 ; + RECT 12.3670 0.6170 12.4090 0.6590 ; + RECT 3.6270 1.2690 3.6690 1.3110 ; + RECT 12.4430 0.3610 12.4850 0.4030 ; + RECT 7.6550 1.4240 7.6970 1.4660 ; + RECT 7.9590 0.7650 8.0010 0.8070 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 5.2230 1.4240 5.2650 1.4660 ; + RECT 8.1110 0.9710 8.1530 1.0130 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 10.6190 0.7130 10.6610 0.7550 ; + RECT 11.0750 0.7130 11.1170 0.7550 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 11.2270 0.2690 11.2690 0.3110 ; + RECT 10.4670 0.6770 10.5090 0.7190 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 6.8190 0.5170 6.8610 0.5590 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 12.7470 0.2490 12.7890 0.2910 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 6.7430 0.9240 6.7850 0.9660 ; + RECT 10.1630 0.2540 10.2050 0.2960 ; + RECT 10.9990 0.4660 11.0410 0.5080 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 10.1630 0.1620 10.2050 0.2040 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 12.4430 1.0630 12.4850 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 12.4430 1.0630 12.4850 1.1050 ; + RECT 4.6910 1.1260 4.7330 1.1680 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 9.8590 0.3460 9.9010 0.3880 ; + RECT 10.6190 0.1360 10.6610 0.1780 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 9.8590 0.7430 9.9010 0.7850 ; + RECT 11.0750 0.2520 11.1170 0.2940 ; + RECT 13.4310 0.6640 13.4730 0.7060 ; + RECT 9.8590 0.3460 9.9010 0.3880 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 11.3030 1.0610 11.3450 1.1030 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 7.3510 1.5240 7.3930 1.5660 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 10.1630 0.8220 10.2050 0.8640 ; + RECT 7.1990 1.5240 7.2410 1.5660 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 3.9310 0.3860 3.9730 0.4280 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 7.7310 0.5010 7.7730 0.5430 ; + RECT 3.7790 0.5020 3.8210 0.5440 ; + RECT 3.8550 0.7420 3.8970 0.7840 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 7.4270 1.1160 7.4690 1.1580 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 7.0470 0.6170 7.0890 0.6590 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 7.8830 0.3340 7.9250 0.3760 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 7.5790 0.9710 7.6210 1.0130 ; + RECT 4.7670 0.8580 4.8090 0.9000 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 4.0070 1.4280 4.0490 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 3.6270 0.3860 3.6690 0.4280 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 8.3390 1.1830 8.3810 1.2250 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 7.4270 1.2080 7.4690 1.2500 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 7.8070 1.4240 7.8490 1.4660 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 4.3110 1.5280 4.3530 1.5700 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 12.7470 0.3410 12.7890 0.3830 ; + RECT 7.4270 0.4170 7.4690 0.4590 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 4.0070 0.9710 4.0490 1.0130 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 12.4430 1.2470 12.4850 1.2890 ; + RECT 10.5430 1.2030 10.5850 1.2450 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 10.2390 1.0610 10.2810 1.1030 ; + RECT 12.6710 0.6060 12.7130 0.6480 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 7.6550 0.7710 7.6970 0.8130 ; + RECT 7.7310 1.1990 7.7730 1.2410 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + LAYER PO ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6910 ; + RECT 4.1650 0.0660 4.1950 0.6950 ; + RECT 4.0130 0.0660 4.0430 0.6950 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 13.1330 0.0690 13.1630 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 13.5890 0.0690 13.6190 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 4.0130 0.9390 4.0430 1.6060 ; + RECT 9.3330 0.0670 9.3630 1.6050 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.2050 0.8390 7.2350 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0590 9.0590 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7280 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 13.7410 0.0690 13.7710 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 8.7250 0.0590 8.7550 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 8.1170 0.9390 8.1470 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6470 ; + RECT 3.2530 0.0660 3.2830 0.6940 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.8770 0.0590 8.9070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 12.9810 0.0690 13.0110 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 13.2850 0.0690 13.3150 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 13.4370 0.0690 13.4670 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 6.5970 0.8920 6.6270 1.6060 ; + RECT 7.8130 0.7710 7.8430 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 6.7490 0.8920 6.7790 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 6.9010 0.8920 6.9310 1.6060 ; + RECT 7.8130 0.0660 7.8430 0.6470 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6940 ; + RECT 13.8930 0.0690 13.9230 1.6060 ; + RECT 4.1650 0.9390 4.1950 1.6060 ; + RECT 7.6610 0.7540 7.6910 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 12.8290 0.0710 12.8590 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + LAYER M1 ; + RECT 5.4470 1.1080 5.4970 1.1240 ; + RECT 5.4470 1.0420 5.4970 1.0580 ; + RECT 5.7120 0.6740 5.7620 1.0580 ; + RECT 5.4470 1.0580 5.7620 1.1080 ; + RECT 5.7120 0.5600 5.7620 0.6240 ; + RECT 5.4310 0.5100 5.7620 0.5600 ; + RECT 7.0260 0.6130 7.2610 0.6630 ; + RECT 7.6510 0.6600 8.1730 0.7100 ; + RECT 7.6510 0.7100 7.7010 0.8450 ; + RECT 6.3590 0.9200 7.0930 0.9700 ; + RECT 7.0430 0.8310 7.0930 0.9200 ; + RECT 6.3590 0.9700 6.4090 1.0340 ; + RECT 6.3590 0.5050 6.4090 0.9200 ; + RECT 8.2620 0.5300 8.9930 0.5800 ; + RECT 9.0950 0.8510 9.1450 1.3010 ; + RECT 8.9380 0.8010 9.5410 0.8510 ; + RECT 8.9430 0.5800 8.9930 0.8010 ; + RECT 8.2430 0.6600 8.3250 0.7100 ; + RECT 8.2620 0.5800 8.3120 0.6600 ; + RECT 10.2950 0.8200 10.8430 0.8700 ; + RECT 10.5990 0.7090 11.1370 0.7590 ; + RECT 10.8270 1.0570 11.3650 1.1070 ; + RECT 3.0750 1.5240 4.3730 1.5740 ; + RECT 3.5310 1.4240 4.0690 1.4740 ; + RECT 7.7280 1.1790 8.6640 1.2290 ; + RECT 7.7280 1.2290 7.7780 1.3530 ; + RECT 6.2670 1.5200 7.2610 1.5700 ; + RECT 5.2030 1.4200 7.8690 1.4700 ; + RECT 6.6470 0.4130 7.6250 0.4630 ; + RECT 7.4230 0.9670 7.9460 1.0170 ; + RECT 6.6470 1.1200 7.4730 1.1700 ; + RECT 7.4230 0.3840 7.4730 0.4130 ; + RECT 7.5750 0.4630 7.6250 0.5630 ; + RECT 7.4230 0.4630 7.4730 0.9670 ; + RECT 7.4230 1.1700 7.4730 1.2700 ; + RECT 7.4230 1.0170 7.4730 1.1200 ; + RECT 7.5750 0.3800 7.6250 0.4130 ; + RECT 7.5750 0.3300 7.9510 0.3800 ; + RECT 7.5750 0.3270 7.6250 0.3300 ; + RECT 3.1670 0.8850 3.2570 0.9350 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 3.1670 1.3090 3.5210 1.3460 ; + RECT 3.1670 0.9350 3.2170 1.2590 ; + RECT 3.1510 0.3100 3.5370 0.3600 ; + RECT 0.4310 1.2590 3.2170 1.2960 ; + RECT 0.4310 1.2960 3.5210 1.3090 ; + RECT 3.2070 0.3600 3.2570 0.8850 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.8010 0.4810 1.2590 ; + RECT 0.4310 1.3090 0.4810 1.5450 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 9.9150 0.5270 10.5130 0.5770 ; + RECT 10.1590 0.5770 10.2090 0.8870 ; + RECT 10.1590 0.1260 10.2090 0.5270 ; + RECT 10.4630 0.5770 10.5130 0.7700 ; + RECT 10.4630 0.3480 10.5130 0.5270 ; + RECT 7.3290 1.5200 10.3010 1.5700 ; + RECT 4.2910 0.0880 8.0210 0.1380 ; + RECT 7.3110 0.5630 7.3610 1.0200 ; + RECT 6.4950 0.5130 7.3600 0.5440 ; + RECT 6.4950 0.5440 7.3610 0.5630 ; + RECT 6.5110 1.0200 7.3610 1.0700 ; + RECT 5.8800 0.5100 6.1770 0.5600 ; + RECT 5.8800 0.9410 6.1770 0.9910 ; + RECT 6.1270 0.5600 6.1770 0.9410 ; + RECT 6.1270 0.9910 6.1770 1.1200 ; + RECT 6.1270 1.1200 6.5610 1.1700 ; + RECT 6.5110 1.0700 6.5610 1.1200 ; + RECT 11.8850 0.6320 12.4130 0.6820 ; + RECT 12.3630 0.5970 12.4130 0.6320 ; + RECT 11.8850 0.6820 11.9350 1.1990 ; + RECT 9.4590 1.1990 11.9350 1.2490 ; + RECT 3.3190 0.8540 5.1330 0.9040 ; + RECT 3.3190 0.4980 3.8550 0.5480 ; + RECT 3.3190 0.4130 3.3690 0.4980 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.2450 ; + RECT 3.3190 0.5480 3.3690 0.8540 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 1.8480 0.0880 3.6190 0.1380 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 3.6070 0.3820 4.2970 0.4320 ; + RECT 7.7270 0.4300 8.7930 0.4800 ; + RECT 7.7270 0.4800 7.7770 0.5630 ; + RECT 9.8550 1.0570 10.3040 1.1070 ; + RECT 9.8150 0.4270 9.9050 0.4770 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8550 0.1260 9.9050 0.4270 ; + RECT 9.8150 0.4770 9.8650 0.6270 ; + RECT 9.8550 0.6770 9.9050 1.0570 ; + RECT 10.2950 0.2480 11.1370 0.2980 ; + RECT 11.1830 0.6270 11.2730 0.6770 ; + RECT 10.9790 0.4770 11.2330 0.5120 ; + RECT 10.9790 0.4620 11.2730 0.4770 ; + RECT 11.2230 0.1260 11.2730 0.4270 ; + RECT 11.1830 0.4270 11.2730 0.4620 ; + RECT 11.2230 0.6770 11.2730 0.7680 ; + RECT 11.1830 0.5120 11.2330 0.6270 ; + RECT 10.5990 0.1320 10.8330 0.1820 ; + RECT 8.6490 0.0880 9.1290 0.1380 ; + RECT 12.7830 0.6600 13.6530 0.7100 ; + RECT 12.7430 0.4010 12.8330 0.4510 ; + RECT 12.7430 0.7780 12.8330 0.8280 ; + RECT 12.7430 0.2250 12.7930 0.4010 ; + RECT 12.7430 0.8280 12.7930 1.3090 ; + RECT 12.7830 0.4510 12.8330 0.6600 ; + RECT 12.7830 0.7100 12.8330 0.7780 ; + RECT 12.4780 0.6020 12.7330 0.6520 ; + RECT 12.4390 0.4010 12.5280 0.4510 ; + RECT 12.4390 0.7780 12.5280 0.8280 ; + RECT 12.4390 0.1570 12.4890 0.4010 ; + RECT 12.4780 0.4510 12.5280 0.6020 ; + RECT 12.4390 0.8280 12.4890 1.3090 ; + RECT 12.4780 0.6520 12.5280 0.7780 ; + RECT 12.6510 0.0930 13.1890 0.1430 ; + RECT 3.6810 0.7380 4.5860 0.7880 ; + RECT 4.3670 1.1200 5.3810 1.1700 ; + RECT 4.5350 0.5050 5.3810 0.5550 ; + RECT 5.3310 0.6580 5.5960 0.7080 ; + RECT 5.3310 0.7080 5.3810 1.1200 ; + RECT 4.5350 0.5550 4.5850 0.7380 ; + RECT 5.3310 0.5550 5.3810 0.6580 ; + RECT 3.9270 1.0680 4.2970 1.1180 ; + RECT 3.6100 1.2920 3.9930 1.3420 ; + RECT 3.6230 1.0650 3.6730 1.2920 ; + RECT 3.9270 1.1180 3.9770 1.2920 ; + RECT 3.9870 0.6290 4.3730 0.6790 ; + RECT 3.9870 0.9680 5.2690 1.0180 ; + RECT 5.2190 0.6690 5.2690 0.9680 ; + RECT 8.0380 0.9670 8.1730 1.0170 ; + RECT 7.9390 0.7610 8.0880 0.8110 ; + RECT 8.0380 0.8110 8.0880 0.9670 ; + RECT 5.7120 0.6240 6.0450 0.6740 ; + LAYER NWELL ; + RECT -0.1150 1.5430 14.0990 1.7730 ; + RECT -0.1150 0.8170 9.2260 1.5430 ; + RECT 12.1590 0.6790 14.0990 1.5430 ; + RECT 3.0400 0.7010 9.2260 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 3.5720 0.6890 9.2260 0.7010 ; + RECT 3.5720 0.6790 7.9610 0.6890 ; + RECT 9.6880 0.4910 11.6990 1.0830 ; + END +END RDFFSRX2_HVT + +MACRO RDFFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.616 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.4470 0.9420 11.9140 1.0020 ; + RECT 11.8010 0.6900 11.9140 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.4670 0.9510 10.5090 0.9930 ; + RECT 11.8350 0.8620 11.8770 0.9040 ; + RECT 11.5310 0.9510 11.5730 0.9930 ; + RECT 11.2270 0.9510 11.2690 0.9930 ; + RECT 11.8350 0.7100 11.8770 0.7520 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.6160 0.0300 ; + RECT 6.7990 0.2830 8.9930 0.3330 ; + RECT 11.5270 0.0300 11.5770 0.3120 ; + RECT 9.3990 0.0300 9.4490 0.2410 ; + RECT 11.2230 0.0300 11.2730 0.2020 ; + RECT 10.4630 0.0300 10.5130 0.2060 ; + RECT 0.8870 0.0300 0.9370 0.5570 ; + RECT 1.1910 0.0300 1.2410 0.5570 ; + RECT 0.5830 0.0300 0.6330 0.5570 ; + RECT 8.9430 0.3330 8.9930 0.4430 ; + RECT 8.9430 0.0300 8.9930 0.2830 ; + RECT 1.6310 0.3070 5.9740 0.3570 ; + RECT 5.9030 0.1980 5.9530 0.3070 ; + RECT 3.6230 0.3570 3.6730 0.5580 ; + RECT 1.6470 0.0300 1.6970 0.3070 ; + END + PORT + LAYER CO ; + RECT 7.4270 0.2870 7.4690 0.3290 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 11.5310 0.1440 11.5730 0.1860 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 7.1230 0.2870 7.1650 0.3290 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 1.9550 0.3110 1.9970 0.3530 ; + RECT 8.6430 0.2870 8.6850 0.3290 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.9310 0.3120 3.9730 0.3540 ; + RECT 1.1950 0.3110 1.2370 0.3530 ; + RECT 1.1950 0.4030 1.2370 0.4450 ; + RECT 1.1950 0.4950 1.2370 0.5370 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 4.3870 0.3120 4.4290 0.3540 ; + RECT 2.2590 0.3110 2.3010 0.3530 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 2.5630 0.3110 2.6050 0.3530 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.5870 0.3110 0.6290 0.3530 ; + RECT 6.8190 0.2870 6.8610 0.3290 ; + RECT 9.4030 0.1720 9.4450 0.2140 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 0.5870 0.4030 0.6290 0.4450 ; + RECT 0.5870 0.4950 0.6290 0.5370 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 8.0350 0.2870 8.0770 0.3290 ; + RECT 8.9470 0.3710 8.9890 0.4130 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 5.9070 0.2190 5.9490 0.2610 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.6270 0.4040 3.6690 0.4460 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.6270 0.4960 3.6690 0.5380 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.5790 0.2870 7.6210 0.3290 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 10.4670 0.1440 10.5090 0.1860 ; + RECT 3.6270 0.3120 3.6690 0.3540 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.5240 1.3350 1.5740 ; + RECT 0.4010 1.5740 0.5740 1.5750 ; + RECT 0.4010 1.4650 0.5740 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5280 0.7050 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + RECT 0.5110 1.5280 0.5530 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.5510 0.9690 10.0850 1.0190 ; + RECT 9.5350 0.3010 10.0850 0.3510 ; + RECT 10.0350 0.3510 10.0850 0.9690 ; + RECT 9.5510 1.1290 9.6010 1.3270 ; + RECT 9.5510 1.0190 9.7830 1.1290 ; + END + PORT + LAYER CO ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + RECT 9.5550 0.3050 9.5970 0.3470 ; + RECT 9.5550 1.2650 9.5970 1.3070 ; + RECT 9.5550 1.2650 9.5970 1.3070 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.2470 0.4010 9.9470 0.4510 ; + RECT 9.2470 0.8590 9.9470 0.9090 ; + RECT 9.8250 0.4510 9.9470 0.5380 ; + RECT 9.2470 0.1570 9.2970 0.4010 ; + RECT 9.2470 0.9090 9.2970 1.2320 ; + RECT 9.8970 0.5380 9.9470 0.8590 ; + END + PORT + LAYER CO ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.2690 9.2930 0.3110 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 0.1770 9.2930 0.2190 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.6160 1.7020 ; + RECT 0.5640 1.2900 9.4500 1.3400 ; + RECT 9.3990 0.9590 9.4490 1.2900 ; + RECT 2.2150 1.3400 2.2650 1.6420 ; + RECT 7.1590 1.3400 7.2090 1.6420 ; + END + PORT + LAYER CO ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.8190 1.2940 6.8610 1.3360 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.6510 1.2940 1.6930 1.3360 ; + RECT 1.9550 1.2940 1.9970 1.3360 ; + RECT 3.9310 1.2920 3.9730 1.3340 ; + RECT 1.1950 1.2940 1.2370 1.3360 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 4.3870 1.2920 4.4290 1.3340 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 3.0190 1.2940 3.0610 1.3360 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 0.5870 1.2940 0.6290 1.3360 ; + RECT 3.4750 1.2940 3.5170 1.3360 ; + RECT 2.2590 1.2940 2.3010 1.3360 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 2.5630 1.2940 2.6050 1.3360 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 0.8910 1.2940 0.9330 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6170 1.4080 11.1540 1.4580 ; + RECT 11.0400 1.3130 11.1540 1.4080 ; + END + PORT + LAYER CO ; + RECT 10.9990 1.4120 11.0410 1.4540 ; + RECT 8.5670 1.4100 8.6090 1.4520 ; + RECT 7.6550 1.4120 7.6970 1.4540 ; + RECT 7.9590 1.4120 8.0010 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6800 0.9850 3.3090 1.0350 ; + RECT 2.6800 1.0350 2.7920 1.1190 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.9890 2.8330 1.0310 ; + RECT 3.2470 0.9890 3.2890 1.0310 ; + END + ANTENNAGATEAREA 0.0537 ; + END D + OBS + LAYER CO ; + RECT 1.3470 1.0380 1.3890 1.0800 ; + RECT 1.3470 1.1300 1.3890 1.1720 ; + RECT 1.3470 0.4330 1.3890 0.4750 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + RECT 1.8030 1.1130 1.8450 1.1550 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 4.0070 0.0950 4.0490 0.1370 ; + RECT 4.6150 0.8710 4.6570 0.9130 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 11.6830 0.6800 11.7250 0.7220 ; + RECT 4.5390 1.0770 4.5810 1.1190 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 4.1590 0.8710 4.2010 0.9130 ; + RECT 3.7030 0.8710 3.7450 0.9130 ; + RECT 11.6830 0.3290 11.7250 0.3710 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 11.3030 0.4660 11.3450 0.5080 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.1070 1.1130 2.1490 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 1.4990 0.4590 1.5410 0.5010 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 2.1070 0.4590 2.1490 0.5010 ; + RECT 1.4990 1.1130 1.5410 1.1550 ; + RECT 1.5750 0.8990 1.6170 0.9410 ; + RECT 1.8030 0.4590 1.8450 0.5010 ; + RECT 1.7270 0.8990 1.7690 0.9410 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 4.2350 1.1820 4.2770 1.2240 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 1.1300 0.7810 1.1720 ; + RECT 6.4390 0.8710 6.4810 0.9130 ; + RECT 4.0070 1.5280 4.0490 1.5700 ; + RECT 0.7390 1.0380 0.7810 1.0800 ; + RECT 0.4350 0.5250 0.4770 0.5670 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 1.0430 1.1300 1.0850 1.1720 ; + RECT 1.0430 1.0380 1.0850 1.0800 ; + RECT 6.3630 0.4810 6.4050 0.5230 ; + RECT 6.5150 0.4810 6.5570 0.5230 ; + RECT 7.1990 0.6310 7.2410 0.6730 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 11.0750 0.6230 11.1170 0.6650 ; + RECT 7.2750 0.4230 7.3170 0.4650 ; + RECT 5.2990 0.5170 5.3410 0.5590 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 2.7150 1.4280 2.7570 1.4700 ; + RECT 5.0710 1.5280 5.1130 1.5700 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 7.9590 0.6680 8.0010 0.7100 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + RECT 3.7790 0.5190 3.8210 0.5610 ; + RECT 10.6190 0.3740 10.6610 0.4160 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 3.0950 0.1990 3.1370 0.2410 ; + RECT 0.4350 0.4330 0.4770 0.4750 ; + RECT 7.3510 0.6310 7.3930 0.6730 ; + RECT 5.0710 0.0950 5.1130 0.1370 ; + RECT 5.2230 0.6710 5.2650 0.7130 ; + RECT 6.2110 0.9710 6.2530 1.0130 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 6.5150 1.1780 6.5570 1.2200 ; + RECT 9.4790 0.6170 9.5210 0.6590 ; + RECT 6.3630 1.0860 6.4050 1.1280 ; + RECT 6.2110 1.0860 6.2530 1.1280 ; + RECT 2.4870 0.7940 2.5290 0.8360 ; + RECT 4.0830 0.4180 4.1250 0.4600 ; + RECT 6.9710 1.1940 7.0130 1.2360 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 9.7830 0.7630 9.8250 0.8050 ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 10.9230 0.3680 10.9650 0.4100 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 3.5510 0.8710 3.5930 0.9130 ; + RECT 8.4910 0.4880 8.5330 0.5300 ; + RECT 6.2110 0.3890 6.2530 0.4310 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 3.4750 0.4270 3.5170 0.4690 ; + RECT 5.6030 1.4040 5.6450 1.4460 ; + RECT 2.6390 0.1990 2.6810 0.2410 ; + RECT 3.7790 0.4270 3.8210 0.4690 ; + RECT 4.6910 1.1820 4.7330 1.2240 ; + RECT 3.6270 1.0710 3.6690 1.1130 ; + RECT 11.9110 0.5660 11.9530 0.6080 ; + RECT 6.6670 0.2970 6.7090 0.3390 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 6.5150 0.9940 6.5570 1.0360 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 11.3790 0.6550 11.4210 0.6970 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.4350 1.0380 0.4770 1.0800 ; + RECT 6.3630 1.1780 6.4050 1.2200 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 10.3150 0.6650 10.3570 0.7070 ; + RECT 11.9110 1.5320 11.9530 1.5740 ; + RECT 11.6070 0.4660 11.6490 0.5080 ; + RECT 4.9190 0.8710 4.9610 0.9130 ; + RECT 10.7710 0.1360 10.8130 0.1780 ; + RECT 10.3150 0.3740 10.3570 0.4160 ; + RECT 10.9230 0.8370 10.9650 0.8790 ; + RECT 9.7830 1.2040 9.8250 1.2460 ; + RECT 10.7710 0.6390 10.8130 0.6810 ; + RECT 5.9830 0.0950 6.0250 0.1370 ; + RECT 11.3790 0.3500 11.4210 0.3920 ; + RECT 8.7190 0.0980 8.7610 0.1400 ; + RECT 5.1470 1.4040 5.1890 1.4460 ; + RECT 8.3390 0.4020 8.3810 0.4440 ; + RECT 6.1350 0.6710 6.1770 0.7130 ; + RECT 6.3630 0.9940 6.4050 1.0360 ; + RECT 5.5270 1.5280 5.5690 1.5700 ; + RECT 3.1710 1.4280 3.2130 1.4700 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 3.4750 0.5190 3.5170 0.5610 ; + RECT 6.2110 1.1780 6.2530 1.2200 ; + RECT 4.2350 0.5250 4.2770 0.5670 ; + RECT 6.0590 1.4040 6.1010 1.4460 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.0830 1.0770 4.1250 1.1190 ; + RECT 7.8070 1.5320 7.8490 1.5740 ; + RECT 7.8830 1.0710 7.9250 1.1130 ; + RECT 10.8470 0.4980 10.8890 0.5400 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 6.2870 0.8710 6.3290 0.9130 ; + RECT 10.6950 1.0850 10.7370 1.1270 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 4.5390 0.4180 4.5810 0.4600 ; + RECT 3.1710 0.4160 3.2130 0.4580 ; + RECT 6.2110 0.2970 6.2530 0.3390 ; + RECT 6.5150 0.3890 6.5570 0.4310 ; + RECT 5.6790 0.6710 5.7210 0.7130 ; + RECT 8.3390 1.0710 8.3810 1.1130 ; + RECT 2.4870 0.0950 2.5290 0.1370 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.6390 0.8890 2.6810 0.9310 ; + RECT 0.4350 1.1300 0.4770 1.1720 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 8.2630 0.7630 8.3050 0.8050 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 5.7550 0.9710 5.7970 1.0130 ; + RECT 2.1830 0.0960 2.2250 0.1380 ; + RECT 2.8670 1.1820 2.9090 1.2240 ; + RECT 2.3350 1.5280 2.3770 1.5700 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 8.1110 0.6680 8.1530 0.7100 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 5.2990 0.9710 5.3410 1.0130 ; + RECT 6.7430 0.8710 6.7850 0.9130 ; + RECT 6.3630 0.3890 6.4050 0.4310 ; + RECT 5.5270 0.0950 5.5690 0.1370 ; + RECT 4.4630 0.0950 4.5050 0.1370 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 4.9190 0.6710 4.9610 0.7130 ; + RECT 3.0950 0.8890 3.1370 0.9310 ; + RECT 10.6190 0.6330 10.6610 0.6750 ; + RECT 6.6670 0.3890 6.7090 0.4310 ; + RECT 6.6670 1.1940 6.7090 1.2360 ; + RECT 6.2110 0.4810 6.2530 0.5230 ; + RECT 7.2750 1.1370 7.3170 1.1790 ; + RECT 10.8470 1.2040 10.8890 1.2460 ; + RECT 6.5150 1.0860 6.5570 1.1280 ; + RECT 11.0750 0.1750 11.1170 0.2170 ; + RECT 1.3470 0.5250 1.3890 0.5670 ; + RECT 6.0590 0.4160 6.1010 0.4580 ; + RECT 6.5910 1.5280 6.6330 1.5700 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 2.7150 0.4160 2.7570 0.4580 ; + RECT 6.2870 0.0950 6.3290 0.1370 ; + LAYER M1 ; + RECT 2.2550 0.1950 3.1660 0.2450 ; + RECT 2.2550 0.1420 2.3050 0.1950 ; + RECT 2.6930 1.4240 3.2330 1.4740 ; + RECT 2.6930 0.4120 3.2330 0.4620 ; + RECT 6.4190 0.6130 6.6530 0.6630 ; + RECT 2.3150 1.5240 6.6530 1.5740 ; + RECT 2.4670 0.0910 6.3490 0.1410 ; + RECT 5.1270 1.4000 6.1210 1.4500 ; + RECT 6.2670 0.8670 6.5210 0.9170 ; + RECT 5.1080 0.4120 6.1210 0.4620 ; + RECT 9.2230 0.5010 9.5250 0.5510 ; + RECT 8.6990 0.6130 9.2730 0.6630 ; + RECT 9.4750 0.5510 9.5250 0.6790 ; + RECT 9.2230 0.5510 9.2730 0.6130 ; + RECT 10.3110 1.0810 10.7570 1.1310 ; + RECT 10.3110 0.1260 10.3610 1.0810 ; + RECT 6.3990 0.0920 8.7820 0.1420 ; + RECT 6.3590 0.1940 6.4490 0.2440 ; + RECT 6.1670 0.7670 6.2970 0.8170 ; + RECT 5.2600 0.9670 6.2730 1.0170 ; + RECT 6.2070 1.1900 6.4090 1.2400 ; + RECT 6.3990 0.1420 6.4490 0.1940 ; + RECT 6.2070 0.1980 6.2570 0.5130 ; + RECT 5.2600 0.5130 6.4090 0.5630 ; + RECT 6.2470 0.5630 6.2970 0.7670 ; + RECT 6.1670 0.8170 6.2170 0.9670 ; + RECT 6.3590 0.9740 6.4090 1.1900 ; + RECT 6.2070 1.0170 6.2570 1.1900 ; + RECT 6.3590 0.2440 6.4090 0.5130 ; + RECT 6.6630 0.4550 7.0330 0.5050 ; + RECT 6.5110 1.1900 7.0330 1.2400 ; + RECT 6.6230 0.7670 6.7530 0.8170 ; + RECT 6.5110 0.9780 6.6730 1.0280 ; + RECT 6.5110 0.2770 6.7130 0.3270 ; + RECT 6.5110 0.9740 6.5610 0.9780 ; + RECT 6.6230 0.8170 6.6730 0.9780 ; + RECT 6.7030 0.5050 6.7530 0.7670 ; + RECT 6.5110 0.3270 6.5610 0.5560 ; + RECT 6.6630 0.3270 6.7130 0.4550 ; + RECT 6.5110 1.0280 6.5610 1.1900 ; + RECT 7.5350 0.3980 8.4010 0.4480 ; + RECT 7.5350 1.0670 8.4010 1.1170 ; + RECT 7.1790 0.6270 7.5850 0.6770 ; + RECT 7.5350 0.6770 7.5850 1.0670 ; + RECT 7.5350 0.4480 7.5850 0.6270 ; + RECT 7.0790 0.7670 7.3210 0.8170 ; + RECT 6.9680 0.7080 7.1290 0.7580 ; + RECT 6.7230 0.8670 7.0180 0.9170 ; + RECT 7.2710 0.8170 7.3210 1.2400 ; + RECT 7.2710 0.4030 7.3210 0.5270 ; + RECT 7.0790 0.5270 7.3210 0.5770 ; + RECT 7.0790 0.7580 7.1290 0.7670 ; + RECT 7.0790 0.5770 7.1290 0.7080 ; + RECT 6.9680 0.7580 7.0180 0.8670 ; + RECT 7.8390 0.8670 8.5370 0.9170 ; + RECT 7.6510 0.5140 8.5370 0.5640 ; + RECT 8.4870 0.9170 8.5370 1.2400 ; + RECT 7.8390 0.9170 7.8890 1.0170 ; + RECT 7.6510 0.5640 7.7010 0.6790 ; + RECT 8.4870 0.3830 8.5370 0.5140 ; + RECT 7.8390 0.5640 7.8890 0.8670 ; + RECT 11.0710 0.4620 11.3650 0.5120 ; + RECT 10.7510 0.1320 11.1210 0.1820 ; + RECT 10.7510 0.6350 11.1210 0.6850 ; + RECT 11.0710 0.1820 11.1210 0.4620 ; + RECT 11.0710 0.5120 11.1210 0.6350 ; + RECT 11.3750 0.5890 11.5680 0.6390 ; + RECT 11.3750 0.3620 11.5680 0.4120 ; + RECT 11.5180 0.4620 11.6690 0.5120 ; + RECT 11.5180 0.5120 11.5680 0.5890 ; + RECT 11.5180 0.4120 11.5680 0.4620 ; + RECT 11.3750 0.6390 11.4250 0.7370 ; + RECT 11.3750 0.1260 11.4250 0.3620 ; + RECT 8.2430 0.7590 9.8450 0.8090 ; + RECT 8.5990 0.5130 9.1480 0.5630 ; + RECT 9.0950 0.3830 9.1450 0.5130 ; + RECT 9.0950 0.8090 9.1450 1.2400 ; + RECT 8.7910 0.3830 8.8410 0.5130 ; + RECT 8.7910 0.8090 8.8410 1.2400 ; + RECT 8.5990 0.5630 8.6490 0.7590 ; + RECT 9.3230 0.6420 9.3730 0.7590 ; + RECT 10.6150 0.4940 10.9690 0.5440 ; + RECT 10.9190 0.3480 10.9690 0.4940 ; + RECT 10.6150 0.5440 10.6650 0.7180 ; + RECT 7.7870 1.5280 11.9750 1.5780 ; + RECT 7.9390 0.6640 8.1730 0.7140 ; + RECT 3.6070 1.0670 3.9770 1.1170 ; + RECT 3.9270 0.9170 3.9770 1.0670 ; + RECT 3.9270 0.8670 4.9810 0.9170 ; + RECT 3.7750 0.4070 3.8250 0.6190 ; + RECT 3.9270 0.6690 3.9770 0.8670 ; + RECT 3.4710 0.6190 3.9770 0.6690 ; + RECT 3.4710 0.4070 3.5210 0.6190 ; + RECT 11.6790 0.5620 11.9730 0.6120 ; + RECT 11.6790 0.3620 11.8640 0.4120 ; + RECT 11.8140 0.4120 11.8640 0.5620 ; + RECT 10.5150 0.8330 11.7290 0.8830 ; + RECT 11.6790 0.6120 11.7290 0.8330 ; + RECT 11.6790 0.1260 11.7290 0.3620 ; + RECT 10.5150 0.3700 10.6830 0.4200 ; + RECT 10.5150 0.3580 10.5650 0.3700 ; + RECT 10.5150 0.4200 10.5650 0.8330 ; + RECT 4.0610 1.0730 4.6010 1.1230 ; + RECT 4.6470 0.7670 5.0810 0.8170 ; + RECT 4.2140 0.5210 4.7530 0.5710 ; + RECT 3.3190 0.8670 3.7650 0.9170 ; + RECT 4.6470 0.5710 4.6970 0.7670 ; + RECT 2.8450 1.1780 5.0810 1.2280 ; + RECT 5.0310 0.8170 5.0810 1.1780 ; + RECT 2.8450 0.5210 3.3810 0.5710 ; + RECT 3.3190 0.5710 3.3690 0.8670 ; + RECT 3.3190 0.4130 3.3690 0.5210 ; + RECT 3.3690 0.9170 3.4190 1.1780 ; + RECT 2.4830 0.8850 3.1570 0.9350 ; + RECT 1.4770 0.4550 2.5330 0.5050 ; + RECT 2.4830 0.9350 2.5330 1.1090 ; + RECT 1.4790 1.1090 2.5330 1.1590 ; + RECT 2.4830 0.5050 2.5330 0.8850 ; + RECT 4.0610 0.4140 4.6010 0.4640 ; + RECT 4.8990 0.6670 6.1970 0.7170 ; + RECT 0.4310 0.8950 2.3970 0.9450 ; + RECT 1.3430 0.9450 1.3930 1.1920 ; + RECT 1.3430 0.4130 1.3930 0.8950 ; + RECT 0.4310 0.9450 0.4810 1.1920 ; + RECT 0.4310 0.4130 0.4810 0.8950 ; + RECT 0.7350 0.9450 0.7850 1.1920 ; + RECT 0.7350 0.4130 0.7850 0.8950 ; + RECT 1.0390 0.9450 1.0890 1.1920 ; + RECT 1.0390 0.4130 1.0890 0.8950 ; + RECT 9.7630 1.2000 10.9090 1.2500 ; + RECT 2.1630 0.0920 2.3050 0.1420 ; + LAYER PO ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.7320 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.0770 0.8870 5.1070 1.6060 ; + RECT 4.0130 0.9390 4.0430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.7800 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 5.5330 0.8870 5.5630 1.6060 ; + RECT 5.9890 0.8870 6.0190 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6370 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7200 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.7800 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.0130 0.0660 4.0430 0.7320 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.6910 ; + RECT 5.9890 0.0660 6.0190 0.6370 ; + RECT 3.1010 0.8800 3.1310 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 8.1170 0.8610 8.1470 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 6.4450 0.8390 6.4750 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 2.6450 0.8800 2.6750 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.6370 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 7.6610 0.8590 7.6910 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.7890 0.0670 9.8190 1.6050 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + LAYER NWELL ; + RECT -0.1160 1.5430 12.7260 1.7730 ; + RECT -0.1160 0.9480 9.6830 1.5430 ; + RECT 12.4510 0.6790 12.7260 1.5430 ; + RECT -0.1160 0.6790 0.1590 0.9480 ; + RECT 4.8480 0.6790 9.6830 0.9480 ; + RECT 10.1450 0.4910 11.9910 1.0830 ; + END +END RDFFX1_HVT + +MACRO RDFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.072 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.9030 0.9540 12.3700 1.0140 ; + RECT 12.2570 0.6900 12.3700 0.9540 ; + END + PORT + LAYER CO ; + RECT 12.2910 0.8620 12.3330 0.9040 ; + RECT 10.9230 0.9590 10.9650 1.0010 ; + RECT 12.2910 0.7100 12.3330 0.7520 ; + RECT 11.9870 0.9590 12.0290 1.0010 ; + RECT 11.6830 0.9580 11.7250 1.0000 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.0720 0.0300 ; + RECT 6.7990 0.2830 8.9930 0.3330 ; + RECT 11.9830 0.0300 12.0330 0.3120 ; + RECT 0.8870 0.0300 0.9370 0.5570 ; + RECT 1.1910 0.0300 1.2410 0.5570 ; + RECT 0.5830 0.0300 0.6330 0.5570 ; + RECT 9.3990 0.0300 9.4490 0.2410 ; + RECT 11.6790 0.0300 11.7290 0.2020 ; + RECT 9.8550 0.0300 9.9050 0.2410 ; + RECT 10.9190 0.0300 10.9690 0.2060 ; + RECT 8.9430 0.3330 8.9930 0.4430 ; + RECT 8.9430 0.0300 8.9930 0.2830 ; + RECT 1.6310 0.3070 5.9740 0.3570 ; + RECT 5.9030 0.1980 5.9530 0.3070 ; + RECT 3.6230 0.3570 3.6730 0.5580 ; + RECT 1.6470 0.0300 1.6970 0.3070 ; + END + PORT + LAYER CO ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.9310 0.3120 3.9730 0.3540 ; + RECT 1.1950 0.3110 1.2370 0.3530 ; + RECT 1.1950 0.4030 1.2370 0.4450 ; + RECT 1.1950 0.4950 1.2370 0.5370 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 4.3870 0.3120 4.4290 0.3540 ; + RECT 2.2590 0.3110 2.3010 0.3530 ; + RECT 9.8590 0.1720 9.9010 0.2140 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.6270 0.4040 3.6690 0.4460 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 11.9870 0.1440 12.0290 0.1860 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.1230 0.2870 7.1650 0.3290 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 7.4270 0.2870 7.4690 0.3290 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.9550 0.3110 1.9970 0.3530 ; + RECT 8.6430 0.2870 8.6850 0.3290 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 5.9070 0.2190 5.9490 0.2610 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 10.9230 0.1440 10.9650 0.1860 ; + RECT 3.6270 0.3120 3.6690 0.3540 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 6.8190 0.2870 6.8610 0.3290 ; + RECT 9.4030 0.1720 9.4450 0.2140 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 0.5870 0.4030 0.6290 0.4450 ; + RECT 0.5870 0.4950 0.6290 0.5370 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 2.5630 0.3110 2.6050 0.3530 ; + RECT 0.5870 0.3110 0.6290 0.3530 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.0350 0.2870 8.0770 0.3290 ; + RECT 8.9470 0.3710 8.9890 0.4130 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.6270 0.4960 3.6690 0.5380 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 7.5790 0.2870 7.6210 0.3290 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.5240 1.3350 1.5740 ; + RECT 0.4010 1.5740 0.5740 1.5750 ; + RECT 0.4010 1.4650 0.5740 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.6630 1.5280 0.7050 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 0.5110 1.5280 0.5530 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.5350 0.3010 10.5410 0.3510 ; + RECT 9.5210 0.9690 10.5410 1.0190 ; + RECT 9.5210 1.0190 9.6310 1.1290 ; + RECT 10.0070 1.0190 10.0570 1.3270 ; + RECT 10.4910 0.3510 10.5410 0.9690 ; + RECT 9.5510 1.1290 9.6010 1.2350 ; + END + PORT + LAYER CO ; + RECT 10.0110 1.1730 10.0530 1.2150 ; + RECT 10.0110 0.3050 10.0530 0.3470 ; + RECT 10.0110 1.2650 10.0530 1.3070 ; + RECT 10.0110 1.2650 10.0530 1.3070 ; + RECT 10.0110 1.0810 10.0530 1.1230 ; + RECT 10.0110 0.9890 10.0530 1.0310 ; + RECT 10.0110 1.1730 10.0530 1.2150 ; + RECT 9.5550 0.3050 9.5970 0.3470 ; + RECT 10.0110 0.9890 10.0530 1.0310 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 10.0110 1.0810 10.0530 1.1230 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.2470 0.4010 10.4030 0.4510 ; + RECT 9.2470 0.8590 10.4030 0.9090 ; + RECT 10.2810 0.4510 10.4030 0.5380 ; + RECT 9.2470 0.1570 9.2970 0.4010 ; + RECT 9.2470 0.9090 9.2970 1.2320 ; + RECT 10.3530 0.5380 10.4030 0.8590 ; + END + PORT + LAYER CO ; + RECT 9.7070 0.4050 9.7490 0.4470 ; + RECT 9.7070 0.8630 9.7490 0.9050 ; + RECT 9.7070 0.8630 9.7490 0.9050 ; + RECT 9.2510 0.1770 9.2930 0.2190 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.2690 9.2930 0.3110 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.0720 1.7020 ; + RECT 9.3990 0.9590 9.4490 1.2900 ; + RECT 0.5640 1.2900 9.9050 1.3400 ; + RECT 9.8550 1.0740 9.9050 1.2900 ; + RECT 2.2150 1.3400 2.2650 1.6420 ; + RECT 7.1590 1.3400 7.2090 1.6420 ; + END + PORT + LAYER CO ; + RECT 9.8590 1.2780 9.9010 1.3200 ; + RECT 9.8590 1.0940 9.9010 1.1360 ; + RECT 9.8590 1.1860 9.9010 1.2280 ; + RECT 9.8590 1.0940 9.9010 1.1360 ; + RECT 9.8590 1.2780 9.9010 1.3200 ; + RECT 9.8590 1.1860 9.9010 1.2280 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.8190 1.2940 6.8610 1.3360 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.6510 1.2940 1.6930 1.3360 ; + RECT 1.9550 1.2940 1.9970 1.3360 ; + RECT 3.9310 1.2920 3.9730 1.3340 ; + RECT 1.1950 1.2940 1.2370 1.3360 ; + RECT 3.4750 1.2940 3.5170 1.3360 ; + RECT 2.2590 1.2940 2.3010 1.3360 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 2.5630 1.2940 2.6050 1.3360 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3870 1.2920 4.4290 1.3340 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 0.8910 1.2940 0.9330 1.3360 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 3.0190 1.2940 3.0610 1.3360 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.2940 0.6290 1.3360 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6170 1.4080 11.6100 1.4580 ; + RECT 11.4970 1.3130 11.6100 1.4080 ; + END + PORT + LAYER CO ; + RECT 7.9590 1.4120 8.0010 1.4540 ; + RECT 11.4550 1.4120 11.4970 1.4540 ; + RECT 10.8470 1.4120 10.8890 1.4540 ; + RECT 8.5670 1.4100 8.6090 1.4520 ; + RECT 7.6550 1.4120 7.6970 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6810 0.9850 3.3090 1.0350 ; + RECT 2.6810 1.0350 2.7920 1.1190 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9890 3.2890 1.0310 ; + RECT 2.7910 0.9890 2.8330 1.0310 ; + END + ANTENNAGATEAREA 0.0537 ; + END D + OBS + LAYER CO ; + RECT 4.0070 1.5280 4.0490 1.5700 ; + RECT 0.7390 1.0380 0.7810 1.0800 ; + RECT 0.4350 0.5250 0.4770 0.5670 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 1.0430 1.1300 1.0850 1.1720 ; + RECT 1.0430 1.0380 1.0850 1.0800 ; + RECT 6.3630 0.4810 6.4050 0.5230 ; + RECT 6.5150 0.4810 6.5570 0.5230 ; + RECT 7.1990 0.6310 7.2410 0.6730 ; + RECT 9.9350 0.6170 9.9770 0.6590 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 11.5310 0.6230 11.5730 0.6650 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 6.5150 0.9940 6.5570 1.0360 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 11.8350 0.7130 11.8770 0.7550 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.4350 1.0380 0.4770 1.0800 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 4.0070 0.0950 4.0490 0.1370 ; + RECT 4.6150 0.8710 4.6570 0.9130 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 1.3470 1.0380 1.3890 1.0800 ; + RECT 1.3470 1.1300 1.3890 1.1720 ; + RECT 1.3470 0.4330 1.3890 0.4750 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + RECT 1.8030 1.1130 1.8450 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.1070 1.1130 2.1490 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 1.4990 0.4590 1.5410 0.5010 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 2.1070 0.4590 2.1490 0.5010 ; + RECT 1.4990 1.1130 1.5410 1.1550 ; + RECT 1.5750 0.8990 1.6170 0.9410 ; + RECT 1.8030 0.4590 1.8450 0.5010 ; + RECT 1.7270 0.8990 1.7690 0.9410 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 4.2350 1.1820 4.2770 1.2240 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 1.1300 0.7810 1.1720 ; + RECT 6.4390 0.8710 6.4810 0.9130 ; + RECT 3.6270 1.0710 3.6690 1.1130 ; + RECT 12.3670 0.5660 12.4090 0.6080 ; + RECT 6.6670 0.2970 6.7090 0.3390 ; + RECT 2.4870 0.0950 2.5290 0.1370 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.6390 0.8890 2.6810 0.9310 ; + RECT 0.4350 1.1300 0.4770 1.1720 ; + RECT 4.4630 1.5280 4.5050 1.5700 ; + RECT 8.2630 0.7630 8.3050 0.8050 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 5.7550 0.9710 5.7970 1.0130 ; + RECT 2.1830 0.0960 2.2250 0.1380 ; + RECT 2.8670 1.1820 2.9090 1.2240 ; + RECT 2.3350 1.5280 2.3770 1.5700 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 8.1110 0.6680 8.1530 0.7100 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 5.2990 0.9710 5.3410 1.0130 ; + RECT 6.7430 0.8710 6.7850 0.9130 ; + RECT 6.3630 0.3890 6.4050 0.4310 ; + RECT 5.5270 0.0950 5.5690 0.1370 ; + RECT 4.4630 0.0950 4.5050 0.1370 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 4.9190 0.6710 4.9610 0.7130 ; + RECT 3.0950 0.8890 3.1370 0.9310 ; + RECT 11.0750 0.6330 11.1170 0.6750 ; + RECT 6.6670 0.3890 6.7090 0.4310 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 12.1390 0.6800 12.1810 0.7220 ; + RECT 4.5390 1.0770 4.5810 1.1190 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 4.1590 0.8710 4.2010 0.9130 ; + RECT 3.7030 0.8710 3.7450 0.9130 ; + RECT 12.1390 0.3290 12.1810 0.3710 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 11.7590 0.4660 11.8010 0.5080 ; + RECT 5.0710 0.0950 5.1130 0.1370 ; + RECT 5.2230 0.6710 5.2650 0.7130 ; + RECT 6.2110 0.9710 6.2530 1.0130 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 6.5150 1.1780 6.5570 1.2200 ; + RECT 9.4790 0.6170 9.5210 0.6590 ; + RECT 6.3630 1.0860 6.4050 1.1280 ; + RECT 6.2110 1.0860 6.2530 1.1280 ; + RECT 2.4870 0.7940 2.5290 0.8360 ; + RECT 4.0830 0.4180 4.1250 0.4600 ; + RECT 6.9710 1.1940 7.0130 1.2360 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 10.2390 0.7630 10.2810 0.8050 ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 11.3790 0.3680 11.4210 0.4100 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 3.5510 0.8710 3.5930 0.9130 ; + RECT 8.4910 0.4880 8.5330 0.5300 ; + RECT 6.2110 0.3890 6.2530 0.4310 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 5.6790 0.6710 5.7210 0.7130 ; + RECT 8.3390 1.0710 8.3810 1.1130 ; + RECT 6.2110 0.4810 6.2530 0.5230 ; + RECT 7.2750 1.1370 7.3170 1.1790 ; + RECT 11.3030 1.2040 11.3450 1.2460 ; + RECT 6.5150 1.0860 6.5570 1.1280 ; + RECT 11.5310 0.1750 11.5730 0.2170 ; + RECT 1.3470 0.5250 1.3890 0.5670 ; + RECT 6.0590 0.4160 6.1010 0.4580 ; + RECT 6.5910 1.5280 6.6330 1.5700 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 2.7150 0.4160 2.7570 0.4580 ; + RECT 6.2870 0.0950 6.3290 0.1370 ; + RECT 6.3630 1.1780 6.4050 1.2200 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.6670 1.1940 6.7090 1.2360 ; + RECT 7.2750 0.4230 7.3170 0.4650 ; + RECT 5.2990 0.5170 5.3410 0.5590 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 2.7150 1.4280 2.7570 1.4700 ; + RECT 5.0710 1.5280 5.1130 1.5700 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 7.9590 0.6680 8.0010 0.7100 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + RECT 3.7790 0.5190 3.8210 0.5610 ; + RECT 11.0750 0.3740 11.1170 0.4160 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 3.0950 0.1990 3.1370 0.2410 ; + RECT 0.4350 0.4330 0.4770 0.4750 ; + RECT 7.3510 0.6310 7.3930 0.6730 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 10.7710 0.6650 10.8130 0.7070 ; + RECT 12.3670 1.5320 12.4090 1.5740 ; + RECT 12.0630 0.4660 12.1050 0.5080 ; + RECT 4.9190 0.8710 4.9610 0.9130 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 10.7710 0.3740 10.8130 0.4160 ; + RECT 11.3790 0.8490 11.4210 0.8910 ; + RECT 10.2390 1.2040 10.2810 1.2460 ; + RECT 11.2270 0.6390 11.2690 0.6810 ; + RECT 5.9830 0.0950 6.0250 0.1370 ; + RECT 11.8350 0.3500 11.8770 0.3920 ; + RECT 8.7190 0.0980 8.7610 0.1400 ; + RECT 5.1470 1.4040 5.1890 1.4460 ; + RECT 8.3390 0.4020 8.3810 0.4440 ; + RECT 6.1350 0.6710 6.1770 0.7130 ; + RECT 6.3630 0.9940 6.4050 1.0360 ; + RECT 5.5270 1.5280 5.5690 1.5700 ; + RECT 3.1710 1.4280 3.2130 1.4700 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 3.4750 0.5190 3.5170 0.5610 ; + RECT 6.2110 1.1780 6.2530 1.2200 ; + RECT 4.2350 0.5250 4.2770 0.5670 ; + RECT 6.0590 1.4040 6.1010 1.4460 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.0830 1.0770 4.1250 1.1190 ; + RECT 7.8070 1.5320 7.8490 1.5740 ; + RECT 7.8830 1.0710 7.9250 1.1130 ; + RECT 3.4750 0.4270 3.5170 0.4690 ; + RECT 5.6030 1.4040 5.6450 1.4460 ; + RECT 2.6390 0.1990 2.6810 0.2410 ; + RECT 3.7790 0.4270 3.8210 0.4690 ; + RECT 4.6910 1.1820 4.7330 1.2240 ; + RECT 11.3030 0.4980 11.3450 0.5400 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 6.2870 0.8710 6.3290 0.9130 ; + RECT 11.1510 1.0760 11.1930 1.1180 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 4.5390 0.4180 4.5810 0.4600 ; + RECT 3.1710 0.4160 3.2130 0.4580 ; + RECT 6.2110 0.2970 6.2530 0.3390 ; + RECT 6.5150 0.3890 6.5570 0.4310 ; + LAYER M1 ; + RECT 4.0610 0.4140 4.6010 0.4640 ; + RECT 4.8990 0.6670 6.1970 0.7170 ; + RECT 10.2190 1.2000 11.3650 1.2500 ; + RECT 2.1630 0.0920 2.3050 0.1420 ; + RECT 2.2550 0.1950 3.1660 0.2450 ; + RECT 2.2550 0.1420 2.3050 0.1950 ; + RECT 2.6930 1.4240 3.2330 1.4740 ; + RECT 2.6930 0.4120 3.2330 0.4620 ; + RECT 6.4190 0.6130 6.6530 0.6630 ; + RECT 5.1270 1.4000 6.1210 1.4500 ; + RECT 6.2670 0.8670 6.5210 0.9170 ; + RECT 9.2230 0.5010 9.9810 0.5510 ; + RECT 8.6990 0.6130 9.2730 0.6630 ; + RECT 9.9310 0.5510 9.9810 0.6790 ; + RECT 9.4750 0.5510 9.5250 0.6790 ; + RECT 9.2230 0.5510 9.2730 0.6130 ; + RECT 10.7670 1.0720 11.2130 1.1220 ; + RECT 10.7670 0.1260 10.8170 1.0720 ; + RECT 6.3990 0.0920 8.7820 0.1420 ; + RECT 6.3590 0.1940 6.4490 0.2440 ; + RECT 6.1670 0.7670 6.2970 0.8170 ; + RECT 5.2600 0.9670 6.2730 1.0170 ; + RECT 6.2070 1.1900 6.4090 1.2400 ; + RECT 6.3990 0.1420 6.4490 0.1940 ; + RECT 6.2070 0.1980 6.2570 0.5130 ; + RECT 5.2600 0.5130 6.4090 0.5630 ; + RECT 6.2470 0.5630 6.2970 0.7670 ; + RECT 6.1670 0.8170 6.2170 0.9670 ; + RECT 6.3590 0.9740 6.4090 1.1900 ; + RECT 6.2070 1.0170 6.2570 1.1900 ; + RECT 6.3590 0.2440 6.4090 0.5130 ; + RECT 11.8310 0.3620 12.0240 0.4120 ; + RECT 11.9740 0.4620 12.1250 0.5120 ; + RECT 11.8310 0.5890 12.0240 0.6390 ; + RECT 11.9740 0.4120 12.0240 0.4620 ; + RECT 11.9740 0.5120 12.0240 0.5890 ; + RECT 11.8310 0.1260 11.8810 0.3620 ; + RECT 11.8310 0.6390 11.8810 0.7950 ; + RECT 4.6470 0.7670 5.0810 0.8170 ; + RECT 4.2140 0.5210 4.7530 0.5710 ; + RECT 3.3190 0.8670 3.7650 0.9170 ; + RECT 4.6470 0.5710 4.6970 0.7670 ; + RECT 2.8450 1.1780 5.0810 1.2280 ; + RECT 5.0310 0.8170 5.0810 1.1780 ; + RECT 2.8450 0.5210 3.3810 0.5710 ; + RECT 3.3190 0.5710 3.3690 0.8670 ; + RECT 3.3190 0.4130 3.3690 0.5210 ; + RECT 3.3690 0.9170 3.4190 1.1780 ; + RECT 6.6630 0.4550 7.0330 0.5050 ; + RECT 6.5110 1.1900 7.0330 1.2400 ; + RECT 6.5110 0.9780 6.6730 1.0280 ; + RECT 6.6230 0.7670 6.7530 0.8170 ; + RECT 6.5110 0.2770 6.7130 0.3270 ; + RECT 6.5110 0.9740 6.5610 0.9780 ; + RECT 6.6230 0.8170 6.6730 0.9780 ; + RECT 6.7030 0.5050 6.7530 0.7670 ; + RECT 6.5110 0.3270 6.5610 0.5560 ; + RECT 6.6630 0.3270 6.7130 0.4550 ; + RECT 6.5110 1.0280 6.5610 1.1900 ; + RECT 2.3150 1.5240 6.6530 1.5740 ; + RECT 2.4670 0.0910 6.3490 0.1410 ; + RECT 7.0790 0.7670 7.3210 0.8170 ; + RECT 6.9680 0.7080 7.1290 0.7580 ; + RECT 6.7230 0.8670 7.0180 0.9170 ; + RECT 7.2710 0.8170 7.3210 1.2400 ; + RECT 7.2710 0.4030 7.3210 0.5270 ; + RECT 7.0790 0.5270 7.3210 0.5770 ; + RECT 7.0790 0.7580 7.1290 0.7670 ; + RECT 7.0790 0.5770 7.1290 0.7080 ; + RECT 6.9680 0.7580 7.0180 0.8670 ; + RECT 7.5350 0.3980 8.4010 0.4480 ; + RECT 7.5350 1.0670 8.4010 1.1170 ; + RECT 7.1790 0.6270 7.5850 0.6770 ; + RECT 7.5350 0.6770 7.5850 1.0670 ; + RECT 7.5350 0.4480 7.5850 0.6270 ; + RECT 7.8390 0.8670 8.5370 0.9170 ; + RECT 7.6510 0.5140 8.5370 0.5640 ; + RECT 8.4870 0.9170 8.5370 1.2400 ; + RECT 7.8390 0.9170 7.8890 1.0170 ; + RECT 7.6510 0.5640 7.7010 0.6790 ; + RECT 8.4870 0.3830 8.5370 0.5140 ; + RECT 7.8390 0.5640 7.8890 0.8670 ; + RECT 11.5270 0.4620 11.8210 0.5120 ; + RECT 11.2070 0.1320 11.5770 0.1820 ; + RECT 11.2070 0.6350 11.5770 0.6850 ; + RECT 11.5270 0.1820 11.5770 0.4620 ; + RECT 11.5270 0.5120 11.5770 0.6350 ; + RECT 8.2430 0.7590 10.3010 0.8090 ; + RECT 8.5990 0.5130 9.1480 0.5630 ; + RECT 9.0950 0.3830 9.1450 0.5130 ; + RECT 9.0950 0.8090 9.1450 1.2400 ; + RECT 8.7910 0.3830 8.8410 0.5130 ; + RECT 8.7910 0.8090 8.8410 1.2400 ; + RECT 8.5990 0.5630 8.6490 0.7590 ; + RECT 9.3230 0.6420 9.3730 0.7590 ; + RECT 9.7790 0.6420 9.8290 0.7590 ; + RECT 11.0710 0.4940 11.4250 0.5440 ; + RECT 11.3750 0.3480 11.4250 0.4940 ; + RECT 11.0710 0.5440 11.1210 0.7950 ; + RECT 7.7870 1.5280 12.4310 1.5780 ; + RECT 7.9390 0.6640 8.1730 0.7140 ; + RECT 3.6070 1.0670 3.9770 1.1170 ; + RECT 3.9270 0.9170 3.9770 1.0670 ; + RECT 3.9270 0.8670 4.9810 0.9170 ; + RECT 3.7750 0.4070 3.8250 0.6190 ; + RECT 3.9270 0.6690 3.9770 0.8670 ; + RECT 3.4710 0.6190 3.9770 0.6690 ; + RECT 3.4710 0.4070 3.5210 0.6190 ; + RECT 12.1350 0.5620 12.4290 0.6120 ; + RECT 12.1350 0.3620 12.3200 0.4120 ; + RECT 12.2700 0.4120 12.3200 0.5620 ; + RECT 10.9710 0.8450 12.1850 0.8950 ; + RECT 12.1350 0.6120 12.1850 0.8450 ; + RECT 12.1350 0.1260 12.1850 0.3620 ; + RECT 10.9710 0.3700 11.1390 0.4200 ; + RECT 10.9710 0.4200 11.0210 0.8450 ; + RECT 4.0610 1.0730 4.6010 1.1230 ; + RECT 5.1080 0.4120 6.1210 0.4620 ; + RECT 0.4310 0.8950 2.3970 0.9450 ; + RECT 1.3430 0.9450 1.3930 1.1920 ; + RECT 1.3430 0.4130 1.3930 0.8950 ; + RECT 0.4310 0.9450 0.4810 1.1920 ; + RECT 0.4310 0.4130 0.4810 0.8950 ; + RECT 0.7350 0.9450 0.7850 1.1920 ; + RECT 0.7350 0.4130 0.7850 0.8950 ; + RECT 1.0390 0.9450 1.0890 1.1920 ; + RECT 1.0390 0.4130 1.0890 0.8950 ; + RECT 2.4830 0.8850 3.1570 0.9350 ; + RECT 1.4770 0.4550 2.5330 0.5050 ; + RECT 2.4830 0.5050 2.5330 0.8850 ; + RECT 2.4830 0.9350 2.5330 1.1090 ; + RECT 1.4790 1.1090 2.5330 1.1590 ; + LAYER PO ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 5.5330 0.8870 5.5630 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.7320 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.0770 0.8870 5.1070 1.6060 ; + RECT 4.0130 0.9390 4.0430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.7800 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 5.9890 0.8870 6.0190 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6370 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7200 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.7800 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.0130 0.0660 4.0430 0.7320 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.6910 ; + RECT 5.9890 0.0660 6.0190 0.6370 ; + RECT 3.1010 0.8800 3.1310 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 8.1170 0.8610 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 6.4450 0.8390 6.4750 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 2.6450 0.8800 2.6750 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.6370 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 7.6610 0.8590 7.6910 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 10.2450 0.0670 10.2750 1.6050 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + LAYER NWELL ; + RECT -0.1160 1.5430 13.1820 1.7730 ; + RECT -0.1160 0.9480 10.1390 1.5430 ; + RECT 12.9070 0.6790 13.1820 1.5430 ; + RECT -0.1160 0.6790 0.1590 0.9480 ; + RECT 4.8480 0.6790 10.1390 0.9480 ; + RECT 10.6010 0.4910 12.4470 1.0830 ; + END +END RDFFX2_HVT + +MACRO RSDFFARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.936 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6970 0.8570 7.8840 0.9680 ; + END + PORT + LAYER CO ; + RECT 7.8070 0.8920 7.8490 0.9340 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 15.7670 0.9420 17.2340 1.0020 ; + RECT 17.1200 0.6900 17.2340 0.9420 ; + END + PORT + LAYER CO ; + RECT 17.1550 0.8460 17.1970 0.8880 ; + RECT 15.7870 0.9510 15.8290 0.9930 ; + RECT 17.1550 0.7100 17.1970 0.7520 ; + RECT 16.8510 0.9510 16.8930 0.9930 ; + RECT 16.5470 0.9510 16.5890 0.9930 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.9360 0.0300 ; + RECT 11.8150 0.2830 14.3130 0.3330 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 3.3190 0.0300 3.3690 0.5570 ; + RECT 3.6230 0.0300 3.6730 0.5570 ; + RECT 3.0150 0.0300 3.0650 0.5570 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.4070 0.0300 2.4570 0.5760 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 16.8470 0.0300 16.8970 0.3120 ; + RECT 14.7190 0.0300 14.7690 0.2410 ; + RECT 16.5430 0.0300 16.5930 0.2020 ; + RECT 15.7830 0.0300 15.8330 0.2060 ; + RECT 14.2630 0.3330 14.3130 0.4430 ; + RECT 14.2480 0.0300 14.2980 0.2830 ; + RECT 6.9670 0.2430 7.0170 0.4570 ; + RECT 7.4230 0.2910 7.4730 0.4570 ; + RECT 7.1190 0.2430 7.1690 0.4610 ; + RECT 6.6630 0.2430 6.7130 0.4610 ; + RECT 10.9190 0.1980 10.9690 0.3070 ; + RECT 8.4330 0.2910 8.4830 0.3070 ; + RECT 8.4330 0.3070 10.9900 0.3570 ; + RECT 4.0640 0.0300 4.1140 0.3070 ; + RECT 6.3590 0.2430 6.4090 0.3070 ; + RECT 4.0630 0.3070 6.4090 0.3570 ; + RECT 6.3590 0.1930 7.4730 0.2410 ; + RECT 6.3590 0.2410 8.4830 0.2430 ; + RECT 7.4230 0.2430 8.4830 0.2910 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 6.9710 0.3030 7.0130 0.3450 ; + RECT 6.9710 0.3950 7.0130 0.4370 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.8830 0.2450 7.9250 0.2870 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.4270 0.3030 7.4690 0.3450 ; + RECT 7.4270 0.3950 7.4690 0.4370 ; + RECT 7.1230 0.3070 7.1650 0.3490 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.7470 0.2870 12.7890 0.3290 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 11.8350 0.2870 11.8770 0.3290 ; + RECT 12.4430 0.2870 12.4850 0.3290 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 4.0830 0.3110 4.1250 0.3530 ; + RECT 4.3870 0.3110 4.4290 0.3530 ; + RECT 7.1230 0.3990 7.1650 0.4410 ; + RECT 13.9630 0.2870 14.0050 0.3290 ; + RECT 9.4030 0.3120 9.4450 0.3540 ; + RECT 16.5470 0.1360 16.5890 0.1780 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 3.3230 0.4950 3.3650 0.5370 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 3.3230 0.4030 3.3650 0.4450 ; + RECT 8.4910 0.3120 8.5330 0.3540 ; + RECT 3.6270 0.3110 3.6690 0.3530 ; + RECT 3.6270 0.4030 3.6690 0.4450 ; + RECT 3.6270 0.4950 3.6690 0.5370 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 14.7230 0.1720 14.7650 0.2140 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 13.3550 0.2870 13.3970 0.3290 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 14.2670 0.3710 14.3090 0.4130 ; + RECT 10.9230 0.3110 10.9650 0.3530 ; + RECT 10.9230 0.2190 10.9650 0.2610 ; + RECT 10.0110 0.3110 10.0530 0.3530 ; + RECT 8.9470 0.3120 8.9890 0.3540 ; + RECT 4.6910 0.3110 4.7330 0.3530 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 6.3630 0.2510 6.4050 0.2930 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 16.8510 0.1440 16.8930 0.1860 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 12.1390 0.2870 12.1810 0.3290 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 12.8990 0.2870 12.9410 0.3290 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 15.7870 0.1440 15.8290 0.1860 ; + RECT 10.4670 0.3110 10.5090 0.3530 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 6.6670 0.3070 6.7090 0.3490 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 6.6670 0.3990 6.7090 0.4410 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8340 1.5580 3.7670 1.5840 ; + RECT 2.8330 1.4480 3.0060 1.5340 ; + RECT 2.8330 1.5340 3.7670 1.5580 ; + END + PORT + LAYER CO ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 2.9430 1.5380 2.9850 1.5800 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + RECT 3.5510 1.5380 3.5930 1.5800 ; + RECT 3.0950 1.5380 3.1370 1.5800 ; + RECT 3.2470 1.5380 3.2890 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.8550 0.3010 15.4450 0.3510 ; + RECT 15.2960 0.3510 15.4450 0.3590 ; + RECT 15.2960 0.2660 15.4450 0.3010 ; + RECT 14.8710 0.9690 15.4050 1.0190 ; + RECT 15.3550 0.3590 15.4050 0.9690 ; + RECT 14.8710 1.0190 14.9210 1.2400 ; + END + PORT + LAYER CO ; + RECT 14.8750 1.1730 14.9170 1.2150 ; + RECT 14.8750 1.0810 14.9170 1.1230 ; + RECT 14.8750 0.9890 14.9170 1.0310 ; + RECT 14.8750 1.1730 14.9170 1.2150 ; + RECT 14.8750 1.0810 14.9170 1.1230 ; + RECT 14.8750 0.3050 14.9170 0.3470 ; + RECT 14.8750 0.9890 14.9170 1.0310 ; + END + ANTENNADIFFAREA 0.1275 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5670 0.4010 15.2670 0.4510 ; + RECT 14.5670 0.8590 15.2670 0.9090 ; + RECT 15.1450 0.4510 15.2670 0.5380 ; + RECT 14.5670 0.1270 14.6170 0.4010 ; + RECT 14.5670 0.9090 14.6170 1.2320 ; + RECT 15.2170 0.5380 15.2670 0.8590 ; + END + PORT + LAYER CO ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 14.5710 0.1770 14.6130 0.2190 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 14.5710 0.2690 14.6130 0.3110 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + END + ANTENNADIFFAREA 0.1275 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.9360 1.7020 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.4070 1.2460 2.4570 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 2.7110 1.3600 2.7610 1.6420 ; + RECT 4.6320 1.3980 4.6820 1.6420 ; + RECT 2.9960 1.3480 4.6820 1.3980 ; + RECT 14.7190 0.9590 14.7690 1.2900 ; + RECT 4.6320 1.2900 14.7690 1.3400 ; + RECT 4.6320 1.3400 4.6820 1.3480 ; + END + PORT + LAYER CO ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 7.8830 1.2930 7.9250 1.3350 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 9.4030 1.2920 9.4450 1.3340 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 4.0830 1.3520 4.1250 1.3940 ; + RECT 4.3870 1.3520 4.4290 1.3940 ; + RECT 8.4910 1.2920 8.5330 1.3340 ; + RECT 3.6270 1.3520 3.6690 1.3940 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 14.7230 1.0710 14.7650 1.1130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 14.7230 1.1630 14.7650 1.2050 ; + RECT 14.7230 1.1630 14.7650 1.2050 ; + RECT 6.9710 1.2940 7.0130 1.3360 ; + RECT 14.7230 1.2550 14.7650 1.2970 ; + RECT 14.7230 0.9790 14.7650 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 3.0190 1.3520 3.0610 1.3940 ; + RECT 6.3630 1.2940 6.4050 1.3360 ; + RECT 4.6910 1.2940 4.7330 1.3360 ; + RECT 14.7230 0.9790 14.7650 1.0210 ; + RECT 10.4670 1.2940 10.5090 1.3360 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 12.7470 1.2940 12.7890 1.3360 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 10.0110 1.2940 10.0530 1.3360 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 11.8350 1.2940 11.8770 1.3360 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 12.8990 1.2940 12.9410 1.3360 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 13.3550 1.2940 13.3970 1.3360 ; + RECT 14.7230 1.0710 14.7650 1.1130 ; + RECT 14.7230 1.2550 14.7650 1.2970 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 10.9230 1.2940 10.9650 1.3360 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 8.9470 1.2920 8.9890 1.3340 ; + RECT 3.3230 1.3520 3.3650 1.3940 ; + RECT 12.1390 1.2940 12.1810 1.3360 ; + RECT 14.2670 1.2940 14.3090 1.3360 ; + RECT 13.9630 1.2940 14.0050 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.9370 1.4080 16.4740 1.4580 ; + RECT 16.3610 1.3130 16.4740 1.4080 ; + END + PORT + LAYER CO ; + RECT 16.3190 1.4120 16.3610 1.4540 ; + RECT 12.9750 1.4120 13.0170 1.4540 ; + RECT 13.2790 1.4120 13.3210 1.4540 ; + RECT 15.7110 1.4120 15.7530 1.4540 ; + RECT 13.8870 1.4100 13.9290 1.4520 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 6.5150 1.3930 6.5570 1.4350 ; + RECT 6.8190 1.3940 6.8610 1.4360 ; + RECT 6.8190 0.3260 6.8610 0.3680 ; + RECT 6.8190 0.4180 6.8610 0.4600 ; + RECT 8.2630 0.6870 8.3050 0.7290 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 7.5030 0.7110 7.5450 0.7530 ; + RECT 7.1990 0.7150 7.2410 0.7570 ; + RECT 9.4790 0.0970 9.5210 0.1390 ; + RECT 7.3510 0.6150 7.3930 0.6570 ; + RECT 7.2750 1.3940 7.3170 1.4360 ; + RECT 7.1230 0.9380 7.1650 0.9800 ; + RECT 7.5030 0.5140 7.5450 0.5560 ; + RECT 6.5910 0.7150 6.6330 0.7570 ; + RECT 6.7430 0.7150 6.7850 0.7570 ; + RECT 7.2750 0.3260 7.3170 0.3680 ; + RECT 7.2750 0.4180 7.3170 0.4600 ; + RECT 9.6310 0.6870 9.6730 0.7290 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 9.5550 0.4180 9.5970 0.4600 ; + RECT 6.2870 0.6680 6.3290 0.7100 ; + RECT 6.2870 1.5380 6.3290 1.5800 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.0590 1.4280 6.1010 1.4700 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.9830 0.8680 6.0250 0.9100 ; + RECT 12.5950 1.1940 12.6370 1.2360 ; + RECT 12.2910 1.1940 12.3330 1.2360 ; + RECT 12.6710 1.4210 12.7130 1.4630 ; + RECT 12.2150 1.4210 12.2570 1.4630 ; + RECT 8.1110 1.4210 8.1530 1.4630 ; + RECT 8.1110 1.0640 8.1530 1.1060 ; + RECT 12.5950 0.4230 12.6370 0.4650 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 10.0870 0.0950 10.1290 0.1370 ; + RECT 9.7070 0.5250 9.7490 0.5670 ; + RECT 6.1350 0.7680 6.1770 0.8100 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 0.4330 3.8210 0.4750 ; + RECT 11.9110 0.8710 11.9530 0.9130 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 5.9830 0.6680 6.0250 0.7100 ; + RECT 4.2350 1.0440 4.2770 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 4.5390 1.0440 4.5810 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 3.9310 0.4590 3.9730 0.5010 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 4.5390 0.4590 4.5810 0.5010 ; + RECT 3.9310 1.0440 3.9730 1.0860 ; + RECT 4.0070 0.7260 4.0490 0.7680 ; + RECT 4.2350 0.4590 4.2770 0.5010 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.1590 0.7260 4.2010 0.7680 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 4.3110 0.7260 4.3530 0.7680 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.4630 0.7260 4.5050 0.7680 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 9.5550 1.0770 9.5970 1.1190 ; + RECT 10.6190 0.4160 10.6610 0.4580 ; + RECT 3.4750 0.4330 3.5170 0.4750 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 11.4550 0.8710 11.4970 0.9130 ; + RECT 8.5670 1.5390 8.6090 1.5810 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 11.3790 0.4810 11.4210 0.5230 ; + RECT 11.5310 0.4810 11.5730 0.5230 ; + RECT 12.3670 0.6310 12.4090 0.6730 ; + RECT 10.1630 0.4160 10.2050 0.4580 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.9190 0.7280 4.9610 0.7700 ; + RECT 8.6430 0.4180 8.6850 0.4600 ; + RECT 11.9870 1.1940 12.0290 1.2360 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 15.1030 0.7630 15.1450 0.8050 ; + RECT 10.9990 1.5390 11.0410 1.5810 ; + RECT 4.7670 0.7260 4.8090 0.7680 ; + RECT 13.2030 0.4020 13.2450 0.4440 ; + RECT 11.9870 0.4590 12.0290 0.5010 ; + RECT 16.2430 0.3680 16.2850 0.4100 ; + RECT 4.6150 0.7260 4.6570 0.7680 ; + RECT 6.4390 0.6150 6.4810 0.6570 ; + RECT 13.8110 0.4880 13.8530 0.5300 ; + RECT 11.2270 0.3890 11.2690 0.4310 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 10.6190 1.1380 10.6610 1.1800 ; + RECT 5.0710 0.6680 5.1130 0.7100 ; + RECT 6.5150 0.3260 6.5570 0.3680 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 6.6670 0.9380 6.7090 0.9800 ; + RECT 17.2310 0.5660 17.2730 0.6080 ; + RECT 11.6830 0.2970 11.7250 0.3390 ; + RECT 4.9190 0.0960 4.9610 0.1380 ; + RECT 12.9750 0.6170 13.0170 0.6590 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 5.0710 0.8680 5.1130 0.9100 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 16.3950 0.6230 16.4370 0.6650 ; + RECT 14.3430 0.6170 14.3850 0.6590 ; + RECT 3.1710 0.4330 3.2130 0.4750 ; + RECT 11.5310 0.9940 11.5730 1.0360 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 16.6990 0.6800 16.7410 0.7220 ; + RECT 14.1910 0.6170 14.2330 0.6590 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 5.6790 0.7680 5.7210 0.8100 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 8.5670 0.0970 8.6090 0.1390 ; + RECT 9.1750 0.6870 9.2170 0.7290 ; + RECT 14.1150 0.5010 14.1570 0.5430 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 17.0030 0.6800 17.0450 0.7220 ; + RECT 9.0990 1.0770 9.1410 1.1190 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 8.7190 0.6870 8.7610 0.7290 ; + RECT 6.8950 0.6150 6.9370 0.6570 ; + RECT 17.0030 0.3290 17.0450 0.3710 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 14.4190 0.9720 14.4610 1.0140 ; + RECT 14.1150 0.4090 14.1570 0.4510 ; + RECT 14.4190 0.9720 14.4610 1.0140 ; + RECT 14.4190 1.1560 14.4610 1.1980 ; + RECT 14.4190 1.1560 14.4610 1.1980 ; + RECT 16.6230 0.4660 16.6650 0.5080 ; + RECT 11.0750 1.1380 11.1170 1.1800 ; + RECT 14.4190 0.5010 14.4610 0.5430 ; + RECT 8.6430 1.0770 8.6850 1.1190 ; + RECT 13.1270 1.5320 13.1690 1.5740 ; + RECT 13.2030 1.0710 13.2450 1.1130 ; + RECT 16.1670 0.4980 16.2090 0.5400 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + RECT 16.0150 1.0690 16.0570 1.1110 ; + RECT 14.4190 0.4090 14.4610 0.4510 ; + RECT 9.0990 0.4180 9.1410 0.4600 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 11.2270 0.2970 11.2690 0.3390 ; + RECT 11.5310 0.3890 11.5730 0.4310 ; + RECT 10.6950 0.6710 10.7370 0.7130 ; + RECT 13.6590 1.0710 13.7010 1.1130 ; + RECT 11.2270 0.4810 11.2690 0.5230 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 12.4430 1.0670 12.4850 1.1090 ; + RECT 16.1670 1.2040 16.2090 1.2460 ; + RECT 11.5310 1.0860 11.5730 1.1280 ; + RECT 16.3950 0.1750 16.4370 0.2170 ; + RECT 7.7310 0.4960 7.7730 0.5380 ; + RECT 13.5830 0.7630 13.6250 0.8050 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 10.7710 0.9710 10.8130 1.0130 ; + RECT 5.2990 1.1820 5.3410 1.2240 ; + RECT 4.7670 1.5380 4.8090 1.5800 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 13.4310 0.6680 13.4730 0.7100 ; + RECT 14.6470 0.6620 14.6890 0.7040 ; + RECT 10.3150 0.9710 10.3570 1.0130 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.3790 0.3890 11.4210 0.4310 ; + RECT 10.5430 0.0950 10.5850 0.1370 ; + RECT 9.0230 0.0980 9.0650 0.1400 ; + RECT 9.2510 0.5250 9.2930 0.5670 ; + RECT 9.9350 0.6710 9.9770 0.7130 ; + RECT 5.5270 0.8680 5.5690 0.9100 ; + RECT 15.9390 0.6330 15.9810 0.6750 ; + RECT 11.6830 0.3890 11.7250 0.4310 ; + RECT 11.6830 1.1940 11.7250 1.2360 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 12.2910 0.4230 12.3330 0.4650 ; + RECT 6.0590 0.4160 6.1010 0.4580 ; + RECT 10.3150 0.5170 10.3570 0.5590 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 5.1470 1.4280 5.1890 1.4700 ; + RECT 10.0870 1.5390 10.1290 1.5810 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 13.2790 0.6680 13.3210 0.7100 ; + RECT 13.4310 0.8710 13.4730 0.9130 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 15.9390 0.3740 15.9810 0.4160 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 5.5270 0.6680 5.5690 0.7100 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 12.5190 0.6310 12.5610 0.6730 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 15.6350 0.6650 15.6770 0.7070 ; + RECT 17.2310 1.5320 17.2730 1.5740 ; + RECT 16.9270 0.4660 16.9690 0.5080 ; + RECT 9.9350 0.8710 9.9770 0.9130 ; + RECT 16.0910 0.1360 16.1330 0.1780 ; + RECT 10.2390 0.6710 10.2810 0.7130 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 11.5310 1.1780 11.5730 1.2200 ; + RECT 14.7990 0.6170 14.8410 0.6590 ; + RECT 11.3790 1.0860 11.4210 1.1280 ; + RECT 11.2270 1.0860 11.2690 1.1280 ; + RECT 5.2230 0.7680 5.2650 0.8100 ; + RECT 3.7790 0.5250 3.8210 0.5670 ; + RECT 11.0750 0.4160 11.1170 0.4580 ; + RECT 11.6070 1.5390 11.6490 1.5810 ; + RECT 9.4790 1.5380 9.5210 1.5800 ; + RECT 10.7710 0.5170 10.8130 0.5590 ; + RECT 14.0390 0.6170 14.0810 0.6590 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 11.3030 0.0970 11.3450 0.1390 ; + RECT 11.3790 1.1780 11.4210 1.2200 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 15.6350 0.3740 15.6770 0.4160 ; + RECT 16.2430 0.8330 16.2850 0.8750 ; + RECT 15.1030 1.2040 15.1450 1.2460 ; + RECT 16.0910 0.6390 16.1330 0.6810 ; + RECT 10.9990 0.0950 11.0410 0.1370 ; + RECT 16.6990 0.3500 16.7410 0.3920 ; + RECT 14.0390 0.0980 14.0810 0.1400 ; + RECT 10.1630 1.1380 10.2050 1.1800 ; + RECT 13.6590 0.4020 13.7010 0.4440 ; + RECT 11.1510 0.6710 11.1930 0.7130 ; + RECT 11.3790 0.9940 11.4210 1.0360 ; + RECT 10.5430 1.5390 10.5850 1.5810 ; + RECT 5.6030 1.4280 5.6450 1.4700 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 11.2270 1.1780 11.2690 1.2200 ; + RECT 8.7950 0.5250 8.8370 0.5670 ; + LAYER PO ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6370 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 12.9810 0.8590 13.0110 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 15.1090 0.0670 15.1390 1.6050 ; + RECT 9.0290 0.8430 9.0590 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.7380 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.0930 0.8870 10.1230 1.6060 ; + RECT 8.5730 0.8430 8.6030 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.7350 ; + RECT 5.0770 0.0660 5.1070 0.7240 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 9.4850 0.0660 9.5150 0.7380 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.4850 0.8460 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.7240 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.7380 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 10.5490 0.8870 10.5790 1.6060 ; + RECT 11.0050 0.8870 11.0350 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 12.9810 0.0660 13.0110 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 5.9890 0.8360 6.0190 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6370 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 13.4370 0.0660 13.4670 0.7200 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6910 ; + RECT 11.0050 0.0660 11.0350 0.6370 ; + RECT 5.5330 0.8250 5.5630 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 13.4370 0.8610 13.4670 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 11.4610 0.8390 11.4910 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.8250 5.1070 1.6060 ; + LAYER M1 ; + RECT 11.6790 0.3270 11.7290 0.4550 ; + RECT 11.7190 0.5050 11.7690 0.7670 ; + RECT 11.5270 0.9740 11.5770 0.9780 ; + RECT 11.6390 0.8170 11.6890 0.9780 ; + RECT 11.5270 1.0280 11.5770 1.1900 ; + RECT 12.3470 0.6270 12.9050 0.6770 ; + RECT 12.8550 0.3980 13.7210 0.4480 ; + RECT 12.8550 1.0670 13.7210 1.1170 ; + RECT 12.8550 0.4480 12.9050 0.6270 ; + RECT 12.8550 0.6770 12.9050 1.0670 ; + RECT 12.9710 0.5140 13.8570 0.5640 ; + RECT 13.1590 0.8670 13.8570 0.9170 ; + RECT 12.9710 0.5640 13.0210 0.6790 ; + RECT 13.8070 0.3830 13.8570 0.5140 ; + RECT 13.8070 0.9170 13.8570 1.2400 ; + RECT 13.1590 0.9170 13.2090 1.0170 ; + RECT 13.1590 0.5640 13.2090 0.8670 ; + RECT 11.4350 0.6130 11.6690 0.6630 ; + RECT 12.0950 0.7670 12.4890 0.8170 ; + RECT 11.9840 0.7080 12.1450 0.7580 ; + RECT 11.7390 0.8670 12.0340 0.9170 ; + RECT 12.0950 0.5270 12.6410 0.5770 ; + RECT 12.4390 0.8170 12.4890 1.1290 ; + RECT 12.0950 0.7580 12.1450 0.7670 ; + RECT 12.0950 0.5770 12.1450 0.7080 ; + RECT 12.5910 0.4030 12.6410 0.5270 ; + RECT 12.2870 0.4030 12.3370 0.5270 ; + RECT 11.9840 0.7580 12.0340 0.8670 ; + RECT 15.6310 1.0650 16.0770 1.1150 ; + RECT 15.6310 0.1260 15.6810 1.0650 ; + RECT 15.9350 0.4940 16.2890 0.5440 ; + RECT 16.2390 0.3480 16.2890 0.4940 ; + RECT 15.9350 0.5440 15.9850 0.7110 ; + RECT 16.3910 0.4620 16.6850 0.5120 ; + RECT 16.0710 0.6350 16.4410 0.6850 ; + RECT 16.0710 0.1320 16.4410 0.1820 ; + RECT 16.3910 0.5120 16.4410 0.6350 ; + RECT 16.3910 0.1820 16.4410 0.4620 ; + RECT 16.6950 0.3620 16.8880 0.4120 ; + RECT 16.6950 0.5890 16.8880 0.6390 ; + RECT 16.8380 0.4620 16.9890 0.5120 ; + RECT 16.8380 0.5120 16.8880 0.5890 ; + RECT 16.8380 0.4120 16.8880 0.4620 ; + RECT 16.6950 0.1260 16.7450 0.3620 ; + RECT 16.6950 0.6390 16.7450 0.7430 ; + RECT 16.9990 0.5620 17.2930 0.6120 ; + RECT 16.9990 0.3620 17.1840 0.4120 ; + RECT 17.1340 0.4120 17.1840 0.5620 ; + RECT 15.8350 0.8290 17.0490 0.8790 ; + RECT 16.9990 0.6120 17.0490 0.8290 ; + RECT 16.9990 0.1260 17.0490 0.3620 ; + RECT 15.8350 0.3700 16.0030 0.4200 ; + RECT 15.8350 0.4200 15.8850 0.8290 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 6.6470 0.9340 7.5490 0.9840 ; + RECT 7.4990 0.6910 7.5490 0.9340 ; + RECT 5.1250 1.4240 6.1250 1.4740 ; + RECT 5.0480 0.6640 6.3490 0.7140 ; + RECT 6.4950 1.3900 7.3410 1.4400 ; + RECT 8.6210 1.0730 9.6200 1.1230 ; + RECT 9.9150 0.6670 11.2130 0.7170 ; + RECT 10.1430 1.1340 11.1370 1.1840 ; + RECT 13.2590 0.6640 13.4930 0.7140 ; + RECT 12.2640 1.1900 12.6600 1.2400 ; + RECT 11.2830 0.8670 11.5370 0.9170 ; + RECT 15.0830 1.2000 16.2290 1.2500 ; + RECT 13.1070 1.5280 17.2950 1.5780 ; + RECT 4.7470 1.5340 11.6690 1.5840 ; + RECT 4.8990 0.0930 11.3650 0.1430 ; + RECT 9.8080 0.7670 10.0970 0.8170 ; + RECT 8.7740 0.5210 9.8580 0.5710 ; + RECT 5.2770 1.1780 9.8170 1.2280 ; + RECT 9.7510 1.0260 10.0970 1.0760 ; + RECT 10.0470 0.8170 10.0970 1.0260 ; + RECT 9.8080 0.5710 9.8580 0.7670 ; + RECT 9.7510 1.0760 9.8010 1.1780 ; + RECT 6.4110 0.6110 7.4130 0.6610 ; + RECT 5.2770 0.5210 6.4610 0.5710 ; + RECT 6.4110 0.6610 6.4610 1.1780 ; + RECT 6.4110 0.5710 6.4610 0.6110 ; + RECT 8.0770 1.4170 12.7330 1.4670 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.8630 0.7220 4.8290 0.7720 ; + RECT 3.7750 0.7720 3.8250 1.1920 ; + RECT 3.7750 0.4130 3.8250 0.7220 ; + RECT 2.8630 0.7720 2.9130 1.1920 ; + RECT 2.8630 0.4130 2.9130 0.7220 ; + RECT 3.1670 0.7720 3.2170 1.1920 ; + RECT 3.1670 0.4130 3.2170 0.7220 ; + RECT 3.4710 0.7720 3.5210 1.1920 ; + RECT 3.4710 0.4130 3.5210 0.7220 ; + RECT 3.9090 0.4550 4.9650 0.5050 ; + RECT 3.9110 1.0400 4.9650 1.0900 ; + RECT 4.9150 0.9140 4.9650 1.0400 ; + RECT 4.9150 0.8640 6.0480 0.9140 ; + RECT 4.9150 0.5050 4.9650 0.8640 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 4.0440 1.1770 5.2060 1.2270 ; + RECT 5.1680 0.7640 6.2000 0.8140 ; + RECT 5.1560 1.0630 5.2060 1.1770 ; + RECT 5.1560 1.0130 6.2000 1.0630 ; + RECT 6.1500 0.8140 6.2000 1.0130 ; + RECT 2.5590 1.2480 4.0940 1.2980 ; + RECT 4.0440 1.2270 4.0940 1.2480 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 1.2980 2.6090 1.5460 ; + RECT 2.5590 0.8280 2.6090 1.2480 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.7780 ; + RECT 8.2430 0.6830 9.6930 0.7330 ; + RECT 9.6270 0.7330 9.6770 0.8670 ; + RECT 9.6370 0.9160 9.9970 0.9170 ; + RECT 9.6270 0.8670 9.9970 0.9160 ; + RECT 7.5370 0.3920 8.3090 0.4420 ; + RECT 8.2590 0.4420 8.3090 0.6830 ; + RECT 6.5110 0.3060 6.5610 0.5110 ; + RECT 6.8150 0.3060 6.8650 0.5110 ; + RECT 7.2710 0.3060 7.3210 0.5110 ; + RECT 7.5370 0.5610 7.5870 0.5710 ; + RECT 6.5110 0.5110 7.5870 0.5610 ; + RECT 7.5370 0.4420 7.5870 0.5110 ; + RECT 5.1250 0.4120 6.1230 0.4620 ; + RECT 8.6210 0.4140 9.6180 0.4640 ; + RECT 11.4150 0.0920 14.1020 0.1420 ; + RECT 11.1830 0.7670 11.3130 0.8170 ; + RECT 11.3750 0.1940 11.4650 0.2440 ; + RECT 10.2760 0.9670 11.2890 1.0170 ; + RECT 11.2230 1.1900 11.4250 1.2400 ; + RECT 11.2230 0.1980 11.2730 0.5130 ; + RECT 10.2760 0.5130 11.4250 0.5630 ; + RECT 11.4150 0.1420 11.4650 0.1940 ; + RECT 11.1830 0.8170 11.2330 0.9670 ; + RECT 11.3750 0.9740 11.4250 1.1900 ; + RECT 11.2230 1.0170 11.2730 1.1900 ; + RECT 11.2630 0.5630 11.3130 0.7670 ; + RECT 11.3750 0.2440 11.4250 0.5130 ; + RECT 7.7070 0.4920 8.0470 0.5420 ; + RECT 7.9970 0.5420 8.0470 1.0600 ; + RECT 6.5160 1.0600 8.1730 1.1100 ; + RECT 6.5160 0.7110 7.2610 0.7610 ; + RECT 6.5160 0.7610 6.5660 1.0600 ; + RECT 10.1240 0.4120 11.1370 0.4620 ; + RECT 14.0190 0.6130 14.5930 0.6630 ; + RECT 14.5430 0.5010 14.8450 0.5510 ; + RECT 14.7950 0.5510 14.8450 0.6790 ; + RECT 14.5430 0.5510 14.5930 0.6130 ; + RECT 13.5630 0.7590 15.1650 0.8090 ; + RECT 13.9190 0.5130 14.4680 0.5630 ; + RECT 14.4150 0.3830 14.4650 0.5130 ; + RECT 14.4150 0.8090 14.4650 1.2400 ; + RECT 14.1110 0.3830 14.1610 0.5130 ; + RECT 14.1110 0.8090 14.1610 1.2400 ; + RECT 13.9190 0.5630 13.9690 0.7590 ; + RECT 14.6430 0.6420 14.6930 0.7590 ; + RECT 11.6790 0.4550 12.0490 0.5050 ; + RECT 11.5270 1.1900 12.0490 1.2400 ; + RECT 11.5270 0.2770 11.7290 0.3270 ; + RECT 11.6390 0.7670 11.7690 0.8170 ; + RECT 11.5270 0.9780 11.6890 1.0280 ; + RECT 11.5270 0.3270 11.5770 0.5560 ; + LAYER NWELL ; + RECT 15.4650 0.4910 17.2870 1.0830 ; + RECT -0.1160 1.5430 18.0310 1.7730 ; + RECT -0.1160 0.7430 15.0030 1.5430 ; + RECT 17.7560 0.6790 18.0310 1.5430 ; + RECT -0.1160 0.6790 2.7320 0.7430 ; + RECT 9.8640 0.6790 15.0030 0.7430 ; + RECT 6.2930 0.7320 7.0700 0.7430 ; + END +END RSDFFARX1_HVT + +MACRO RSDFFARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 18.392 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6970 0.8570 7.8870 0.9670 ; + END + PORT + LAYER CO ; + RECT 7.8070 0.8940 7.8490 0.9360 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.6570 1.3330 0.7070 ; + RECT 1.0090 0.7070 1.1630 0.7080 ; + RECT 1.0090 0.5530 1.1630 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6130 1.1610 0.6550 ; + END + ANTENNAGATEAREA 0.066 ; + END D + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 0.8770 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.1026 ; + END SE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 16.2230 0.9420 17.6900 1.0020 ; + RECT 17.5770 0.6900 17.6900 0.9420 ; + END + PORT + LAYER CO ; + RECT 17.6110 0.8620 17.6530 0.9040 ; + RECT 17.6110 0.7100 17.6530 0.7520 ; + RECT 16.2430 0.9510 16.2850 0.9930 ; + RECT 17.3070 0.9510 17.3490 0.9930 ; + RECT 17.0030 0.9510 17.0450 0.9930 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.5110 1.7890 1.5610 ; + RECT 1.6170 1.5610 1.7270 1.5750 ; + RECT 1.6170 1.4650 1.7270 1.5110 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.5150 1.6170 1.5570 ; + RECT 1.7270 1.5150 1.7690 1.5570 ; + END + ANTENNAGATEAREA 0.066 ; + END SI + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 18.3920 0.0300 ; + RECT 11.8150 0.2830 14.3130 0.3330 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 3.3190 0.0300 3.3690 0.5570 ; + RECT 3.6230 0.0300 3.6730 0.5570 ; + RECT 3.0150 0.0300 3.0650 0.5570 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 2.4070 0.0300 2.4570 0.5750 ; + RECT 2.7110 0.0300 2.7610 0.3990 ; + RECT 2.1030 0.0300 2.1530 0.1980 ; + RECT 14.7190 0.0300 14.7690 0.2410 ; + RECT 17.3030 0.0300 17.3530 0.3120 ; + RECT 16.9990 0.0300 17.0490 0.2020 ; + RECT 15.1750 0.0300 15.2250 0.2410 ; + RECT 16.2390 0.0300 16.2890 0.2060 ; + RECT 14.2630 0.3330 14.3130 0.4430 ; + RECT 14.2480 0.0300 14.2980 0.2830 ; + RECT 6.6630 0.2380 6.7130 0.4570 ; + RECT 6.9670 0.2380 7.0170 0.4570 ; + RECT 7.4230 0.2910 7.4730 0.4570 ; + RECT 7.1190 0.2380 7.1690 0.4570 ; + RECT 7.4230 0.2410 8.4830 0.2910 ; + RECT 10.9190 0.1980 10.9690 0.3070 ; + RECT 8.4330 0.2910 8.4830 0.3070 ; + RECT 8.4330 0.3070 10.9900 0.3570 ; + RECT 4.0640 0.0300 4.1140 0.3070 ; + RECT 6.3590 0.3570 6.4090 0.3620 ; + RECT 4.0630 0.3070 6.4090 0.3570 ; + RECT 6.3590 0.2380 6.4090 0.3070 ; + RECT 7.4230 0.2380 7.4730 0.2410 ; + RECT 6.3590 0.1880 7.4730 0.2380 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.1070 0.1360 2.1490 0.1780 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.4110 0.2260 2.4530 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 2.7150 0.2260 2.7570 0.2680 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 2.4110 0.3180 2.4530 0.3600 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 7.4270 0.3030 7.4690 0.3450 ; + RECT 7.4270 0.3950 7.4690 0.4370 ; + RECT 7.1230 0.3030 7.1650 0.3450 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.4110 0.5020 2.4530 0.5440 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 2.7150 0.3180 2.7570 0.3600 ; + RECT 6.9710 0.3030 7.0130 0.3450 ; + RECT 6.9710 0.2110 7.0130 0.2530 ; + RECT 6.9710 0.3950 7.0130 0.4370 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 12.7470 0.2870 12.7890 0.3290 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.8830 0.2450 7.9250 0.2870 ; + RECT 7.1230 0.2110 7.1650 0.2530 ; + RECT 7.4270 0.2110 7.4690 0.2530 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 15.1790 0.1720 15.2210 0.2140 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 4.0830 0.3110 4.1250 0.3530 ; + RECT 4.3870 0.3110 4.4290 0.3530 ; + RECT 7.1230 0.3950 7.1650 0.4370 ; + RECT 13.9630 0.2870 14.0050 0.3290 ; + RECT 9.4030 0.3120 9.4450 0.3540 ; + RECT 17.0030 0.1360 17.0450 0.1780 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 3.3230 0.4950 3.3650 0.5370 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 16.2430 0.1440 16.2850 0.1860 ; + RECT 3.3230 0.4030 3.3650 0.4450 ; + RECT 8.4910 0.3120 8.5330 0.3540 ; + RECT 3.6270 0.3110 3.6690 0.3530 ; + RECT 3.6270 0.4030 3.6690 0.4450 ; + RECT 3.6270 0.4950 3.6690 0.5370 ; + RECT 8.9470 0.3120 8.9890 0.3540 ; + RECT 4.6910 0.3110 4.7330 0.3530 ; + RECT 6.3630 0.3000 6.4050 0.3420 ; + RECT 18.2950 -0.0210 18.3370 0.0210 ; + RECT 17.3070 0.1440 17.3490 0.1860 ; + RECT 12.1390 0.2870 12.1810 0.3290 ; + RECT 11.8350 0.2870 11.8770 0.3290 ; + RECT 14.7230 0.1720 14.7650 0.2140 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 12.4430 0.2870 12.4850 0.3290 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 6.3630 0.2080 6.4050 0.2500 ; + RECT 10.4670 0.3110 10.5090 0.3530 ; + RECT 4.9950 0.3110 5.0370 0.3530 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 5.4510 0.3110 5.4930 0.3530 ; + RECT 18.1430 -0.0210 18.1850 0.0210 ; + RECT 6.6670 0.3030 6.7090 0.3450 ; + RECT 6.6670 0.3950 6.7090 0.4370 ; + RECT 3.0190 0.4030 3.0610 0.4450 ; + RECT 3.0190 0.4950 3.0610 0.5370 ; + RECT 6.6670 0.2110 6.7090 0.2530 ; + RECT 13.3550 0.2870 13.3970 0.3290 ; + RECT 14.2670 0.3710 14.3090 0.4130 ; + RECT 10.9230 0.3110 10.9650 0.3530 ; + RECT 10.9230 0.2190 10.9650 0.2610 ; + RECT 10.0110 0.3110 10.0530 0.3530 ; + RECT 12.8990 0.2870 12.9410 0.3290 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8330 1.5340 3.7670 1.5840 ; + RECT 2.8330 1.4650 3.0060 1.5340 ; + END + PORT + LAYER CO ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 3.5510 1.5380 3.5930 1.5800 ; + RECT 3.0950 1.5380 3.1370 1.5800 ; + RECT 3.2470 1.5380 3.2890 1.5800 ; + RECT 2.9430 1.5380 2.9850 1.5800 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.8550 0.3010 15.9030 0.3510 ; + RECT 15.7500 0.3510 15.9030 0.3590 ; + RECT 15.7500 0.2360 15.9030 0.3010 ; + RECT 14.8710 0.9690 15.8610 1.0190 ; + RECT 15.8110 0.3590 15.8610 0.9690 ; + RECT 14.8710 1.0190 14.9210 1.2400 ; + RECT 15.3270 1.0190 15.3770 1.2400 ; + END + PORT + LAYER CO ; + RECT 15.3310 0.9890 15.3730 1.0310 ; + RECT 15.3310 0.9890 15.3730 1.0310 ; + RECT 15.3310 1.1730 15.3730 1.2150 ; + RECT 15.3310 1.0810 15.3730 1.1230 ; + RECT 15.3310 0.3050 15.3730 0.3470 ; + RECT 15.3310 1.0810 15.3730 1.1230 ; + RECT 14.8750 1.1730 14.9170 1.2150 ; + RECT 14.8750 0.9890 14.9170 1.0310 ; + RECT 14.8750 0.3050 14.9170 0.3470 ; + RECT 14.8750 1.0810 14.9170 1.1230 ; + RECT 15.3310 1.1730 15.3730 1.2150 ; + RECT 14.8750 0.9890 14.9170 1.0310 ; + RECT 14.8750 1.1730 14.9170 1.2150 ; + RECT 14.8750 1.0810 14.9170 1.1230 ; + END + ANTENNADIFFAREA 0.255 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5670 0.4010 15.7170 0.4020 ; + RECT 14.5670 0.4020 15.7210 0.4510 ; + RECT 14.5670 0.1270 14.6170 0.4010 ; + RECT 14.5670 0.9090 14.6170 1.2320 ; + RECT 15.6710 0.9090 15.7210 0.9100 ; + RECT 14.5670 0.8590 15.7210 0.9090 ; + RECT 15.6710 0.5380 15.7210 0.8590 ; + RECT 15.6010 0.4510 15.7210 0.5380 ; + END + PORT + LAYER CO ; + RECT 15.0270 0.8630 15.0690 0.9050 ; + RECT 15.0270 0.8630 15.0690 0.9050 ; + RECT 15.0270 0.4050 15.0690 0.4470 ; + RECT 14.5710 0.1770 14.6130 0.2190 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 14.5710 1.0630 14.6130 1.1050 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 14.5710 0.8790 14.6130 0.9210 ; + RECT 14.5710 1.1550 14.6130 1.1970 ; + RECT 14.5710 0.2690 14.6130 0.3110 ; + RECT 14.5710 0.9710 14.6130 1.0130 ; + END + ANTENNADIFFAREA 0.255 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 18.3920 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 2.4070 1.2460 2.4570 1.6420 ; + RECT 2.1030 1.2600 2.1530 1.6420 ; + RECT 2.7110 1.3600 2.7610 1.6420 ; + RECT 4.6320 1.4090 4.6820 1.6420 ; + RECT 2.9960 1.3590 4.6820 1.4090 ; + RECT 14.7190 0.9590 14.7690 1.2900 ; + RECT 15.1750 1.1320 15.2250 1.2900 ; + RECT 4.6320 1.2900 15.2250 1.3400 ; + RECT 4.6320 1.3400 4.6820 1.3590 ; + END + PORT + LAYER CO ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.3220 2.4530 1.3640 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 2.4110 1.4140 2.4530 1.4560 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 18.1430 1.6510 18.1850 1.6930 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 7.8830 1.2930 7.9250 1.3350 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 15.1790 1.2550 15.2210 1.2970 ; + RECT 15.1790 1.2550 15.2210 1.2970 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.3830 2.1490 1.4250 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 4.0830 1.3630 4.1250 1.4050 ; + RECT 4.3870 1.3630 4.4290 1.4050 ; + RECT 8.4910 1.2920 8.5330 1.3340 ; + RECT 3.6270 1.3630 3.6690 1.4050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 14.7230 1.0710 14.7650 1.1130 ; + RECT 14.7230 1.2550 14.7650 1.2970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 12.7470 1.2940 12.7890 1.3360 ; + RECT 18.2950 1.6510 18.3370 1.6930 ; + RECT 10.0110 1.2940 10.0530 1.3360 ; + RECT 11.8350 1.2940 11.8770 1.3360 ; + RECT 9.4030 1.2920 9.4450 1.3340 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 8.9470 1.2920 8.9890 1.3340 ; + RECT 14.7230 1.0710 14.7650 1.1130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 12.1390 1.2940 12.1810 1.3360 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 10.9230 1.2940 10.9650 1.3360 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 15.1790 1.1630 15.2210 1.2050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 14.2670 1.2940 14.3090 1.3360 ; + RECT 13.9630 1.2940 14.0050 1.3360 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 14.7230 1.1630 14.7650 1.2050 ; + RECT 14.7230 1.1630 14.7650 1.2050 ; + RECT 6.9710 1.2940 7.0130 1.3360 ; + RECT 14.7230 1.2550 14.7650 1.2970 ; + RECT 14.7230 0.9790 14.7650 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 3.0190 1.3630 3.0610 1.4050 ; + RECT 6.3630 1.2940 6.4050 1.3360 ; + RECT 4.6910 1.2940 4.7330 1.3360 ; + RECT 14.7230 0.9790 14.7650 1.0210 ; + RECT 10.4670 1.2940 10.5090 1.3360 ; + RECT 12.8990 1.2940 12.9410 1.3360 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 13.3550 1.2940 13.3970 1.3360 ; + RECT 15.1790 1.1630 15.2210 1.2050 ; + RECT 3.3230 1.3630 3.3650 1.4050 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.9370 1.4080 16.9300 1.4580 ; + RECT 16.8170 1.3130 16.9300 1.4080 ; + END + PORT + LAYER CO ; + RECT 13.2790 1.4120 13.3210 1.4540 ; + RECT 13.8870 1.4100 13.9290 1.4520 ; + RECT 16.7750 1.4120 16.8170 1.4540 ; + RECT 12.9750 1.4120 13.0170 1.4540 ; + RECT 16.1670 1.4120 16.2090 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.1440 2.3010 0.1860 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.2590 0.2360 2.3010 0.2780 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 2.5630 0.2260 2.6050 0.2680 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 1.8030 0.4660 1.8450 0.5080 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 1.6510 0.2580 1.6930 0.3000 ; + RECT 15.2550 0.6170 15.2970 0.6590 ; + RECT 15.1030 0.6620 15.1450 0.7040 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 7.2750 0.3260 7.3170 0.3680 ; + RECT 7.2750 0.4180 7.3170 0.4600 ; + RECT 9.6310 0.6870 9.6730 0.7290 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 9.5550 0.4180 9.5970 0.4600 ; + RECT 6.2870 0.6640 6.3290 0.7060 ; + RECT 6.2870 1.5380 6.3290 1.5800 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.0590 1.4280 6.1010 1.4700 ; + RECT 5.9830 0.8640 6.0250 0.9060 ; + RECT 12.5950 1.1940 12.6370 1.2360 ; + RECT 12.2910 1.1940 12.3330 1.2360 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.3180 2.6050 0.3600 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.4100 2.6050 0.4520 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 2.1830 0.6060 2.2250 0.6480 ; + RECT 2.0310 0.6060 2.0730 0.6480 ; + RECT 2.6390 0.6620 2.6810 0.7040 ; + RECT 6.5150 1.3930 6.5570 1.4350 ; + RECT 6.8190 1.3940 6.8610 1.4360 ; + RECT 6.8190 0.3260 6.8610 0.3680 ; + RECT 6.8190 0.4180 6.8610 0.4600 ; + RECT 8.2630 0.6870 8.3050 0.7290 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.3470 0.1580 1.3890 0.2000 ; + RECT 1.9550 0.1440 1.9970 0.1860 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 1.9550 0.2360 1.9970 0.2780 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 12.6710 1.4210 12.7130 1.4630 ; + RECT 12.2150 1.4210 12.2570 1.4630 ; + RECT 8.1110 1.4210 8.1530 1.4630 ; + RECT 8.1110 1.0640 8.1530 1.1060 ; + RECT 12.5950 0.4230 12.6370 0.4650 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 7.5030 0.7110 7.5450 0.7530 ; + RECT 7.1990 0.7180 7.2410 0.7600 ; + RECT 9.4790 0.0920 9.5210 0.1340 ; + RECT 7.3510 0.6180 7.3930 0.6600 ; + RECT 7.2750 1.3940 7.3170 1.4360 ; + RECT 7.1230 0.9380 7.1650 0.9800 ; + RECT 7.5030 0.5180 7.5450 0.5600 ; + RECT 6.5910 0.7180 6.6330 0.7600 ; + RECT 6.7430 0.7180 6.7850 0.7600 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.3220 2.6050 1.3640 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.5630 1.4140 2.6050 1.4560 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.1590 0.7180 4.2010 0.7600 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 4.3110 0.7180 4.3530 0.7600 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.4630 0.7180 4.5050 0.7600 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 9.5550 1.0770 9.5970 1.1190 ; + RECT 10.6190 0.4160 10.6610 0.4580 ; + RECT 3.4750 0.4330 3.5170 0.4750 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 11.4550 0.8710 11.4970 0.9130 ; + RECT 8.5670 1.5380 8.6090 1.5800 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 11.3790 0.4810 11.4210 0.5230 ; + RECT 11.5310 0.4810 11.5730 0.5230 ; + RECT 12.3670 0.6310 12.4090 0.6730 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 5.0710 0.8640 5.1130 0.9060 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 13.5830 0.7630 13.6250 0.8050 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 10.7710 0.9710 10.8130 1.0130 ; + RECT 5.2990 1.1820 5.3410 1.2240 ; + RECT 4.7670 1.5380 4.8090 1.5800 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 13.4310 0.6680 13.4730 0.7100 ; + RECT 14.6470 0.6620 14.6890 0.7040 ; + RECT 10.3150 0.9710 10.3570 1.0130 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.3790 0.3890 11.4210 0.4310 ; + RECT 10.1630 0.4160 10.2050 0.4580 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 16.8510 0.6230 16.8930 0.6650 ; + RECT 14.3430 0.6170 14.3850 0.6590 ; + RECT 3.1710 0.4330 3.2130 0.4750 ; + RECT 11.5310 0.9940 11.5730 1.0360 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 17.1550 0.7130 17.1970 0.7550 ; + RECT 14.1910 0.6170 14.2330 0.6590 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 5.6790 0.7640 5.7210 0.8060 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 8.5670 0.0920 8.6090 0.1340 ; + RECT 9.1750 0.6870 9.2170 0.7290 ; + RECT 14.1150 0.5010 14.1570 0.5430 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 17.4590 0.6800 17.5010 0.7220 ; + RECT 9.0990 1.0770 9.1410 1.1190 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 8.7190 0.6870 8.7610 0.7290 ; + RECT 6.8950 0.6180 6.9370 0.6600 ; + RECT 17.4590 0.3290 17.5010 0.3710 ; + RECT 14.4190 1.0640 14.4610 1.1060 ; + RECT 14.4190 0.9720 14.4610 1.0140 ; + RECT 14.1150 0.4090 14.1570 0.4510 ; + RECT 14.4190 0.9720 14.4610 1.0140 ; + RECT 14.4190 1.1560 14.4610 1.1980 ; + RECT 14.4190 1.1560 14.4610 1.1980 ; + RECT 17.0790 0.4660 17.1210 0.5080 ; + RECT 10.0870 0.0920 10.1290 0.1340 ; + RECT 9.7070 0.5250 9.7490 0.5670 ; + RECT 10.2390 0.6710 10.2810 0.7130 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 11.5310 1.1780 11.5730 1.2200 ; + RECT 14.7990 0.6170 14.8410 0.6590 ; + RECT 11.3790 1.0860 11.4210 1.1280 ; + RECT 11.2270 1.0860 11.2690 1.1280 ; + RECT 5.2230 0.7640 5.2650 0.8060 ; + RECT 4.9190 0.7200 4.9610 0.7620 ; + RECT 8.6430 0.4180 8.6850 0.4600 ; + RECT 6.1350 0.7640 6.1770 0.8060 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 0.4330 3.8210 0.4750 ; + RECT 11.9110 0.8710 11.9530 0.9130 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 5.9830 0.6640 6.0250 0.7060 ; + RECT 4.2350 1.0440 4.2770 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 4.5390 1.0440 4.5810 1.0860 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 3.9310 0.4590 3.9730 0.5010 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 4.5390 0.4590 4.5810 0.5010 ; + RECT 3.9310 1.0440 3.9730 1.0860 ; + RECT 4.0070 0.7180 4.0490 0.7600 ; + RECT 4.2350 0.4590 4.2770 0.5010 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 10.5430 0.0920 10.5850 0.1340 ; + RECT 9.0230 0.0920 9.0650 0.1340 ; + RECT 9.2510 0.5250 9.2930 0.5670 ; + RECT 9.9350 0.6710 9.9770 0.7130 ; + RECT 5.5270 0.8640 5.5690 0.9060 ; + RECT 16.3950 0.6330 16.4370 0.6750 ; + RECT 11.6830 0.3890 11.7250 0.4310 ; + RECT 11.6830 1.1940 11.7250 1.2360 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 12.2910 0.4230 12.3330 0.4650 ; + RECT 6.0590 0.4160 6.1010 0.4580 ; + RECT 10.3150 0.5170 10.3570 0.5590 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 5.1470 1.4280 5.1890 1.4700 ; + RECT 10.0870 1.5380 10.1290 1.5800 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 14.1150 0.9720 14.1570 1.0140 ; + RECT 13.2790 0.6680 13.3210 0.7100 ; + RECT 13.4310 0.8710 13.4730 0.9130 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 16.3950 0.3740 16.4370 0.4160 ; + RECT 4.8430 0.4590 4.8850 0.5010 ; + RECT 5.5270 0.6640 5.5690 0.7060 ; + RECT 2.8670 0.4330 2.9090 0.4750 ; + RECT 12.5190 0.6310 12.5610 0.6730 ; + RECT 14.1150 1.1560 14.1570 1.1980 ; + RECT 16.0910 0.6650 16.1330 0.7070 ; + RECT 17.6870 1.5320 17.7290 1.5740 ; + RECT 17.3830 0.4660 17.4250 0.5080 ; + RECT 9.9350 0.8710 9.9770 0.9130 ; + RECT 16.5470 0.1360 16.5890 0.1780 ; + RECT 16.0910 0.3740 16.1330 0.4160 ; + RECT 16.6990 0.8410 16.7410 0.8830 ; + RECT 15.5590 1.2040 15.6010 1.2460 ; + RECT 16.5470 0.6390 16.5890 0.6810 ; + RECT 10.9990 0.0920 11.0410 0.1340 ; + RECT 17.1550 0.3500 17.1970 0.3920 ; + RECT 14.0390 0.0980 14.0810 0.1400 ; + RECT 10.1630 1.1380 10.2050 1.1800 ; + RECT 13.6590 0.4020 13.7010 0.4440 ; + RECT 11.1510 0.6710 11.1930 0.7130 ; + RECT 11.3790 0.9940 11.4210 1.0360 ; + RECT 10.5430 1.5380 10.5850 1.5800 ; + RECT 5.6030 1.4280 5.6450 1.4700 ; + RECT 13.8110 1.0640 13.8530 1.1060 ; + RECT 11.2270 1.1780 11.2690 1.2200 ; + RECT 11.9870 1.1940 12.0290 1.2360 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 15.5590 0.7630 15.6010 0.8050 ; + RECT 10.9990 1.5380 11.0410 1.5800 ; + RECT 4.7670 0.7180 4.8090 0.7600 ; + RECT 13.2030 0.4020 13.2450 0.4440 ; + RECT 11.9870 0.4590 12.0290 0.5010 ; + RECT 16.6990 0.3680 16.7410 0.4100 ; + RECT 4.6150 0.7180 4.6570 0.7600 ; + RECT 6.4390 0.6180 6.4810 0.6600 ; + RECT 13.8110 0.4880 13.8530 0.5300 ; + RECT 11.2270 0.3890 11.2690 0.4310 ; + RECT 14.1150 1.0640 14.1570 1.1060 ; + RECT 10.6190 1.1380 10.6610 1.1800 ; + RECT 5.0710 0.6640 5.1130 0.7060 ; + RECT 6.5150 0.3260 6.5570 0.3680 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 6.6670 0.9380 6.7090 0.9800 ; + RECT 17.6870 0.5660 17.7290 0.6080 ; + RECT 11.6830 0.2970 11.7250 0.3390 ; + RECT 4.9190 0.0920 4.9610 0.1340 ; + RECT 12.9750 0.6170 13.0170 0.6590 ; + RECT 4.8430 1.0440 4.8850 1.0860 ; + RECT 8.7950 0.5250 8.8370 0.5670 ; + RECT 11.0750 1.1380 11.1170 1.1800 ; + RECT 14.4190 0.5010 14.4610 0.5430 ; + RECT 8.6430 1.0770 8.6850 1.1190 ; + RECT 13.1270 1.5320 13.1690 1.5740 ; + RECT 13.2030 1.0710 13.2450 1.1130 ; + RECT 16.6230 0.4980 16.6650 0.5400 ; + RECT 5.7550 1.1820 5.7970 1.2240 ; + RECT 11.3030 0.8710 11.3450 0.9130 ; + RECT 16.4710 1.0610 16.5130 1.1030 ; + RECT 14.4190 0.4090 14.4610 0.4510 ; + RECT 9.0990 0.4180 9.1410 0.4600 ; + RECT 5.6030 0.4160 5.6450 0.4580 ; + RECT 11.2270 0.2970 11.2690 0.3390 ; + RECT 11.5310 0.3890 11.5730 0.4310 ; + RECT 10.6950 0.6710 10.7370 0.7130 ; + RECT 13.6590 1.0710 13.7010 1.1130 ; + RECT 11.2270 0.4810 11.2690 0.5230 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 12.4430 1.0670 12.4850 1.1090 ; + RECT 16.6230 1.2040 16.6650 1.2460 ; + RECT 11.5310 1.0860 11.5730 1.1280 ; + RECT 16.8510 0.1750 16.8930 0.2170 ; + RECT 7.7310 0.4960 7.7730 0.5380 ; + RECT 3.7790 0.5250 3.8210 0.5670 ; + RECT 11.0750 0.4160 11.1170 0.4580 ; + RECT 11.6070 1.5380 11.6490 1.5800 ; + RECT 9.4790 1.5380 9.5210 1.5800 ; + RECT 10.7710 0.5170 10.8130 0.5590 ; + RECT 14.0390 0.6170 14.0810 0.6590 ; + RECT 5.1470 0.4160 5.1890 0.4580 ; + RECT 11.3030 0.0920 11.3450 0.1340 ; + RECT 11.3790 1.1780 11.4210 1.2200 ; + LAYER PO ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 5.0770 0.8560 5.1070 1.6060 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.0930 0.0660 10.1230 0.6370 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 12.9810 0.8590 13.0110 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 15.5650 0.0670 15.5950 1.6050 ; + RECT 9.0290 0.8430 9.0590 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.7380 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.0930 0.8870 10.1230 1.6060 ; + RECT 8.5730 0.8430 8.6030 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 9.4850 0.0660 9.5150 0.7380 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 9.4850 0.8460 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.7350 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.7380 ; + RECT 5.9890 0.0660 6.0190 0.7350 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.7350 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 18.3010 0.0660 18.3310 1.6060 ; + RECT 10.5490 0.8870 10.5790 1.6060 ; + RECT 11.0050 0.8870 11.0350 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 18.1490 0.0660 18.1790 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 12.9810 0.0660 13.0110 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 17.9970 0.0660 18.0270 1.6060 ; + RECT 5.9890 0.8560 6.0190 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6370 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 13.4370 0.0660 13.4670 0.7200 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6910 ; + RECT 11.0050 0.0660 11.0350 0.6370 ; + RECT 5.5330 0.8560 5.5630 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 13.4370 0.8610 13.4670 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 11.4610 0.8390 11.4910 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + LAYER M1 ; + RECT 14.4150 0.8090 14.4650 1.2400 ; + RECT 14.1110 0.3830 14.1610 0.5130 ; + RECT 14.1110 0.8090 14.1610 1.2400 ; + RECT 13.9190 0.5630 13.9690 0.7590 ; + RECT 14.6430 0.6420 14.6930 0.7590 ; + RECT 15.0990 0.6420 15.1490 0.7590 ; + RECT 11.6790 0.4550 12.0490 0.5050 ; + RECT 11.5270 1.1900 12.0490 1.2400 ; + RECT 11.5270 0.2770 11.7290 0.3270 ; + RECT 11.6390 0.7670 11.7690 0.8170 ; + RECT 11.5270 0.9780 11.6890 1.0280 ; + RECT 11.5270 0.3270 11.5770 0.5560 ; + RECT 11.6790 0.3270 11.7290 0.4550 ; + RECT 11.7190 0.5050 11.7690 0.7670 ; + RECT 11.5270 0.9740 11.5770 0.9780 ; + RECT 11.6390 0.8170 11.6890 0.9780 ; + RECT 11.5270 1.0280 11.5770 1.1900 ; + RECT 12.3470 0.6270 12.9050 0.6770 ; + RECT 12.8550 0.3980 13.7210 0.4480 ; + RECT 12.8550 1.0670 13.7210 1.1170 ; + RECT 12.8550 0.4480 12.9050 0.6270 ; + RECT 12.8550 0.6770 12.9050 1.0670 ; + RECT 12.9710 0.5140 13.8570 0.5640 ; + RECT 13.1590 0.8670 13.8570 0.9170 ; + RECT 12.9710 0.5640 13.0210 0.6790 ; + RECT 13.8070 0.3830 13.8570 0.5140 ; + RECT 13.8070 0.9170 13.8570 1.2400 ; + RECT 13.1590 0.9170 13.2090 1.0170 ; + RECT 13.1590 0.5640 13.2090 0.8670 ; + RECT 11.4350 0.6130 11.6690 0.6630 ; + RECT 16.0870 1.0570 16.5330 1.1070 ; + RECT 16.0870 0.1260 16.1370 1.0570 ; + RECT 16.8470 0.4620 17.1410 0.5120 ; + RECT 16.5270 0.1320 16.8970 0.1820 ; + RECT 16.5270 0.6350 16.8970 0.6850 ; + RECT 16.8470 0.1820 16.8970 0.4620 ; + RECT 16.8470 0.5120 16.8970 0.6350 ; + RECT 17.1510 0.5890 17.3440 0.6390 ; + RECT 17.1510 0.3620 17.3440 0.4120 ; + RECT 17.2940 0.4620 17.4450 0.5120 ; + RECT 17.2940 0.5120 17.3440 0.5890 ; + RECT 17.2940 0.4120 17.3440 0.4620 ; + RECT 17.1510 0.6390 17.2010 0.7870 ; + RECT 17.1510 0.1260 17.2010 0.3620 ; + RECT 16.3910 0.4940 16.7450 0.5440 ; + RECT 16.6950 0.3480 16.7450 0.4940 ; + RECT 16.3910 0.5440 16.4410 0.7870 ; + RECT 17.4550 0.5620 17.7490 0.6120 ; + RECT 17.4550 0.3620 17.6400 0.4120 ; + RECT 17.5900 0.4120 17.6400 0.5620 ; + RECT 16.2910 0.8370 17.5050 0.8870 ; + RECT 17.4550 0.6120 17.5050 0.8370 ; + RECT 17.4550 0.1260 17.5050 0.3620 ; + RECT 16.2910 0.3700 16.4590 0.4200 ; + RECT 16.2910 0.4200 16.3410 0.8370 ; + RECT 1.0390 1.4710 1.3930 1.5210 ; + RECT 1.3430 1.0830 1.3930 1.4710 ; + RECT 1.0390 0.9910 1.0890 1.4710 ; + RECT 0.7350 0.9410 1.0890 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.9510 1.0630 2.3050 1.1130 ; + RECT 2.2550 1.1130 2.3050 1.4540 ; + RECT 1.4790 1.2520 2.0170 1.3020 ; + RECT 1.7990 1.0410 1.8490 1.2520 ; + RECT 1.4950 1.0410 1.5450 1.2520 ; + RECT 1.9510 1.3020 2.0010 1.4540 ; + RECT 1.9510 1.1130 2.0010 1.2520 ; + RECT 6.6470 0.9340 7.5490 0.9840 ; + RECT 7.4990 0.6910 7.5490 0.9340 ; + RECT 5.1250 1.4240 6.1250 1.4740 ; + RECT 6.4950 1.3900 7.3410 1.4400 ; + RECT 5.0480 0.6600 6.3490 0.7100 ; + RECT 8.6210 1.0730 9.6200 1.1230 ; + RECT 9.9150 0.6670 11.2130 0.7170 ; + RECT 10.1430 1.1340 11.1370 1.1840 ; + RECT 11.2830 0.8670 11.5370 0.9170 ; + RECT 13.2590 0.6640 13.4930 0.7140 ; + RECT 12.2640 1.1900 12.6600 1.2400 ; + RECT 15.5390 1.2000 16.6850 1.2500 ; + RECT 13.1070 1.5280 17.7510 1.5780 ; + RECT 4.7470 1.5340 11.6690 1.5840 ; + RECT 4.8990 0.0880 11.3650 0.1380 ; + RECT 9.8080 0.7670 10.0970 0.8170 ; + RECT 8.7740 0.5210 9.8580 0.5710 ; + RECT 5.2770 1.1780 9.8170 1.2280 ; + RECT 9.7510 1.0260 10.0970 1.0760 ; + RECT 10.0470 0.8170 10.0970 1.0260 ; + RECT 9.8080 0.5710 9.8580 0.7670 ; + RECT 9.7510 1.0760 9.8010 1.1780 ; + RECT 6.4110 0.6140 7.4130 0.6640 ; + RECT 5.2770 0.5210 6.4610 0.5710 ; + RECT 6.4110 0.6640 6.4610 1.1780 ; + RECT 6.4110 0.5710 6.4610 0.6140 ; + RECT 8.0770 1.4170 12.7330 1.4670 ; + RECT 1.9080 0.6020 2.2450 0.6520 ; + RECT 0.2050 0.8410 1.9580 0.8910 ; + RECT 1.9080 0.6520 1.9580 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 1.7510 0.4620 1.8650 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 1.8010 0.4040 ; + RECT 1.7510 0.4040 1.8010 0.4620 ; + RECT 3.9090 0.4550 4.9650 0.5050 ; + RECT 3.9110 1.0400 4.9650 1.0900 ; + RECT 4.9150 0.9100 4.9650 1.0400 ; + RECT 4.9150 0.8600 6.0480 0.9100 ; + RECT 4.9150 0.5050 4.9650 0.8600 ; + RECT 2.8630 0.7140 4.8290 0.7640 ; + RECT 3.7750 0.7640 3.8250 1.1920 ; + RECT 3.7750 0.4130 3.8250 0.7140 ; + RECT 2.8630 0.7640 2.9130 1.1920 ; + RECT 2.8630 0.4130 2.9130 0.7140 ; + RECT 3.1670 0.7640 3.2170 1.1920 ; + RECT 3.1670 0.4130 3.2170 0.7140 ; + RECT 3.4710 0.7640 3.5210 1.1920 ; + RECT 3.4710 0.4130 3.5210 0.7140 ; + RECT 1.9510 0.2480 2.3050 0.2980 ; + RECT 2.2550 0.1240 2.3050 0.2480 ; + RECT 1.0230 0.1540 2.0010 0.2040 ; + RECT 1.9510 0.1240 2.0010 0.1540 ; + RECT 1.9510 0.2040 2.0010 0.2480 ; + RECT 2.2990 0.6580 2.7020 0.7020 ; + RECT 2.2620 0.7020 2.7020 0.7080 ; + RECT 1.1750 0.2540 1.9010 0.3040 ; + RECT 1.8510 0.3040 1.9010 0.3480 ; + RECT 1.1910 0.9910 1.2410 1.3640 ; + RECT 1.6470 0.9910 1.6970 1.2020 ; + RECT 1.8510 0.3480 2.3490 0.3980 ; + RECT 1.1910 0.9410 2.3120 0.9910 ; + RECT 2.2620 0.7080 2.3490 0.7520 ; + RECT 2.2620 0.7520 2.3120 0.9410 ; + RECT 2.2990 0.3980 2.3490 0.6580 ; + RECT 4.0440 1.1770 5.2060 1.2270 ; + RECT 5.1680 0.7600 6.2000 0.8100 ; + RECT 5.1560 1.0340 5.2060 1.1770 ; + RECT 5.1560 0.9840 6.2000 1.0340 ; + RECT 6.1500 0.8100 6.2000 0.9840 ; + RECT 2.5590 1.2480 4.0940 1.2980 ; + RECT 4.0440 1.2270 4.0940 1.2480 ; + RECT 2.5590 0.1920 2.6090 0.5250 ; + RECT 2.5590 1.2980 2.6090 1.5460 ; + RECT 2.5590 0.8280 2.6090 1.2480 ; + RECT 2.5590 0.7780 2.8020 0.8280 ; + RECT 2.5590 0.5250 2.8020 0.5750 ; + RECT 2.7520 0.5750 2.8020 0.7780 ; + RECT 8.2430 0.6830 9.6930 0.7330 ; + RECT 9.6270 0.7330 9.6770 0.8670 ; + RECT 9.6370 0.9160 9.9970 0.9170 ; + RECT 9.6270 0.8670 9.9970 0.9160 ; + RECT 7.5370 0.3920 8.3090 0.4420 ; + RECT 8.2590 0.4420 8.3090 0.6830 ; + RECT 6.5110 0.3060 6.5610 0.5140 ; + RECT 6.8150 0.3060 6.8650 0.5140 ; + RECT 7.2710 0.3060 7.3210 0.5140 ; + RECT 6.5110 0.5140 7.5870 0.5640 ; + RECT 7.5370 0.4420 7.5870 0.5140 ; + RECT 5.1250 0.4120 6.1230 0.4620 ; + RECT 7.7070 0.4920 8.0470 0.5420 ; + RECT 7.9970 0.5420 8.0470 1.0600 ; + RECT 6.5160 1.0600 8.1730 1.1100 ; + RECT 6.5160 0.7140 7.2610 0.7640 ; + RECT 6.5160 0.7640 6.5660 1.0600 ; + RECT 11.4150 0.0920 14.1020 0.1420 ; + RECT 11.3750 0.1940 11.4650 0.2440 ; + RECT 11.1830 0.7670 11.3130 0.8170 ; + RECT 10.2760 0.9670 11.2890 1.0170 ; + RECT 11.2230 1.1900 11.4250 1.2400 ; + RECT 11.2230 0.1980 11.2730 0.5130 ; + RECT 10.2760 0.5130 11.4250 0.5630 ; + RECT 11.4150 0.1420 11.4650 0.1940 ; + RECT 11.1830 0.8170 11.2330 0.9670 ; + RECT 11.3750 0.9740 11.4250 1.1900 ; + RECT 11.2230 1.0170 11.2730 1.1900 ; + RECT 11.3750 0.2440 11.4250 0.5130 ; + RECT 11.2630 0.5630 11.3130 0.7670 ; + RECT 8.6210 0.4140 9.6180 0.4640 ; + RECT 10.1240 0.4120 11.1370 0.4620 ; + RECT 14.5430 0.5010 15.3010 0.5510 ; + RECT 14.0190 0.6130 14.5930 0.6630 ; + RECT 14.7950 0.5510 14.8450 0.6790 ; + RECT 15.2510 0.5510 15.3010 0.6790 ; + RECT 14.5430 0.5510 14.5930 0.6130 ; + RECT 12.0950 0.7670 12.4890 0.8170 ; + RECT 11.9840 0.7080 12.1450 0.7580 ; + RECT 11.7390 0.8670 12.0340 0.9170 ; + RECT 12.0950 0.5270 12.6410 0.5770 ; + RECT 12.4390 0.8170 12.4890 1.1290 ; + RECT 12.0950 0.7580 12.1450 0.7670 ; + RECT 12.0950 0.5770 12.1450 0.7080 ; + RECT 12.2870 0.4030 12.3370 0.5270 ; + RECT 12.5910 0.4030 12.6410 0.5270 ; + RECT 11.9840 0.7580 12.0340 0.8670 ; + RECT 13.5630 0.7590 15.6210 0.8090 ; + RECT 13.9190 0.5130 14.4680 0.5630 ; + RECT 14.4150 0.3830 14.4650 0.5130 ; + LAYER NWELL ; + RECT -0.1160 1.5430 18.5020 1.7730 ; + RECT -0.1160 0.7360 15.4590 1.5430 ; + RECT 18.2270 0.6790 18.5020 1.5430 ; + RECT -0.1160 0.7310 3.8860 0.7360 ; + RECT 4.9480 0.7310 15.4590 0.7360 ; + RECT -0.1160 0.6790 2.7320 0.7310 ; + RECT 9.8640 0.6790 15.4590 0.7310 ; + RECT 15.9210 0.4910 17.7670 1.0830 ; + END +END RSDFFARX2_HVT + +MACRO RSDFFNARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 22.952 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4650 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5400 2.8330 1.5820 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4980 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9520 0.8550 12.1430 1.0050 ; + END + PORT + LAYER CO ; + RECT 12.0630 0.9320 12.1050 0.9740 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 20.7830 0.9470 22.2500 1.0070 ; + RECT 22.1370 0.6900 22.2500 0.9470 ; + END + PORT + LAYER CO ; + RECT 22.1710 0.8620 22.2130 0.9040 ; + RECT 22.1710 0.7100 22.2130 0.7520 ; + RECT 20.8030 0.9570 20.8450 0.9990 ; + RECT 21.8670 0.9570 21.9090 0.9990 ; + RECT 21.5630 0.9570 21.6050 0.9990 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 22.9520 0.0300 ; + RECT 5.9040 0.0300 5.9540 0.5570 ; + RECT 6.2080 0.0300 6.2580 0.5570 ; + RECT 5.6000 0.0300 5.6500 0.5570 ; + RECT 4.8390 0.0300 4.8890 0.5730 ; + RECT 0.4310 0.0300 0.4810 0.4980 ; + RECT 0.5830 0.0300 0.6330 0.3420 ; + RECT 1.4950 0.0300 1.5450 0.2610 ; + RECT 1.1910 0.0300 1.2410 0.2520 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.1430 0.0300 5.1930 0.3960 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 21.8640 0.0300 21.9140 0.3120 ; + RECT 19.7360 0.0300 19.7860 0.2410 ; + RECT 21.5600 0.0300 21.6100 0.2020 ; + RECT 20.8000 0.0300 20.8500 0.2060 ; + RECT 16.8310 0.2420 19.3300 0.2920 ; + RECT 19.2800 0.0300 19.3300 0.2420 ; + RECT 10.6150 0.2380 10.6650 0.4570 ; + RECT 10.9190 0.2380 10.9690 0.4570 ; + RECT 11.3750 0.2420 11.4250 0.4570 ; + RECT 11.0710 0.2380 11.1210 0.4570 ; + RECT 11.6790 0.2420 11.7290 0.4570 ; + RECT 11.6790 0.1880 11.7290 0.1920 ; + RECT 11.3750 0.2380 12.5870 0.2420 ; + RECT 15.9350 0.1980 15.9850 0.3070 ; + RECT 12.5370 0.2420 12.5870 0.3070 ; + RECT 12.5370 0.3070 16.0060 0.3570 ; + RECT 6.6640 0.2740 6.7140 0.3340 ; + RECT 6.6640 0.0300 6.7140 0.2240 ; + RECT 10.3110 0.2740 10.3610 0.3660 ; + RECT 6.6470 0.2380 10.3610 0.2740 ; + RECT 19.2790 0.2920 19.3300 0.3330 ; + RECT 19.2790 0.3330 19.3290 0.4430 ; + RECT 10.3110 0.1880 11.4250 0.1920 ; + RECT 6.6470 0.2240 12.5870 0.2380 ; + RECT 10.3110 0.1920 12.5870 0.2240 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 7.5790 0.2280 7.6210 0.2700 ; + RECT 20.1190 -0.0210 20.1610 0.0210 ; + RECT 20.2710 -0.0210 20.3130 0.0210 ; + RECT 20.4230 -0.0210 20.4650 0.0210 ; + RECT 20.5750 -0.0210 20.6170 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 13.0510 0.3120 13.0930 0.3540 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.8590 0.2280 9.9010 0.2700 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 22.8550 -0.0210 22.8970 0.0210 ; + RECT 9.4030 0.2280 9.4450 0.2700 ; + RECT 11.6830 0.2110 11.7250 0.2530 ; + RECT 11.6830 0.3030 11.7250 0.3450 ; + RECT 11.6830 0.3950 11.7250 0.4370 ; + RECT 12.5950 0.3120 12.6370 0.3540 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 20.7270 -0.0210 20.7690 0.0210 ; + RECT 20.8790 -0.0210 20.9210 0.0210 ; + RECT 21.0310 -0.0210 21.0730 0.0210 ; + RECT 21.1830 -0.0210 21.2250 0.0210 ; + RECT 21.3350 -0.0210 21.3770 0.0210 ; + RECT 21.4870 -0.0210 21.5290 0.0210 ; + RECT 21.6390 -0.0210 21.6810 0.0210 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 10.9230 0.3030 10.9650 0.3450 ; + RECT 22.0950 -0.0210 22.1370 0.0210 ; + RECT 22.2470 -0.0210 22.2890 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.9230 0.2110 10.9650 0.2530 ; + RECT 10.9230 0.3950 10.9650 0.4370 ; + RECT 12.1390 0.1960 12.1810 0.2380 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 21.7910 -0.0210 21.8330 0.0210 ; + RECT 11.0750 0.2110 11.1170 0.2530 ; + RECT 11.3790 0.2110 11.4210 0.2530 ; + RECT 11.3790 0.3030 11.4210 0.3450 ; + RECT 11.3790 0.3950 11.4210 0.4370 ; + RECT 11.0750 0.3030 11.1170 0.3450 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 18.1430 -0.0210 18.1850 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 17.7630 0.2460 17.8050 0.2880 ; + RECT 21.9430 -0.0210 21.9850 0.0210 ; + RECT 18.2950 -0.0210 18.3370 0.0210 ; + RECT 18.4470 -0.0210 18.4890 0.0210 ; + RECT 18.5990 -0.0210 18.6410 0.0210 ; + RECT 18.7510 -0.0210 18.7930 0.0210 ; + RECT 18.9030 -0.0210 18.9450 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 19.0550 -0.0210 19.0970 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 17.4590 0.2460 17.5010 0.2880 ; + RECT 6.6670 0.2280 6.7090 0.2700 ; + RECT 6.9710 0.2280 7.0130 0.2700 ; + RECT 22.3990 -0.0210 22.4410 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 16.8510 0.2460 16.8930 0.2880 ; + RECT 19.7390 0.1720 19.7810 0.2140 ; + RECT 19.8150 -0.0210 19.8570 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.0750 0.3950 11.1170 0.4370 ; + RECT 18.9790 0.2460 19.0210 0.2880 ; + RECT 14.4190 0.3120 14.4610 0.3540 ; + RECT 21.5630 0.1360 21.6050 0.1780 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 13.5070 0.3120 13.5490 0.3540 ; + RECT 6.2110 0.3110 6.2530 0.3530 ; + RECT 6.2110 0.4030 6.2530 0.4450 ; + RECT 6.2110 0.4950 6.2530 0.5370 ; + RECT 13.9630 0.3120 14.0050 0.3540 ; + RECT 7.2750 0.2280 7.3170 0.2700 ; + RECT 22.5510 -0.0210 22.5930 0.0210 ; + RECT 22.7030 -0.0210 22.7450 0.0210 ; + RECT 19.2070 -0.0210 19.2490 0.0210 ; + RECT 10.3150 0.3040 10.3570 0.3460 ; + RECT 19.3590 -0.0210 19.4010 0.0210 ; + RECT 19.5110 -0.0210 19.5530 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 19.6630 -0.0210 19.7050 0.0210 ; + RECT 10.6190 0.3950 10.6610 0.4370 ; + RECT 5.6030 0.4030 5.6450 0.4450 ; + RECT 5.6030 0.4950 5.6450 0.5370 ; + RECT 10.6190 0.2110 10.6610 0.2530 ; + RECT 18.3710 0.2460 18.4130 0.2880 ; + RECT 19.2830 0.3710 19.3250 0.4130 ; + RECT 15.9390 0.3110 15.9810 0.3530 ; + RECT 15.9390 0.2190 15.9810 0.2610 ; + RECT 15.0270 0.3110 15.0690 0.3530 ; + RECT 8.9470 0.2280 8.9890 0.2700 ; + RECT 20.8030 0.1440 20.8450 0.1860 ; + RECT 10.3150 0.2120 10.3570 0.2540 ; + RECT 15.4830 0.3110 15.5250 0.3530 ; + RECT 21.8670 0.1440 21.9090 0.1860 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 17.1550 0.2460 17.1970 0.2880 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 19.9670 -0.0210 20.0090 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 17.9150 0.2460 17.9570 0.2880 ; + RECT 8.0350 0.2280 8.0770 0.2700 ; + RECT 5.6030 0.3110 5.6450 0.3530 ; + RECT 8.4910 0.2280 8.5330 0.2700 ; + RECT 10.6190 0.3030 10.6610 0.3450 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4150 1.5340 6.3510 1.5840 ; + RECT 5.4150 1.4650 5.5900 1.5340 ; + END + PORT + LAYER CO ; + RECT 6.2870 1.5400 6.3290 1.5820 ; + RECT 6.1350 1.5400 6.1770 1.5820 ; + RECT 5.5270 1.5400 5.5690 1.5820 ; + RECT 5.9830 1.5400 6.0250 1.5820 ; + RECT 5.6790 1.5400 5.7210 1.5820 ; + RECT 5.8310 1.5400 5.8730 1.5820 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 19.8870 0.9690 20.4210 1.0190 ; + RECT 19.8710 0.3010 20.4570 0.3510 ; + RECT 20.3130 0.3510 20.4570 0.3590 ; + RECT 20.3130 0.2390 20.4570 0.3010 ; + RECT 19.8870 1.0190 19.9370 1.2400 ; + RECT 20.3710 0.3590 20.4210 0.9690 ; + END + PORT + LAYER CO ; + RECT 19.8910 1.1730 19.9330 1.2150 ; + RECT 19.8910 0.9890 19.9330 1.0310 ; + RECT 19.8910 1.0810 19.9330 1.1230 ; + RECT 19.8910 0.9890 19.9330 1.0310 ; + RECT 19.8910 1.1730 19.9330 1.2150 ; + RECT 19.8910 1.0810 19.9330 1.1230 ; + RECT 19.8910 0.3050 19.9330 0.3470 ; + END + ANTENNADIFFAREA 0.1275 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 19.5830 0.4010 20.2830 0.4510 ; + RECT 19.5830 0.8590 20.2830 0.9090 ; + RECT 20.1610 0.4510 20.2830 0.5380 ; + RECT 19.5830 0.1270 19.6330 0.4010 ; + RECT 19.5830 0.9090 19.6330 1.2320 ; + RECT 20.2330 0.5380 20.2830 0.8590 ; + END + PORT + LAYER CO ; + RECT 19.5870 0.9710 19.6290 1.0130 ; + RECT 19.5870 1.0630 19.6290 1.1050 ; + RECT 19.5870 1.0630 19.6290 1.1050 ; + RECT 19.5870 0.9710 19.6290 1.0130 ; + RECT 19.5870 0.1770 19.6290 0.2190 ; + RECT 19.5870 0.8790 19.6290 0.9210 ; + RECT 19.5870 1.1550 19.6290 1.1970 ; + RECT 19.5870 0.8790 19.6290 0.9210 ; + RECT 19.5870 1.1550 19.6290 1.1970 ; + RECT 19.5870 0.2690 19.6290 0.3110 ; + END + ANTENNADIFFAREA 0.1275 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 22.9520 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 3.9270 1.2610 3.9770 1.6420 ; + RECT 4.2310 1.2610 4.2810 1.6420 ; + RECT 4.5350 1.2610 4.5850 1.6420 ; + RECT 5.1430 1.3610 5.1930 1.6420 ; + RECT 7.2330 1.4090 7.2830 1.6420 ; + RECT 5.5800 1.3590 7.2830 1.4090 ; + RECT 7.2330 1.2900 19.7850 1.3400 ; + RECT 19.7350 0.9590 19.7850 1.2900 ; + RECT 1.1760 1.1730 1.2410 1.6420 ; + RECT 7.2330 1.3400 7.2830 1.3590 ; + END + PORT + LAYER CO ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 13.0510 1.2920 13.0930 1.3340 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 22.8550 1.6510 22.8970 1.6930 ; + RECT 9.4030 1.2940 9.4450 1.3360 ; + RECT 12.5950 1.2920 12.6370 1.3340 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 19.6630 1.6510 19.7050 1.6930 ; + RECT 19.8150 1.6510 19.8570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 19.9670 1.6510 20.0090 1.6930 ; + RECT 20.1190 1.6510 20.1610 1.6930 ; + RECT 20.2710 1.6510 20.3130 1.6930 ; + RECT 20.4230 1.6510 20.4650 1.6930 ; + RECT 20.5750 1.6510 20.6170 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 20.7270 1.6510 20.7690 1.6930 ; + RECT 20.8790 1.6510 20.9210 1.6930 ; + RECT 21.0310 1.6510 21.0730 1.6930 ; + RECT 21.1830 1.6510 21.2250 1.6930 ; + RECT 21.3350 1.6510 21.3770 1.6930 ; + RECT 21.4870 1.6510 21.5290 1.6930 ; + RECT 21.6390 1.6510 21.6810 1.6930 ; + RECT 21.7910 1.6510 21.8330 1.6930 ; + RECT 21.9430 1.6510 21.9850 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 22.5510 1.6510 22.5930 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 12.1390 1.2930 12.1810 1.3350 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 22.0950 1.6510 22.1370 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 22.2470 1.6510 22.2890 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 22.3990 1.6510 22.4410 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 6.6670 1.3630 6.7090 1.4050 ; + RECT 6.9710 1.3630 7.0130 1.4050 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 14.4190 1.2920 14.4610 1.3340 ; + RECT 13.9630 1.2920 14.0050 1.3340 ; + RECT 19.7390 1.0710 19.7810 1.1130 ; + RECT 19.7390 1.1630 19.7810 1.2050 ; + RECT 19.7390 1.1630 19.7810 1.2050 ; + RECT 18.4470 1.6510 18.4890 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.5070 1.2920 13.5490 1.3340 ; + RECT 6.2110 1.3630 6.2530 1.4050 ; + RECT 18.1430 1.6510 18.1850 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 17.7630 1.2940 17.8050 1.3360 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 19.2830 1.2940 19.3250 1.3360 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 18.9790 1.2940 19.0210 1.3360 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 10.9230 1.2940 10.9650 1.3360 ; + RECT 19.7390 1.2550 19.7810 1.2970 ; + RECT 19.7390 0.9790 19.7810 1.0210 ; + RECT 8.4910 1.2940 8.5330 1.3360 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 5.6030 1.3630 5.6450 1.4050 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 7.2750 1.2940 7.3170 1.3360 ; + RECT 19.7390 0.9790 19.7810 1.0210 ; + RECT 15.4830 1.2940 15.5250 1.3360 ; + RECT 19.7390 1.0710 19.7810 1.1130 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 19.7390 1.2550 19.7810 1.2970 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 15.9390 1.2940 15.9810 1.3360 ; + RECT 15.0270 1.2940 15.0690 1.3360 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 18.2950 1.6510 18.3370 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 16.8510 1.2940 16.8930 1.3360 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 19.0550 1.6510 19.0970 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 19.2070 1.6510 19.2490 1.6930 ; + RECT 19.3590 1.6510 19.4010 1.6930 ; + RECT 17.9150 1.2940 17.9570 1.3360 ; + RECT 18.3710 1.2940 18.4130 1.3360 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 19.5110 1.6510 19.5530 1.6930 ; + RECT 22.7030 1.6510 22.7450 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 5.9070 1.3630 5.9490 1.4050 ; + RECT 17.1550 1.2940 17.1970 1.3360 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 18.5990 1.6510 18.6410 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 18.7510 1.6510 18.7930 1.6930 ; + RECT 18.9030 1.6510 18.9450 1.6930 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.9530 1.4340 21.4900 1.4840 ; + RECT 21.3770 1.3130 21.4900 1.4340 ; + END + PORT + LAYER CO ; + RECT 21.3350 1.4380 21.3770 1.4800 ; + RECT 18.2950 1.4400 18.3370 1.4820 ; + RECT 17.9910 1.4400 18.0330 1.4820 ; + RECT 20.7270 1.4380 20.7690 1.4800 ; + RECT 18.9030 1.4360 18.9450 1.4780 ; + END + ANTENNAGATEAREA 0.096 ; + END RETN + OBS + LAYER CO ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 7.6550 0.6220 7.6970 0.6640 ; + RECT 7.6550 0.8220 7.6970 0.8640 ; + RECT 7.8070 0.7220 7.8490 0.7640 ; + RECT 7.8830 1.1820 7.9250 1.2240 ; + RECT 7.7310 1.4280 7.7730 1.4700 ; + RECT 7.8830 0.4750 7.9250 0.5170 ; + RECT 7.7310 0.3660 7.7730 0.4080 ; + RECT 13.2030 1.0770 13.2450 1.1190 ; + RECT 13.3550 1.1820 13.3970 1.2240 ; + RECT 13.1270 1.5380 13.1690 1.5800 ; + RECT 13.1270 0.0920 13.1690 0.1340 ; + RECT 13.2030 0.4180 13.2450 0.4600 ; + RECT 13.3550 0.5250 13.3970 0.5670 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 10.1630 0.4750 10.2050 0.5170 ; + RECT 10.1630 0.4750 10.2050 0.5170 ; + RECT 10.0110 0.3660 10.0530 0.4080 ; + RECT 9.9350 0.8220 9.9770 0.8640 ; + RECT 9.9350 0.6220 9.9770 0.6640 ; + RECT 10.0870 0.7220 10.1290 0.7640 ; + RECT 10.1630 1.1820 10.2050 1.2240 ; + RECT 10.1630 1.1820 10.2050 1.2240 ; + RECT 10.0110 1.4280 10.0530 1.4700 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 9.4790 0.6220 9.5210 0.6640 ; + RECT 9.6310 0.7220 9.6730 0.7640 ; + RECT 9.7070 0.4750 9.7490 0.5170 ; + RECT 9.7070 0.4750 9.7490 0.5170 ; + RECT 11.6070 0.7270 11.6490 0.7690 ; + RECT 11.4550 0.6270 11.4970 0.6690 ; + RECT 9.5550 0.3660 9.5970 0.4080 ; + RECT 11.5310 0.3260 11.5730 0.3680 ; + RECT 11.5310 0.4180 11.5730 0.4600 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 11.5310 1.3940 11.5730 1.4360 ; + RECT 11.6830 0.9380 11.7250 0.9800 ; + RECT 9.5550 1.4280 9.5970 1.4700 ; + RECT 12.6710 1.5400 12.7130 1.5820 ; + RECT 12.7470 1.0770 12.7890 1.1190 ; + RECT 12.8990 1.1820 12.9410 1.2240 ; + RECT 12.8230 0.6870 12.8650 0.7290 ; + RECT 12.7470 0.4180 12.7890 0.4600 ; + RECT 12.8990 0.5250 12.9410 0.5670 ; + RECT 12.6710 0.0920 12.7130 0.1340 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 10.4670 1.3930 10.5090 1.4350 ; + RECT 10.7710 1.3940 10.8130 1.4360 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 8.4150 0.0900 8.4570 0.1320 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 10.7710 0.3260 10.8130 0.3680 ; + RECT 10.7710 0.4180 10.8130 0.4600 ; + RECT 12.5190 0.6870 12.5610 0.7290 ; + RECT 11.9870 1.0650 12.0290 1.1070 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 11.7590 0.7110 11.8010 0.7530 ; + RECT 9.4790 0.8220 9.5210 0.8640 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 11.1510 0.7270 11.1930 0.7690 ; + RECT 14.4950 0.0920 14.5370 0.1340 ; + RECT 11.3030 0.6270 11.3450 0.6690 ; + RECT 11.2270 1.3940 11.2690 1.4360 ; + RECT 11.0750 0.9380 11.1170 0.9800 ; + RECT 11.7590 0.5730 11.8010 0.6150 ; + RECT 10.5430 0.7270 10.5850 0.7690 ; + RECT 10.6950 0.7270 10.7370 0.7690 ; + RECT 11.2270 0.3260 11.2690 0.3680 ; + RECT 11.2270 0.4180 11.2690 0.4600 ; + RECT 14.6470 0.6870 14.6890 0.7290 ; + RECT 14.7230 1.1820 14.7650 1.2240 ; + RECT 13.2790 0.6870 13.3210 0.7290 ; + RECT 14.5710 0.4180 14.6130 0.4600 ; + RECT 10.2390 0.6220 10.2810 0.6640 ; + RECT 10.2390 1.5380 10.2810 1.5800 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 9.0990 1.4280 9.1410 1.4700 ; + RECT 9.0230 0.8220 9.0650 0.8640 ; + RECT 17.6110 1.1940 17.6530 1.2360 ; + RECT 17.3070 1.1940 17.3490 1.2360 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 17.6870 1.4210 17.7290 1.4630 ; + RECT 17.2310 1.4210 17.2730 1.4630 ; + RECT 12.3670 1.4210 12.4090 1.4630 ; + RECT 12.3670 1.0640 12.4090 1.1060 ; + RECT 17.6110 0.4230 17.6530 0.4650 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 9.1750 0.7220 9.2170 0.7640 ; + RECT 6.3630 1.0380 6.4050 1.0800 ; + RECT 6.3630 1.1300 6.4050 1.1720 ; + RECT 6.3630 0.4330 6.4050 0.4750 ; + RECT 16.9270 0.8710 16.9690 0.9130 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 9.0230 0.6220 9.0650 0.6640 ; + RECT 6.8190 1.0440 6.8610 1.0860 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 7.1230 1.0440 7.1650 1.0860 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 6.5150 0.4590 6.5570 0.5010 ; + RECT 7.4270 0.4590 7.4690 0.5010 ; + RECT 7.1230 0.4590 7.1650 0.5010 ; + RECT 6.5150 1.0440 6.5570 1.0860 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 6.5910 0.7320 6.6330 0.7740 ; + RECT 6.8190 0.4590 6.8610 0.5010 ; + RECT 9.2510 0.4750 9.2930 0.5170 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 15.1030 0.0920 15.1450 0.1340 ; + RECT 14.7230 0.5250 14.7650 0.5670 ; + RECT 15.2550 0.6710 15.2970 0.7130 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 8.7950 0.4750 8.8370 0.5170 ; + RECT 16.5470 1.1780 16.5890 1.2200 ; + RECT 19.8150 0.6170 19.8570 0.6590 ; + RECT 16.3950 1.0860 16.4370 1.1280 ; + RECT 16.2430 1.0860 16.2850 1.1280 ; + RECT 8.2630 0.7220 8.3050 0.7640 ; + RECT 7.5030 0.7310 7.5450 0.7730 ; + RECT 13.6590 0.4180 13.7010 0.4600 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 17.0030 1.1940 17.0450 1.2360 ; + RECT 8.3390 0.4750 8.3810 0.5170 ; + RECT 20.1190 0.7630 20.1610 0.8050 ; + RECT 16.0150 1.5380 16.0570 1.5800 ; + RECT 7.3510 0.7320 7.3930 0.7740 ; + RECT 6.7430 0.7320 6.7850 0.7740 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 6.8950 0.7320 6.9370 0.7740 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 7.0470 0.7320 7.0890 0.7740 ; + RECT 13.8110 1.1820 13.8530 1.2240 ; + RECT 14.5710 1.0770 14.6130 1.1190 ; + RECT 15.6350 0.4160 15.6770 0.4580 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 1.1300 5.7970 1.1720 ; + RECT 16.4710 0.8710 16.5130 0.9130 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 13.5830 1.5400 13.6250 1.5820 ; + RECT 5.7550 1.0380 5.7970 1.0800 ; + RECT 5.4510 0.5250 5.4930 0.5670 ; + RECT 16.4710 0.6170 16.5130 0.6590 ; + RECT 6.0590 1.1300 6.1010 1.1720 ; + RECT 6.0590 1.0380 6.1010 1.0800 ; + RECT 16.3950 0.4810 16.4370 0.5230 ; + RECT 16.5470 0.4810 16.5890 0.5230 ; + RECT 17.3830 0.6310 17.4250 0.6730 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 15.1790 0.4160 15.2210 0.4580 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 21.4110 0.6230 21.4530 0.6650 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 19.3590 0.6170 19.4010 0.6590 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 16.5470 0.9940 16.5890 1.0360 ; + RECT 8.7950 0.4750 8.8370 0.5170 ; + RECT 21.7150 0.7130 21.7570 0.7550 ; + RECT 19.2070 0.6170 19.2490 0.6590 ; + RECT 5.4510 1.0380 5.4930 1.0800 ; + RECT 21.7150 0.3500 21.7570 0.3920 ; + RECT 19.0550 0.0980 19.0970 0.1400 ; + RECT 15.1790 1.1380 15.2210 1.1800 ; + RECT 18.6750 0.3460 18.7170 0.3880 ; + RECT 16.1670 0.6710 16.2090 0.7130 ; + RECT 16.3950 0.9940 16.4370 1.0360 ; + RECT 15.5590 1.5380 15.6010 1.5800 ; + RECT 8.6430 1.4280 8.6850 1.4700 ; + RECT 18.8270 1.0640 18.8690 1.1060 ; + RECT 16.2430 1.1780 16.2850 1.2200 ; + RECT 18.2190 0.3460 18.2610 0.3880 ; + RECT 17.0030 0.4590 17.0450 0.5010 ; + RECT 21.2590 0.3680 21.3010 0.4100 ; + RECT 7.1990 0.7320 7.2410 0.7740 ; + RECT 10.3910 0.6270 10.4330 0.6690 ; + RECT 18.8270 0.4050 18.8690 0.4470 ; + RECT 16.2430 0.3890 16.2850 0.4310 ; + RECT 19.1310 1.0640 19.1730 1.1060 ; + RECT 15.6350 1.1380 15.6770 1.1800 ; + RECT 8.1110 0.6240 8.1530 0.6660 ; + RECT 10.4670 0.3260 10.5090 0.3680 ; + RECT 14.2670 1.1820 14.3090 1.2240 ; + RECT 10.6190 0.9380 10.6610 0.9800 ; + RECT 22.2470 0.5660 22.2890 0.6080 ; + RECT 16.6990 0.2970 16.7410 0.3390 ; + RECT 7.3510 0.0900 7.3930 0.1320 ; + RECT 17.9910 0.5660 18.0330 0.6080 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 8.1110 0.8220 8.1530 0.8640 ; + RECT 5.4510 1.1300 5.4930 1.1720 ; + RECT 14.0390 1.5380 14.0810 1.5800 ; + RECT 18.5990 0.5680 18.6410 0.6100 ; + RECT 19.1310 1.0640 19.1730 1.1060 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 8.3390 1.1820 8.3810 1.2240 ; + RECT 7.5030 1.5400 7.5450 1.5820 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 18.4470 0.5660 18.4890 0.6080 ; + RECT 19.6630 0.6620 19.7050 0.7040 ; + RECT 15.3310 0.9710 15.3730 1.0130 ; + RECT 16.7750 0.8710 16.8170 0.9130 ; + RECT 16.3950 0.3890 16.4370 0.4310 ; + RECT 15.5590 0.0920 15.6010 0.1340 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 14.0390 0.0920 14.0810 0.1340 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 8.7190 0.7220 8.7610 0.7640 ; + RECT 19.1310 1.1560 19.1730 1.1980 ; + RECT 13.5830 0.0920 13.6250 0.1340 ; + RECT 14.1910 0.6870 14.2330 0.7290 ; + RECT 19.1310 0.5010 19.1730 0.5430 ; + RECT 16.6230 0.6170 16.6650 0.6590 ; + RECT 19.4350 1.0640 19.4770 1.1060 ; + RECT 22.0190 0.6800 22.0610 0.7220 ; + RECT 14.1150 1.0770 14.1570 1.1190 ; + RECT 19.4350 1.0640 19.4770 1.1060 ; + RECT 13.7350 0.6870 13.7770 0.7290 ; + RECT 10.8470 0.6270 10.8890 0.6690 ; + RECT 22.0190 0.3290 22.0610 0.3710 ; + RECT 19.4350 1.0640 19.4770 1.1060 ; + RECT 19.4350 0.9720 19.4770 1.0140 ; + RECT 19.1310 0.4090 19.1730 0.4510 ; + RECT 19.4350 0.9720 19.4770 1.0140 ; + RECT 19.4350 1.1560 19.4770 1.1980 ; + RECT 19.4350 1.1560 19.4770 1.1980 ; + RECT 21.6390 0.4660 21.6810 0.5080 ; + RECT 13.8110 0.5250 13.8530 0.5670 ; + RECT 16.0910 1.1380 16.1330 1.1800 ; + RECT 19.4350 0.5010 19.4770 0.5430 ; + RECT 13.6590 1.0770 13.7010 1.1190 ; + RECT 18.1430 1.5380 18.1850 1.5800 ; + RECT 18.2190 1.0710 18.2610 1.1130 ; + RECT 21.1830 0.4980 21.2250 0.5400 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 16.3190 0.8710 16.3610 0.9130 ; + RECT 21.0310 1.0830 21.0730 1.1250 ; + RECT 19.4350 0.4090 19.4770 0.4510 ; + RECT 14.1150 0.4180 14.1570 0.4600 ; + RECT 8.6430 0.3660 8.6850 0.4080 ; + RECT 16.2430 0.2970 16.2850 0.3390 ; + RECT 16.5470 0.3890 16.5890 0.4310 ; + RECT 15.7110 0.6710 15.7530 0.7130 ; + RECT 18.6750 1.0710 18.7170 1.1130 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 16.2430 0.4810 16.2850 0.5230 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 17.4590 1.0670 17.5010 1.1090 ; + RECT 21.1830 1.2040 21.2250 1.2460 ; + RECT 16.5470 1.0860 16.5890 1.1280 ; + RECT 8.4150 0.8220 8.4570 0.8640 ; + RECT 21.4110 0.1750 21.4530 0.2170 ; + RECT 11.9870 0.4960 12.0290 0.5380 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 16.0910 0.4160 16.1330 0.4580 ; + RECT 16.6230 1.5380 16.6650 1.5800 ; + RECT 14.4950 1.5380 14.5370 1.5800 ; + RECT 15.7870 0.5170 15.8290 0.5590 ; + RECT 19.0550 0.6170 19.0970 0.6590 ; + RECT 8.1870 0.3660 8.2290 0.4080 ; + RECT 16.3190 0.0920 16.3610 0.1340 ; + RECT 16.3950 1.1780 16.4370 1.2200 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 14.2670 0.5250 14.3090 0.5670 ; + RECT 14.9510 0.6710 14.9930 0.7130 ; + RECT 8.5670 0.8220 8.6090 0.8640 ; + RECT 20.9550 0.6330 20.9970 0.6750 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 16.6990 0.3890 16.7410 0.4310 ; + RECT 16.6990 1.1940 16.7410 1.2360 ; + RECT 9.2510 0.4750 9.2930 0.5170 ; + RECT 17.3070 0.4230 17.3490 0.4650 ; + RECT 9.0990 0.3660 9.1410 0.4080 ; + RECT 15.3310 0.5170 15.3730 0.5590 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 19.1310 1.0640 19.1730 1.1060 ; + RECT 8.1870 1.4280 8.2290 1.4700 ; + RECT 15.1030 1.5380 15.1450 1.5800 ; + RECT 19.1310 0.9720 19.1730 1.0140 ; + RECT 19.1310 0.9720 19.1730 1.0140 ; + RECT 18.2950 0.5660 18.3370 0.6080 ; + RECT 18.4470 0.7200 18.4890 0.7620 ; + RECT 10.4670 0.4180 10.5090 0.4600 ; + RECT 20.9550 0.3740 20.9970 0.4160 ; + RECT 7.4270 0.4590 7.4690 0.5010 ; + RECT 8.5670 0.6240 8.6090 0.6660 ; + RECT 5.4510 0.4330 5.4930 0.4750 ; + RECT 17.5350 0.6310 17.5770 0.6730 ; + RECT 19.1310 1.1560 19.1730 1.1980 ; + RECT 20.6510 0.6650 20.6930 0.7070 ; + RECT 22.2470 1.5380 22.2890 1.5800 ; + RECT 21.9430 0.4660 21.9850 0.5080 ; + RECT 14.9510 0.8710 14.9930 0.9130 ; + RECT 21.1070 0.1360 21.1490 0.1780 ; + RECT 20.6510 0.3740 20.6930 0.4160 ; + RECT 21.2590 0.8490 21.3010 0.8910 ; + RECT 20.1190 1.2040 20.1610 1.2460 ; + RECT 21.1070 0.6390 21.1490 0.6810 ; + RECT 16.0150 0.0920 16.0570 0.1340 ; + LAYER PO ; + RECT 21.4930 0.0660 21.5230 1.6060 ; + RECT 21.6450 0.0660 21.6750 1.6060 ; + RECT 21.3410 0.0660 21.3710 1.6060 ; + RECT 22.5570 0.0660 22.5870 1.6060 ; + RECT 22.2530 0.0660 22.2830 1.6060 ; + RECT 22.1010 0.0660 22.1310 1.6060 ; + RECT 22.8610 0.0660 22.8910 1.6060 ; + RECT 22.7090 0.0660 22.7390 1.6060 ; + RECT 22.4050 0.0660 22.4350 1.6060 ; + RECT 21.9490 0.0660 21.9790 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6600 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.7970 7.6910 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.1170 0.7970 8.1470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6600 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6700 ; + RECT 8.5730 0.7970 8.6030 1.6060 ; + RECT 9.0290 0.7970 9.0590 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.6600 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.4850 0.0660 9.5150 0.6600 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.7970 9.5150 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.9410 0.7970 9.9710 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6600 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.6770 0.8430 12.7070 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7380 ; + RECT 13.5890 0.8430 13.6190 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 13.5890 0.0660 13.6190 0.7380 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.1330 0.0660 13.1630 0.7380 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.1330 0.8430 13.1630 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 14.0450 0.8430 14.0750 1.6060 ; + RECT 14.0450 0.0660 14.0750 0.7380 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 14.5010 0.0660 14.5310 0.7380 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 14.5010 0.8460 14.5310 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 15.5650 0.0660 15.5950 0.6370 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 15.1090 0.0660 15.1390 0.6370 ; + RECT 15.5650 0.8870 15.5950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 15.1090 0.8870 15.1390 1.6060 ; + RECT 16.4770 0.8390 16.5070 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 16.0210 0.8870 16.0510 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 16.4770 0.0660 16.5070 0.6910 ; + RECT 16.0210 0.0660 16.0510 0.6370 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 17.9970 0.0660 18.0270 0.6400 ; + RECT 18.4530 0.0660 18.4830 0.6140 ; + RECT 18.4530 0.7140 18.4830 1.6060 ; + RECT 18.3010 0.0660 18.3310 1.6060 ; + RECT 18.1490 0.0660 18.1790 1.6060 ; + RECT 18.6050 0.0660 18.6350 1.6060 ; + RECT 17.9970 0.7400 18.0270 1.6060 ; + RECT 18.7570 0.0660 18.7870 1.6060 ; + RECT 18.9090 0.0660 18.9390 1.6060 ; + RECT 19.8210 0.0660 19.8510 1.6060 ; + RECT 19.6690 0.0660 19.6990 1.6060 ; + RECT 19.2130 0.0660 19.2430 1.6060 ; + RECT 19.5170 0.0660 19.5470 1.6060 ; + RECT 19.3650 0.0660 19.3950 1.6060 ; + RECT 19.0610 0.0660 19.0910 1.6060 ; + RECT 20.4290 0.0660 20.4590 1.6060 ; + RECT 20.7330 0.0660 20.7630 1.6060 ; + RECT 19.9730 0.0660 20.0030 1.6060 ; + RECT 20.8850 0.0660 20.9150 1.6060 ; + RECT 20.2770 0.0660 20.3070 1.6060 ; + RECT 20.5810 0.0660 20.6110 1.6060 ; + RECT 20.1250 0.0670 20.1550 1.6050 ; + RECT 21.0370 0.0660 21.0670 1.6060 ; + RECT 21.1890 0.0660 21.2190 1.6060 ; + RECT 21.7970 0.0660 21.8270 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + LAYER M1 ; + RECT 17.3630 0.6270 17.9210 0.6770 ; + RECT 17.8710 0.6770 17.9210 1.0670 ; + RECT 17.8710 0.3920 17.9210 0.6270 ; + RECT 17.1110 0.7670 17.5050 0.8170 ; + RECT 17.0000 0.7080 17.1610 0.7580 ; + RECT 16.7550 0.8670 17.0500 0.9170 ; + RECT 17.1110 0.5270 17.6570 0.5770 ; + RECT 17.4550 0.8170 17.5050 1.1290 ; + RECT 17.1110 0.7580 17.1610 0.7670 ; + RECT 17.1110 0.5770 17.1610 0.7080 ; + RECT 17.3030 0.4030 17.3530 0.5270 ; + RECT 17.6070 0.4030 17.6570 0.5270 ; + RECT 17.0000 0.7580 17.0500 0.8670 ; + RECT 18.2750 0.5620 18.5090 0.6120 ; + RECT 16.6950 0.4550 17.0650 0.5050 ; + RECT 16.5430 1.1900 17.0650 1.2400 ; + RECT 16.5430 0.2770 16.7450 0.3270 ; + RECT 16.6550 0.7670 16.7850 0.8170 ; + RECT 16.5430 0.9780 16.7050 1.0280 ; + RECT 16.5430 0.3270 16.5930 0.5560 ; + RECT 16.6950 0.3270 16.7450 0.4550 ; + RECT 16.7350 0.5050 16.7850 0.7670 ; + RECT 16.5430 0.9740 16.5930 0.9780 ; + RECT 16.6550 0.8170 16.7050 0.9780 ; + RECT 16.5430 1.0280 16.5930 1.1900 ; + RECT 18.9350 0.7590 20.1810 0.8090 ; + RECT 18.9350 0.5130 19.4840 0.5630 ; + RECT 19.4310 0.3830 19.4810 0.5130 ; + RECT 19.4310 0.8090 19.4810 1.2400 ; + RECT 18.5790 0.5640 18.9850 0.6140 ; + RECT 19.1270 0.3830 19.1770 0.5130 ; + RECT 18.9350 0.5630 18.9850 0.5640 ; + RECT 19.1270 0.8090 19.1770 1.2400 ; + RECT 18.9350 0.6140 18.9850 0.7590 ; + RECT 19.6590 0.6420 19.7090 0.7590 ; + RECT 21.4070 0.4620 21.7010 0.5120 ; + RECT 21.0870 0.1320 21.4570 0.1820 ; + RECT 21.0870 0.6350 21.4570 0.6850 ; + RECT 21.4070 0.1820 21.4570 0.4620 ; + RECT 21.4070 0.5120 21.4570 0.6350 ; + RECT 21.7110 0.3620 21.9040 0.4120 ; + RECT 21.8540 0.4620 22.0050 0.5120 ; + RECT 21.7110 0.5890 21.9040 0.6390 ; + RECT 21.8540 0.4120 21.9040 0.4620 ; + RECT 21.8540 0.5120 21.9040 0.5890 ; + RECT 21.7110 0.1260 21.7610 0.3620 ; + RECT 21.7110 0.6390 21.7610 0.7950 ; + RECT 20.9510 0.4940 21.3050 0.5440 ; + RECT 21.2550 0.3480 21.3050 0.4940 ; + RECT 20.9510 0.5440 21.0010 0.7950 ; + RECT 22.0150 0.5620 22.3090 0.6120 ; + RECT 22.0150 0.3620 22.2000 0.4120 ; + RECT 22.1500 0.4120 22.2000 0.5620 ; + RECT 20.8510 0.8450 22.0650 0.8950 ; + RECT 22.0150 0.6120 22.0650 0.8450 ; + RECT 22.0150 0.1260 22.0650 0.3620 ; + RECT 20.8510 0.3700 21.0190 0.4200 ; + RECT 20.8510 0.4200 20.9010 0.8450 ; + RECT 19.5590 0.5010 19.8610 0.5510 ; + RECT 19.0350 0.6130 19.6090 0.6630 ; + RECT 19.8110 0.5510 19.8610 0.6790 ; + RECT 19.5590 0.5510 19.6090 0.6130 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 7.6250 0.8180 10.0030 0.8680 ; + RECT 7.6270 0.6180 10.3010 0.6680 ; + RECT 7.7070 1.4240 10.0740 1.4740 ; + RECT 10.5990 0.9340 11.8050 0.9840 ; + RECT 11.7550 0.6910 11.8050 0.9340 ; + RECT 10.4470 1.3900 11.6000 1.4400 ; + RECT 12.7240 1.0730 14.6360 1.1230 ; + RECT 14.9310 0.6670 16.2290 0.7170 ; + RECT 15.1590 1.1340 16.1530 1.1840 ; + RECT 16.4510 0.6130 16.6850 0.6630 ; + RECT 16.2990 0.8670 16.5530 0.9170 ; + RECT 17.2800 1.1900 17.6760 1.2400 ; + RECT 20.0990 1.2000 21.2450 1.2500 ; + RECT 20.6470 1.0790 21.0930 1.1290 ; + RECT 20.6470 0.1260 20.6970 1.0790 ; + RECT 18.1230 1.5340 22.3110 1.5840 ; + RECT 7.4830 1.5340 16.6850 1.5840 ; + RECT 7.3310 0.0880 16.3810 0.1380 ; + RECT 14.8240 0.7670 15.1130 0.8170 ; + RECT 12.8780 0.5210 14.8740 0.5710 ; + RECT 7.8580 1.1780 14.8330 1.2280 ; + RECT 14.7670 1.0260 15.1130 1.0760 ; + RECT 15.0630 0.8170 15.1130 1.0260 ; + RECT 14.8240 0.5710 14.8740 0.7670 ; + RECT 14.7670 1.0760 14.8170 1.1780 ; + RECT 10.3630 0.6230 11.5280 0.6730 ; + RECT 7.8620 0.4710 10.4130 0.5210 ; + RECT 10.3630 0.5210 10.4130 0.6230 ; + RECT 10.3630 0.6730 10.4130 1.1780 ; + RECT 12.3330 1.4170 17.7490 1.4670 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 5.4470 0.7280 7.4130 0.7780 ; + RECT 6.3590 0.7780 6.4090 1.1920 ; + RECT 6.3590 0.4130 6.4090 0.7280 ; + RECT 5.4470 0.7780 5.4970 1.1920 ; + RECT 5.4470 0.4130 5.4970 0.7280 ; + RECT 5.7510 0.7780 5.8010 1.1920 ; + RECT 5.7510 0.4130 5.8010 0.7280 ; + RECT 6.0550 0.7780 6.1050 1.1920 ; + RECT 6.0550 0.4130 6.1050 0.7280 ; + RECT 6.6280 1.1770 7.7340 1.2270 ; + RECT 10.1020 0.7680 10.1520 0.9840 ; + RECT 7.7750 0.7180 10.1520 0.7680 ; + RECT 7.6840 0.9840 10.1520 1.0340 ; + RECT 7.6840 1.0340 7.7340 1.1770 ; + RECT 4.9910 1.2480 6.6780 1.2980 ; + RECT 6.6280 1.2270 6.6780 1.2480 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 1.2980 5.0410 1.5460 ; + RECT 4.9910 0.8280 5.0410 1.2480 ; + RECT 4.9910 0.5250 5.2370 0.5750 ; + RECT 4.9910 0.7780 5.2370 0.8280 ; + RECT 5.1870 0.5750 5.2370 0.7780 ; + RECT 6.4930 0.4550 7.5490 0.5050 ; + RECT 7.4990 0.5050 7.5490 1.0400 ; + RECT 6.4950 1.0400 7.5490 1.0900 ; + RECT 7.7100 0.3620 10.0730 0.4120 ; + RECT 12.3880 0.6830 14.7090 0.7330 ; + RECT 14.6430 0.9170 14.6930 0.9460 ; + RECT 14.6430 0.8670 15.0130 0.9170 ; + RECT 14.6430 0.7330 14.6930 0.8670 ; + RECT 11.7790 0.3920 12.4380 0.4420 ; + RECT 12.3880 0.4420 12.4380 0.6830 ; + RECT 10.4630 0.3060 10.5130 0.5210 ; + RECT 10.7670 0.3060 10.8170 0.5210 ; + RECT 11.2230 0.3060 11.2730 0.5210 ; + RECT 11.5270 0.3060 11.5770 0.5210 ; + RECT 10.4630 0.5210 11.8290 0.5710 ; + RECT 11.7550 0.5710 11.8050 0.6350 ; + RECT 11.7790 0.4420 11.8290 0.5210 ; + RECT 11.9630 0.4920 12.3030 0.5420 ; + RECT 12.2530 0.5420 12.3030 1.0600 ; + RECT 10.4680 1.0600 12.4290 1.1100 ; + RECT 10.4680 0.7230 11.6840 0.7730 ; + RECT 10.4680 0.7730 10.5180 1.0600 ; + RECT 12.7250 0.4140 14.6340 0.4640 ; + RECT 16.4310 0.0920 19.1180 0.1420 ; + RECT 16.1990 0.7670 16.3290 0.8170 ; + RECT 16.3910 0.1940 16.4810 0.2440 ; + RECT 15.2920 0.9670 16.3050 1.0170 ; + RECT 16.2390 1.1900 16.4410 1.2400 ; + RECT 15.2920 0.5130 16.4410 0.5630 ; + RECT 16.2390 0.1980 16.2890 0.5130 ; + RECT 16.2790 0.5630 16.3290 0.7670 ; + RECT 16.4310 0.1420 16.4810 0.1940 ; + RECT 16.1990 0.8170 16.2490 0.9670 ; + RECT 16.3910 0.9740 16.4410 1.1900 ; + RECT 16.2390 1.0170 16.2890 1.1900 ; + RECT 16.3910 0.2440 16.4410 0.5130 ; + RECT 15.1400 0.4120 16.1530 0.4620 ; + RECT 17.9870 0.4420 18.8730 0.4920 ; + RECT 18.1750 0.7160 18.8730 0.7660 ; + RECT 17.9870 0.4920 18.0370 0.6280 ; + RECT 18.8230 0.3820 18.8730 0.4420 ; + RECT 18.8230 0.7660 18.8730 1.2400 ; + RECT 18.1750 0.7660 18.2250 1.0170 ; + RECT 18.1750 0.4920 18.2250 0.7160 ; + RECT 17.8710 0.3420 18.7370 0.3920 ; + RECT 17.8710 1.0670 18.7370 1.1170 ; + LAYER NWELL ; + RECT -0.1160 1.5430 23.0620 1.7730 ; + RECT -0.1160 0.7410 20.0190 1.5430 ; + RECT 22.7870 0.6790 23.0620 1.5430 ; + RECT -0.1160 0.6790 5.3190 0.7410 ; + RECT 14.8800 0.6750 20.0190 0.7410 ; + RECT 0.1160 0.6750 5.3190 0.6790 ; + RECT 20.4810 0.4910 22.3270 1.0830 ; + END +END RSDFFNARX1_HVT + +MACRO RSDFFNARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 23.864 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4980 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9530 0.8570 12.1380 0.9700 ; + END + PORT + LAYER CO ; + RECT 12.0630 0.8930 12.1050 0.9350 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 21.6950 0.9420 23.1620 1.0020 ; + RECT 23.0490 0.6900 23.1620 0.9420 ; + END + PORT + LAYER CO ; + RECT 23.0830 0.8620 23.1250 0.9040 ; + RECT 23.0830 0.7100 23.1250 0.7520 ; + RECT 21.7150 0.9510 21.7570 0.9930 ; + RECT 22.7790 0.9510 22.8210 0.9930 ; + RECT 22.4750 0.9510 22.5170 0.9930 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 23.8640 0.0300 ; + RECT 5.9040 0.0300 5.9540 0.5570 ; + RECT 6.2080 0.0300 6.2580 0.5570 ; + RECT 5.6000 0.0300 5.6500 0.5570 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 1.4950 0.0300 1.5450 0.2650 ; + RECT 1.1910 0.0300 1.2410 0.2590 ; + RECT 0.8870 0.0300 0.9370 0.2630 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 22.7760 0.0300 22.8260 0.3120 ; + RECT 21.7120 0.0300 21.7620 0.2060 ; + RECT 20.1920 0.0300 20.2420 0.2410 ; + RECT 22.4720 0.0300 22.5220 0.2020 ; + RECT 20.6480 0.0300 20.6980 0.2410 ; + RECT 17.2870 0.2420 19.7860 0.2920 ; + RECT 19.7360 0.0300 19.7860 0.2420 ; + RECT 10.6150 0.2390 10.6650 0.4570 ; + RECT 10.9190 0.2390 10.9690 0.4570 ; + RECT 11.0710 0.2390 11.1210 0.4570 ; + RECT 11.3750 0.2390 11.4250 0.4570 ; + RECT 11.6790 0.2390 11.7290 0.4570 ; + RECT 16.3910 0.1980 16.4410 0.3070 ; + RECT 12.5370 0.2390 12.5870 0.3070 ; + RECT 12.5370 0.3070 16.4620 0.3570 ; + RECT 6.6640 0.2740 6.7140 0.3340 ; + RECT 6.6640 0.0300 6.7140 0.2240 ; + RECT 10.3110 0.2740 10.3610 0.3650 ; + RECT 6.6470 0.2390 10.3610 0.2740 ; + RECT 19.7350 0.2920 19.7860 0.3330 ; + RECT 19.7350 0.3330 19.7850 0.4430 ; + RECT 6.6470 0.2240 12.5870 0.2390 ; + RECT 10.3110 0.1890 12.5870 0.2240 ; + END + PORT + LAYER CO ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 15.4830 0.3110 15.5250 0.3530 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 9.8590 0.2280 9.9010 0.2700 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 20.6510 0.1720 20.6930 0.2140 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 9.4030 0.2280 9.4450 0.2700 ; + RECT 11.6830 0.2110 11.7250 0.2530 ; + RECT 11.6830 0.3030 11.7250 0.3450 ; + RECT 11.6830 0.3950 11.7250 0.4370 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 12.5950 0.3120 12.6370 0.3540 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 7.5790 0.2280 7.6210 0.2700 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 18.9030 -0.0210 18.9450 0.0210 ; + RECT 19.0550 -0.0210 19.0970 0.0210 ; + RECT 19.2070 -0.0210 19.2490 0.0210 ; + RECT 19.3590 -0.0210 19.4010 0.0210 ; + RECT 19.5110 -0.0210 19.5530 0.0210 ; + RECT 19.6630 -0.0210 19.7050 0.0210 ; + RECT 19.8150 -0.0210 19.8570 0.0210 ; + RECT 19.9670 -0.0210 20.0090 0.0210 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 20.1190 -0.0210 20.1610 0.0210 ; + RECT 20.2710 -0.0210 20.3130 0.0210 ; + RECT 20.4230 -0.0210 20.4650 0.0210 ; + RECT 20.5750 -0.0210 20.6170 0.0210 ; + RECT 20.7270 -0.0210 20.7690 0.0210 ; + RECT 20.8790 -0.0210 20.9210 0.0210 ; + RECT 21.0310 -0.0210 21.0730 0.0210 ; + RECT 21.1830 -0.0210 21.2250 0.0210 ; + RECT 21.3350 -0.0210 21.3770 0.0210 ; + RECT 21.4870 -0.0210 21.5290 0.0210 ; + RECT 21.6390 -0.0210 21.6810 0.0210 ; + RECT 21.7910 -0.0210 21.8330 0.0210 ; + RECT 21.9430 -0.0210 21.9850 0.0210 ; + RECT 22.0950 -0.0210 22.1370 0.0210 ; + RECT 22.2470 -0.0210 22.2890 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 13.0510 0.3120 13.0930 0.3540 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 17.5350 -0.0210 17.5770 0.0210 ; + RECT 17.6870 -0.0210 17.7290 0.0210 ; + RECT 17.8390 -0.0210 17.8810 0.0210 ; + RECT 17.9910 -0.0210 18.0330 0.0210 ; + RECT 18.1430 -0.0210 18.1850 0.0210 ; + RECT 18.2950 -0.0210 18.3370 0.0210 ; + RECT 18.4470 -0.0210 18.4890 0.0210 ; + RECT 18.5990 -0.0210 18.6410 0.0210 ; + RECT 18.7510 -0.0210 18.7930 0.0210 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 22.3990 -0.0210 22.4410 0.0210 ; + RECT 22.5510 -0.0210 22.5930 0.0210 ; + RECT 22.7030 -0.0210 22.7450 0.0210 ; + RECT 22.8550 -0.0210 22.8970 0.0210 ; + RECT 23.0070 -0.0210 23.0490 0.0210 ; + RECT 23.1590 -0.0210 23.2010 0.0210 ; + RECT 23.3110 -0.0210 23.3530 0.0210 ; + RECT 23.4630 -0.0210 23.5050 0.0210 ; + RECT 23.6150 -0.0210 23.6570 0.0210 ; + RECT 10.9230 0.3030 10.9650 0.3450 ; + RECT 10.9230 0.2110 10.9650 0.2530 ; + RECT 10.9230 0.3950 10.9650 0.4370 ; + RECT 12.1390 0.1930 12.1810 0.2350 ; + RECT 18.2190 0.2460 18.2610 0.2880 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 23.7670 -0.0210 23.8090 0.0210 ; + RECT 11.0750 0.2110 11.1170 0.2530 ; + RECT 11.3790 0.2110 11.4210 0.2530 ; + RECT 11.3790 0.3030 11.4210 0.3450 ; + RECT 11.3790 0.3950 11.4210 0.4370 ; + RECT 11.0750 0.3030 11.1170 0.3450 ; + RECT 17.9150 0.2460 17.9570 0.2880 ; + RECT 6.6670 0.2280 6.7090 0.2700 ; + RECT 6.9710 0.2280 7.0130 0.2700 ; + RECT 11.0750 0.3950 11.1170 0.4370 ; + RECT 19.4350 0.2460 19.4770 0.2880 ; + RECT 14.4190 0.3120 14.4610 0.3540 ; + RECT 22.4750 0.1360 22.5170 0.1780 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 13.5070 0.3120 13.5490 0.3540 ; + RECT 6.2110 0.3110 6.2530 0.3530 ; + RECT 6.2110 0.4030 6.2530 0.4450 ; + RECT 6.2110 0.4950 6.2530 0.5370 ; + RECT 13.9630 0.3120 14.0050 0.3540 ; + RECT 7.2750 0.2280 7.3170 0.2700 ; + RECT 5.6030 0.4950 5.6450 0.5370 ; + RECT 10.6190 0.2110 10.6610 0.2530 ; + RECT 18.8270 0.2460 18.8690 0.2880 ; + RECT 19.7390 0.3710 19.7810 0.4130 ; + RECT 16.3950 0.3110 16.4370 0.3530 ; + RECT 16.3950 0.2190 16.4370 0.2610 ; + RECT 15.0270 0.3110 15.0690 0.3530 ; + RECT 8.9470 0.2280 8.9890 0.2700 ; + RECT 21.7150 0.1440 21.7570 0.1860 ; + RECT 10.3150 0.2110 10.3570 0.2530 ; + RECT 15.9390 0.3110 15.9810 0.3530 ; + RECT 10.3150 0.3030 10.3570 0.3450 ; + RECT 22.7790 0.1440 22.8210 0.1860 ; + RECT 17.6110 0.2460 17.6530 0.2880 ; + RECT 17.3070 0.2460 17.3490 0.2880 ; + RECT 20.1950 0.1720 20.2370 0.2140 ; + RECT 18.3710 0.2460 18.4130 0.2880 ; + RECT 8.0350 0.2280 8.0770 0.2700 ; + RECT 5.6030 0.3110 5.6450 0.3530 ; + RECT 8.4910 0.2280 8.5330 0.2700 ; + RECT 10.6190 0.3030 10.6610 0.3450 ; + RECT 10.6190 0.3950 10.6610 0.4370 ; + RECT 5.6030 0.4030 5.6450 0.4450 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 1.5340 6.3510 1.5840 ; + RECT 5.4170 1.4650 5.5900 1.5340 ; + END + PORT + LAYER CO ; + RECT 6.2870 1.5380 6.3290 1.5800 ; + RECT 5.5270 1.5380 5.5690 1.5800 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 5.6790 1.5380 5.7210 1.5800 ; + RECT 5.8310 1.5380 5.8730 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 20.3270 0.3010 21.3780 0.3510 ; + RECT 21.2250 0.2490 21.3780 0.3010 ; + RECT 20.3430 0.9690 21.3330 1.0190 ; + RECT 21.2250 0.3510 21.3780 0.3590 ; + RECT 21.2830 0.3590 21.3330 0.9690 ; + RECT 20.3430 1.0190 20.3930 1.2400 ; + RECT 20.7990 1.0190 20.8490 1.2400 ; + END + PORT + LAYER CO ; + RECT 20.8030 0.3050 20.8450 0.3470 ; + RECT 20.8030 0.9890 20.8450 1.0310 ; + RECT 20.8030 1.0810 20.8450 1.1230 ; + RECT 20.8030 0.9890 20.8450 1.0310 ; + RECT 20.8030 1.0810 20.8450 1.1230 ; + RECT 20.8030 1.1730 20.8450 1.2150 ; + RECT 20.8030 1.1730 20.8450 1.2150 ; + RECT 20.3470 1.0810 20.3890 1.1230 ; + RECT 20.3470 0.9890 20.3890 1.0310 ; + RECT 20.3470 1.1730 20.3890 1.2150 ; + RECT 20.3470 1.0810 20.3890 1.1230 ; + RECT 20.3470 1.1730 20.3890 1.2150 ; + RECT 20.3470 0.9890 20.3890 1.0310 ; + RECT 20.3470 0.3050 20.3890 0.3470 ; + END + ANTENNADIFFAREA 0.255 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 20.0390 0.4010 21.1950 0.4510 ; + RECT 20.0390 0.8590 21.1950 0.9090 ; + RECT 21.0730 0.4510 21.1950 0.5380 ; + RECT 20.0390 0.1270 20.0890 0.4010 ; + RECT 20.0390 0.9090 20.0890 1.2320 ; + RECT 21.1450 0.5380 21.1950 0.8590 ; + END + PORT + LAYER CO ; + RECT 20.4990 0.8630 20.5410 0.9050 ; + RECT 20.4990 0.4050 20.5410 0.4470 ; + RECT 20.4990 0.8630 20.5410 0.9050 ; + RECT 20.0430 0.9710 20.0850 1.0130 ; + RECT 20.0430 0.1770 20.0850 0.2190 ; + RECT 20.0430 0.8790 20.0850 0.9210 ; + RECT 20.0430 1.1550 20.0850 1.1970 ; + RECT 20.0430 0.9710 20.0850 1.0130 ; + RECT 20.0430 1.0630 20.0850 1.1050 ; + RECT 20.0430 1.0630 20.0850 1.1050 ; + RECT 20.0430 0.8790 20.0850 0.9210 ; + RECT 20.0430 1.1550 20.0850 1.1970 ; + RECT 20.0430 0.2690 20.0850 0.3110 ; + END + ANTENNADIFFAREA 0.255 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 23.8640 1.7020 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 4.8390 0.9460 4.8890 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 5.1430 1.3600 5.1930 1.6420 ; + RECT 7.2320 1.4090 7.2820 1.6420 ; + RECT 5.5800 1.3590 7.2820 1.4090 ; + RECT 20.1910 0.9590 20.2410 1.2900 ; + RECT 7.2320 1.2900 20.6970 1.3400 ; + RECT 20.6470 1.1270 20.6970 1.2900 ; + RECT 1.1760 1.1730 1.2410 1.6420 ; + RECT 7.2320 1.3400 7.2820 1.3590 ; + END + PORT + LAYER CO ; + RECT 15.4830 1.2940 15.5250 1.3360 ; + RECT 20.6510 1.1630 20.6930 1.2050 ; + RECT 20.6510 1.1630 20.6930 1.2050 ; + RECT 20.6510 1.2550 20.6930 1.2970 ; + RECT 20.6510 1.2550 20.6930 1.2970 ; + RECT 9.8590 1.2940 9.9010 1.3360 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 9.4030 1.2940 9.4450 1.3360 ; + RECT 12.5950 1.2920 12.6370 1.3340 ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.2300 4.8850 1.2720 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.0460 4.8850 1.0880 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.1380 4.8850 1.1800 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 13.0510 1.2920 13.0930 1.3340 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.3220 4.8850 1.3640 ; + RECT 4.8430 1.4140 4.8850 1.4560 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 17.5350 1.6510 17.5770 1.6930 ; + RECT 17.6870 1.6510 17.7290 1.6930 ; + RECT 17.8390 1.6510 17.8810 1.6930 ; + RECT 17.9910 1.6510 18.0330 1.6930 ; + RECT 18.1430 1.6510 18.1850 1.6930 ; + RECT 18.2950 1.6510 18.3370 1.6930 ; + RECT 18.4470 1.6510 18.4890 1.6930 ; + RECT 18.5990 1.6510 18.6410 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.1390 1.2930 12.1810 1.3350 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 18.7510 1.6510 18.7930 1.6930 ; + RECT 18.9030 1.6510 18.9450 1.6930 ; + RECT 19.0550 1.6510 19.0970 1.6930 ; + RECT 19.2070 1.6510 19.2490 1.6930 ; + RECT 19.3590 1.6510 19.4010 1.6930 ; + RECT 19.5110 1.6510 19.5530 1.6930 ; + RECT 19.6630 1.6510 19.7050 1.6930 ; + RECT 19.8150 1.6510 19.8570 1.6930 ; + RECT 19.9670 1.6510 20.0090 1.6930 ; + RECT 20.1190 1.6510 20.1610 1.6930 ; + RECT 20.2710 1.6510 20.3130 1.6930 ; + RECT 20.4230 1.6510 20.4650 1.6930 ; + RECT 20.5750 1.6510 20.6170 1.6930 ; + RECT 20.7270 1.6510 20.7690 1.6930 ; + RECT 20.8790 1.6510 20.9210 1.6930 ; + RECT 21.0310 1.6510 21.0730 1.6930 ; + RECT 21.1830 1.6510 21.2250 1.6930 ; + RECT 21.3350 1.6510 21.3770 1.6930 ; + RECT 21.4870 1.6510 21.5290 1.6930 ; + RECT 21.6390 1.6510 21.6810 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 21.7910 1.6510 21.8330 1.6930 ; + RECT 21.9430 1.6510 21.9850 1.6930 ; + RECT 22.0950 1.6510 22.1370 1.6930 ; + RECT 22.2470 1.6510 22.2890 1.6930 ; + RECT 22.3990 1.6510 22.4410 1.6930 ; + RECT 22.5510 1.6510 22.5930 1.6930 ; + RECT 22.7030 1.6510 22.7450 1.6930 ; + RECT 22.8550 1.6510 22.8970 1.6930 ; + RECT 23.0070 1.6510 23.0490 1.6930 ; + RECT 23.1590 1.6510 23.2010 1.6930 ; + RECT 23.3110 1.6510 23.3530 1.6930 ; + RECT 23.4630 1.6510 23.5050 1.6930 ; + RECT 23.6150 1.6510 23.6570 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 23.7670 1.6510 23.8090 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 20.1950 1.0710 20.2370 1.1130 ; + RECT 20.1950 1.1630 20.2370 1.2050 ; + RECT 20.1950 1.1630 20.2370 1.2050 ; + RECT 10.9230 1.2940 10.9650 1.3360 ; + RECT 6.6670 1.3630 6.7090 1.4050 ; + RECT 6.9710 1.3630 7.0130 1.4050 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 13.5070 1.2920 13.5490 1.3340 ; + RECT 6.2110 1.3630 6.2530 1.4050 ; + RECT 20.1950 0.9790 20.2370 1.0210 ; + RECT 8.4910 1.2940 8.5330 1.3360 ; + RECT 5.6030 1.3630 5.6450 1.4050 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 7.2750 1.2940 7.3170 1.3360 ; + RECT 20.1950 0.9790 20.2370 1.0210 ; + RECT 15.9390 1.2940 15.9810 1.3360 ; + RECT 20.1950 1.0710 20.2370 1.1130 ; + RECT 20.1950 1.2550 20.2370 1.2970 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 16.3950 1.2940 16.4370 1.3360 ; + RECT 18.2190 1.2940 18.2610 1.3360 ; + RECT 15.0270 1.2940 15.0690 1.3360 ; + RECT 17.3070 1.2940 17.3490 1.3360 ; + RECT 14.4190 1.2920 14.4610 1.3340 ; + RECT 13.9630 1.2920 14.0050 1.3340 ; + RECT 18.3710 1.2940 18.4130 1.3360 ; + RECT 18.8270 1.2940 18.8690 1.3360 ; + RECT 5.9070 1.3630 5.9490 1.4050 ; + RECT 17.6110 1.2940 17.6530 1.3360 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 19.7390 1.2940 19.7810 1.3360 ; + RECT 19.4350 1.2940 19.4770 1.3360 ; + RECT 20.1950 1.2550 20.2370 1.2970 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 18.4090 1.4340 22.4020 1.4840 ; + RECT 22.2890 1.3130 22.4020 1.4340 ; + END + PORT + LAYER CO ; + RECT 22.2470 1.4380 22.2890 1.4800 ; + RECT 18.7510 1.4380 18.7930 1.4800 ; + RECT 21.6390 1.4380 21.6810 1.4800 ; + RECT 19.3590 1.4360 19.4010 1.4780 ; + RECT 18.4470 1.4380 18.4890 1.4800 ; + END + ANTENNAGATEAREA 0.096 ; + END RETN + OBS + LAYER CO ; + RECT 15.5590 0.0920 15.6010 0.1340 ; + RECT 15.7110 0.6710 15.7530 0.7130 ; + RECT 15.6350 0.4160 15.6770 0.4580 ; + RECT 15.7870 0.5170 15.8290 0.5590 ; + RECT 15.7870 0.9710 15.8290 1.0130 ; + RECT 15.6350 1.1380 15.6770 1.1800 ; + RECT 15.5590 1.5380 15.6010 1.5800 ; + RECT 13.2030 0.4180 13.2450 0.4600 ; + RECT 13.3550 0.5250 13.3970 0.5670 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 10.1630 0.4750 10.2050 0.5170 ; + RECT 10.1630 0.4750 10.2050 0.5170 ; + RECT 10.0110 0.3660 10.0530 0.4080 ; + RECT 9.9350 0.8220 9.9770 0.8640 ; + RECT 9.9350 0.6170 9.9770 0.6590 ; + RECT 10.0870 0.7170 10.1290 0.7590 ; + RECT 10.1630 1.1820 10.2050 1.2240 ; + RECT 10.1630 1.1820 10.2050 1.2240 ; + RECT 10.0110 1.4280 10.0530 1.4700 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.0460 5.0370 1.0880 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.1380 5.0370 1.1800 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 4.9950 1.2300 5.0370 1.2720 ; + RECT 20.7270 0.6170 20.7690 0.6590 ; + RECT 20.5750 0.6620 20.6170 0.7040 ; + RECT 9.4790 0.6170 9.5210 0.6590 ; + RECT 9.6310 0.7170 9.6730 0.7590 ; + RECT 9.7070 0.4750 9.7490 0.5170 ; + RECT 9.7070 0.4750 9.7490 0.5170 ; + RECT 11.6070 0.7270 11.6490 0.7690 ; + RECT 11.4550 0.6270 11.4970 0.6690 ; + RECT 9.5550 0.3660 9.5970 0.4080 ; + RECT 11.5310 0.3260 11.5730 0.3680 ; + RECT 11.5310 0.4180 11.5730 0.4600 ; + RECT 9.7070 1.1820 9.7490 1.2240 ; + RECT 11.5310 1.3940 11.5730 1.4360 ; + RECT 11.6830 0.9380 11.7250 0.9800 ; + RECT 9.5550 1.4280 9.5970 1.4700 ; + RECT 12.6710 1.5380 12.7130 1.5800 ; + RECT 12.7470 1.0770 12.7890 1.1190 ; + RECT 12.8990 1.1820 12.9410 1.2240 ; + RECT 12.8230 0.6870 12.8650 0.7290 ; + RECT 12.7470 0.4180 12.7890 0.4600 ; + RECT 12.8990 0.5250 12.9410 0.5670 ; + RECT 12.6710 0.0920 12.7130 0.1340 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 7.6550 0.8220 7.6970 0.8640 ; + RECT 7.8070 0.7170 7.8490 0.7590 ; + RECT 7.8830 1.1820 7.9250 1.2240 ; + RECT 7.7310 1.4280 7.7730 1.4700 ; + RECT 7.8830 0.4750 7.9250 0.5170 ; + RECT 7.7310 0.3660 7.7730 0.4080 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 13.2030 1.0770 13.2450 1.1190 ; + RECT 13.3550 1.1820 13.3970 1.2240 ; + RECT 13.1270 1.5380 13.1690 1.5800 ; + RECT 13.1270 0.0920 13.1690 0.1340 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.3220 5.0370 1.3640 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.9950 1.4140 5.0370 1.4560 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 10.4670 1.3930 10.5090 1.4350 ; + RECT 10.7710 1.3940 10.8130 1.4360 ; + RECT 10.7710 0.3260 10.8130 0.3680 ; + RECT 10.7710 0.4180 10.8130 0.4600 ; + RECT 12.5190 0.6870 12.5610 0.7290 ; + RECT 11.9870 1.0650 12.0290 1.1070 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 18.1430 1.4210 18.1850 1.4630 ; + RECT 17.6870 1.4210 17.7290 1.4630 ; + RECT 12.3670 1.4210 12.4090 1.4630 ; + RECT 12.3670 1.0640 12.4090 1.1060 ; + RECT 18.0670 0.4230 18.1090 0.4650 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 11.7590 0.7110 11.8010 0.7530 ; + RECT 9.4790 0.8220 9.5210 0.8640 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 11.1510 0.7270 11.1930 0.7690 ; + RECT 14.4950 0.0920 14.5370 0.1340 ; + RECT 11.3030 0.6270 11.3450 0.6690 ; + RECT 11.2270 1.3940 11.2690 1.4360 ; + RECT 11.0750 0.9380 11.1170 0.9800 ; + RECT 11.7590 0.5730 11.8010 0.6150 ; + RECT 10.5430 0.7270 10.5850 0.7690 ; + RECT 10.6950 0.7270 10.7370 0.7690 ; + RECT 11.2270 0.3260 11.2690 0.3680 ; + RECT 11.2270 0.4180 11.2690 0.4600 ; + RECT 14.6470 0.6870 14.6890 0.7290 ; + RECT 14.7230 1.1820 14.7650 1.2240 ; + RECT 13.2790 0.6870 13.3210 0.7290 ; + RECT 14.5710 0.4180 14.6130 0.4600 ; + RECT 10.2390 0.6170 10.2810 0.6590 ; + RECT 10.2390 1.5380 10.2810 1.5800 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 9.2510 1.1820 9.2930 1.2240 ; + RECT 9.0990 1.4280 9.1410 1.4700 ; + RECT 9.0230 0.8220 9.0650 0.8640 ; + RECT 18.0670 1.1940 18.1090 1.2360 ; + RECT 17.7630 1.1940 17.8050 1.2360 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 17.4590 1.1940 17.5010 1.2360 ; + RECT 8.3390 0.4750 8.3810 0.5170 ; + RECT 21.0310 0.7630 21.0730 0.8050 ; + RECT 16.4710 1.5380 16.5130 1.5800 ; + RECT 7.3510 0.7230 7.3930 0.7650 ; + RECT 18.6750 0.3460 18.7170 0.3880 ; + RECT 17.4590 0.4590 17.5010 0.5010 ; + RECT 22.1710 0.3680 22.2130 0.4100 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 9.1750 0.7170 9.2170 0.7590 ; + RECT 6.3630 1.0380 6.4050 1.0800 ; + RECT 6.3630 1.1300 6.4050 1.1720 ; + RECT 6.3630 0.4330 6.4050 0.4750 ; + RECT 17.3830 0.8710 17.4250 0.9130 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 6.8190 1.0440 6.8610 1.0860 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 7.1230 1.0440 7.1650 1.0860 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 6.5150 0.4590 6.5570 0.5010 ; + RECT 7.4270 0.4590 7.4690 0.5010 ; + RECT 7.1230 0.4590 7.1650 0.5010 ; + RECT 6.5150 1.0440 6.5570 1.0860 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 6.5910 0.7230 6.6330 0.7650 ; + RECT 6.8190 0.4590 6.8610 0.5010 ; + RECT 9.2510 0.4750 9.2930 0.5170 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 6.7430 0.7230 6.7850 0.7650 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 6.8950 0.7230 6.9370 0.7650 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 7.0470 0.7230 7.0890 0.7650 ; + RECT 13.8110 1.1820 13.8530 1.2240 ; + RECT 14.5710 1.0770 14.6130 1.1190 ; + RECT 16.0910 0.4160 16.1330 0.4580 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 1.1300 5.7970 1.1720 ; + RECT 16.9270 0.8710 16.9690 0.9130 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 13.5830 1.5380 13.6250 1.5800 ; + RECT 5.7550 1.0380 5.7970 1.0800 ; + RECT 5.4510 0.5250 5.4930 0.5670 ; + RECT 16.9270 0.6170 16.9690 0.6590 ; + RECT 6.0590 1.1300 6.1010 1.1720 ; + RECT 6.0590 1.0380 6.1010 1.0800 ; + RECT 16.8510 0.4810 16.8930 0.5230 ; + RECT 17.0030 0.4810 17.0450 0.5230 ; + RECT 17.8390 0.6310 17.8810 0.6730 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 15.1790 0.4160 15.2210 0.4580 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 22.3230 0.6230 22.3650 0.6650 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 19.8150 0.6170 19.8570 0.6590 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 10.3910 0.6270 10.4330 0.6690 ; + RECT 19.2830 0.4050 19.3250 0.4470 ; + RECT 16.6990 0.3890 16.7410 0.4310 ; + RECT 19.5870 1.0640 19.6290 1.1060 ; + RECT 16.0910 1.1380 16.1330 1.1800 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 10.4670 0.3260 10.5090 0.3680 ; + RECT 14.2670 1.1820 14.3090 1.2240 ; + RECT 10.6190 0.9380 10.6610 0.9800 ; + RECT 23.1590 0.5660 23.2010 0.6080 ; + RECT 17.1550 0.2970 17.1970 0.3390 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 18.4470 0.5660 18.4890 0.6080 ; + RECT 7.4270 1.0440 7.4690 1.0860 ; + RECT 8.1110 0.8220 8.1530 0.8640 ; + RECT 5.4510 1.1300 5.4930 1.1720 ; + RECT 14.0390 1.5380 14.0810 1.5800 ; + RECT 19.0550 0.5680 19.0970 0.6100 ; + RECT 19.5870 1.0640 19.6290 1.1060 ; + RECT 16.2430 0.9710 16.2850 1.0130 ; + RECT 8.3390 1.1820 8.3810 1.2240 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 18.9030 0.5660 18.9450 0.6080 ; + RECT 20.1190 0.6620 20.1610 0.7040 ; + RECT 15.3310 0.9710 15.3730 1.0130 ; + RECT 17.2310 0.8710 17.2730 0.9130 ; + RECT 16.8510 0.3890 16.8930 0.4310 ; + RECT 16.0150 0.0920 16.0570 0.1340 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 14.0390 0.0920 14.0810 0.1340 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 14.2670 0.5250 14.3090 0.5670 ; + RECT 14.9510 0.6710 14.9930 0.7130 ; + RECT 8.5670 0.8220 8.6090 0.8640 ; + RECT 21.8670 0.6330 21.9090 0.6750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 17.0030 0.9940 17.0450 1.0360 ; + RECT 8.7950 0.4750 8.8370 0.5170 ; + RECT 22.6270 0.6860 22.6690 0.7280 ; + RECT 19.6630 0.6170 19.7050 0.6590 ; + RECT 5.4510 1.0380 5.4930 1.0800 ; + RECT 8.7190 0.7170 8.7610 0.7590 ; + RECT 19.5870 1.1560 19.6290 1.1980 ; + RECT 13.5830 0.0920 13.6250 0.1340 ; + RECT 14.1910 0.6870 14.2330 0.7290 ; + RECT 19.5870 0.5010 19.6290 0.5430 ; + RECT 17.0790 0.6170 17.1210 0.6590 ; + RECT 19.8910 1.0640 19.9330 1.1060 ; + RECT 22.9310 0.6800 22.9730 0.7220 ; + RECT 14.1150 1.0770 14.1570 1.1190 ; + RECT 19.8910 1.0640 19.9330 1.1060 ; + RECT 13.7350 0.6870 13.7770 0.7290 ; + RECT 10.8470 0.6270 10.8890 0.6690 ; + RECT 22.9310 0.3290 22.9730 0.3710 ; + RECT 19.8910 1.0640 19.9330 1.1060 ; + RECT 19.8910 0.9720 19.9330 1.0140 ; + RECT 19.5870 0.4090 19.6290 0.4510 ; + RECT 19.8910 0.9720 19.9330 1.0140 ; + RECT 19.8910 1.1560 19.9330 1.1980 ; + RECT 19.8910 1.1560 19.9330 1.1980 ; + RECT 22.5510 0.4660 22.5930 0.5080 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 15.1030 0.0920 15.1450 0.1340 ; + RECT 14.7230 0.5250 14.7650 0.5670 ; + RECT 15.2550 0.6710 15.2970 0.7130 ; + RECT 16.6990 0.9710 16.7410 1.0130 ; + RECT 8.7950 0.4750 8.8370 0.5170 ; + RECT 17.0030 1.1780 17.0450 1.2200 ; + RECT 20.2710 0.6170 20.3130 0.6590 ; + RECT 16.8510 1.0860 16.8930 1.1280 ; + RECT 16.6990 1.0860 16.7410 1.1280 ; + RECT 8.2630 0.7170 8.3050 0.7590 ; + RECT 7.5030 0.7190 7.5450 0.7610 ; + RECT 13.6590 0.4180 13.7010 0.4600 ; + RECT 17.0030 0.3890 17.0450 0.4310 ; + RECT 16.1670 0.6710 16.2090 0.7130 ; + RECT 19.1310 1.0710 19.1730 1.1130 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 16.6990 0.4810 16.7410 0.5230 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 17.9150 1.0670 17.9570 1.1090 ; + RECT 22.0950 1.2040 22.1370 1.2460 ; + RECT 17.0030 1.0860 17.0450 1.1280 ; + RECT 8.4150 0.8220 8.4570 0.8640 ; + RECT 22.3230 0.1750 22.3650 0.2170 ; + RECT 11.9870 0.4960 12.0290 0.5380 ; + RECT 6.3630 0.5250 6.4050 0.5670 ; + RECT 16.5470 0.4160 16.5890 0.4580 ; + RECT 17.0790 1.5380 17.1210 1.5800 ; + RECT 14.4950 1.5380 14.5370 1.5800 ; + RECT 16.2430 0.5170 16.2850 0.5590 ; + RECT 19.5110 0.6170 19.5530 0.6590 ; + RECT 8.1870 0.3660 8.2290 0.4080 ; + RECT 16.7750 0.0920 16.8170 0.1340 ; + RECT 16.8510 1.1780 16.8930 1.2200 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 17.1550 0.3890 17.1970 0.4310 ; + RECT 17.1550 1.1940 17.1970 1.2360 ; + RECT 9.2510 0.4750 9.2930 0.5170 ; + RECT 17.7630 0.4230 17.8050 0.4650 ; + RECT 9.0990 0.3660 9.1410 0.4080 ; + RECT 15.3310 0.5170 15.3730 0.5590 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 19.5870 1.0640 19.6290 1.1060 ; + RECT 8.1870 1.4280 8.2290 1.4700 ; + RECT 15.1030 1.5380 15.1450 1.5800 ; + RECT 19.5870 0.9720 19.6290 1.0140 ; + RECT 19.5870 0.9720 19.6290 1.0140 ; + RECT 18.7510 0.5660 18.7930 0.6080 ; + RECT 18.9030 0.7160 18.9450 0.7580 ; + RECT 10.4670 0.4180 10.5090 0.4600 ; + RECT 21.8670 0.3740 21.9090 0.4160 ; + RECT 7.4270 0.4590 7.4690 0.5010 ; + RECT 8.5670 0.6170 8.6090 0.6590 ; + RECT 5.4510 0.4330 5.4930 0.4750 ; + RECT 17.9910 0.6310 18.0330 0.6730 ; + RECT 19.5870 1.1560 19.6290 1.1980 ; + RECT 21.5630 0.6650 21.6050 0.7070 ; + RECT 23.1590 1.5380 23.2010 1.5800 ; + RECT 22.8550 0.4660 22.8970 0.5080 ; + RECT 14.9510 0.8710 14.9930 0.9130 ; + RECT 22.0190 0.1360 22.0610 0.1780 ; + RECT 21.5630 0.3740 21.6050 0.4160 ; + RECT 22.1710 0.8410 22.2130 0.8830 ; + RECT 21.0310 1.2040 21.0730 1.2460 ; + RECT 22.0190 0.6390 22.0610 0.6810 ; + RECT 16.4710 0.0920 16.5130 0.1340 ; + RECT 22.6270 0.3500 22.6690 0.3920 ; + RECT 19.5110 0.0980 19.5530 0.1400 ; + RECT 15.1790 1.1380 15.2210 1.1800 ; + RECT 19.1310 0.3460 19.1730 0.3880 ; + RECT 16.6230 0.6710 16.6650 0.7130 ; + RECT 16.8510 0.9940 16.8930 1.0360 ; + RECT 16.0150 1.5380 16.0570 1.5800 ; + RECT 8.6430 1.4280 8.6850 1.4700 ; + RECT 19.2830 1.0640 19.3250 1.1060 ; + RECT 16.6990 1.1780 16.7410 1.2200 ; + RECT 13.8110 0.5250 13.8530 0.5670 ; + RECT 16.5470 1.1380 16.5890 1.1800 ; + RECT 19.8910 0.5010 19.9330 0.5430 ; + RECT 13.6590 1.0770 13.7010 1.1190 ; + RECT 18.5990 1.5380 18.6410 1.5800 ; + RECT 18.6750 1.0710 18.7170 1.1130 ; + RECT 22.0950 0.4980 22.1370 0.5400 ; + RECT 8.7950 1.1820 8.8370 1.2240 ; + RECT 16.7750 0.8710 16.8170 0.9130 ; + RECT 21.9430 1.0610 21.9850 1.1030 ; + RECT 19.8910 0.4090 19.9330 0.4510 ; + RECT 14.1150 0.4180 14.1570 0.4600 ; + RECT 8.6430 0.3660 8.6850 0.4080 ; + RECT 16.6990 0.2970 16.7410 0.3390 ; + RECT 7.1990 0.7230 7.2410 0.7650 ; + LAYER PO ; + RECT 20.7330 0.0660 20.7630 1.6060 ; + RECT 20.4290 0.0660 20.4590 1.6060 ; + RECT 21.3410 0.0660 21.3710 1.6060 ; + RECT 21.6450 0.0660 21.6750 1.6060 ; + RECT 20.8850 0.0660 20.9150 1.6060 ; + RECT 21.7970 0.0660 21.8270 1.6060 ; + RECT 21.1890 0.0660 21.2190 1.6060 ; + RECT 21.4930 0.0660 21.5230 1.6060 ; + RECT 21.0370 0.0670 21.0670 1.6050 ; + RECT 22.2530 0.0660 22.2830 1.6060 ; + RECT 21.9490 0.0660 21.9790 1.6060 ; + RECT 22.1010 0.0660 22.1310 1.6060 ; + RECT 22.7090 0.0660 22.7390 1.6060 ; + RECT 22.4050 0.0660 22.4350 1.6060 ; + RECT 22.5570 0.0660 22.5870 1.6060 ; + RECT 23.7730 0.0660 23.8030 1.6060 ; + RECT 23.6210 0.0660 23.6510 1.6060 ; + RECT 23.4690 0.0660 23.4990 1.6060 ; + RECT 23.1650 0.0660 23.1950 1.6060 ; + RECT 23.3170 0.0660 23.3470 1.6060 ; + RECT 22.8610 0.0660 22.8910 1.6060 ; + RECT 23.0130 0.0660 23.0430 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6600 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.7970 7.6910 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6600 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.5730 0.7970 8.6030 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.1170 0.7970 8.1470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6600 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 9.0290 0.7970 9.0590 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.6600 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.4850 0.0660 9.5150 0.6600 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.7970 9.5150 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.9410 0.7970 9.9710 1.6060 ; + RECT 9.9410 0.0660 9.9710 0.6600 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.6770 0.8430 12.7070 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7380 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 13.5890 0.8430 13.6190 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 13.5890 0.0660 13.6190 0.7380 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 13.1330 0.0660 13.1630 0.7380 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.1330 0.8430 13.1630 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 14.0450 0.8430 14.0750 1.6060 ; + RECT 14.0450 0.0660 14.0750 0.7380 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 14.5010 0.0660 14.5310 0.7380 ; + RECT 14.5010 0.8460 14.5310 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 15.1090 0.0660 15.1390 0.6870 ; + RECT 15.1090 0.8870 15.1390 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 15.5650 0.8870 15.5950 1.6060 ; + RECT 15.5650 0.0660 15.5950 0.6870 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 16.0210 0.8870 16.0510 1.6060 ; + RECT 16.4770 0.8870 16.5070 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.0210 0.0660 16.0510 0.6870 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 16.4770 0.0660 16.5070 0.6870 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 17.0850 0.0660 17.1150 1.6060 ; + RECT 17.6930 0.0660 17.7230 1.6060 ; + RECT 16.9330 0.0660 16.9630 0.6910 ; + RECT 16.9330 0.8390 16.9630 1.6060 ; + RECT 17.5410 0.0660 17.5710 1.6060 ; + RECT 17.2370 0.0660 17.2670 1.6060 ; + RECT 17.8450 0.0660 17.8750 1.6060 ; + RECT 17.3890 0.0660 17.4190 1.6060 ; + RECT 18.4530 0.0660 18.4830 0.6380 ; + RECT 18.7570 0.0660 18.7870 1.6060 ; + RECT 18.3010 0.0660 18.3310 1.6060 ; + RECT 18.6050 0.0660 18.6350 1.6060 ; + RECT 18.4530 0.7380 18.4830 1.6060 ; + RECT 18.1490 0.0660 18.1790 1.6060 ; + RECT 17.9970 0.0660 18.0270 1.6060 ; + RECT 18.9090 0.0660 18.9390 0.6130 ; + RECT 18.9090 0.7130 18.9390 1.6060 ; + RECT 19.0610 0.0660 19.0910 1.6060 ; + RECT 19.6690 0.0660 19.6990 1.6060 ; + RECT 19.8210 0.0660 19.8510 1.6060 ; + RECT 19.2130 0.0660 19.2430 1.6060 ; + RECT 19.3650 0.0660 19.3950 1.6060 ; + RECT 19.5170 0.0660 19.5470 1.6060 ; + RECT 20.2770 0.0660 20.3070 1.6060 ; + RECT 20.1250 0.0660 20.1550 1.6060 ; + RECT 19.9730 0.0660 20.0030 1.6060 ; + RECT 20.5810 0.0660 20.6110 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + LAYER M1 ; + RECT 19.2790 0.7640 19.3290 1.2400 ; + RECT 19.2790 0.7120 19.3290 0.7140 ; + RECT 18.6310 0.7640 18.6810 1.0170 ; + RECT 18.6310 0.5000 18.6810 0.7140 ; + RECT 17.5670 0.7670 17.9610 0.8170 ; + RECT 17.4560 0.7080 17.6170 0.7580 ; + RECT 17.2110 0.8670 17.5060 0.9170 ; + RECT 17.5670 0.5270 18.1130 0.5770 ; + RECT 17.9110 0.8170 17.9610 1.1290 ; + RECT 17.5670 0.7580 17.6170 0.7670 ; + RECT 17.5670 0.5770 17.6170 0.7080 ; + RECT 17.7590 0.4030 17.8090 0.5270 ; + RECT 18.0630 0.4030 18.1130 0.5270 ; + RECT 17.4560 0.7580 17.5060 0.8670 ; + RECT 18.7310 0.5620 18.9650 0.6120 ; + RECT 19.3910 0.7590 21.0930 0.8090 ; + RECT 19.3910 0.5130 19.9400 0.5630 ; + RECT 19.8870 0.3830 19.9370 0.5130 ; + RECT 19.8870 0.8090 19.9370 1.2400 ; + RECT 19.5830 0.3830 19.6330 0.5130 ; + RECT 19.0350 0.5640 19.4410 0.6140 ; + RECT 19.3910 0.5630 19.4410 0.5640 ; + RECT 19.5830 0.8090 19.6330 1.2400 ; + RECT 19.3910 0.6140 19.4410 0.7590 ; + RECT 20.1150 0.6420 20.1650 0.7590 ; + RECT 20.5710 0.6420 20.6210 0.7590 ; + RECT 17.1510 0.4550 17.5210 0.5050 ; + RECT 16.9990 1.1900 17.5210 1.2400 ; + RECT 16.9990 0.2770 17.2010 0.3270 ; + RECT 17.1110 0.7670 17.2410 0.8170 ; + RECT 16.9990 0.9780 17.1610 1.0280 ; + RECT 16.9990 0.3270 17.0490 0.5560 ; + RECT 17.1510 0.3270 17.2010 0.4550 ; + RECT 17.1910 0.5050 17.2410 0.7670 ; + RECT 16.9990 0.9740 17.0490 0.9780 ; + RECT 17.1110 0.8170 17.1610 0.9780 ; + RECT 16.9990 1.0280 17.0490 1.1900 ; + RECT 21.5590 1.0570 22.0050 1.1070 ; + RECT 21.5590 0.1260 21.6090 1.0570 ; + RECT 22.3190 0.4620 22.6130 0.5120 ; + RECT 21.9990 0.1320 22.3690 0.1820 ; + RECT 21.9990 0.6350 22.3690 0.6850 ; + RECT 22.3190 0.1820 22.3690 0.4620 ; + RECT 22.3190 0.5120 22.3690 0.6350 ; + RECT 19.4910 0.6130 20.0650 0.6630 ; + RECT 20.2670 0.5510 20.3170 0.6790 ; + RECT 20.7230 0.5510 20.7730 0.6790 ; + RECT 20.0150 0.5010 20.7730 0.5510 ; + RECT 20.0150 0.5510 20.0650 0.6130 ; + RECT 22.6230 0.3620 22.8160 0.4120 ; + RECT 22.7660 0.4620 22.9170 0.5120 ; + RECT 22.6230 0.5890 22.8160 0.6390 ; + RECT 22.7660 0.4120 22.8160 0.4620 ; + RECT 22.7660 0.5120 22.8160 0.5890 ; + RECT 22.6230 0.1260 22.6730 0.3620 ; + RECT 22.6230 0.6390 22.6730 0.7680 ; + RECT 21.8630 0.4940 22.2170 0.5440 ; + RECT 22.1670 0.3480 22.2170 0.4940 ; + RECT 21.8630 0.5440 21.9130 0.7870 ; + RECT 22.9270 0.5620 23.2210 0.6120 ; + RECT 22.9270 0.3620 23.1120 0.4120 ; + RECT 23.0620 0.4120 23.1120 0.5620 ; + RECT 21.7630 0.8370 22.9770 0.8870 ; + RECT 22.9270 0.6120 22.9770 0.8370 ; + RECT 22.9270 0.1260 22.9770 0.3620 ; + RECT 21.7630 0.3700 21.9310 0.4200 ; + RECT 21.7630 0.4200 21.8130 0.8370 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 7.6270 0.6130 10.3010 0.6630 ; + RECT 7.6250 0.8180 10.0030 0.8680 ; + RECT 7.7070 1.4240 10.0740 1.4740 ; + RECT 10.5990 0.9340 11.8050 0.9840 ; + RECT 11.7550 0.6910 11.8050 0.9340 ; + RECT 12.7240 1.0730 14.6360 1.1230 ; + RECT 10.4470 1.3900 11.6000 1.4400 ; + RECT 15.1590 1.1340 16.6090 1.1840 ; + RECT 14.9310 0.6670 16.6850 0.7170 ; + RECT 16.9070 0.6130 17.1410 0.6630 ; + RECT 16.7550 0.8670 17.0090 0.9170 ; + RECT 17.7360 1.1900 18.1320 1.2400 ; + RECT 21.0110 1.2000 22.1570 1.2500 ; + RECT 7.4830 1.5340 17.1410 1.5840 ; + RECT 7.3310 0.0880 16.8370 0.1380 ; + RECT 18.5790 1.5340 23.2230 1.5840 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 14.8240 0.7670 15.1130 0.8170 ; + RECT 12.8780 0.5210 14.8740 0.5710 ; + RECT 7.8580 1.1780 14.8330 1.2280 ; + RECT 14.7670 1.0260 15.1130 1.0760 ; + RECT 15.0630 0.8170 15.1130 1.0260 ; + RECT 14.8240 0.5710 14.8740 0.7670 ; + RECT 14.7670 1.0760 14.8170 1.1780 ; + RECT 10.3630 0.6230 11.5280 0.6730 ; + RECT 7.8620 0.4710 10.4130 0.5210 ; + RECT 10.3630 0.5210 10.4130 0.6230 ; + RECT 10.3630 0.6730 10.4130 1.1780 ; + RECT 12.3330 1.4170 18.2050 1.4670 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 5.4470 0.7190 7.4130 0.7690 ; + RECT 6.3590 0.7690 6.4090 1.1920 ; + RECT 6.3590 0.4130 6.4090 0.7190 ; + RECT 5.4470 0.7690 5.4970 1.1920 ; + RECT 5.4470 0.4130 5.4970 0.7190 ; + RECT 5.7510 0.7690 5.8010 1.1920 ; + RECT 5.7510 0.4130 5.8010 0.7190 ; + RECT 6.0550 0.7690 6.1050 1.1920 ; + RECT 6.0550 0.4130 6.1050 0.7190 ; + RECT 6.6280 1.1770 7.7340 1.2270 ; + RECT 10.1020 0.7630 10.1520 0.9840 ; + RECT 7.7750 0.7130 10.1520 0.7630 ; + RECT 7.6840 0.9840 10.1520 1.0340 ; + RECT 7.6840 1.0340 7.7340 1.1770 ; + RECT 4.9910 1.2480 6.6780 1.2980 ; + RECT 6.6280 1.2270 6.6780 1.2480 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 1.2980 5.0410 1.5460 ; + RECT 4.9910 0.8280 5.0410 1.2480 ; + RECT 4.9910 0.5250 5.2370 0.5750 ; + RECT 4.9910 0.7780 5.2370 0.8280 ; + RECT 5.1870 0.5750 5.2370 0.7780 ; + RECT 6.4930 0.4550 7.5490 0.5050 ; + RECT 7.4990 0.5050 7.5490 1.0400 ; + RECT 6.4950 1.0400 7.5490 1.0900 ; + RECT 7.7100 0.3620 10.0730 0.4120 ; + RECT 12.3880 0.6830 14.7090 0.7330 ; + RECT 14.6430 0.9170 14.6930 0.9460 ; + RECT 14.6430 0.8670 15.0130 0.9170 ; + RECT 14.6430 0.7330 14.6930 0.8670 ; + RECT 11.7790 0.3920 12.4380 0.4420 ; + RECT 12.3880 0.4420 12.4380 0.6830 ; + RECT 10.4630 0.3060 10.5130 0.5210 ; + RECT 10.7670 0.3060 10.8170 0.5210 ; + RECT 11.2230 0.3060 11.2730 0.5210 ; + RECT 11.5270 0.3060 11.5770 0.5210 ; + RECT 10.4630 0.5210 11.8290 0.5710 ; + RECT 11.7550 0.5710 11.8050 0.6350 ; + RECT 11.7790 0.4420 11.8290 0.5210 ; + RECT 12.7250 0.4140 14.6340 0.4640 ; + RECT 11.9630 0.4920 12.3030 0.5420 ; + RECT 12.2530 0.5420 12.3030 1.0600 ; + RECT 10.4680 1.0600 12.4290 1.1100 ; + RECT 10.4680 0.7230 11.6840 0.7730 ; + RECT 10.4680 0.7730 10.5180 1.0600 ; + RECT 16.8870 0.0920 19.5740 0.1420 ; + RECT 16.6550 0.7670 16.7850 0.8170 ; + RECT 16.8470 0.1940 16.9370 0.2440 ; + RECT 15.2920 0.9670 16.7610 1.0170 ; + RECT 16.6950 1.1900 16.8970 1.2400 ; + RECT 15.2920 0.5130 16.8970 0.5630 ; + RECT 16.6950 0.1980 16.7450 0.5130 ; + RECT 16.7350 0.5630 16.7850 0.7670 ; + RECT 16.8870 0.1420 16.9370 0.1940 ; + RECT 16.6550 0.8170 16.7050 0.9670 ; + RECT 16.8470 0.9740 16.8970 1.1900 ; + RECT 16.6950 1.0170 16.7450 1.1900 ; + RECT 16.8470 0.2440 16.8970 0.5130 ; + RECT 15.1400 0.4120 16.6090 0.4620 ; + RECT 18.3270 0.3420 19.1930 0.3920 ; + RECT 17.8190 0.6270 18.3770 0.6770 ; + RECT 18.3270 1.0670 19.1930 1.1170 ; + RECT 18.3270 0.3920 18.3770 0.6270 ; + RECT 18.3270 0.6770 18.3770 1.0670 ; + RECT 18.4430 0.4500 19.3290 0.5000 ; + RECT 18.6310 0.7140 19.3290 0.7640 ; + RECT 18.4430 0.5000 18.4930 0.6280 ; + RECT 19.2790 0.3820 19.3290 0.4500 ; + LAYER NWELL ; + RECT -0.1160 1.5430 23.9740 1.7730 ; + RECT -0.1160 0.7390 20.9310 1.5430 ; + RECT 23.6990 0.6790 23.9740 1.5430 ; + RECT -0.1160 0.7310 6.4420 0.7390 ; + RECT 14.8800 0.6930 20.9310 0.7390 ; + RECT -0.1160 0.6790 5.3190 0.7310 ; + RECT 16.7960 0.6790 20.9310 0.6930 ; + RECT 2.6600 0.6610 3.7240 0.6790 ; + RECT 21.3930 0.4910 23.2390 1.0830 ; + END +END RSDFFNARX2_HVT + +MACRO RSDFFNSRARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.328 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.5430 0.8920 17.0900 0.9420 ; + RECT 16.9690 0.8570 17.0900 0.8920 ; + RECT 16.9690 0.9420 17.0900 0.9670 ; + RECT 16.5430 0.9420 16.5930 1.5640 ; + RECT 16.5430 0.2130 16.5930 0.4520 ; + RECT 17.0390 0.5020 17.0890 0.8570 ; + RECT 16.5430 0.4520 17.0890 0.5020 ; + END + PORT + LAYER CO ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 0.2430 16.5890 0.2850 ; + RECT 16.5470 0.3350 16.5890 0.3770 ; + RECT 16.5470 0.3350 16.5890 0.3770 ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + RECT 16.5470 1.0270 16.5890 1.0690 ; + RECT 16.5470 1.1190 16.5890 1.1610 ; + RECT 16.5470 1.0270 16.5890 1.0690 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.5470 1.1190 16.5890 1.1610 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 0.4270 16.5890 0.4690 ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.1200 1.1610 17.2420 1.2710 ; + RECT 16.8470 0.1160 16.8970 0.2890 ; + RECT 16.8470 0.2890 17.2050 0.3390 ; + RECT 16.8470 1.0420 17.2050 1.0920 ; + RECT 16.8470 1.0920 16.8970 1.5640 ; + RECT 17.1550 1.0920 17.2050 1.1610 ; + RECT 17.1550 0.3390 17.2050 1.0420 ; + END + PORT + LAYER CO ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 16.8510 0.1510 16.8930 0.1930 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 0.2430 16.8930 0.2850 ; + RECT 16.8510 0.1510 16.8930 0.1930 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.3280 1.7020 ; + RECT 8.0140 1.2200 10.9850 1.2700 ; + RECT 11.8310 1.4040 14.0490 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 15.9350 0.9590 15.9850 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.2390 0.9590 16.2890 1.6420 ; + RECT 16.6950 0.9920 16.7450 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 16.9990 1.1810 17.0490 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 12.5910 0.9530 12.6410 1.4040 ; + RECT 11.8310 1.2790 11.8810 1.4040 ; + RECT 13.9990 1.4540 14.0490 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.7950 1.2240 8.8370 1.2660 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 16.2430 1.1880 16.2850 1.2300 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 16.2430 1.0040 16.2850 1.0460 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 16.2430 1.0040 16.2850 1.0460 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 12.5950 1.1570 12.6370 1.1990 ; + RECT 12.5950 1.0650 12.6370 1.1070 ; + RECT 12.5950 1.1570 12.6370 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 16.2430 1.0960 16.2850 1.1380 ; + RECT 12.5950 1.2490 12.6370 1.2910 ; + RECT 12.5950 1.0650 12.6370 1.1070 ; + RECT 16.2430 1.0960 16.2850 1.1380 ; + RECT 16.2430 1.1880 16.2850 1.2300 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 16.2430 1.2800 16.2850 1.3220 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 9.8590 1.2240 9.9010 1.2660 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 15.9390 1.2800 15.9810 1.3220 ; + RECT 15.9390 1.0960 15.9810 1.1380 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 15.9390 1.0040 15.9810 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.2430 1.2800 16.2850 1.3220 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 12.5950 1.2490 12.6370 1.2910 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 10.9230 1.2240 10.9650 1.2660 ; + RECT 11.8350 1.3010 11.8770 1.3430 ; + RECT 15.9390 1.0040 15.9810 1.0460 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 15.9390 1.2800 15.9810 1.3220 ; + RECT 15.9390 1.1880 15.9810 1.2300 ; + RECT 15.9390 1.1880 15.9810 1.2300 ; + RECT 15.9390 1.0960 15.9810 1.1380 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.5950 0.9730 12.6370 1.0150 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.3280 0.0300 ; + RECT 16.6950 0.2030 16.7910 0.2530 ; + RECT 16.1990 0.2030 16.3050 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 14.5670 0.0300 14.6170 0.1980 ; + RECT 13.6550 0.0300 13.7050 0.4260 ; + RECT 15.9350 0.0300 15.9850 0.4230 ; + RECT 15.0230 0.0300 15.0730 0.4260 ; + RECT 16.9990 0.0300 17.0490 0.2260 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 12.7670 0.0300 12.8170 0.3280 ; + RECT 11.8150 0.3750 12.7920 0.3780 ; + RECT 11.8150 0.3280 12.8170 0.3750 ; + RECT 16.6950 0.2530 16.7450 0.3950 ; + RECT 16.7410 0.0300 16.7910 0.2030 ; + RECT 16.1990 0.0300 16.2490 0.2030 ; + RECT 7.5950 0.3080 10.9850 0.3480 ; + RECT 8.3510 0.3480 10.9850 0.3550 ; + RECT 5.5990 0.1940 6.4090 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 6.3590 0.2580 7.6450 0.2980 ; + RECT 6.3590 0.2440 6.4090 0.2580 ; + RECT 6.3590 0.2980 8.4010 0.3050 ; + RECT 6.3590 0.3080 6.4090 0.4630 ; + RECT 6.3590 0.3050 10.9850 0.3080 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 8.6430 0.3080 8.6850 0.3500 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.4030 0.3090 9.4450 0.3510 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 15.0270 0.1740 15.0690 0.2160 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 8.3390 0.3020 8.3810 0.3440 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 15.0270 0.2660 15.0690 0.3080 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 16.6990 0.2410 16.7410 0.2830 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.9470 0.3090 8.9890 0.3510 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 15.9390 0.3610 15.9810 0.4030 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 12.7470 0.3320 12.7890 0.3740 ; + RECT 11.8350 0.3320 11.8770 0.3740 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 16.2430 0.2070 16.2850 0.2490 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 16.6990 0.3330 16.7410 0.3750 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 15.0270 0.1740 15.0690 0.2160 ; + RECT 15.0270 0.3580 15.0690 0.4000 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 17.0030 0.1490 17.0450 0.1910 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 16.6990 0.3330 16.7410 0.3750 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.8590 0.3090 9.9010 0.3510 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 16.2430 0.2070 16.2850 0.2490 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 8.0350 0.3020 8.0770 0.3440 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.0030 0.1490 17.0450 0.1910 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 15.0270 0.3580 15.0690 0.4000 ; + RECT 10.9230 0.3090 10.9650 0.3510 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 15.9390 0.2690 15.9810 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 15.9390 0.1770 15.9810 0.2190 ; + RECT 15.9390 0.2690 15.9810 0.3110 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0970 7.8530 0.1470 ; + RECT 12.4990 0.0980 12.5810 0.1480 ; + RECT 7.6950 0.1470 7.8530 0.1980 ; + RECT 7.8030 0.2100 12.5650 0.2480 ; + RECT 12.5150 0.1480 12.5650 0.1980 ; + RECT 7.6950 0.1980 12.5650 0.2100 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.1010 7.3930 0.1430 ; + RECT 7.8070 0.1170 7.8490 0.1590 ; + RECT 12.5190 0.1020 12.5610 0.1440 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.1450 0.2490 15.2570 0.3590 ; + RECT 14.9310 0.5170 15.2060 0.5670 ; + RECT 15.1560 0.3590 15.2060 0.5170 ; + END + PORT + LAYER CO ; + RECT 14.9510 0.5210 14.9930 0.5630 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.1900 0.6680 10.4370 0.8150 ; + RECT 10.1900 0.6180 10.7570 0.6680 ; + RECT 10.9950 0.7810 11.0450 0.9330 ; + RECT 10.7070 0.6680 10.7570 0.7310 ; + RECT 10.7070 0.7310 11.0450 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.2390 0.6220 10.2810 0.6640 ; + RECT 10.6950 0.6220 10.7370 0.6640 ; + RECT 10.3910 0.6220 10.4330 0.6640 ; + RECT 10.9990 0.8710 11.0410 0.9130 ; + RECT 10.5430 0.6220 10.5850 0.6640 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.6390 0.9420 15.2570 1.0020 ; + RECT 15.1450 0.6900 15.2570 0.9420 ; + RECT 15.0230 0.6270 15.0730 0.9420 ; + END + PORT + LAYER CO ; + RECT 15.0270 0.6510 15.0690 0.6930 ; + RECT 15.0270 0.9270 15.0690 0.9690 ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + RECT 15.0270 0.6510 15.0690 0.6930 ; + RECT 14.5710 0.9510 14.6130 0.9930 ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + RECT 15.0270 0.7430 15.0690 0.7850 ; + RECT 15.1790 0.7100 15.2210 0.7520 ; + RECT 15.0270 0.9270 15.0690 0.9690 ; + RECT 15.1790 0.8620 15.2210 0.9040 ; + RECT 13.6590 0.9510 13.7010 0.9930 ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5210 2.9430 1.5710 ; + RECT 2.8330 1.5710 2.9430 1.5750 ; + RECT 2.8330 1.4420 2.9430 1.5210 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5260 2.8330 1.5680 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.1000 8.3050 0.1420 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.1630 1.1240 10.2050 1.1660 ; + RECT 10.2390 0.9240 10.2810 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 11.9870 1.2900 12.0290 1.3320 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 8.7190 0.9710 8.7610 1.0130 ; + RECT 12.2910 1.2900 12.3330 1.3320 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 12.1390 0.4430 12.1810 0.4850 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.1750 0.6620 9.2170 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.6310 0.6280 9.6730 0.6700 ; + RECT 8.8710 0.6890 8.9130 0.7310 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.4790 0.6280 9.5210 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 9.5550 0.5140 9.5970 0.5560 ; + RECT 9.5550 0.9450 9.5970 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.3790 0.5010 11.4210 0.5430 ; + RECT 12.2910 0.5540 12.3330 0.5960 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.4190 0.8240 14.4610 0.8660 ; + RECT 14.1150 0.3680 14.1570 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.2270 1.0240 11.2690 1.0660 ; + RECT 14.8750 0.3610 14.9170 0.4030 ; + RECT 16.3190 0.0970 16.3610 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 16.9270 0.6640 16.9690 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 9.0990 1.0620 9.1410 1.1040 ; + RECT 6.9710 0.3800 7.0130 0.4220 ; + RECT 14.8750 0.1770 14.9170 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 16.3950 1.2470 16.4370 1.2890 ; + RECT 14.2670 0.7130 14.3090 0.7550 ; + RECT 14.7230 0.7130 14.7650 0.7550 ; + RECT 16.3950 0.9710 16.4370 1.0130 ; + RECT 14.8750 0.2690 14.9170 0.3110 ; + RECT 14.1150 0.6770 14.1570 0.7190 ; + RECT 6.8950 0.0970 6.9370 0.1390 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.3950 0.8790 16.4370 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.6070 0.1010 11.6490 0.1430 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.0230 0.6620 9.0650 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 10.7710 0.4170 10.8130 0.4590 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.0990 0.5140 9.1410 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 14.8750 0.6800 14.9170 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 16.3950 1.2470 16.4370 1.2890 ; + RECT 13.8110 0.7310 13.8530 0.7730 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 12.4430 0.5580 12.4850 0.6000 ; + RECT 16.0910 1.1550 16.1330 1.1970 ; + RECT 10.0110 0.5250 10.0530 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.4020 8.2290 0.4440 ; + RECT 16.0910 0.8790 16.1330 0.9210 ; + RECT 11.4550 1.4380 11.4970 1.4800 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 10.8470 0.8510 10.8890 0.8930 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 16.3950 1.1550 16.4370 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 10.6190 0.5170 10.6610 0.5590 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.3950 0.2490 16.4370 0.2910 ; + RECT 16.3950 1.0630 16.4370 1.1050 ; + RECT 16.3950 1.0630 16.4370 1.1050 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 14.6470 0.4660 14.6890 0.5080 ; + RECT 14.8750 0.3610 14.9170 0.4030 ; + RECT 16.3950 0.9710 16.4370 1.0130 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 11.3790 0.4090 11.4210 0.4510 ; + RECT 10.0110 0.9240 10.0530 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.3150 1.0240 10.3570 1.0660 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.7230 0.2520 14.7650 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.5070 0.3460 13.5490 0.3880 ; + RECT 13.5830 0.5310 13.6250 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0970 6.6330 0.1390 ; + RECT 10.3910 0.9240 10.4330 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.0910 1.1550 16.1330 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 11.9110 0.6710 11.9530 0.7130 ; + RECT 12.2150 0.6540 12.2570 0.6960 ; + RECT 7.0470 0.0970 7.0890 0.1390 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 11.4550 0.1010 11.4970 0.1430 ; + RECT 11.7590 0.1010 11.8010 0.1430 ; + RECT 16.0910 0.8790 16.1330 0.9210 ; + RECT 8.8710 0.6890 8.9130 0.7310 ; + RECT 12.4430 1.1470 12.4850 1.1890 ; + RECT 13.9630 0.8240 14.0050 0.8660 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 12.6710 0.6890 12.7130 0.7310 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 16.0150 0.6170 16.0570 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 11.4550 1.4380 11.4970 1.4800 ; + RECT 11.7590 0.7730 11.8010 0.8150 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 8.8710 1.4910 8.9130 1.5330 ; + RECT 11.9110 0.9710 11.9530 1.0130 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.5670 0.9710 8.6090 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.5070 0.6510 13.5490 0.6930 ; + RECT 14.8750 0.1770 14.9170 0.2190 ; + RECT 12.1390 1.1830 12.1810 1.2250 ; + RECT 16.3950 1.1550 16.4370 1.1970 ; + RECT 16.3950 0.8790 16.4370 0.9210 ; + RECT 16.0910 0.9710 16.1330 1.0130 ; + RECT 11.2270 1.2080 11.2690 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.6070 1.4380 11.6490 1.4800 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 10.4670 0.4170 10.5090 0.4590 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.8110 0.6390 13.8530 0.6810 ; + RECT 13.1270 1.2030 13.1690 1.2450 ; + RECT 13.8110 0.6390 13.8530 0.6810 ; + RECT 13.5070 0.6510 13.5490 0.6930 ; + RECT 13.5070 0.1620 13.5490 0.2040 ; + RECT 13.9630 0.2520 14.0050 0.2940 ; + RECT 13.5070 0.2540 13.5490 0.2960 ; + RECT 13.8870 1.5380 13.9290 1.5800 ; + RECT 13.5070 0.9270 13.5490 0.9690 ; + RECT 14.4950 1.0610 14.5370 1.1030 ; + RECT 13.8110 0.8230 13.8530 0.8650 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0970 6.7850 0.1390 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 12.4430 1.0550 12.4850 1.0970 ; + RECT 10.9990 1.5380 11.0410 1.5800 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.1630 0.4170 10.2050 0.4590 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 12.4430 1.2390 12.4850 1.2810 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.2270 1.1160 11.2690 1.1580 ; + RECT 12.4430 1.0550 12.4850 1.0970 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + RECT 12.4430 1.1470 12.4850 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.6830 0.3340 11.7250 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 11.9870 0.4430 12.0290 0.4850 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.3150 0.5170 10.3570 0.5590 ; + RECT 16.3950 0.3410 16.4370 0.3830 ; + RECT 11.2270 0.4170 11.2690 0.4590 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.0910 1.2470 16.1330 1.2890 ; + RECT 14.1910 1.2030 14.2330 1.2450 ; + RECT 12.4430 1.2390 12.4850 1.2810 ; + RECT 13.8870 1.0610 13.9290 1.1030 ; + RECT 16.3190 0.6060 16.3610 0.6480 ; + RECT 13.5070 0.9270 13.5490 0.9690 ; + RECT 11.4550 0.8160 11.4970 0.8580 ; + RECT 11.5310 1.1990 11.5730 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 9.9350 1.5380 9.9770 1.5800 ; + RECT 11.5310 1.2910 11.5730 1.3330 ; + RECT 13.1270 0.8050 13.1690 0.8470 ; + RECT 16.0910 1.2470 16.1330 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3620 7.4690 0.4040 ; + RECT 16.6230 0.0970 16.6650 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.0910 1.0630 16.1330 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 12.4430 0.9630 12.4850 1.0050 ; + RECT 16.0910 1.0630 16.1330 1.1050 ; + RECT 16.0910 0.9710 16.1330 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.5070 0.3460 13.5490 0.3880 ; + RECT 14.2670 0.1360 14.3090 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.5070 0.7430 13.5490 0.7850 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 14.9510 1.0610 14.9930 1.1030 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 11.1510 1.5380 11.1930 1.5800 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 13.8110 0.8230 13.8530 0.8650 ; + LAYER PO ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.8160 6.7790 1.6060 ; + RECT 11.9170 0.9390 11.9470 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6730 ; + RECT 6.7490 0.0660 6.7790 0.6740 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 11.4610 0.7840 11.4910 1.6060 ; + RECT 16.6290 0.0690 16.6590 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 16.4770 0.0710 16.5070 1.6060 ; + RECT 16.7810 0.0710 16.8110 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 16.9330 0.0690 16.9630 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 12.9810 0.0670 13.0110 1.6050 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8390 11.0350 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.7410 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 10.2450 0.8920 10.2750 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.2450 0.0660 10.2750 0.6910 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 10.3970 0.8920 10.4270 1.6060 ; + RECT 11.6130 0.8240 11.6430 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.6130 0.0660 11.6430 0.6730 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.8160 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6740 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + LAYER M1 ; + RECT 11.5270 0.5500 12.3780 0.6000 ; + RECT 12.0230 0.7570 12.3890 0.8070 ; + RECT 12.3280 0.4800 12.3780 0.5500 ; + RECT 12.0230 0.6000 12.0730 0.7570 ; + RECT 12.3390 0.8070 12.3890 1.1790 ; + RECT 11.9590 0.4390 12.2030 0.4890 ; + RECT 9.3600 0.6240 9.6930 0.6740 ; + RECT 9.0790 0.5100 9.4100 0.5600 ; + RECT 9.3600 0.5600 9.4100 0.6240 ; + RECT 9.0950 1.1080 9.1450 1.1240 ; + RECT 9.0950 1.0420 9.1450 1.0580 ; + RECT 9.3600 0.6740 9.4100 1.0580 ; + RECT 9.0950 1.0580 9.4100 1.1080 ; + RECT 11.1110 0.5630 11.1610 1.0200 ; + RECT 10.2950 0.5130 11.1600 0.5440 ; + RECT 10.2950 0.5440 11.1610 0.5630 ; + RECT 9.7750 1.0200 11.1610 1.0700 ; + RECT 9.5280 0.5100 9.8250 0.5600 ; + RECT 9.5280 0.9410 9.8250 0.9910 ; + RECT 9.7750 0.5600 9.8250 0.9410 ; + RECT 9.7750 0.9910 9.8250 1.0200 ; + RECT 9.9870 0.9200 10.8930 0.9700 ; + RECT 10.8430 0.8310 10.8930 0.9200 ; + RECT 10.0070 0.5050 10.0570 0.9200 ; + RECT 13.5630 0.5270 14.1610 0.5770 ; + RECT 13.8070 0.5770 13.8570 0.8870 ; + RECT 13.8070 0.1260 13.8570 0.5270 ; + RECT 14.1110 0.5770 14.1610 0.7700 ; + RECT 14.1110 0.3480 14.1610 0.5270 ; + RECT 13.5030 1.0570 13.9520 1.1070 ; + RECT 13.4630 0.4270 13.5530 0.4770 ; + RECT 13.4630 0.6270 13.5530 0.6770 ; + RECT 13.5030 0.1260 13.5530 0.4270 ; + RECT 13.4630 0.4770 13.5130 0.6270 ; + RECT 13.5030 0.6770 13.5530 1.0570 ; + RECT 13.9430 0.2480 14.7850 0.2980 ; + RECT 14.6270 0.4620 14.8810 0.5120 ; + RECT 14.8310 0.3940 14.9210 0.4440 ; + RECT 14.8310 0.6270 14.9210 0.6770 ; + RECT 14.8710 0.1260 14.9210 0.3940 ; + RECT 14.8310 0.4440 14.8810 0.4620 ; + RECT 14.8710 0.6770 14.9210 0.7680 ; + RECT 14.8310 0.5120 14.8810 0.6270 ; + RECT 14.2470 0.1320 14.4810 0.1820 ; + RECT 16.4310 0.6600 16.9890 0.7100 ; + RECT 16.3910 0.4010 16.4810 0.4510 ; + RECT 16.3910 0.7780 16.4810 0.8280 ; + RECT 16.3910 0.2250 16.4410 0.4010 ; + RECT 16.3910 0.8280 16.4410 1.3090 ; + RECT 16.4310 0.4510 16.4810 0.6600 ; + RECT 16.4310 0.7100 16.4810 0.7780 ; + RECT 16.1260 0.6020 16.3810 0.6520 ; + RECT 16.0870 0.4010 16.1760 0.4510 ; + RECT 16.0870 0.7780 16.1760 0.8280 ; + RECT 16.0870 0.1570 16.1370 0.4010 ; + RECT 16.0870 0.8280 16.1370 1.3090 ; + RECT 16.1260 0.4510 16.1760 0.6020 ; + RECT 16.1260 0.6520 16.1760 0.7780 ; + RECT 16.2990 0.0930 16.6850 0.1430 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 8.8670 1.4340 11.6690 1.4840 ; + RECT 8.8670 1.4840 8.9170 1.5530 ; + RECT 8.8670 1.4200 8.9170 1.4340 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 8.7600 0.6850 8.9170 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 8.8100 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 8.8100 0.9140 ; + RECT 8.8670 0.7350 8.9170 0.7510 ; + RECT 8.8670 0.6690 8.9170 0.6850 ; + RECT 8.7600 0.7350 8.8100 0.8650 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.1290 1.5340 13.9490 1.5840 ; + RECT 11.3750 0.8120 11.5170 0.8620 ; + RECT 11.3750 0.6670 11.9730 0.7170 ; + RECT 11.3750 0.7170 11.4250 0.8120 ; + RECT 11.8380 0.9670 11.9730 1.0170 ; + RECT 11.7390 0.7690 11.8880 0.8190 ; + RECT 11.8380 0.8190 11.8880 0.9670 ; + RECT 10.8260 0.6130 11.0610 0.6630 ; + RECT 9.9150 1.5340 11.0610 1.5840 ; + RECT 11.9590 1.2860 12.3530 1.3360 ; + RECT 15.5330 0.6320 16.0610 0.6820 ; + RECT 16.0110 0.5970 16.0610 0.6320 ; + RECT 15.5330 0.6820 15.5830 1.1990 ; + RECT 13.1070 1.1990 15.5830 1.2490 ; + RECT 13.9430 0.8200 14.4910 0.8700 ; + RECT 14.2470 0.7090 14.7850 0.7590 ; + RECT 14.4750 1.0570 15.0130 1.1070 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.3760 7.0330 0.4120 ; + RECT 6.6470 0.4260 6.7530 0.4620 ; + RECT 6.6470 0.4120 7.0330 0.4260 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.7810 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.5050 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 8.2430 0.0970 11.8210 0.1470 ; + RECT 6.5700 0.0930 7.1150 0.1430 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3990 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.4040 0.3580 7.5420 0.3980 ; + RECT 7.4920 0.4080 8.2490 0.4480 ; + RECT 7.4040 0.3980 8.2490 0.4080 ; + RECT 8.4630 0.4050 9.0290 0.4550 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.0290 1.1700 ; + RECT 8.6390 0.4550 8.6890 0.7380 ; + RECT 8.9790 0.6580 9.2440 0.7080 ; + RECT 8.9790 0.7080 9.0290 1.1200 ; + RECT 8.9790 0.4550 9.0290 0.6580 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 10.1430 0.4130 11.4250 0.4630 ; + RECT 11.2230 0.9670 11.7460 1.0170 ; + RECT 10.1430 1.1200 11.2730 1.1700 ; + RECT 11.2230 0.3840 11.2730 0.4130 ; + RECT 11.3750 0.4630 11.4250 0.5630 ; + RECT 11.2230 0.4630 11.2730 0.9670 ; + RECT 11.2230 1.1700 11.2730 1.2700 ; + RECT 11.2230 1.0170 11.2730 1.1200 ; + RECT 11.3750 0.3800 11.4250 0.4130 ; + RECT 11.3750 0.3300 11.7510 0.3800 ; + RECT 11.3750 0.3270 11.4250 0.3300 ; + RECT 12.7430 0.8510 12.7930 1.3010 ; + RECT 12.4390 0.8010 13.1890 0.8510 ; + RECT 12.4390 0.5300 12.4890 0.6500 ; + RECT 12.1950 0.6500 12.4890 0.7000 ; + RECT 12.4390 0.8510 12.4890 1.3010 ; + RECT 12.4390 0.7000 12.4890 0.8010 ; + RECT 12.3280 0.4300 12.7170 0.4800 ; + RECT 12.6670 0.4800 12.7170 0.7510 ; + RECT 11.5270 0.4670 11.5770 0.5500 ; + RECT 11.5280 1.2290 11.5780 1.3530 ; + RECT 11.5280 1.1790 12.3890 1.2290 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.4420 1.7730 ; + RECT -0.1150 0.7230 12.8740 1.5430 ; + RECT 15.8070 0.6790 17.4420 1.5430 ; + RECT -0.1150 0.6790 11.3240 0.7230 ; + RECT 2.6490 0.6610 3.7340 0.6790 ; + RECT 13.3360 0.4910 15.3470 1.0830 ; + END +END RSDFFNSRARX1_HVT + +MACRO RSDFFNSRARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.632 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.5430 0.8920 17.3930 0.9420 ; + RECT 17.2730 0.8570 17.3930 0.8920 ; + RECT 16.5430 0.9420 16.5930 1.5640 ; + RECT 16.8470 0.9420 16.8970 1.5640 ; + RECT 16.8470 0.5020 16.8970 0.5820 ; + RECT 16.8470 0.3040 16.8970 0.4520 ; + RECT 16.5430 0.2130 16.5930 0.4520 ; + RECT 17.3430 0.5020 17.3930 0.8570 ; + RECT 16.5430 0.4520 17.3930 0.5020 ; + RECT 17.2730 0.9420 17.3920 0.9670 ; + END + PORT + LAYER CO ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.8510 1.0270 16.8930 1.0690 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 16.8510 1.1190 16.8930 1.1610 ; + RECT 16.8510 1.2110 16.8930 1.2530 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.8510 1.4870 16.8930 1.5290 ; + RECT 16.8510 1.3950 16.8930 1.4370 ; + RECT 16.8510 0.3340 16.8930 0.3760 ; + RECT 16.5470 0.3350 16.5890 0.3770 ; + RECT 16.8510 0.4260 16.8930 0.4680 ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + RECT 16.8510 0.5180 16.8930 0.5600 ; + RECT 16.5470 0.3350 16.5890 0.3770 ; + RECT 16.5470 0.4270 16.5890 0.4690 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.8510 1.3030 16.8930 1.3450 ; + RECT 16.5470 0.2430 16.5890 0.2850 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + RECT 16.5470 1.0270 16.5890 1.0690 ; + RECT 16.5470 1.1190 16.5890 1.1610 ; + RECT 16.5470 1.0270 16.5890 1.0690 ; + RECT 16.5470 1.3030 16.5890 1.3450 ; + RECT 16.5470 1.1190 16.5890 1.1610 ; + RECT 16.5470 1.4870 16.5890 1.5290 ; + RECT 16.5470 1.2110 16.5890 1.2530 ; + RECT 16.8510 0.4260 16.8930 0.4680 ; + RECT 16.5470 1.3950 16.5890 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 17.4250 1.1610 17.5440 1.2710 ; + RECT 17.1510 0.1160 17.2010 0.2890 ; + RECT 17.1510 0.2890 17.5090 0.3390 ; + RECT 17.1510 1.0420 17.5090 1.0920 ; + RECT 17.1510 1.0920 17.2010 1.5640 ; + RECT 17.4590 1.0920 17.5090 1.1610 ; + RECT 17.4590 0.3390 17.5090 1.0420 ; + END + PORT + LAYER CO ; + RECT 17.1550 0.2430 17.1970 0.2850 ; + RECT 17.1550 0.1510 17.1970 0.1930 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 17.1550 0.1510 17.1970 0.1930 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.6320 1.7020 ; + RECT 8.0140 1.2200 10.9850 1.2700 ; + RECT 11.8310 1.4040 14.0490 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 15.9350 0.9590 15.9850 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 16.2390 0.9590 16.2890 1.6420 ; + RECT 16.6950 0.9920 16.7450 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 17.3030 1.1810 17.3530 1.6420 ; + RECT 16.9990 1.1810 17.0490 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 12.5910 0.9530 12.6410 1.4040 ; + RECT 11.8310 1.2790 11.8810 1.4040 ; + RECT 13.9990 1.4540 14.0490 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 8.7950 1.2240 8.8370 1.2660 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.2510 1.2240 9.2930 1.2660 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 17.3830 1.6510 17.4250 1.6930 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 16.2430 1.1880 16.2850 1.2300 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 16.2430 1.0040 16.2850 1.0460 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 12.5950 1.2490 12.6370 1.2910 ; + RECT 12.5950 1.0650 12.6370 1.1070 ; + RECT 16.2430 1.0960 16.2850 1.1380 ; + RECT 16.2430 1.1880 16.2850 1.2300 ; + RECT 16.6990 1.3030 16.7410 1.3450 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 16.2430 1.2800 16.2850 1.3220 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 17.3070 1.4870 17.3490 1.5290 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 16.2430 1.0040 16.2850 1.0460 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 17.3070 1.3030 17.3490 1.3450 ; + RECT 12.5950 1.1570 12.6370 1.1990 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 16.2430 1.0960 16.2850 1.1380 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 16.6990 1.2110 16.7410 1.2530 ; + RECT 10.9230 1.2240 10.9650 1.2660 ; + RECT 11.8350 1.3010 11.8770 1.3430 ; + RECT 15.9390 1.0040 15.9810 1.0460 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 16.6990 1.1190 16.7410 1.1610 ; + RECT 16.6990 1.3950 16.7410 1.4370 ; + RECT 15.9390 1.2800 15.9810 1.3220 ; + RECT 15.9390 1.1880 15.9810 1.2300 ; + RECT 15.9390 1.1880 15.9810 1.2300 ; + RECT 15.9390 1.0960 15.9810 1.1380 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 12.5950 1.0650 12.6370 1.1070 ; + RECT 12.5950 1.1570 12.6370 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 9.8590 1.2240 9.9010 1.2660 ; + RECT 16.6990 1.4870 16.7410 1.5290 ; + RECT 15.9390 1.2800 15.9810 1.3220 ; + RECT 15.9390 1.0960 15.9810 1.1380 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 12.5950 1.2490 12.6370 1.2910 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 16.6990 1.0270 16.7410 1.0690 ; + RECT 17.3070 1.3950 17.3490 1.4370 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 12.5950 0.9730 12.6370 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.3070 1.2110 17.3490 1.2530 ; + RECT 15.9390 1.0040 15.9810 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 16.2430 1.2800 16.2850 1.3220 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5280 6.0250 1.5700 ; + RECT 5.8310 1.5280 5.8730 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.6320 0.0300 ; + RECT 16.1990 0.2030 16.3050 0.2530 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 3.9270 0.0300 3.9770 0.1980 ; + RECT 4.5350 0.0300 4.5850 0.1980 ; + RECT 4.2310 0.0300 4.2810 0.1980 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 15.0230 0.0300 15.0730 0.4260 ; + RECT 14.5670 0.0300 14.6170 0.1980 ; + RECT 13.6550 0.0300 13.7050 0.4260 ; + RECT 15.9350 0.0300 15.9850 0.4230 ; + RECT 17.3030 0.0300 17.3530 0.2260 ; + RECT 16.9990 0.0300 17.0490 0.2260 ; + RECT 12.7670 0.0300 12.8170 0.3280 ; + RECT 11.8150 0.3280 12.8170 0.3780 ; + RECT 16.1990 0.0300 16.2490 0.2030 ; + RECT 16.6950 0.2530 16.7450 0.3950 ; + RECT 16.8870 0.0300 16.9370 0.2030 ; + RECT 16.6950 0.2030 16.9370 0.2530 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3190 0.3380 10.9850 0.3550 ; + RECT 7.5950 0.2880 8.4020 0.3050 ; + RECT 7.5950 0.3050 10.9850 0.3380 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 4.5390 0.1360 4.5810 0.1780 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 4.2350 0.1360 4.2770 0.1780 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 8.6430 0.3080 8.6850 0.3500 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 3.9310 0.1360 3.9730 0.1780 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.2510 0.3090 9.2930 0.3510 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 9.4030 0.3090 9.4450 0.3510 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 15.9390 0.3610 15.9810 0.4030 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 16.6990 0.3330 16.7410 0.3750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 16.2430 0.2070 16.2850 0.2490 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 17.0030 0.1490 17.0450 0.1910 ; + RECT 15.0270 0.1740 15.0690 0.2160 ; + RECT 8.9470 0.3090 8.9890 0.3510 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 9.8590 0.3090 9.9010 0.3510 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 13.6590 0.2540 13.7010 0.2960 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 13.6590 0.1620 13.7010 0.2040 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 15.0270 0.2660 15.0690 0.3080 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 16.6990 0.2410 16.7410 0.2830 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 16.2430 0.2070 16.2850 0.2490 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 16.6990 0.3330 16.7410 0.3750 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 15.0270 0.1740 15.0690 0.2160 ; + RECT 15.0270 0.3580 15.0690 0.4000 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.9230 0.3090 10.9650 0.3510 ; + RECT 15.9390 0.2690 15.9810 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 15.9390 0.1770 15.9810 0.2190 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 17.3830 -0.0210 17.4250 0.0210 ; + RECT 12.7470 0.3320 12.7890 0.3740 ; + RECT 11.8350 0.3320 11.8770 0.3740 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 14.5710 0.1360 14.6130 0.1780 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 17.3070 0.1490 17.3490 0.1910 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 15.9390 0.2690 15.9810 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 17.0030 0.1490 17.0450 0.1910 ; + RECT 13.6590 0.3460 13.7010 0.3880 ; + RECT 15.0270 0.3580 15.0690 0.4000 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0890 7.8530 0.1390 ; + RECT 12.4990 0.0880 12.5810 0.1380 ; + RECT 7.8030 0.2100 12.5650 0.2380 ; + RECT 7.6950 0.1880 12.5650 0.2100 ; + RECT 7.6950 0.1390 7.8530 0.1880 ; + RECT 12.5150 0.1380 12.5650 0.1880 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0930 7.3930 0.1350 ; + RECT 7.8070 0.1090 7.8490 0.1510 ; + RECT 12.5190 0.0920 12.5610 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.1450 0.2490 15.2550 0.3590 ; + RECT 14.9310 0.5270 15.2060 0.5770 ; + RECT 15.1560 0.3590 15.2060 0.5270 ; + END + PORT + LAYER CO ; + RECT 14.9510 0.5310 14.9930 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.2810 0.7100 10.4370 0.8150 ; + RECT 10.1900 0.6100 10.7560 0.6130 ; + RECT 10.1900 0.6130 10.7570 0.6600 ; + RECT 10.9950 0.7810 11.0450 0.9330 ; + RECT 10.7070 0.6600 10.7570 0.7310 ; + RECT 10.7070 0.7310 11.0450 0.7810 ; + RECT 10.3870 0.6600 10.4370 0.7100 ; + END + PORT + LAYER CO ; + RECT 10.2390 0.6160 10.2810 0.6580 ; + RECT 10.6950 0.6160 10.7370 0.6580 ; + RECT 10.5430 0.6160 10.5850 0.6580 ; + RECT 10.3910 0.6160 10.4330 0.6580 ; + RECT 10.9990 0.8710 11.0410 0.9130 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.6390 0.9470 15.2570 1.0070 ; + RECT 15.1470 0.6900 15.2570 0.9470 ; + RECT 15.0230 0.6270 15.0730 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + RECT 15.0270 0.7430 15.0690 0.7850 ; + RECT 15.0270 0.6510 15.0690 0.6930 ; + RECT 15.0270 0.6510 15.0690 0.6930 ; + RECT 14.5710 0.9560 14.6130 0.9980 ; + RECT 15.0270 0.9270 15.0690 0.9690 ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + RECT 13.6590 0.9560 13.7010 0.9980 ; + RECT 15.1790 0.7100 15.2210 0.7520 ; + RECT 15.0270 0.9270 15.0690 0.9690 ; + RECT 15.1790 0.8620 15.2210 0.9040 ; + RECT 15.0270 0.8350 15.0690 0.8770 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4550 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6360 3.4410 0.6780 ; + RECT 3.5510 0.6360 3.5930 0.6780 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 16.7750 0.0970 16.8170 0.1390 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 2.7910 0.6360 2.8330 0.6780 ; + RECT 3.0950 0.6360 3.1370 0.6780 ; + RECT 2.9430 0.6360 2.9850 0.6780 ; + RECT 3.2470 0.6360 3.2890 0.6780 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.1630 1.1240 10.2050 1.1660 ; + RECT 10.2390 0.9240 10.2810 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 11.9870 1.2900 12.0290 1.3320 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 9.4790 0.6280 9.5210 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 17.0790 0.6640 17.1210 0.7060 ; + RECT 8.7190 0.9710 8.7610 1.0130 ; + RECT 12.2910 1.2900 12.3330 1.3320 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 12.1390 0.4430 12.1810 0.4850 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.1750 0.6620 9.2170 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 9.6310 0.6280 9.6730 0.6700 ; + RECT 8.8710 0.6890 8.9130 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 9.5550 0.5140 9.5970 0.5560 ; + RECT 9.5550 0.9450 9.5970 0.9870 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 11.6070 0.0920 11.6490 0.1340 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.0230 0.6620 9.0650 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 10.7710 0.4140 10.8130 0.4560 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.0990 0.5140 9.1410 0.5560 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 11.3790 0.5010 11.4210 0.5430 ; + RECT 12.2910 0.5540 12.3330 0.5960 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 14.4190 0.8240 14.4610 0.8660 ; + RECT 14.1150 0.3680 14.1570 0.4100 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 11.2270 1.0240 11.2690 1.0660 ; + RECT 14.8750 0.3610 14.9170 0.4030 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 13.8110 0.1620 13.8530 0.2040 ; + RECT 11.3790 0.4090 11.4210 0.4510 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 14.8750 0.6800 14.9170 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 10.7710 1.1240 10.8130 1.1660 ; + RECT 16.3950 1.2470 16.4370 1.2890 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 10.6190 1.0240 10.6610 1.0660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 9.0990 1.0620 9.1410 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 14.8750 0.1770 14.9170 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 16.3950 1.2470 16.4370 1.2890 ; + RECT 16.3190 0.0970 16.3610 0.1390 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 17.2310 0.6640 17.2730 0.7060 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 16.3950 0.8790 16.4370 0.9210 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 10.4670 1.1240 10.5090 1.1660 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 10.4670 0.4140 10.5090 0.4560 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 13.8110 0.6380 13.8530 0.6800 ; + RECT 13.1270 1.2030 13.1690 1.2450 ; + RECT 13.8110 0.6380 13.8530 0.6800 ; + RECT 13.5070 0.6510 13.5490 0.6930 ; + RECT 13.5070 0.1620 13.5490 0.2040 ; + RECT 13.9630 0.2520 14.0050 0.2940 ; + RECT 13.5070 0.2540 13.5490 0.2960 ; + RECT 13.8870 1.5240 13.9290 1.5660 ; + RECT 13.5070 0.9270 13.5490 0.9690 ; + RECT 14.4950 1.0710 14.5370 1.1130 ; + RECT 13.8110 0.8220 13.8530 0.8640 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.2670 0.7130 14.3090 0.7550 ; + RECT 14.7230 0.7130 14.7650 0.7550 ; + RECT 16.3950 0.9710 16.4370 1.0130 ; + RECT 14.8750 0.2690 14.9170 0.3110 ; + RECT 14.1150 0.6770 14.1570 0.7190 ; + RECT 16.3950 1.1550 16.4370 1.1970 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 13.8110 0.3460 13.8530 0.3880 ; + RECT 10.6190 0.5140 10.6610 0.5560 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 16.3950 0.2490 16.4370 0.2910 ; + RECT 16.3950 1.0630 16.4370 1.1050 ; + RECT 16.3950 1.0630 16.4370 1.1050 ; + RECT 10.5430 0.9240 10.5850 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 13.8110 0.2540 13.8530 0.2960 ; + RECT 14.6470 0.4660 14.6890 0.5080 ; + RECT 14.8750 0.3610 14.9170 0.4030 ; + RECT 16.3950 0.9710 16.4370 1.0130 ; + RECT 11.2270 1.2080 11.2690 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 11.6070 1.4240 11.6490 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 12.7470 0.9630 12.7890 1.0050 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 16.3950 0.3410 16.4370 0.3830 ; + RECT 11.2270 0.4140 11.2690 0.4560 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.0910 1.2470 16.1330 1.2890 ; + RECT 14.1910 1.2030 14.2330 1.2450 ; + RECT 12.4430 1.2390 12.4850 1.2810 ; + RECT 13.8870 1.0710 13.9290 1.1130 ; + RECT 16.3190 0.6060 16.3610 0.6480 ; + RECT 13.5070 0.9270 13.5490 0.9690 ; + RECT 11.4550 0.7710 11.4970 0.8130 ; + RECT 11.5310 1.1990 11.5730 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 9.9350 1.5240 9.9770 1.5660 ; + RECT 11.5310 1.2910 11.5730 1.3330 ; + RECT 13.1270 0.8050 13.1690 0.8470 ; + RECT 16.0910 1.2470 16.1330 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 13.8110 0.7300 13.8530 0.7720 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.0910 0.2690 16.1330 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 12.4430 0.5580 12.4850 0.6000 ; + RECT 16.0910 1.1550 16.1330 1.1970 ; + RECT 10.0110 0.5250 10.0530 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.3920 8.2290 0.4340 ; + RECT 16.0910 0.8790 16.1330 0.9210 ; + RECT 11.4550 1.4240 11.4970 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 10.8470 0.8510 10.8890 0.8930 ; + RECT 10.6950 0.9240 10.7370 0.9660 ; + RECT 13.5830 0.5310 13.6250 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 10.3910 0.9240 10.4330 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.0910 1.1550 16.1330 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 11.9110 0.6620 11.9530 0.7040 ; + RECT 12.2150 0.6540 12.2570 0.6960 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 11.4550 0.0920 11.4970 0.1340 ; + RECT 11.7590 0.0920 11.8010 0.1340 ; + RECT 16.0910 0.8790 16.1330 0.9210 ; + RECT 8.8710 0.6890 8.9130 0.7310 ; + RECT 12.4430 1.1470 12.4850 1.1890 ; + RECT 13.9630 0.8240 14.0050 0.8660 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 12.6710 0.6680 12.7130 0.7100 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.0910 0.1770 16.1330 0.2190 ; + RECT 16.0150 0.6170 16.0570 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.0910 0.3610 16.1330 0.4030 ; + RECT 11.4550 1.4240 11.4970 1.4660 ; + RECT 11.7590 0.7620 11.8010 0.8040 ; + RECT 6.7430 1.5380 6.7850 1.5800 ; + RECT 8.8710 1.5220 8.9130 1.5640 ; + RECT 10.0110 0.9240 10.0530 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 10.3150 1.0240 10.3570 1.0660 ; + RECT 11.2270 1.1160 11.2690 1.1580 ; + RECT 12.4430 1.0550 12.4850 1.0970 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + RECT 12.4430 1.1470 12.4850 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 11.6830 0.3340 11.7250 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 11.9870 0.4430 12.0290 0.4850 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 16.6230 0.0970 16.6650 0.1390 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.0910 1.0630 16.1330 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 12.4430 0.9630 12.4850 1.0050 ; + RECT 16.0910 1.0630 16.1330 1.1050 ; + RECT 16.0910 0.9710 16.1330 1.0130 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 13.5070 0.3460 13.5490 0.3880 ; + RECT 14.2670 0.1360 14.3090 0.1780 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 13.5070 0.7430 13.5490 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.7230 0.2520 14.7650 0.2940 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 13.5070 0.3460 13.5490 0.3880 ; + RECT 12.7470 1.0550 12.7890 1.0970 ; + RECT 14.9510 1.0710 14.9930 1.1130 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 11.1510 1.5240 11.1930 1.5660 ; + RECT 13.5070 0.8350 13.5490 0.8770 ; + RECT 12.7470 1.1470 12.7890 1.1890 ; + RECT 13.8110 0.8220 13.8530 0.8640 ; + RECT 12.4430 1.0550 12.4850 1.0970 ; + RECT 10.9990 1.5240 11.0410 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.1630 0.4140 10.2050 0.4560 ; + RECT 7.8830 0.3920 7.9250 0.4340 ; + RECT 12.4430 1.2390 12.4850 1.2810 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 11.9110 0.9710 11.9530 1.0130 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.5670 0.9710 8.6090 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 6.1350 1.5380 6.1770 1.5800 ; + RECT 12.7470 1.2390 12.7890 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 13.5070 0.6510 13.5490 0.6930 ; + RECT 14.8750 0.1770 14.9170 0.2190 ; + RECT 12.1390 1.1830 12.1810 1.2250 ; + RECT 16.3950 1.1550 16.4370 1.1970 ; + RECT 16.3950 0.8790 16.4370 0.9210 ; + RECT 16.0910 0.9710 16.1330 1.0130 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + LAYER PO ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7840 6.7790 1.6060 ; + RECT 11.9170 0.9390 11.9470 1.6060 ; + RECT 11.4610 0.0660 11.4910 0.6470 ; + RECT 6.7490 0.0660 6.7790 0.6840 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7840 6.9310 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6840 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 11.4610 0.7540 11.4910 1.6060 ; + RECT 16.6290 0.0690 16.6590 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 16.4770 0.0710 16.5070 1.6060 ; + RECT 16.7810 0.0690 16.8110 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 17.5410 0.0710 17.5710 1.6060 ; + RECT 10.5490 0.0660 10.5790 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 17.2370 0.0690 17.2670 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 12.9810 0.0670 13.0110 1.6050 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 11.0050 0.8390 11.0350 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 11.9170 0.0660 11.9470 0.7280 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 16.9330 0.0690 16.9630 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 10.2450 0.8920 10.2750 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 10.2450 0.0660 10.2750 0.6910 ; + RECT 11.0050 0.0660 11.0350 0.6910 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 10.3970 0.8920 10.4270 1.6060 ; + RECT 11.6130 0.7710 11.6430 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 10.5490 0.8920 10.5790 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 10.7010 0.8920 10.7310 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 11.6130 0.0660 11.6430 0.6470 ; + RECT 10.7010 0.0660 10.7310 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + LAYER M1 ; + RECT 12.4390 0.5300 12.4890 0.6500 ; + RECT 12.1950 0.6500 12.4890 0.7000 ; + RECT 12.4390 0.8510 12.4890 1.3010 ; + RECT 12.4390 0.7000 12.4890 0.8010 ; + RECT 12.3280 0.4300 12.7170 0.4800 ; + RECT 12.6670 0.4800 12.7170 0.7300 ; + RECT 11.5270 0.4670 11.5770 0.5500 ; + RECT 11.5280 1.2290 11.5780 1.3530 ; + RECT 11.5280 1.1790 12.3890 1.2290 ; + RECT 12.3280 0.4800 12.3780 0.5500 ; + RECT 11.5270 0.5500 12.3780 0.6000 ; + RECT 12.0230 0.6000 12.0730 0.7570 ; + RECT 12.0230 0.7570 12.3890 0.8070 ; + RECT 12.3390 0.8070 12.3890 1.1790 ; + RECT 11.9590 0.4390 12.2030 0.4890 ; + RECT 11.1110 0.5600 11.1610 1.0200 ; + RECT 10.2950 0.5100 11.1600 0.5440 ; + RECT 10.2950 0.5440 11.1610 0.5600 ; + RECT 9.7750 1.0200 11.1610 1.0700 ; + RECT 9.5280 0.5100 9.8250 0.5600 ; + RECT 9.5280 0.9410 9.8250 0.9910 ; + RECT 9.7750 0.5600 9.8250 0.9410 ; + RECT 9.7750 0.9910 9.8250 1.0200 ; + RECT 9.9870 0.9200 10.8930 0.9700 ; + RECT 10.8430 0.8310 10.8930 0.9200 ; + RECT 10.0070 0.5050 10.0570 0.9200 ; + RECT 13.5630 0.5270 14.1610 0.5770 ; + RECT 13.8070 0.5770 13.8570 0.8870 ; + RECT 13.8070 0.1260 13.8570 0.5270 ; + RECT 14.1110 0.5770 14.1610 0.7700 ; + RECT 14.1110 0.3480 14.1610 0.5270 ; + RECT 14.2470 0.1320 14.4810 0.1820 ; + RECT 14.8310 0.6270 14.9210 0.6770 ; + RECT 14.8710 0.1260 14.9210 0.4270 ; + RECT 14.6270 0.4770 14.8810 0.5120 ; + RECT 14.8310 0.4270 14.9210 0.4620 ; + RECT 14.6270 0.4620 14.9210 0.4770 ; + RECT 14.8710 0.6770 14.9210 0.7680 ; + RECT 14.8310 0.5120 14.8810 0.6270 ; + RECT 13.5030 1.0670 13.9520 1.1170 ; + RECT 13.4630 0.4270 13.5530 0.4770 ; + RECT 13.4630 0.6270 13.5530 0.6770 ; + RECT 13.5030 0.1260 13.5530 0.4270 ; + RECT 13.4630 0.4770 13.5130 0.6270 ; + RECT 13.5030 0.6770 13.5530 1.0670 ; + RECT 13.9430 0.2480 14.7850 0.2980 ; + RECT 16.4310 0.6600 17.2930 0.7100 ; + RECT 16.3910 0.4010 16.4810 0.4510 ; + RECT 16.3910 0.7780 16.4810 0.8280 ; + RECT 16.3910 0.2250 16.4410 0.4010 ; + RECT 16.3910 0.8280 16.4410 1.3090 ; + RECT 16.4310 0.4510 16.4810 0.6600 ; + RECT 16.4310 0.7100 16.4810 0.7780 ; + RECT 16.1260 0.6020 16.3810 0.6520 ; + RECT 16.0870 0.4010 16.1760 0.4510 ; + RECT 16.0870 0.7780 16.1760 0.8280 ; + RECT 16.0870 0.1570 16.1370 0.4010 ; + RECT 16.0870 0.8280 16.1370 1.3090 ; + RECT 16.1260 0.4510 16.1760 0.6020 ; + RECT 16.1260 0.6520 16.1760 0.7780 ; + RECT 16.2990 0.0930 16.8370 0.1430 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6320 3.6130 0.6820 ; + RECT 8.8670 1.4200 11.6690 1.4700 ; + RECT 8.8670 1.4700 8.9170 1.5840 ; + RECT 8.7600 0.6850 8.9170 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 8.8100 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 8.8100 0.9140 ; + RECT 8.8670 0.7350 8.9170 0.7510 ; + RECT 8.8670 0.6690 8.9170 0.6850 ; + RECT 8.7600 0.7350 8.8100 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 11.1290 1.5200 13.9490 1.5700 ; + RECT 15.5330 0.6320 16.0610 0.6820 ; + RECT 16.0110 0.5970 16.0610 0.6320 ; + RECT 13.1070 1.1990 15.5830 1.2490 ; + RECT 15.5330 0.6820 15.5830 1.1990 ; + RECT 10.8260 0.6130 11.0610 0.6630 ; + RECT 11.3750 0.7670 11.5170 0.8170 ; + RECT 11.3750 0.6580 11.9730 0.7080 ; + RECT 11.3750 0.7080 11.4250 0.7670 ; + RECT 11.8380 0.9670 11.9730 1.0170 ; + RECT 11.7390 0.7580 11.8880 0.8080 ; + RECT 11.8380 0.8080 11.8880 0.9670 ; + RECT 9.9150 1.5200 11.0610 1.5700 ; + RECT 11.9590 1.2860 12.3530 1.3360 ; + RECT 13.9430 0.8200 14.4910 0.8700 ; + RECT 14.2470 0.7090 14.7850 0.7590 ; + RECT 14.4750 1.0670 15.0130 1.1170 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 3.6750 0.3040 3.7250 0.3480 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3480 4.7890 0.3980 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.3980 4.7890 0.6580 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 3.7750 0.2480 4.7370 0.2980 ; + RECT 4.6870 0.1240 4.7370 0.2480 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2480 ; + RECT 4.0790 0.1240 4.1290 0.2480 ; + RECT 4.3830 0.1240 4.4330 0.2480 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8220 6.7530 0.8720 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8720 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8220 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 6.8150 0.9670 8.7810 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 8.2430 0.0880 11.8210 0.1380 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.4920 0.3880 8.2490 0.4380 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3880 ; + RECT 8.4630 0.4050 9.0290 0.4550 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.0290 1.1700 ; + RECT 8.6390 0.4550 8.6890 0.7380 ; + RECT 8.9790 0.6580 9.2440 0.7080 ; + RECT 8.9790 0.7080 9.0290 1.1200 ; + RECT 8.9790 0.4550 9.0290 0.6580 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 9.3600 0.6240 9.6930 0.6740 ; + RECT 9.0790 0.5100 9.4100 0.5600 ; + RECT 9.0950 1.1080 9.1450 1.1240 ; + RECT 9.0950 1.0420 9.1450 1.0580 ; + RECT 9.0950 1.0580 9.4100 1.1080 ; + RECT 9.3600 0.6740 9.4100 1.0580 ; + RECT 9.3600 0.5600 9.4100 0.6240 ; + RECT 10.1430 0.4100 11.4250 0.4600 ; + RECT 11.2230 0.9670 11.7460 1.0170 ; + RECT 10.1430 1.1200 11.2730 1.1700 ; + RECT 11.2230 0.3840 11.2730 0.4100 ; + RECT 11.3750 0.4600 11.4250 0.5630 ; + RECT 11.2230 0.4600 11.2730 0.9670 ; + RECT 11.2230 1.1700 11.2730 1.2700 ; + RECT 11.2230 1.0170 11.2730 1.1200 ; + RECT 11.3750 0.3800 11.4250 0.4100 ; + RECT 11.3750 0.3300 11.7510 0.3800 ; + RECT 11.3750 0.3270 11.4250 0.3300 ; + RECT 12.4390 0.8010 13.1890 0.8510 ; + RECT 12.7430 0.8510 12.7930 1.3010 ; + LAYER NWELL ; + RECT -0.1150 1.5430 17.7470 1.7730 ; + RECT -0.1150 0.6930 12.8740 1.5430 ; + RECT 15.8070 0.6790 17.7470 1.5430 ; + RECT -0.1150 0.6890 11.3240 0.6930 ; + RECT -0.1150 0.6790 6.6120 0.6890 ; + RECT 7.0680 0.6790 11.3240 0.6890 ; + RECT 2.6600 0.6720 3.7240 0.6790 ; + RECT 13.3360 0.4910 15.3470 1.0830 ; + END +END RSDFFNSRARX2_HVT + +MACRO RSDFFNSRASRNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 17.48 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 16.9990 0.8920 17.3930 0.9420 ; + RECT 17.2730 0.8570 17.3930 0.8920 ; + RECT 16.9990 0.9420 17.0490 1.5640 ; + RECT 16.9990 0.2130 17.0490 0.4520 ; + RECT 17.3430 0.5020 17.3930 0.8570 ; + RECT 16.9990 0.4520 17.3930 0.5020 ; + RECT 17.2730 0.9420 17.3920 0.9670 ; + END + PORT + LAYER CO ; + RECT 17.0030 0.2430 17.0450 0.2850 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 0.3350 17.0450 0.3770 ; + RECT 17.0030 0.3350 17.0450 0.3770 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.0270 17.0450 1.0690 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.1190 17.0450 1.1610 ; + RECT 17.0030 1.4870 17.0450 1.5290 ; + RECT 17.0030 0.4270 17.0450 0.4690 ; + RECT 17.0030 1.3030 17.0450 1.3450 ; + RECT 17.0030 1.3950 17.0450 1.4370 ; + RECT 17.0030 1.2110 17.0450 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 17.4800 1.7020 ; + RECT 8.0140 1.2200 11.7450 1.2700 ; + RECT 12.5910 1.4040 14.8090 1.4540 ; + RECT 0.5830 0.9410 0.6330 1.6420 ; + RECT 0.4310 0.9510 0.4810 1.6420 ; + RECT 16.6950 0.9590 16.7450 1.6420 ; + RECT 4.8390 0.9400 4.8890 1.6420 ; + RECT 5.2950 0.7690 5.3450 1.6420 ; + RECT 17.1510 0.9920 17.2010 1.6420 ; + RECT 5.1430 0.9820 5.1930 1.6420 ; + RECT 0.8870 1.1730 0.9370 1.6420 ; + RECT 1.1910 1.1730 1.2410 1.6420 ; + RECT 1.4950 1.1730 1.5450 1.6420 ; + RECT 4.2310 1.2600 4.2810 1.6420 ; + RECT 3.9270 1.2600 3.9770 1.6420 ; + RECT 4.5350 1.2600 4.5850 1.6420 ; + RECT 5.9030 1.2630 5.9530 1.3590 ; + RECT 5.9030 1.1830 5.9530 1.2130 ; + RECT 6.3590 1.2630 6.4090 1.4550 ; + RECT 6.3590 1.1580 6.4090 1.2130 ; + RECT 8.4230 1.2700 8.4730 1.6420 ; + RECT 13.3510 0.9530 13.4010 1.4040 ; + RECT 12.5910 1.2790 12.6410 1.4040 ; + RECT 14.7590 1.4540 14.8090 1.6420 ; + RECT 5.5990 1.2130 6.4090 1.2630 ; + RECT 5.5990 1.2630 5.6490 1.6420 ; + RECT 5.5990 1.1780 5.6490 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.1990 1.5410 1.2410 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 1.4990 1.2910 1.5410 1.3330 ; + RECT 1.4990 1.3830 1.5410 1.4250 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.3830 4.5810 1.4250 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 4.5390 1.2910 4.5810 1.3330 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 9.4030 1.2240 9.4450 1.2660 ; + RECT 10.0110 1.2240 10.0530 1.2660 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 10.4670 1.2240 10.5090 1.2660 ; + RECT 6.3630 1.3000 6.4050 1.3420 ; + RECT 5.9070 1.2950 5.9490 1.3370 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.0160 5.1890 1.0580 ; + RECT 5.1470 1.1080 5.1890 1.1500 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 5.6030 1.3840 5.6450 1.4260 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.8320 5.3410 0.8740 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.2000 5.3410 1.2420 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.2920 5.3410 1.3340 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 1.0160 5.3410 1.0580 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 0.9240 5.3410 0.9660 ; + RECT 5.2990 1.1080 5.3410 1.1500 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 5.2990 1.3840 5.3410 1.4260 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.2910 0.9330 1.3330 ; + RECT 0.8910 1.3830 0.9330 1.4250 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.8430 1.0160 4.8850 1.0580 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.0150 0.6290 1.0570 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 4.8430 1.1080 4.8850 1.1500 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.2910 3.9730 1.3330 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 5.9070 1.2030 5.9490 1.2450 ; + RECT 17.1550 1.0270 17.1970 1.0690 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 17.1550 1.1190 17.1970 1.1610 ; + RECT 4.2350 1.3830 4.2770 1.4250 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.2910 0.6290 1.3330 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.5870 1.3830 0.6290 1.4250 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 3.9310 1.3830 3.9730 1.4250 ; + RECT 6.3630 1.3920 6.4050 1.4340 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 8.4910 1.2240 8.5330 1.2660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.1550 0.4770 1.1970 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.2470 0.4770 1.2890 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.3390 0.4770 1.3810 ; + RECT 0.4350 1.4310 0.4770 1.4730 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.2990 1.4760 5.3410 1.5180 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 0.8910 1.1990 0.9330 1.2410 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 15.8630 1.6510 15.9050 1.6930 ; + RECT 16.0150 1.6510 16.0570 1.6930 ; + RECT 16.1670 1.6510 16.2090 1.6930 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 16.3190 1.6510 16.3610 1.6930 ; + RECT 16.4710 1.6510 16.5130 1.6930 ; + RECT 16.6230 1.6510 16.6650 1.6930 ; + RECT 16.7750 1.6510 16.8170 1.6930 ; + RECT 16.9270 1.6510 16.9690 1.6930 ; + RECT 17.0790 1.6510 17.1210 1.6930 ; + RECT 17.2310 1.6510 17.2730 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 17.1550 1.3030 17.1970 1.3450 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 5.6030 1.4760 5.6450 1.5180 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 9.7070 1.2240 9.7490 1.2660 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 11.6830 1.2240 11.7250 1.2660 ; + RECT 12.5950 1.3010 12.6370 1.3430 ; + RECT 16.6990 1.0040 16.7410 1.0460 ; + RECT 17.1550 1.1190 17.1970 1.1610 ; + RECT 17.1550 1.3950 17.1970 1.4370 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 17.1550 1.2110 17.1970 1.2530 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 13.3550 1.0650 13.3970 1.1070 ; + RECT 13.3550 1.1570 13.3970 1.1990 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 10.6190 1.2240 10.6610 1.2660 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 13.3550 1.2490 13.3970 1.2910 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.1880 16.7410 1.2300 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 10.1630 1.2240 10.2050 1.2660 ; + RECT 17.1550 1.0270 17.1970 1.0690 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 5.6030 1.2920 5.6450 1.3340 ; + RECT 13.3550 0.9730 13.3970 1.0150 ; + RECT 4.2350 1.2910 4.2770 1.3330 ; + RECT 5.6030 1.2000 5.6450 1.2420 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 17.1550 1.4870 17.1970 1.5290 ; + RECT 16.6990 1.2800 16.7410 1.3220 ; + RECT 8.9470 1.2240 8.9890 1.2660 ; + RECT 16.6990 1.0960 16.7410 1.1380 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.4350 1.0630 0.4770 1.1050 ; + RECT 0.4350 0.9710 0.4770 1.0130 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1070 0.6290 1.1490 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + RECT 0.5870 1.1990 0.6290 1.2410 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.0150 1.3130 6.1350 1.4230 ; + RECT 6.0150 1.4230 6.0650 1.5240 ; + RECT 5.8110 1.5240 6.0650 1.5740 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.5300 6.0250 1.5720 ; + RECT 5.8310 1.5300 5.8730 1.5720 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 17.4800 0.0300 ; + RECT 17.1510 0.2030 17.2470 0.2530 ; + RECT 5.2950 0.0300 5.3450 0.5730 ; + RECT 4.8390 0.0300 4.8890 0.5760 ; + RECT 0.4310 0.0300 0.4810 0.5000 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 1.4950 0.0300 1.5450 0.2470 ; + RECT 1.1910 0.0300 1.2410 0.2470 ; + RECT 0.8870 0.0300 0.9370 0.2470 ; + RECT 3.9270 0.0300 3.9770 0.2020 ; + RECT 4.5350 0.0300 4.5850 0.2020 ; + RECT 5.1430 0.0300 5.1930 0.3990 ; + RECT 4.2310 0.0300 4.2810 0.2020 ; + RECT 14.4150 0.0300 14.4650 0.4260 ; + RECT 16.6950 0.0300 16.7450 0.4230 ; + RECT 15.3270 0.0300 15.3770 0.2020 ; + RECT 15.7830 0.0300 15.8330 0.4260 ; + RECT 5.9030 0.2440 5.9530 0.5570 ; + RECT 13.5270 0.0300 13.5770 0.3280 ; + RECT 12.5750 0.3280 13.5770 0.3780 ; + RECT 17.1510 0.2530 17.2010 0.3950 ; + RECT 17.1970 0.0300 17.2470 0.2030 ; + RECT 6.3590 0.2440 6.4090 0.4630 ; + RECT 8.3510 0.3380 11.7450 0.3550 ; + RECT 7.5950 0.3050 11.7450 0.3380 ; + RECT 8.3510 0.3550 8.4010 0.3610 ; + RECT 5.5990 0.1940 7.6450 0.2440 ; + RECT 5.5990 0.2440 5.6490 0.4280 ; + RECT 5.5990 0.0300 5.6490 0.1940 ; + RECT 7.5950 0.2440 7.6450 0.2880 ; + RECT 7.5950 0.2880 8.4010 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 4.5390 0.1400 4.5810 0.1820 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.4990 0.1850 1.5410 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 1.1950 0.1850 1.2370 0.2270 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 9.2510 0.3080 9.2930 0.3500 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 10.0110 0.3090 10.0530 0.3510 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 10.4670 0.3090 10.5090 0.3510 ; + RECT 6.3630 0.3980 6.4050 0.4400 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 4.2350 0.1400 4.2770 0.1820 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.5020 5.3410 0.5440 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.2260 4.8850 0.2680 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.2260 5.1890 0.2680 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 5.1470 0.3180 5.1890 0.3600 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 0.8910 0.1850 0.9330 0.2270 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.5020 4.8850 0.5440 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.3180 4.8850 0.3600 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 3.9310 0.1400 3.9730 0.1820 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.1840 0.6290 0.2260 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 0.5870 0.2760 0.6290 0.3180 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 0.4350 0.3430 0.4770 0.3850 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.1630 0.3090 10.2050 0.3510 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.8630 -0.0210 15.9050 0.0210 ; + RECT 16.0150 -0.0210 16.0570 0.0210 ; + RECT 16.1670 -0.0210 16.2090 0.0210 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 17.1550 0.2410 17.1970 0.2830 ; + RECT 16.3190 -0.0210 16.3610 0.0210 ; + RECT 16.4710 -0.0210 16.5130 0.0210 ; + RECT 16.6230 -0.0210 16.6650 0.0210 ; + RECT 16.7750 -0.0210 16.8170 0.0210 ; + RECT 16.9270 -0.0210 16.9690 0.0210 ; + RECT 17.0790 -0.0210 17.1210 0.0210 ; + RECT 17.2310 -0.0210 17.2730 0.0210 ; + RECT 9.7070 0.3090 9.7490 0.3510 ; + RECT 16.6990 0.3610 16.7410 0.4030 ; + RECT 4.8430 0.4100 4.8850 0.4520 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 17.1550 0.3330 17.1970 0.3750 ; + RECT 15.7870 0.1740 15.8290 0.2160 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 17.1550 0.3330 17.1970 0.3750 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 10.6190 0.3090 10.6610 0.3510 ; + RECT 8.3390 0.2920 8.3810 0.3340 ; + RECT 15.7870 0.2660 15.8290 0.3080 ; + RECT 11.6830 0.3090 11.7250 0.3510 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 5.9070 0.4950 5.9490 0.5370 ; + RECT 5.9070 0.4030 5.9490 0.4450 ; + RECT 14.4190 0.2540 14.4610 0.2960 ; + RECT 14.4190 0.1620 14.4610 0.2040 ; + RECT 5.9070 0.3110 5.9490 0.3530 ; + RECT 13.5070 0.3320 13.5490 0.3740 ; + RECT 12.5950 0.3320 12.6370 0.3740 ; + RECT 8.0350 0.2920 8.0770 0.3340 ; + RECT 15.3310 0.1400 15.3730 0.1820 ; + RECT 6.3630 0.3060 6.4050 0.3480 ; + RECT 16.6990 0.1770 16.7410 0.2190 ; + RECT 16.6990 0.2690 16.7410 0.3110 ; + RECT 0.4350 0.4350 0.4770 0.4770 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 5.2990 0.4100 5.3410 0.4520 ; + RECT 5.6030 0.3660 5.6450 0.4080 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 15.7870 0.3580 15.8290 0.4000 ; + RECT 14.4190 0.3460 14.4610 0.3880 ; + RECT 6.3630 0.2140 6.4050 0.2560 ; + RECT 8.7950 0.3080 8.8370 0.3500 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 8.5630 1.3200 12.1850 1.3700 ; + RECT 12.1350 1.0790 12.9750 1.1270 ; + RECT 12.8190 0.9510 12.8690 1.0090 ; + RECT 12.8190 1.0090 12.9750 1.0790 ; + RECT 9.0190 1.3700 9.0690 1.5840 ; + RECT 8.5630 1.3700 8.6130 1.5840 ; + RECT 9.4750 1.3700 9.5250 1.5840 ; + RECT 12.1350 1.1270 12.8690 1.1290 ; + RECT 12.1350 1.1290 12.1850 1.3200 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.5220 9.5210 1.5640 ; + RECT 8.5670 1.5220 8.6090 1.5640 ; + RECT 12.8230 0.9710 12.8650 1.0130 ; + RECT 9.0230 1.5220 9.0650 1.5640 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3310 0.0890 7.8530 0.1390 ; + RECT 13.2590 0.0880 13.3410 0.1380 ; + RECT 7.6950 0.1390 7.8530 0.1880 ; + RECT 13.2750 0.1380 13.3250 0.1880 ; + RECT 7.6950 0.1880 13.3250 0.2380 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.0930 7.3930 0.1350 ; + RECT 13.2790 0.0900 13.3210 0.1320 ; + RECT 7.8070 0.1090 7.8490 0.1510 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 15.9050 0.2490 16.0150 0.3590 ; + RECT 15.6910 0.5270 15.9660 0.5770 ; + RECT 15.9160 0.3590 15.9660 0.5270 ; + END + PORT + LAYER CO ; + RECT 15.7110 0.5310 15.7530 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.0410 0.7190 11.1970 0.8290 ; + RECT 10.9500 0.6140 11.5170 0.6640 ; + RECT 11.4670 0.6130 11.5170 0.6140 ; + RECT 11.1470 0.6640 11.1970 0.7190 ; + RECT 11.1470 0.6130 11.1970 0.6140 ; + RECT 11.7550 0.7810 11.8050 0.9330 ; + RECT 11.4670 0.6640 11.5170 0.7310 ; + RECT 11.4670 0.7310 11.8050 0.7810 ; + END + PORT + LAYER CO ; + RECT 10.9990 0.6200 11.0410 0.6620 ; + RECT 11.4550 0.6200 11.4970 0.6620 ; + RECT 11.3030 0.6200 11.3450 0.6620 ; + RECT 11.7590 0.8710 11.8010 0.9130 ; + RECT 11.1510 0.6200 11.1930 0.6620 ; + END + ANTENNAGATEAREA 0.087 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 14.3990 0.9470 16.0170 1.0070 ; + RECT 15.9070 0.6900 16.0170 0.9470 ; + RECT 15.7830 0.6270 15.8330 0.9470 ; + END + PORT + LAYER CO ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.7870 0.7430 15.8290 0.7850 ; + RECT 15.7870 0.6510 15.8290 0.6930 ; + RECT 14.4190 0.9560 14.4610 0.9980 ; + RECT 15.3310 0.9560 15.3730 0.9980 ; + RECT 15.9390 0.8620 15.9810 0.9040 ; + RECT 15.7870 0.8350 15.8290 0.8770 ; + RECT 15.9390 0.7100 15.9810 0.7520 ; + RECT 15.7870 0.9270 15.8290 0.9690 ; + END + END VDDG + + PIN SI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7710 1.5340 2.9430 1.5840 ; + RECT 2.8330 1.4650 2.9430 1.5340 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + END + ANTENNAGATEAREA 0.2214 ; + END SI + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.3990 0.6630 0.5470 ; + RECT 0.3390 0.6570 1.4850 0.7070 ; + RECT 0.5760 0.5470 0.6260 0.6570 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6610 1.4650 0.7030 ; + RECT 1.2710 0.6610 1.3130 0.7030 ; + RECT 1.1190 0.6610 1.1610 0.7030 ; + RECT 0.8150 0.6610 0.8570 0.7030 ; + RECT 0.9670 0.6610 1.0090 0.7030 ; + RECT 0.6630 0.6610 0.7050 0.7030 ; + RECT 0.3590 0.6610 0.4010 0.7030 ; + END + ANTENNAGATEAREA 0.258 ; + END SE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.6570 2.5490 0.7070 ; + RECT 1.6170 0.7070 1.7710 0.7080 ; + RECT 1.6170 0.5530 1.7710 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.6610 2.5290 0.7030 ; + RECT 2.3350 0.6610 2.3770 0.7030 ; + RECT 2.1830 0.6610 2.2250 0.7030 ; + RECT 2.0310 0.6610 2.0730 0.7030 ; + RECT 1.8790 0.6610 1.9210 0.7030 ; + RECT 1.7270 0.6610 1.7690 0.7030 ; + END + ANTENNAGATEAREA 0.2214 ; + END D + OBS + LAYER CO ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.3830 4.7330 1.4250 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.6910 1.2910 4.7330 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.6910 0.1440 4.7330 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 3.3990 0.6390 3.4410 0.6810 ; + RECT 3.5510 0.6390 3.5930 0.6810 ; + RECT 3.4750 0.9450 3.5170 0.9870 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1070 3.6690 1.1490 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.6270 1.1990 3.6690 1.2410 ; + RECT 3.4750 0.2580 3.5170 0.3000 ; + RECT 3.6270 0.4660 3.6690 0.5080 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 4.6150 0.6510 4.6570 0.6930 ; + RECT 4.4630 0.6510 4.5050 0.6930 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1990 4.7330 1.2410 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 4.6910 1.1070 4.7330 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.0150 1.3890 1.0570 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.3830 1.3890 1.4250 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 2.7910 0.6390 2.8330 0.6810 ; + RECT 3.0950 0.6390 3.1370 0.6810 ; + RECT 2.9430 0.6390 2.9850 0.6810 ; + RECT 3.2470 0.6390 3.2890 0.6810 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.2590 1.3830 2.3010 1.4250 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.0150 2.4530 1.0570 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 1.3830 2.6050 1.4250 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 2.4110 0.2580 2.4530 0.3000 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 10.9230 1.1240 10.9650 1.1660 ; + RECT 10.9990 0.9240 11.0410 0.9660 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.0150 2.1490 1.0570 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 2.1070 0.2580 2.1490 0.3000 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 2.2590 0.1580 2.3010 0.2000 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 3.3230 0.4660 3.3650 0.5080 ; + RECT 3.1710 0.2580 3.2130 0.3000 ; + RECT 3.1710 0.9450 3.2130 0.9870 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 9.4030 0.4090 9.4450 0.4510 ; + RECT 9.5550 0.5090 9.5970 0.5510 ; + RECT 9.3270 0.9710 9.3690 1.0130 ; + RECT 9.2510 1.1260 9.2930 1.1680 ; + RECT 9.5550 1.1240 9.5970 1.1660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 9.9350 0.6620 9.9770 0.7040 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 10.3910 0.6280 10.4330 0.6700 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 6.5150 0.4250 6.5570 0.4670 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1990 4.4290 1.2410 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.1070 4.4290 1.1490 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.3830 4.4290 1.4250 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 1.2910 4.4290 1.3330 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.1440 4.4290 0.1860 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 3.0190 0.4660 3.0610 0.5080 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 8.2630 0.0900 8.3050 0.1320 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 5.0710 0.6620 5.1130 0.7040 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 1.0430 0.2780 1.0850 0.3200 ; + RECT 7.2750 1.0860 7.3170 1.1280 ; + RECT 7.4270 1.1120 7.4690 1.1540 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 7.4270 1.2040 7.4690 1.2460 ; + RECT 7.1230 1.2040 7.1650 1.2460 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 7.1230 1.1120 7.1650 1.1540 ; + RECT 10.3150 0.5140 10.3570 0.5560 ; + RECT 10.3150 0.9450 10.3570 0.9870 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.2110 0.4250 6.2530 0.4670 ; + RECT 6.0590 0.3410 6.1010 0.3830 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 5.7550 0.3410 5.7970 0.3830 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4980 5.4930 0.5400 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.3180 5.0370 0.3600 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.2260 5.0370 0.2680 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.5020 5.0370 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.2000 5.4930 1.2420 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.2920 5.4930 1.3340 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 1.0160 5.4930 1.0580 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 0.9240 5.4930 0.9660 ; + RECT 5.4510 1.1080 5.4930 1.1500 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.4760 5.4930 1.5180 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.0160 5.0370 1.0580 ; + RECT 4.9950 1.1080 5.0370 1.1500 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 10.2390 0.6280 10.2810 0.6700 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 0.7390 0.2780 0.7810 0.3200 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.1810 0.3250 0.2230 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.2730 0.3250 0.3150 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 7.7310 1.0860 7.7730 1.1280 ; + RECT 7.7310 1.1780 7.7730 1.2200 ; + RECT 7.8830 1.1120 7.9250 1.1540 ; + RECT 7.8830 1.2040 7.9250 1.2460 ; + RECT 7.5790 1.2040 7.6210 1.2460 ; + RECT 7.5790 1.1120 7.6210 1.1540 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.0150 1.8450 1.0570 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.0150 1.6930 1.0570 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.0150 0.7810 1.0570 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.9550 1.1070 1.9970 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.1990 4.1250 1.2410 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.3830 4.1250 1.4250 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.3830 3.8210 1.4250 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.7790 1.2910 3.8210 1.3330 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 5.4510 0.8320 5.4930 0.8740 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 6.9710 1.1830 7.0130 1.2250 ; + RECT 8.6430 0.5250 8.6850 0.5670 ; + RECT 6.2110 0.7580 6.2530 0.8000 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 8.9470 0.4090 8.9890 0.4510 ; + RECT 7.4270 1.2960 7.4690 1.3380 ; + RECT 4.0070 0.6510 4.0490 0.6930 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1070 1.8450 1.1490 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.1990 1.8450 1.2410 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.8030 1.2910 1.8450 1.3330 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.9550 0.1580 1.9970 0.2000 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1070 1.6930 1.1490 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.1990 1.6930 1.2410 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.2910 1.6930 1.3330 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 1.6510 1.3830 1.6930 1.4250 ; + RECT 4.1590 0.6510 4.2010 0.6930 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.3830 0.7810 1.4250 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.1990 0.7810 1.2410 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 0.7390 1.2910 0.7810 1.3330 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1070 3.8210 1.1490 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 3.7790 1.1990 3.8210 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 15.4830 0.7130 15.5250 0.7550 ; + RECT 6.8950 0.0900 6.9370 0.1320 ; + RECT 8.6430 1.1240 8.6850 1.1660 ; + RECT 6.0590 0.4330 6.1010 0.4750 ; + RECT 6.0590 0.8500 6.1010 0.8920 ; + RECT 6.0590 0.7580 6.1010 0.8000 ; + RECT 8.1870 1.0720 8.2290 1.1140 ; + RECT 6.8950 1.5400 6.9370 1.5820 ; + RECT 6.0590 0.5250 6.1010 0.5670 ; + RECT 12.3670 0.0900 12.4090 0.1320 ; + RECT 6.0590 0.9420 6.1010 0.9840 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 8.3390 1.1260 8.3810 1.1680 ; + RECT 7.7310 0.5020 7.7730 0.5440 ; + RECT 7.6550 0.7420 7.6970 0.7840 ; + RECT 9.8590 0.5140 9.9010 0.5560 ; + RECT 7.2750 1.1780 7.3170 1.2200 ; + RECT 7.2750 0.5620 7.3170 0.6040 ; + RECT 15.6350 0.6800 15.6770 0.7220 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.5310 1.1240 11.5730 1.1660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 12.1390 0.5150 12.1810 0.5570 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 6.2110 0.9430 6.2530 0.9850 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6340 5.4170 0.6760 ; + RECT 5.4510 1.3840 5.4930 1.4260 ; + RECT 5.4510 0.4060 5.4930 0.4480 ; + RECT 15.1790 0.8240 15.2210 0.8660 ; + RECT 14.8750 0.3720 14.9170 0.4140 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 11.9870 1.0240 12.0290 1.0660 ; + RECT 4.0830 0.1440 4.1250 0.1860 ; + RECT 14.5710 0.3460 14.6130 0.3880 ; + RECT 11.3790 0.5090 11.4210 0.5510 ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 11.3030 0.9240 11.3450 0.9660 ; + RECT 6.5150 0.7580 6.5570 0.8000 ; + RECT 14.5710 0.2540 14.6130 0.2960 ; + RECT 15.4070 0.4700 15.4490 0.5120 ; + RECT 15.6350 0.3610 15.6770 0.4030 ; + RECT 14.5710 0.1620 14.6130 0.2040 ; + RECT 12.1390 0.4090 12.1810 0.4510 ; + RECT 10.7710 0.9240 10.8130 0.9660 ; + RECT 7.1230 1.2960 7.1650 1.3380 ; + RECT 11.0750 1.0240 11.1170 1.0660 ; + RECT 11.2270 1.1240 11.2690 1.1660 ; + RECT 15.1790 0.1400 15.2210 0.1820 ; + RECT 11.2270 0.4090 11.2690 0.4510 ; + RECT 7.1230 0.4330 7.1650 0.4750 ; + RECT 11.3790 1.0240 11.4210 1.0660 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 12.4430 0.9710 12.4850 1.0130 ; + RECT 9.8590 1.0620 9.9010 1.1040 ; + RECT 6.9710 0.3140 7.0130 0.3560 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 3.7790 0.1440 3.8210 0.1860 ; + RECT 8.4150 0.9710 8.4570 1.0130 ; + RECT 6.9710 1.2750 7.0130 1.3170 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 15.0270 0.7130 15.0690 0.7550 ; + RECT 6.1350 1.5400 6.1770 1.5820 ; + RECT 13.5070 1.2390 13.5490 1.2810 ; + RECT 6.6670 0.9990 6.7090 1.0410 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 15.6350 0.1770 15.6770 0.2190 ; + RECT 12.8990 1.1830 12.9410 1.2250 ; + RECT 13.0510 1.2910 13.0930 1.3330 ; + RECT 9.0990 1.1240 9.1410 1.1660 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 11.9870 1.2080 12.0290 1.2500 ; + RECT 6.5150 0.8500 6.5570 0.8920 ; + RECT 12.3670 1.4240 12.4090 1.4660 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 6.6670 1.2750 6.7090 1.3170 ; + RECT 8.2630 1.5400 8.3050 1.5820 ; + RECT 13.5070 0.9630 13.5490 1.0050 ; + RECT 11.0750 0.5090 11.1170 0.5510 ; + RECT 11.9870 0.4090 12.0290 0.4510 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 14.9510 1.2030 14.9930 1.2450 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 14.6470 1.0610 14.6890 1.1030 ; + RECT 17.0790 0.6060 17.1210 0.6480 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 1.9550 1.1990 1.9970 1.2410 ; + RECT 14.5710 0.6480 14.6130 0.6900 ; + RECT 13.8870 1.2030 13.9290 1.2450 ; + RECT 14.5710 0.6480 14.6130 0.6900 ; + RECT 14.2670 0.6510 14.3090 0.6930 ; + RECT 14.2670 0.1620 14.3090 0.2040 ; + RECT 14.7230 0.2560 14.7650 0.2980 ; + RECT 14.2670 0.2540 14.3090 0.2960 ; + RECT 14.6470 1.5240 14.6890 1.5660 ; + RECT 14.2670 0.9270 14.3090 0.9690 ; + RECT 15.2550 1.0610 15.2970 1.1030 ; + RECT 14.5710 0.8320 14.6130 0.8740 ; + RECT 6.6670 0.4160 6.7090 0.4580 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 6.8190 0.4330 6.8610 0.4750 ; + RECT 5.7550 0.4330 5.7970 0.4750 ; + RECT 6.7430 0.0900 6.7850 0.1320 ; + RECT 6.5150 0.5170 6.5570 0.5590 ; + RECT 14.5710 0.7400 14.6130 0.7820 ; + RECT 4.6910 0.2360 4.7330 0.2780 ; + RECT 12.7470 1.2910 12.7890 1.3330 ; + RECT 7.0470 0.7400 7.0890 0.7820 ; + RECT 16.8510 0.2690 16.8930 0.3110 ; + RECT 8.2630 0.6330 8.3050 0.6750 ; + RECT 13.2030 0.5580 13.2450 0.6000 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 10.7710 0.5250 10.8130 0.5670 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 4.0830 0.2360 4.1250 0.2780 ; + RECT 8.1870 0.3920 8.2290 0.4340 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 6.6670 1.1830 6.7090 1.2250 ; + RECT 11.6070 0.8510 11.6490 0.8930 ; + RECT 11.4550 0.9240 11.4970 0.9660 ; + RECT 14.3430 0.5310 14.3850 0.5730 ; + RECT 6.5910 0.6600 6.6330 0.7020 ; + RECT 6.5910 0.0900 6.6330 0.1320 ; + RECT 11.1510 0.9240 11.1930 0.9660 ; + RECT 7.8830 1.2960 7.9250 1.3380 ; + RECT 16.8510 1.1550 16.8930 1.1970 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 8.1110 0.6330 8.1530 0.6750 ; + RECT 9.0990 0.5090 9.1410 0.5510 ; + RECT 7.1990 0.7420 7.2410 0.7840 ; + RECT 12.6710 0.6570 12.7130 0.6990 ; + RECT 12.9750 0.6570 13.0170 0.6990 ; + RECT 7.0470 0.0900 7.0890 0.1320 ; + RECT 7.9590 0.6330 8.0010 0.6750 ; + RECT 12.2150 0.0900 12.2570 0.1320 ; + RECT 12.5190 0.0900 12.5610 0.1320 ; + RECT 16.8510 0.8790 16.8930 0.9210 ; + RECT 9.6310 0.6890 9.6730 0.7310 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 14.7230 0.8240 14.7650 0.8660 ; + RECT 15.6350 0.2690 15.6770 0.3110 ; + RECT 14.8750 0.6770 14.9170 0.7190 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 11.9870 1.1160 12.0290 1.1580 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 13.2030 1.1470 13.2450 1.1890 ; + RECT 2.8670 0.9450 2.9090 0.9870 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.6510 0.1580 1.6930 0.2000 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 3.8550 0.6510 3.8970 0.6930 ; + RECT 12.4430 0.3340 12.4850 0.3760 ; + RECT 4.3110 0.6510 4.3530 0.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 2.7150 0.3580 2.7570 0.4000 ; + RECT 2.8670 0.2580 2.9090 0.3000 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 12.2150 0.7710 12.2570 0.8130 ; + RECT 12.2910 1.1990 12.3330 1.2410 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 10.6950 1.5240 10.7370 1.5660 ; + RECT 12.2910 1.2910 12.3330 1.3330 ; + RECT 13.8870 0.8050 13.9290 0.8470 ; + RECT 16.8510 1.2470 16.8930 1.2890 ; + RECT 8.1110 0.8680 8.1530 0.9100 ; + RECT 7.4270 0.3240 7.4690 0.3660 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 6.6670 1.0910 6.7090 1.1330 ; + RECT 13.2030 0.9630 13.2450 1.0050 ; + RECT 16.8510 1.0630 16.8930 1.1050 ; + RECT 8.7950 1.1260 8.8370 1.1680 ; + RECT 16.8510 0.9710 16.8930 1.0130 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 15.0270 0.1400 15.0690 0.1820 ; + RECT 6.8190 1.0910 6.8610 1.1330 ; + RECT 14.2670 0.7430 14.3090 0.7850 ; + RECT 4.0830 1.2910 4.1250 1.3330 ; + RECT 4.0830 1.1070 4.1250 1.1490 ; + RECT 15.4830 0.2560 15.5250 0.2980 ; + RECT 5.5270 0.6340 5.5690 0.6760 ; + RECT 0.2830 0.4570 0.3250 0.4990 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 14.2670 0.3460 14.3090 0.3880 ; + RECT 13.5070 1.0550 13.5490 1.0970 ; + RECT 15.7110 1.0610 15.7530 1.1030 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 11.9110 1.5240 11.9530 1.5660 ; + RECT 14.2670 0.8350 14.3090 0.8770 ; + RECT 13.5070 1.1470 13.5490 1.1890 ; + RECT 14.5710 0.8320 14.6130 0.8740 ; + RECT 13.2030 1.0550 13.2450 1.0970 ; + RECT 11.7590 1.5240 11.8010 1.5660 ; + RECT 5.7550 0.9420 5.7970 0.9840 ; + RECT 4.3870 0.2360 4.4290 0.2780 ; + RECT 5.7550 0.7580 5.7970 0.8000 ; + RECT 10.9230 0.4090 10.9650 0.4510 ; + RECT 7.8830 0.3920 7.9250 0.4340 ; + RECT 13.2030 1.2390 13.2450 1.2810 ; + RECT 12.2910 0.5160 12.3330 0.5580 ; + RECT 7.5790 0.5020 7.6210 0.5440 ; + RECT 4.9950 0.4100 5.0370 0.4520 ; + RECT 0.2830 0.3650 0.3250 0.4070 ; + RECT 3.7790 0.2360 3.8210 0.2780 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 13.0510 0.4340 13.0930 0.4760 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 13.4310 0.6620 13.4730 0.7040 ; + RECT 6.2110 0.8500 6.2530 0.8920 ; + RECT 16.8510 0.1770 16.8930 0.2190 ; + RECT 16.7750 0.6170 16.8170 0.6590 ; + RECT 7.5790 1.2960 7.6210 1.3380 ; + RECT 16.8510 0.3610 16.8930 0.4030 ; + RECT 12.2150 1.4240 12.2570 1.4660 ; + RECT 12.5190 0.7550 12.5610 0.7970 ; + RECT 6.7430 1.5400 6.7850 1.5820 ; + RECT 9.6310 1.5220 9.6730 1.5640 ; + RECT 12.6710 0.9710 12.7130 1.0130 ; + RECT 12.1390 0.9710 12.1810 1.0130 ; + RECT 8.8710 0.9710 8.9130 1.0130 ; + RECT 5.7550 0.8500 5.7970 0.8920 ; + RECT 6.5150 0.9430 6.5570 0.9850 ; + RECT 7.9590 0.8680 8.0010 0.9100 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + LAYER PO ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.7490 0.7820 6.7790 1.6060 ; + RECT 12.6770 0.9390 12.7070 1.6060 ; + RECT 12.2210 0.0660 12.2510 0.6450 ; + RECT 6.7490 0.0660 6.7790 0.6820 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.9010 0.7820 6.9310 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.6820 ; + RECT 17.3890 0.0690 17.4190 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 8.1170 0.8360 8.1470 1.6060 ; + RECT 12.2210 0.7540 12.2510 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 17.0850 0.0690 17.1150 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 17.2370 0.0710 17.2670 1.6060 ; + RECT 16.1730 0.0660 16.2030 1.6060 ; + RECT 16.6290 0.0660 16.6590 1.6060 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 16.3250 0.0660 16.3550 1.6060 ; + RECT 11.3090 0.0610 11.3390 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 16.4770 0.0660 16.5070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 11.1570 0.0610 11.1870 0.6910 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 7.9650 0.8360 7.9950 1.6060 ; + RECT 13.7410 0.0670 13.7710 1.6050 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 11.7650 0.8390 11.7950 1.6060 ; + RECT 15.8690 0.0660 15.8990 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 12.6770 0.0660 12.7070 0.7280 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 16.7810 0.0660 16.8110 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 11.0050 0.8920 11.0350 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.0050 0.0610 11.0350 0.6910 ; + RECT 11.7650 0.0660 11.7950 0.6910 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 16.0210 0.0660 16.0510 1.6060 ; + RECT 11.1570 0.8920 11.1870 1.6060 ; + RECT 12.3730 0.7710 12.4030 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 11.3090 0.8920 11.3390 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.4610 0.8920 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 12.3730 0.0660 12.4030 0.6450 ; + RECT 11.4610 0.0610 11.4910 0.6910 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6950 ; + RECT 7.9650 0.0660 7.9950 0.6950 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 16.9330 0.0660 16.9630 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + LAYER M1 ; + RECT 11.0550 0.5440 11.9210 0.5550 ; + RECT 10.5350 1.0200 11.9210 1.0700 ; + RECT 10.2880 0.5100 10.5850 0.5600 ; + RECT 10.2880 0.9410 10.5850 0.9910 ; + RECT 10.5350 0.5600 10.5850 0.9410 ; + RECT 10.5350 0.9910 10.5850 1.0200 ; + RECT 10.9030 0.4050 12.1850 0.4550 ; + RECT 11.9830 0.9670 12.5060 1.0170 ; + RECT 12.1350 0.4550 12.1850 0.5770 ; + RECT 12.1350 0.3800 12.1850 0.4050 ; + RECT 12.1350 0.3300 12.5110 0.3800 ; + RECT 12.1350 0.3270 12.1850 0.3300 ; + RECT 10.9030 1.1200 12.0330 1.1700 ; + RECT 11.9830 0.3840 12.0330 0.4050 ; + RECT 11.9830 0.4550 12.0330 0.9670 ; + RECT 11.9830 1.1700 12.0330 1.2700 ; + RECT 11.9830 1.0170 12.0330 1.1200 ; + RECT 10.7470 0.9200 11.6530 0.9700 ; + RECT 11.6030 0.8310 11.6530 0.9200 ; + RECT 10.7670 0.5050 10.8170 0.9200 ; + RECT 12.2870 0.4300 13.4770 0.4800 ; + RECT 13.4270 0.4800 13.4770 0.7240 ; + RECT 12.2880 1.1790 13.1490 1.2290 ; + RECT 12.7830 0.4800 12.8330 0.7570 ; + RECT 12.7830 0.7570 13.1490 0.8070 ; + RECT 13.0990 0.8070 13.1490 1.1790 ; + RECT 12.2870 0.4800 12.3370 0.5780 ; + RECT 12.2880 1.2290 12.3380 1.3530 ; + RECT 14.3230 0.5270 14.9210 0.5770 ; + RECT 14.5670 0.5770 14.6170 0.8970 ; + RECT 14.5670 0.1260 14.6170 0.5270 ; + RECT 14.8710 0.5770 14.9210 0.7700 ; + RECT 14.8710 0.3520 14.9210 0.5270 ; + RECT 14.2630 1.0570 14.7120 1.1070 ; + RECT 14.2230 0.4270 14.3130 0.4770 ; + RECT 14.2230 0.6270 14.3130 0.6770 ; + RECT 14.2630 0.1260 14.3130 0.4270 ; + RECT 14.2230 0.4770 14.2730 0.6270 ; + RECT 14.2630 0.6770 14.3130 1.0570 ; + RECT 14.7030 0.2520 15.5450 0.3020 ; + RECT 16.8860 0.6020 17.1410 0.6520 ; + RECT 16.8470 0.4010 16.9360 0.4510 ; + RECT 16.8470 0.7780 16.9360 0.8280 ; + RECT 16.8470 0.1570 16.8970 0.4010 ; + RECT 16.8470 0.8280 16.8970 1.3090 ; + RECT 16.8860 0.4510 16.9360 0.6020 ; + RECT 16.8860 0.6520 16.9360 0.7780 ; + RECT 15.5910 0.6270 15.6810 0.6770 ; + RECT 15.6310 0.1260 15.6810 0.4270 ; + RECT 15.3870 0.4770 15.6410 0.5160 ; + RECT 15.3870 0.4660 15.6810 0.4770 ; + RECT 15.6310 0.6770 15.6810 0.7680 ; + RECT 15.5910 0.4270 15.6810 0.4660 ; + RECT 15.5910 0.5160 15.6410 0.6270 ; + RECT 15.0070 0.1360 15.2410 0.1860 ; + RECT 1.9510 1.0830 2.0010 1.4710 ; + RECT 2.5590 1.0830 2.6090 1.4710 ; + RECT 2.2550 1.0830 2.3050 1.4710 ; + RECT 1.6470 0.9910 1.6970 1.4710 ; + RECT 1.6470 1.4710 2.6090 1.5210 ; + RECT 0.7350 0.9410 1.6970 0.9910 ; + RECT 0.7350 0.9910 0.7850 1.5210 ; + RECT 1.0390 0.9910 1.0890 1.5210 ; + RECT 1.3430 0.9910 1.3930 1.5210 ; + RECT 3.7750 1.0630 4.7370 1.1130 ; + RECT 4.6870 1.1130 4.7370 1.4540 ; + RECT 2.6950 1.2520 3.8250 1.3020 ; + RECT 2.7110 1.0410 2.7610 1.2520 ; + RECT 3.3190 1.0410 3.3690 1.2520 ; + RECT 3.0150 1.0410 3.0650 1.2520 ; + RECT 3.6230 1.0410 3.6730 1.2520 ; + RECT 3.7750 1.3020 3.8250 1.4540 ; + RECT 3.7750 1.1130 3.8250 1.2520 ; + RECT 4.0790 1.1130 4.1290 1.4540 ; + RECT 4.3830 1.1130 4.4330 1.4540 ; + RECT 2.7710 0.6350 3.6130 0.6850 ; + RECT 9.4830 0.6850 9.6770 0.7350 ; + RECT 7.0430 0.7070 7.0930 0.8640 ; + RECT 7.9390 0.9140 9.5330 0.9150 ; + RECT 7.0430 0.8640 8.0210 0.8650 ; + RECT 7.0430 0.8650 9.5330 0.9140 ; + RECT 9.6270 0.7350 9.6770 0.7510 ; + RECT 9.6270 0.6690 9.6770 0.6850 ; + RECT 9.4830 0.7350 9.5330 0.8650 ; + RECT 7.8790 1.0680 8.2490 1.1180 ; + RECT 7.5750 1.0920 7.6250 1.2920 ; + RECT 7.4230 1.0920 7.4730 1.2920 ; + RECT 7.1190 1.0920 7.1690 1.2920 ; + RECT 7.8790 1.1180 7.9290 1.2920 ; + RECT 7.1030 1.2920 7.9450 1.3420 ; + RECT 7.9390 0.6290 8.3250 0.6790 ; + RECT 11.5860 0.6130 11.8210 0.6630 ; + RECT 12.1350 0.7670 12.2770 0.8170 ; + RECT 12.1350 0.6510 12.7330 0.7010 ; + RECT 12.1350 0.7010 12.1850 0.7670 ; + RECT 12.1350 0.6500 12.1850 0.6510 ; + RECT 12.5980 0.9670 12.7330 1.0170 ; + RECT 12.4990 0.7510 12.6480 0.8010 ; + RECT 12.5980 0.8010 12.6480 0.9670 ; + RECT 10.6750 1.5200 11.8210 1.5700 ; + RECT 12.7200 1.2870 13.1130 1.3370 ; + RECT 13.1990 0.8010 13.9490 0.8510 ; + RECT 13.5030 0.8510 13.5530 1.3010 ; + RECT 12.9710 0.5300 13.2490 0.5800 ; + RECT 12.9550 0.6530 13.0370 0.7030 ; + RECT 13.1990 0.8510 13.2490 1.3010 ; + RECT 13.1990 0.5800 13.2490 0.8010 ; + RECT 12.9710 0.5800 13.0210 0.6530 ; + RECT 14.7030 0.8200 15.2510 0.8700 ; + RECT 15.0070 0.7090 15.5450 0.7590 ; + RECT 15.2350 1.0570 15.7730 1.1070 ; + RECT 3.7320 0.6470 4.6770 0.6970 ; + RECT 0.2050 0.8410 3.7820 0.8910 ; + RECT 3.7320 0.6970 3.7820 0.8410 ; + RECT 0.2050 0.4760 0.3290 0.5260 ; + RECT 0.2790 0.8910 0.3290 1.5460 ; + RECT 0.2790 0.1460 0.3290 0.4760 ; + RECT 0.2050 0.5260 0.2550 0.8410 ; + RECT 11.8890 1.5200 14.7090 1.5700 ; + RECT 4.7390 0.6580 5.1340 0.7080 ; + RECT 1.7830 0.2540 3.7250 0.3040 ; + RECT 1.7990 0.9910 1.8490 1.3640 ; + RECT 3.4710 0.9910 3.5210 1.2020 ; + RECT 2.4070 0.9910 2.4570 1.3640 ; + RECT 2.1030 0.9910 2.1530 1.3640 ; + RECT 3.1670 0.9910 3.2170 1.2020 ; + RECT 2.8630 0.9910 2.9130 1.2020 ; + RECT 3.6750 0.3040 3.7250 0.3520 ; + RECT 1.7990 0.9410 4.7360 0.9910 ; + RECT 4.6860 0.7790 4.7890 0.8290 ; + RECT 3.6750 0.3520 4.7890 0.4020 ; + RECT 4.6860 0.8290 4.7360 0.9410 ; + RECT 4.7390 0.7080 4.7890 0.7790 ; + RECT 4.7390 0.4020 4.7890 0.6580 ; + RECT 16.2930 0.6320 16.8210 0.6820 ; + RECT 16.7710 0.5970 16.8210 0.6320 ; + RECT 13.8670 1.1990 16.3430 1.2490 ; + RECT 16.2930 0.6820 16.3430 1.1990 ; + RECT 6.8150 0.9670 9.3890 1.0170 ; + RECT 6.8150 0.5630 7.1690 0.6130 ; + RECT 6.8150 1.0170 6.8650 1.2450 ; + RECT 7.2710 1.0170 7.3210 1.2420 ; + RECT 7.7270 1.0170 7.7770 1.2420 ; + RECT 6.8150 0.6130 6.8650 0.9670 ; + RECT 6.8150 0.4130 6.8650 0.5630 ; + RECT 7.3870 0.5080 7.6410 0.5480 ; + RECT 7.1180 0.4980 7.6410 0.5080 ; + RECT 7.1190 0.4070 7.1690 0.4580 ; + RECT 7.1180 0.4580 7.4370 0.4980 ; + RECT 7.1190 0.5080 7.1690 0.5630 ; + RECT 9.6270 1.4200 12.4290 1.4700 ; + RECT 9.6270 1.4700 9.6770 1.5840 ; + RECT 8.2430 0.0880 12.5810 0.1380 ; + RECT 3.7750 0.2520 4.7370 0.3020 ; + RECT 4.6870 0.1240 4.7370 0.2520 ; + RECT 1.6310 0.1540 3.8250 0.2040 ; + RECT 3.7750 0.1240 3.8250 0.1540 ; + RECT 3.7750 0.2040 3.8250 0.2520 ; + RECT 4.0790 0.1240 4.1290 0.2520 ; + RECT 4.3830 0.1240 4.4330 0.2520 ; + RECT 2.9670 0.4620 3.6900 0.5120 ; + RECT 0.7350 0.2520 0.7850 0.3540 ; + RECT 0.7350 0.3540 3.0170 0.4040 ; + RECT 1.3430 0.2520 1.3930 0.3540 ; + RECT 1.0390 0.2520 1.0890 0.3540 ; + RECT 2.9670 0.4040 3.0170 0.4620 ; + RECT 6.1150 1.5340 8.3250 1.5840 ; + RECT 5.4470 1.0570 6.7130 1.1070 ; + RECT 6.6630 0.8130 6.7530 0.8630 ; + RECT 6.6630 1.2960 7.0170 1.3460 ; + RECT 6.6470 0.4120 6.7530 0.4620 ; + RECT 6.7030 0.3100 7.0330 0.3600 ; + RECT 6.6630 0.8630 6.7130 1.0570 ; + RECT 6.9670 1.1620 7.0170 1.2960 ; + RECT 6.6630 1.1070 6.7130 1.2960 ; + RECT 6.7030 0.4620 6.7530 0.8130 ; + RECT 6.7030 0.3600 6.7530 0.4120 ; + RECT 5.4470 0.3860 5.4970 0.5100 ; + RECT 5.4470 1.1070 5.4970 1.5450 ; + RECT 5.4470 0.8010 5.4970 1.0570 ; + RECT 5.4470 0.5100 5.7010 0.5600 ; + RECT 5.4470 0.7510 5.7010 0.8010 ; + RECT 5.6510 0.5600 5.7010 0.7510 ; + RECT 5.7510 0.6130 6.5010 0.6630 ; + RECT 5.7510 0.6630 5.8010 1.0050 ; + RECT 5.7510 0.2940 5.8010 0.6130 ; + RECT 6.0550 0.6630 6.1050 1.0050 ; + RECT 6.0550 0.2940 6.1050 0.6130 ; + RECT 5.1840 0.6300 5.5890 0.6800 ; + RECT 4.9910 0.1920 5.0410 0.5250 ; + RECT 4.9910 0.8280 5.0410 1.5460 ; + RECT 4.9910 0.7780 5.2340 0.8280 ; + RECT 4.9910 0.5250 5.2340 0.5750 ; + RECT 5.1840 0.6800 5.2340 0.7780 ; + RECT 5.1840 0.5750 5.2340 0.6300 ; + RECT 6.1900 0.5130 6.6370 0.5630 ; + RECT 6.5110 0.3130 6.5610 0.5130 ; + RECT 6.2070 0.7130 6.6370 0.7630 ; + RECT 6.5110 0.7630 6.5610 1.0050 ; + RECT 6.5870 0.5630 6.6370 0.7130 ; + RECT 6.2070 0.3130 6.2570 0.5130 ; + RECT 6.2070 0.7630 6.2570 1.0050 ; + RECT 7.7110 0.4980 7.7930 0.5480 ; + RECT 7.2550 0.5580 7.3380 0.6070 ; + RECT 7.2710 0.6080 7.7770 0.6570 ; + RECT 7.2550 0.6070 7.7770 0.6080 ; + RECT 7.7270 0.5480 7.7770 0.6070 ; + RECT 7.4920 0.3880 8.2490 0.4380 ; + RECT 7.4040 0.3200 7.5420 0.3700 ; + RECT 7.4920 0.3700 7.5420 0.3880 ; + RECT 6.5700 0.0880 7.1150 0.1380 ; + RECT 8.4630 0.4050 9.4680 0.4550 ; + RECT 10.1200 0.6240 10.4530 0.6740 ; + RECT 9.8390 0.5100 10.1700 0.5600 ; + RECT 10.1200 0.5600 10.1700 0.6240 ; + RECT 9.8550 1.1080 9.9050 1.1240 ; + RECT 9.8550 1.0420 9.9050 1.0580 ; + RECT 10.1200 0.6740 10.1700 1.0580 ; + RECT 9.8550 1.0580 10.1700 1.1080 ; + RECT 7.1740 0.7380 8.6900 0.7880 ; + RECT 8.3190 1.1200 9.7890 1.1700 ; + RECT 8.6390 0.5050 9.7890 0.5550 ; + RECT 8.6390 0.5550 8.6890 0.7380 ; + RECT 9.7390 0.6580 10.0040 0.7080 ; + RECT 9.7390 0.7080 9.7890 1.1200 ; + RECT 9.7390 0.5550 9.7890 0.6580 ; + RECT 11.8710 0.5550 11.9210 1.0200 ; + RECT 11.0550 0.5050 11.9200 0.5440 ; + LAYER NWELL ; + RECT 14.0960 0.4950 16.1070 1.0830 ; + RECT -0.1150 1.5430 17.5960 1.7730 ; + RECT -0.1150 0.6870 13.6340 1.5430 ; + RECT 16.5670 0.6790 17.5960 1.5430 ; + RECT -0.1150 0.6790 6.6010 0.6870 ; + RECT 7.0650 0.6790 12.5400 0.6870 ; + RECT 0.2280 0.6730 4.7880 0.6790 ; + RECT 5.2580 0.6730 6.6010 0.6790 ; + RECT 7.5680 0.6730 9.6520 0.6790 ; + RECT 10.1200 0.6730 12.5400 0.6790 ; + END +END RSDFFNSRASRNX1_HVT + +MACRO RDFFNSRASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.224 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.0170 1.1610 13.1380 1.2710 ; + RECT 12.8950 0.1160 12.9450 0.2890 ; + RECT 12.5910 0.1160 12.6410 0.2890 ; + RECT 12.5910 0.2890 13.1010 0.3390 ; + RECT 12.5910 1.0920 12.6410 1.5640 ; + RECT 12.8950 1.0920 12.9450 1.5640 ; + RECT 13.0510 1.0920 13.1010 1.1610 ; + RECT 12.5910 1.0420 13.1010 1.0920 ; + RECT 13.0510 0.3390 13.1010 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.5950 1.4870 12.6370 1.5290 ; + RECT 12.8990 0.1510 12.9410 0.1930 ; + RECT 12.5950 1.3030 12.6370 1.3450 ; + RECT 12.5950 1.3030 12.6370 1.3450 ; + RECT 12.5950 0.1510 12.6370 0.1930 ; + RECT 12.5950 1.4870 12.6370 1.5290 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + RECT 12.5950 1.3950 12.6370 1.4370 ; + RECT 12.8990 1.3950 12.9410 1.4370 ; + RECT 12.5950 1.3950 12.6370 1.4370 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 12.8990 1.3030 12.9410 1.3450 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + RECT 12.5950 1.2110 12.6370 1.2530 ; + RECT 12.8990 0.2430 12.9410 0.2850 ; + RECT 12.5950 1.2110 12.6370 1.2530 ; + RECT 12.8990 1.4870 12.9410 1.5290 ; + RECT 12.8990 0.1510 12.9410 0.1930 ; + RECT 12.5950 0.2430 12.6370 0.2850 ; + RECT 12.5950 0.1510 12.6370 0.1930 ; + RECT 12.8990 1.2110 12.9410 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.2240 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 12.7430 1.1810 12.7930 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 12.2870 0.9920 12.3370 1.6420 ; + RECT 11.8310 0.9590 11.8810 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 12.7470 1.3030 12.7890 1.3450 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 12.2910 1.1190 12.3330 1.1610 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 12.7470 1.2110 12.7890 1.2530 ; + RECT 12.7470 1.3950 12.7890 1.4370 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.7470 1.3030 12.7890 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.2910 1.0270 12.3330 1.0690 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 12.7470 1.4870 12.7890 1.5290 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 12.2910 1.1190 12.3330 1.1610 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 12.7470 1.3950 12.7890 1.4370 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 12.7470 1.2110 12.7890 1.2530 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 12.7470 1.4870 12.7890 1.5290 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 12.2910 1.0270 12.3330 1.0690 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.2240 0.0300 ; + RECT 11.7910 0.2030 11.8970 0.2530 ; + RECT 12.2870 0.2030 12.3830 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4550 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 12.7430 0.0300 12.7930 0.2260 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3280 8.4090 0.3780 ; + RECT 11.7910 0.0300 11.8410 0.2030 ; + RECT 12.2870 0.2530 12.3370 0.3560 ; + RECT 12.3330 0.0300 12.3830 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 3.3350 0.3380 6.5770 0.3550 ; + RECT 2.5790 0.3050 6.5770 0.3380 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2880 ; + RECT 2.5790 0.2880 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3080 4.2770 0.3500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 12.7470 0.1490 12.7890 0.1910 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.4510 0.3090 5.4930 0.3510 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 12.2910 0.2940 12.3330 0.3360 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 12.2910 0.2940 12.3330 0.3360 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 12.7470 0.1490 12.7890 0.1910 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8740 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.6790 0.1380 2.8740 0.1880 ; + RECT 2.7870 0.2320 8.1460 0.2380 ; + RECT 2.7870 0.2100 8.1570 0.2320 ; + RECT 8.1070 0.1380 8.1570 0.1880 ; + RECT 2.6790 0.1880 8.1570 0.2100 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.1350 0.8920 12.9860 0.9420 ; + RECT 12.8650 0.9420 12.9860 0.9670 ; + RECT 12.8650 0.8570 12.9860 0.8920 ; + RECT 12.1350 0.9420 12.1850 1.5640 ; + RECT 12.4390 0.9420 12.4890 1.5640 ; + RECT 12.4390 0.1740 12.4890 0.4060 ; + RECT 12.1350 0.1930 12.1850 0.4060 ; + RECT 12.9350 0.4560 12.9850 0.8570 ; + RECT 12.1350 0.4060 12.9850 0.4560 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.1190 12.4850 1.1610 ; + RECT 12.4430 1.0270 12.4850 1.0690 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.4430 1.1190 12.4850 1.1610 ; + RECT 12.4430 1.0270 12.4850 1.0690 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.4430 0.2960 12.4850 0.3380 ; + RECT 12.4430 0.3880 12.4850 0.4300 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 0.3880 12.1810 0.4300 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 0.2960 12.1810 0.3380 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.1390 0.2960 12.1810 0.3380 ; + RECT 12.4430 0.2960 12.4850 0.3380 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.4430 0.2040 12.4850 0.2460 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6630 6.0290 0.8150 ; + RECT 5.9630 0.6130 6.3490 0.6630 ; + RECT 6.5870 0.7810 6.6370 0.9330 ; + RECT 6.2990 0.6630 6.3490 0.7310 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + END + ANTENNAGATEAREA 0.0726 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9420 10.8490 1.0020 ; + RECT 10.7370 0.6900 10.8490 0.9420 ; + RECT 10.6150 0.6270 10.6650 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.1630 0.9510 10.2050 0.9930 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + RECT 9.2510 0.9510 9.2930 0.9930 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 12.3670 0.6640 12.4090 0.7060 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9110 0.0970 11.9530 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 12.8230 0.5910 12.8650 0.6330 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.9870 0.2490 12.0290 0.2910 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 12.6710 0.5910 12.7130 0.6330 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.7300 9.4450 0.7720 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.3920 3.2130 0.4340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.5030 0.6640 7.5450 0.7060 ; + RECT 7.8070 0.6640 7.8490 0.7060 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.4170 6.1010 0.4590 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0610 10.5850 1.1030 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7650 7.3930 0.8070 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.5170 5.9490 0.5590 ; + RECT 11.9870 0.3410 12.0290 0.3830 ; + RECT 6.8190 0.4170 6.8610 0.4590 ; + RECT 10.0870 1.0610 10.1290 1.1030 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.3920 2.9090 0.4340 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 12.2150 0.0970 12.2570 0.1390 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0610 9.5210 1.1030 ; + RECT 11.9110 0.6060 11.9530 0.6480 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + LAYER PO ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 12.0690 0.0710 12.0990 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7280 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.3730 0.0690 12.4030 1.6060 ; + RECT 12.5250 0.0690 12.5550 1.6060 ; + RECT 12.8290 0.0690 12.8590 1.6060 ; + RECT 12.9810 0.0690 13.0110 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 12.6770 0.0690 12.7070 1.6060 ; + RECT 13.1330 0.0710 13.1630 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + LAYER M1 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 12.6670 0.5870 12.8850 0.6370 ; + RECT 11.9830 0.4010 12.0730 0.4510 ; + RECT 11.9830 0.7780 12.0730 0.8280 ; + RECT 11.9830 0.2250 12.0330 0.4010 ; + RECT 11.9830 0.8280 12.0330 1.3090 ; + RECT 12.0230 0.5700 12.0730 0.7780 ; + RECT 12.0230 0.4510 12.0730 0.5200 ; + RECT 12.6670 0.6370 12.7170 0.6530 ; + RECT 12.6670 0.5700 12.7170 0.5870 ; + RECT 12.0230 0.5200 12.7170 0.5700 ; + RECT 11.7180 0.6020 11.9730 0.6520 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.7180 0.4510 11.7680 0.6020 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.6520 11.7680 0.7780 ; + RECT 11.8910 0.0930 12.2770 0.1430 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.3310 0.7610 7.4800 0.8110 ; + RECT 7.4300 0.8110 7.4800 0.9670 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 6.4180 0.6130 6.6530 0.6630 ; + RECT 7.0430 0.6600 7.5650 0.7100 ; + RECT 7.0430 0.7100 7.0930 0.8480 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 10.0670 1.0570 10.6050 1.1070 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 12.1950 0.6600 12.4290 0.7100 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.4760 0.3880 3.2330 0.4380 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3880 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 6.0390 0.4130 7.0170 0.4630 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.9670 0.3270 7.0170 0.3300 ; + RECT 6.9670 0.4630 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.4130 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3840 6.8650 0.4130 ; + RECT 6.8150 0.4630 6.8650 0.9670 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7670 ; + RECT 7.6150 0.7670 7.9810 0.8170 ; + RECT 7.9310 0.8170 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 5.8870 0.5130 6.7520 0.5440 ; + RECT 5.8870 0.5440 6.7530 0.5630 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5630 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 9.0950 1.0570 9.5440 1.1070 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0570 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.3990 0.5770 9.4490 0.8870 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6600 7.8690 0.7100 ; + RECT 7.8030 0.5800 7.8530 0.6600 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + LAYER NWELL ; + RECT -0.1150 1.5430 13.3390 1.7730 ; + RECT -0.1150 0.6890 8.4660 1.5430 ; + RECT 11.3990 0.6790 13.3390 1.5430 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3720 0.6890 ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + END +END RDFFNSRASRX2_HVT + +MACRO RDFFNSRASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.312 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 1.5240 1.0290 1.5740 ; + RECT 0.8570 1.5740 0.9670 1.5760 ; + RECT 0.8570 1.4650 0.9670 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.5270 0.8920 12.0730 0.9420 ; + RECT 11.9530 0.9420 12.0730 0.9670 ; + RECT 11.9530 0.8570 12.0730 0.8920 ; + RECT 11.5270 0.9420 11.5770 1.5640 ; + RECT 11.5270 0.2130 11.5770 0.4520 ; + RECT 12.0230 0.5020 12.0730 0.8570 ; + RECT 11.5270 0.4520 12.0730 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 0.2430 11.5730 0.2850 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.4270 11.5730 0.4690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.1050 1.1610 12.2250 1.2710 ; + RECT 11.8310 0.1160 11.8810 0.2890 ; + RECT 11.8310 0.2890 12.1890 0.3390 ; + RECT 11.8310 1.0420 12.1890 1.0920 ; + RECT 11.8310 1.0920 11.8810 1.5640 ; + RECT 12.1390 1.0920 12.1890 1.1610 ; + RECT 12.1390 0.3390 12.1890 1.0420 ; + END + PORT + LAYER CO ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 11.8350 0.2430 11.8770 0.2850 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.3120 1.7020 ; + RECT 2.5420 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.0330 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 10.9190 0.9590 10.9690 1.6420 ; + RECT 11.6790 0.9920 11.7290 1.6420 ; + RECT 11.9830 1.1810 12.0330 1.6420 ; + RECT 11.2230 0.9590 11.2730 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 0.5830 1.2130 1.4110 1.2630 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 7.5750 0.9530 7.6250 1.4040 ; + RECT 8.9830 1.4540 9.0330 1.6420 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.2170 1.3890 1.2590 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.5790 0.9730 7.6210 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.3120 0.0300 ; + RECT 6.9510 0.3280 7.9840 0.3780 ; + RECT 11.1830 0.2030 11.2890 0.2530 ; + RECT 11.6790 0.2030 11.7750 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.5510 0.0300 9.6010 0.1980 ; + RECT 8.6390 0.0300 8.6890 0.4260 ; + RECT 10.0070 0.0300 10.0570 0.4260 ; + RECT 10.9190 0.0300 10.9690 0.4230 ; + RECT 11.9830 0.0300 12.0330 0.2260 ; + RECT 1.3430 0.2440 2.1730 0.2600 ; + RECT 7.9340 0.0300 7.9840 0.3280 ; + RECT 11.1830 0.0300 11.2330 0.2030 ; + RECT 11.6790 0.2530 11.7290 0.3950 ; + RECT 11.7250 0.0300 11.7750 0.2030 ; + RECT 1.3430 0.2600 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 2.1230 0.2600 2.1730 0.2820 ; + RECT 2.8790 0.3320 6.1210 0.3550 ; + RECT 2.1230 0.3050 6.1210 0.3320 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.2100 2.1730 0.2440 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + RECT 0.5830 0.1940 1.3930 0.2100 ; + END + PORT + LAYER CO ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 7.7310 0.3320 7.7730 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 10.0110 0.2660 10.0530 0.3080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.6830 0.2410 11.7250 0.2830 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.3230 0.3080 3.3650 0.3500 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0910 1.3200 6.5610 1.3700 ; + RECT 6.5110 1.0790 7.3520 1.1270 ; + RECT 7.1950 0.9510 7.2450 1.0090 ; + RECT 7.1950 1.0090 7.3520 1.0790 ; + RECT 3.0910 1.3700 3.1410 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 6.5110 1.1270 7.2450 1.1290 ; + RECT 6.5110 1.1290 6.5610 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.1990 0.9710 7.2410 1.0130 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 3.0950 1.3930 3.1370 1.4350 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2080 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.1290 0.2490 10.2400 0.3590 ; + RECT 9.9150 0.5100 10.1900 0.5600 ; + RECT 10.1400 0.3590 10.1900 0.5100 ; + END + PORT + LAYER CO ; + RECT 9.9350 0.5140 9.9770 0.5560 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6630 5.5730 0.8150 ; + RECT 5.5070 0.6130 5.8930 0.6630 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6630 5.8930 0.7310 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6170 5.8730 0.6590 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.6230 0.9420 10.2410 1.0020 ; + RECT 10.1300 0.6900 10.2410 0.9420 ; + RECT 10.0070 0.6270 10.0570 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.7430 10.0530 0.7850 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 8.6430 0.9510 8.6850 0.9930 ; + RECT 9.5550 0.9510 9.5970 0.9930 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.1630 0.8620 10.2050 0.9040 ; + RECT 10.1630 0.7100 10.2050 0.7520 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + END + END VDDG + OBS + LAYER CO ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 9.8590 0.2690 9.9010 0.3110 ; + RECT 9.0990 0.6770 9.1410 0.7190 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.3790 0.2490 11.4210 0.2910 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 9.6310 0.4660 9.6730 0.5080 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.8240 9.4450 0.8660 ; + RECT 9.0990 0.3680 9.1410 0.4100 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3030 0.0970 11.3450 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 11.9110 0.6640 11.9530 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.1710 1.1240 3.2130 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 9.8590 0.6800 9.9010 0.7220 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.7310 0.9630 7.7730 1.0050 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.3790 0.3410 11.4210 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 9.1750 1.2030 9.2170 1.2450 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 8.7950 0.6390 8.8370 0.6810 ; + RECT 8.1110 1.2030 8.1530 1.2450 ; + RECT 8.7950 0.6390 8.8370 0.6810 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 8.9470 0.2520 8.9890 0.2940 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 9.4790 1.0610 9.5210 1.1030 ; + RECT 8.7950 0.8230 8.8370 0.8650 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.7950 0.7310 8.8370 0.7730 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.5790 0.5580 7.6210 0.6000 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.5670 0.5310 8.6090 0.5730 ; + RECT 1.5750 0.6680 1.6170 0.7100 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + RECT 7.0470 0.6710 7.0890 0.7130 ; + RECT 7.3510 0.7550 7.3930 0.7970 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 8.9470 0.8240 8.9890 0.8660 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.0190 0.4090 3.0610 0.4510 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 9.2510 0.7130 9.2930 0.7550 ; + RECT 9.7070 0.7130 9.7490 0.7550 ; + RECT 8.8710 1.0610 8.9130 1.1030 ; + RECT 11.3030 0.6060 11.3450 0.6480 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.1110 0.8050 8.1530 0.8470 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 11.6070 0.0970 11.6490 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 9.2510 0.1360 9.2930 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.4910 0.7430 8.5330 0.7850 ; + RECT 9.7070 0.2520 9.7490 0.2940 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 7.4270 0.2160 7.4690 0.2580 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 9.9350 1.0610 9.9770 1.1030 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 8.7950 0.8230 8.8370 0.8650 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.4270 0.4340 7.4690 0.4760 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.6550 0.1430 7.6970 0.1850 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7730 6.9370 0.8150 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + LAYER PO ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.0730 7.6910 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7450 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.5090 0.0730 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.7650 0.0710 11.7950 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6470 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0690 11.6430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.4610 0.0710 11.4910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.2210 0.0710 12.2510 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.9650 0.0670 7.9950 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + LAYER M1 ; + RECT 11.1100 0.4510 11.1600 0.6020 ; + RECT 11.0710 0.8280 11.1210 1.3090 ; + RECT 11.1100 0.6520 11.1600 0.7780 ; + RECT 9.6110 0.4620 9.8650 0.5120 ; + RECT 9.8150 0.3760 9.9050 0.4260 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8550 0.1260 9.9050 0.3760 ; + RECT 9.8150 0.4260 9.8650 0.4620 ; + RECT 9.8550 0.6770 9.9050 0.7680 ; + RECT 9.8150 0.5120 9.8650 0.6270 ; + RECT 11.2830 0.0930 11.6690 0.1430 ; + RECT 1.0980 1.5240 2.8530 1.5740 ; + RECT 2.4670 0.9680 4.0610 1.0180 ; + RECT 4.0110 0.6850 4.2050 0.7350 ; + RECT 4.1550 0.7350 4.2050 0.7510 ; + RECT 4.1550 0.6690 4.2050 0.6850 ; + RECT 4.0110 0.7350 4.0610 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0870 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 6.8750 0.7690 7.0240 0.8190 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8190 7.0240 0.9670 ; + RECT 6.2650 1.5200 8.9330 1.5700 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5110 0.7670 6.6530 0.8170 ; + RECT 6.5110 0.6670 7.1090 0.7170 ; + RECT 6.5110 0.7170 6.5610 0.7670 ; + RECT 9.2310 0.7090 9.7690 0.7590 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 10.5170 0.6320 11.0450 0.6820 ; + RECT 10.9950 0.5970 11.0450 0.6320 ; + RECT 8.0910 1.1990 10.5670 1.2490 ; + RECT 10.5170 0.6820 10.5670 1.1990 ; + RECT 8.9270 0.8200 9.4750 0.8700 ; + RECT 9.4590 1.0570 9.9970 1.1070 ; + RECT 2.7710 0.0880 6.9570 0.1380 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.7990 0.8540 3.9170 0.9040 ; + RECT 2.0570 0.5480 2.1070 0.5630 ; + RECT 2.0570 0.4990 2.3210 0.5480 ; + RECT 1.7990 0.5630 2.1070 0.6130 ; + RECT 2.0870 0.4980 2.3210 0.4990 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 2.9910 0.4050 3.9960 0.4550 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 2.1630 0.7380 3.2180 0.7880 ; + RECT 2.8470 1.1200 4.3170 1.1700 ; + RECT 3.1670 0.5050 4.3170 0.5550 ; + RECT 3.1670 0.5550 3.2170 0.7380 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 6.6630 0.4300 7.4970 0.4800 ; + RECT 6.6640 1.1790 7.4660 1.2290 ; + RECT 7.1590 0.4800 7.2090 0.8510 ; + RECT 7.1590 0.8510 7.4660 0.9010 ; + RECT 7.4160 0.9010 7.4660 1.1790 ; + RECT 6.6630 0.4800 6.7130 0.5630 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 7.3470 0.5300 7.6250 0.5800 ; + RECT 7.7270 0.8510 7.7770 1.3010 ; + RECT 7.5750 0.8010 8.1730 0.8510 ; + RECT 7.5750 0.8510 7.6250 0.8520 ; + RECT 7.5750 0.5800 7.6250 0.8010 ; + RECT 7.3310 0.7510 7.4130 0.8010 ; + RECT 7.3470 0.5800 7.3970 0.7510 ; + RECT 8.9270 0.2480 9.7690 0.2980 ; + RECT 7.4230 0.1390 7.7230 0.1890 ; + RECT 7.4230 0.1890 7.4730 0.2780 ; + RECT 9.2310 0.1320 9.4650 0.1820 ; + RECT 8.4870 1.0570 8.9360 1.1070 ; + RECT 8.4470 0.4270 8.5370 0.4770 ; + RECT 8.4470 0.6270 8.5370 0.6770 ; + RECT 8.4870 0.1260 8.5370 0.4270 ; + RECT 8.4470 0.4770 8.4970 0.6270 ; + RECT 8.4870 0.6770 8.5370 1.0570 ; + RECT 8.5470 0.5270 9.1450 0.5770 ; + RECT 8.7910 0.5770 8.8410 0.8850 ; + RECT 8.7910 0.1260 8.8410 0.5270 ; + RECT 9.0950 0.5770 9.1450 0.7700 ; + RECT 9.0950 0.3480 9.1450 0.5270 ; + RECT 11.4150 0.6600 11.9730 0.7100 ; + RECT 11.3750 0.4010 11.4650 0.4510 ; + RECT 11.3750 0.7780 11.4650 0.8280 ; + RECT 11.3750 0.2250 11.4250 0.4010 ; + RECT 11.4150 0.4510 11.4650 0.6600 ; + RECT 11.3750 0.8280 11.4250 1.3090 ; + RECT 11.4150 0.7100 11.4650 0.7780 ; + RECT 11.1100 0.6020 11.3650 0.6520 ; + RECT 11.0710 0.4010 11.1600 0.4510 ; + RECT 11.0710 0.7780 11.1600 0.8280 ; + RECT 11.0710 0.1570 11.1210 0.4010 ; + LAYER NWELL ; + RECT 8.3200 0.4910 10.3310 1.0830 ; + RECT -0.1150 1.5430 12.4270 1.7730 ; + RECT -0.1150 0.7800 7.8580 1.5430 ; + RECT 10.7910 0.6790 12.4270 1.5430 ; + RECT -0.1150 0.6890 6.4600 0.7800 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 6.4600 0.6890 ; + END +END RDFFNSRASX1_HVT + +MACRO RDFFNSRASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.616 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.5270 0.9420 11.5770 1.5640 ; + RECT 11.8310 0.9420 11.8810 1.5640 ; + RECT 11.5270 0.8920 12.3910 0.9420 ; + RECT 12.2570 0.8570 12.3910 0.8920 ; + RECT 11.5270 0.2130 11.5770 0.4520 ; + RECT 12.3410 0.5020 12.3910 0.8570 ; + RECT 11.5270 0.4520 12.3910 0.5020 ; + RECT 12.2570 0.9420 12.3680 0.9670 ; + END + PORT + LAYER CO ; + RECT 11.5310 0.2430 11.5730 0.2850 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 0.4560 11.8770 0.4980 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.4270 11.5730 0.4690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.8350 0.4560 11.8770 0.4980 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.4090 1.0920 12.5210 1.1190 ; + RECT 12.4090 1.0090 12.5210 1.0420 ; + RECT 11.9830 0.2890 12.4990 0.3390 ; + RECT 11.9830 1.0420 12.5210 1.0920 ; + RECT 12.2870 1.0920 12.3370 1.5640 ; + RECT 12.4490 0.3390 12.4990 1.0090 ; + RECT 11.9830 0.1160 12.0330 0.2890 ; + RECT 11.9830 1.0920 12.0330 1.5640 ; + END + PORT + LAYER CO ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.9870 0.2430 12.0290 0.2850 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 12.2910 0.2930 12.3330 0.3350 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.6160 1.7020 ; + RECT 2.5420 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.0330 1.4540 ; + RECT 10.9190 0.9590 10.9690 1.6420 ; + RECT 11.2230 0.9590 11.2730 1.6420 ; + RECT 11.6790 0.9920 11.7290 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 12.1350 1.1810 12.1850 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 7.5750 0.9530 7.6250 1.4040 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 8.9830 1.4540 9.0330 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 1.3430 1.2630 1.3930 1.4060 ; + RECT 1.3430 1.1790 1.3930 1.2130 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.3210 1.3890 1.3630 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2290 1.3890 1.2710 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.5790 0.9730 7.6210 1.0150 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 1.5240 1.0290 1.5740 ; + RECT 0.8570 1.5740 0.9700 1.5800 ; + RECT 0.8570 1.4650 0.9700 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.6160 0.0300 ; + RECT 6.9510 0.3280 7.9840 0.3780 ; + RECT 11.1830 0.2030 11.2890 0.2530 ; + RECT 11.6790 0.2030 11.9320 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.5510 0.0300 9.6010 0.1980 ; + RECT 8.6390 0.0300 8.6890 0.4260 ; + RECT 10.0070 0.0300 10.0570 0.4260 ; + RECT 10.9190 0.0300 10.9690 0.4230 ; + RECT 12.1350 0.0300 12.1850 0.2260 ; + RECT 7.9340 0.0300 7.9840 0.3280 ; + RECT 11.1830 0.0300 11.2330 0.2030 ; + RECT 11.6790 0.2530 11.7290 0.3950 ; + RECT 11.8820 0.0300 11.9320 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 2.8790 0.3320 6.1210 0.3550 ; + RECT 2.1230 0.3050 6.1210 0.3320 ; + RECT 0.5830 0.1940 2.1730 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.1230 0.2440 2.1730 0.2820 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 10.0110 0.2660 10.0530 0.3080 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 7.7310 0.3320 7.7730 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.6830 0.2410 11.7250 0.2830 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.3230 0.3080 3.3650 0.3500 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0910 1.3200 6.5610 1.3700 ; + RECT 6.5110 1.0790 7.3520 1.1270 ; + RECT 7.1950 0.9510 7.2450 1.0090 ; + RECT 7.1950 1.0090 7.3520 1.0790 ; + RECT 3.0910 1.3700 3.1410 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 6.5110 1.1270 7.2450 1.1290 ; + RECT 6.5110 1.1290 6.5610 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 3.0950 1.3930 3.1370 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 7.1990 0.9710 7.2410 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2100 0.7010 ; + RECT 0.0970 0.5420 0.2100 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.1300 0.2490 10.2410 0.3590 ; + RECT 9.9150 0.5270 10.1900 0.5770 ; + RECT 10.1400 0.3590 10.1900 0.5270 ; + END + PORT + LAYER CO ; + RECT 9.9350 0.5310 9.9770 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6630 5.5730 0.8150 ; + RECT 5.5070 0.6130 5.8930 0.6630 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6630 5.8930 0.7310 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6170 5.8730 0.6590 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.6230 0.9420 10.2420 1.0020 ; + RECT 10.1300 0.6900 10.2410 0.9420 ; + RECT 10.0070 0.6270 10.0570 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 9.5550 0.9510 9.5970 0.9930 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.7430 10.0530 0.7850 ; + RECT 8.6430 0.9510 8.6850 0.9930 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.1630 0.7100 10.2050 0.7520 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 10.1630 0.8620 10.2050 0.9040 ; + END + END VDDG + OBS + LAYER CO ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 12.0630 0.6640 12.1050 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.1710 1.1240 3.2130 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 9.8590 0.6800 9.9010 0.7220 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.0190 0.4090 3.0610 0.4510 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 9.2510 0.7130 9.2930 0.7550 ; + RECT 9.7070 0.7130 9.7490 0.7550 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.8240 9.4450 0.8660 ; + RECT 9.0990 0.3680 9.1410 0.4100 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3030 0.0970 11.3450 0.1390 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 8.7950 0.6380 8.8370 0.6800 ; + RECT 8.1110 1.2030 8.1530 1.2450 ; + RECT 8.7950 0.6380 8.8370 0.6800 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 8.9470 0.2520 8.9890 0.2940 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 9.4790 1.0610 9.5210 1.1030 ; + RECT 8.7950 0.8220 8.8370 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.7950 0.7300 8.8370 0.7720 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.5790 0.5580 7.6210 0.6000 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.5670 0.5310 8.6090 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 9.8590 0.2690 9.9010 0.3110 ; + RECT 9.0990 0.6770 9.1410 0.7190 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.3790 0.2490 11.4210 0.2910 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 9.6310 0.4660 9.6730 0.5080 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 9.1750 1.2030 9.2170 1.2450 ; + RECT 8.8710 1.0610 8.9130 1.1030 ; + RECT 11.3030 0.6060 11.3450 0.6480 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.1110 0.8050 8.1530 0.8470 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 11.6070 0.0970 11.6490 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 11.7590 0.0970 11.8010 0.1390 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + RECT 7.0470 0.6630 7.0890 0.7050 ; + RECT 7.3510 0.6630 7.3930 0.7050 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 8.9470 0.8240 8.9890 0.8660 ; + RECT 7.4270 0.4340 7.4690 0.4760 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.6550 0.0920 7.6970 0.1340 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7640 6.9370 0.8060 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 9.2510 0.1360 9.2930 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.4910 0.7430 8.5330 0.7850 ; + RECT 9.7070 0.2520 9.7490 0.2940 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 7.4270 0.2160 7.4690 0.2580 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 9.9350 1.0610 9.9770 1.1030 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 8.7950 0.8220 8.8370 0.8640 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.7310 0.9630 7.7730 1.0050 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.3790 0.3410 11.4210 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + LAYER PO ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.0730 7.6910 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7280 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.5090 0.0730 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0710 11.9470 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.7650 0.0710 11.7950 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6470 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0690 11.6430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.4610 0.0710 11.4910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0710 12.5550 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.9650 0.0670 7.9950 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + LAYER M1 ; + RECT 11.3750 0.2250 11.4250 0.4010 ; + RECT 11.4150 0.4510 11.4650 0.6600 ; + RECT 11.3750 0.8280 11.4250 1.3090 ; + RECT 11.4150 0.7100 11.4650 0.7780 ; + RECT 11.1100 0.6020 11.3650 0.6520 ; + RECT 11.0710 0.4010 11.1600 0.4510 ; + RECT 11.0710 0.7780 11.1600 0.8280 ; + RECT 11.0710 0.1570 11.1210 0.4010 ; + RECT 11.1100 0.4510 11.1600 0.6020 ; + RECT 11.0710 0.8280 11.1210 1.3090 ; + RECT 11.1100 0.6520 11.1600 0.7780 ; + RECT 11.2830 0.0930 11.8270 0.1430 ; + RECT 2.4670 0.9680 4.0610 1.0180 ; + RECT 4.0110 0.6850 4.2050 0.7350 ; + RECT 4.1550 0.7350 4.2050 0.7510 ; + RECT 4.1550 0.6690 4.2050 0.6850 ; + RECT 4.0110 0.7350 4.0610 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0870 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 6.8750 0.7600 7.0240 0.8100 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8100 7.0240 0.9670 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5870 0.6590 7.1090 0.7090 ; + RECT 6.5870 0.7090 6.6370 0.8470 ; + RECT 9.2310 0.7090 9.7690 0.7590 ; + RECT 9.4590 1.0570 9.9970 1.1070 ; + RECT 8.9270 0.8200 9.4750 0.8700 ; + RECT 1.0990 1.5240 2.8530 1.5740 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 6.2650 1.5200 8.9330 1.5700 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 1.7990 0.8540 3.9170 0.9040 ; + RECT 1.7990 0.4980 2.3210 0.5480 ; + RECT 1.7990 0.4130 1.8490 0.4980 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.5480 1.8490 0.8540 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 8.5470 0.5270 9.1450 0.5770 ; + RECT 8.7910 0.5770 8.8410 0.8870 ; + RECT 8.7910 0.1260 8.8410 0.5270 ; + RECT 9.0950 0.5770 9.1450 0.7700 ; + RECT 9.0950 0.3480 9.1450 0.5270 ; + RECT 2.7710 0.0880 6.9570 0.1380 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 10.5170 0.6320 11.0450 0.6820 ; + RECT 10.9950 0.5970 11.0450 0.6320 ; + RECT 10.5170 0.6820 10.5670 1.1990 ; + RECT 8.0910 1.1990 10.5670 1.2490 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 2.8470 1.1200 4.3170 1.1700 ; + RECT 2.1410 0.7380 3.2180 0.7880 ; + RECT 3.1670 0.5050 4.3170 0.5550 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 3.1670 0.5550 3.2170 0.7380 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 2.9910 0.4050 3.9960 0.4550 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 6.6630 0.4300 7.4970 0.4800 ; + RECT 6.6640 1.1790 7.4800 1.2290 ; + RECT 7.4300 0.8160 7.4800 1.1790 ; + RECT 7.1590 0.7660 7.4800 0.8160 ; + RECT 7.1590 0.4800 7.2090 0.7660 ; + RECT 6.6630 0.4800 6.7130 0.5630 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 7.3470 0.5300 7.6250 0.5800 ; + RECT 7.7270 0.8510 7.7770 1.3010 ; + RECT 7.5750 0.8010 8.1730 0.8510 ; + RECT 7.5750 0.8510 7.6250 0.8520 ; + RECT 7.5750 0.5800 7.6250 0.8010 ; + RECT 7.3310 0.6590 7.4130 0.7090 ; + RECT 7.3470 0.5800 7.3970 0.6590 ; + RECT 8.9270 0.2480 9.7690 0.2980 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8150 0.4270 9.9050 0.4620 ; + RECT 9.6110 0.4770 9.8650 0.5120 ; + RECT 9.6110 0.4620 9.9050 0.4770 ; + RECT 9.8550 0.1260 9.9050 0.4270 ; + RECT 9.8550 0.6770 9.9050 0.7680 ; + RECT 9.8150 0.5120 9.8650 0.6270 ; + RECT 7.4230 0.0880 7.7230 0.1380 ; + RECT 7.4230 0.1380 7.4730 0.2780 ; + RECT 9.2310 0.1320 9.4650 0.1820 ; + RECT 8.4870 1.0570 8.9360 1.1070 ; + RECT 8.4470 0.4270 8.5370 0.4770 ; + RECT 8.4470 0.6270 8.5370 0.6770 ; + RECT 8.4870 0.1260 8.5370 0.4270 ; + RECT 8.4470 0.4770 8.4970 0.6270 ; + RECT 8.4870 0.6770 8.5370 1.0570 ; + RECT 11.4150 0.6600 12.2840 0.7100 ; + RECT 11.3750 0.4010 11.4650 0.4510 ; + RECT 11.3750 0.7780 11.4650 0.8280 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.7160 1.7730 ; + RECT -0.1150 0.6900 7.8580 1.5430 ; + RECT 10.7900 0.6790 12.7160 1.5430 ; + RECT -0.1150 0.6890 6.9150 0.6900 ; + RECT -0.1150 0.6790 1.5950 0.6890 ; + RECT 2.0530 0.6790 6.9150 0.6890 ; + RECT 8.3190 0.4910 10.3300 1.0830 ; + END +END RDFFNSRASX2_HVT + +MACRO RDFFNSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.16 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0910 0.6300 0.5730 0.6800 ; + RECT 0.0910 0.5530 0.2110 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.3750 0.8920 11.9470 0.9420 ; + RECT 11.8010 0.8570 11.9470 0.8920 ; + RECT 11.8010 0.9420 11.9470 0.9670 ; + RECT 11.3750 0.9420 11.4250 1.5640 ; + RECT 11.3750 0.2130 11.4250 0.4520 ; + RECT 11.8970 0.5020 11.9470 0.8570 ; + RECT 11.3750 0.4520 11.9470 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.3790 1.2110 11.4210 1.2530 ; + RECT 11.3790 0.2430 11.4210 0.2850 ; + RECT 11.3790 0.3350 11.4210 0.3770 ; + RECT 11.3790 0.3350 11.4210 0.3770 ; + RECT 11.3790 0.4270 11.4210 0.4690 ; + RECT 11.3790 1.3030 11.4210 1.3450 ; + RECT 11.3790 1.4870 11.4210 1.5290 ; + RECT 11.3790 1.3950 11.4210 1.4370 ; + RECT 11.3790 1.0270 11.4210 1.0690 ; + RECT 11.3790 1.1190 11.4210 1.1610 ; + RECT 11.3790 1.0270 11.4210 1.0690 ; + RECT 11.3790 1.3030 11.4210 1.3450 ; + RECT 11.3790 1.1190 11.4210 1.1610 ; + RECT 11.3790 1.4870 11.4210 1.5290 ; + RECT 11.3790 1.2110 11.4210 1.2530 ; + RECT 11.3790 1.3950 11.4210 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9530 1.1610 12.0720 1.2710 ; + RECT 11.6630 0.3300 12.0710 0.3800 ; + RECT 11.6790 1.0420 12.0710 1.0920 ; + RECT 11.6790 1.0920 11.7290 1.5640 ; + RECT 12.0210 1.0920 12.0710 1.1610 ; + RECT 12.0210 0.3800 12.0710 1.0420 ; + END + PORT + LAYER CO ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 0.3340 11.7250 0.3760 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.1600 1.7020 ; + RECT 0.5830 1.2130 1.4110 1.2630 ; + RECT 2.5420 1.2200 5.6650 1.2700 ; + RECT 6.5110 1.4040 8.8810 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 10.7670 0.9590 10.8170 1.6420 ; + RECT 11.5270 0.9920 11.5770 1.6420 ; + RECT 11.8310 1.1810 11.8810 1.6420 ; + RECT 11.0710 0.9590 11.1210 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 6.5110 1.2790 6.5610 1.4040 ; + RECT 7.4230 0.9530 7.4730 1.4040 ; + RECT 8.8310 1.4540 8.8810 1.6420 ; + END + PORT + LAYER CO ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.3470 1.2160 1.3890 1.2580 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 3.6270 1.2240 3.6690 1.2660 ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 7.4270 1.1570 7.4690 1.1990 ; + RECT 7.4270 1.0650 7.4690 1.1070 ; + RECT 7.4270 1.1570 7.4690 1.1990 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 7.4270 1.2490 7.4690 1.2910 ; + RECT 7.4270 1.0650 7.4690 1.1070 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.4270 0.9730 7.4690 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 7.4270 1.2490 7.4690 1.2910 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 10.7710 1.2800 10.8130 1.3220 ; + RECT 3.3230 1.2240 3.3650 1.2660 ; + RECT 10.7710 1.0960 10.8130 1.1380 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 10.7710 1.0040 10.8130 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 3.7790 1.2240 3.8210 1.2660 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 6.5150 1.3010 6.5570 1.3430 ; + RECT 10.7710 1.0040 10.8130 1.0460 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 10.7710 1.2800 10.8130 1.3220 ; + RECT 10.7710 1.1880 10.8130 1.2300 ; + RECT 10.7710 1.1880 10.8130 1.2300 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 10.7710 1.0960 10.8130 1.1380 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 1.5670 1.0290 1.5760 ; + RECT 0.9830 1.4090 1.0330 1.5260 ; + RECT 0.7950 1.5260 1.0330 1.5670 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5320 1.0090 1.5740 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.1600 0.0300 ; + RECT 6.4950 0.3280 7.7790 0.3780 ; + RECT 11.0310 0.2030 11.1370 0.2530 ; + RECT 11.5270 0.2030 11.6410 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.3990 0.0300 9.4490 0.2020 ; + RECT 8.4870 0.0300 8.5370 0.4260 ; + RECT 9.8550 0.0300 9.9050 0.4260 ; + RECT 10.7670 0.0300 10.8170 0.4230 ; + RECT 11.8310 0.0300 11.8810 0.2260 ; + RECT 7.7280 0.0300 7.7780 0.3280 ; + RECT 11.0310 0.0300 11.0810 0.2030 ; + RECT 11.5270 0.2530 11.5770 0.3950 ; + RECT 11.5910 0.0300 11.6410 0.2030 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 2.8790 0.3320 5.6650 0.3550 ; + RECT 2.1230 0.3050 5.6650 0.3320 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + RECT 2.8790 0.2590 2.9290 0.2820 ; + RECT 0.5830 0.1940 2.1730 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.1230 0.2440 2.1730 0.2820 ; + END + PORT + LAYER CO ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 3.4750 0.3080 3.5170 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.0830 0.3090 4.1250 0.3510 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 9.8590 0.1740 9.9010 0.2160 ; + RECT 3.7790 0.3090 3.8210 0.3510 ; + RECT 10.7710 0.3610 10.8130 0.4030 ; + RECT 11.0750 0.2070 11.1170 0.2490 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 7.5790 0.3320 7.6210 0.3740 ; + RECT 6.5150 0.3320 6.5570 0.3740 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 9.4030 0.1400 9.4450 0.1820 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 11.8350 0.1490 11.8770 0.1910 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 9.8590 0.2660 9.9010 0.3080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.5310 0.2410 11.5730 0.2830 ; + RECT 11.0750 0.2070 11.1170 0.2490 ; + RECT 11.5310 0.3330 11.5730 0.3750 ; + RECT 9.8590 0.1740 9.9010 0.2160 ; + RECT 9.8590 0.3580 9.9010 0.4000 ; + RECT 11.8350 0.1490 11.8770 0.1910 ; + RECT 11.5310 0.3330 11.5730 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.7710 0.2690 10.8130 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.1710 0.3080 3.2130 0.3500 ; + RECT 9.8590 0.3580 9.9010 0.4000 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 10.7710 0.2690 10.8130 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 10.7710 0.1770 10.8130 0.2190 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.9770 0.2490 10.0870 0.3590 ; + RECT 9.7630 0.5270 10.0380 0.5770 ; + RECT 9.9880 0.3590 10.0380 0.5270 ; + END + PORT + LAYER CO ; + RECT 9.7830 0.5310 9.8250 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9610 0.7140 5.1170 0.8240 ; + RECT 5.0510 0.6140 5.4370 0.6640 ; + RECT 5.3870 0.6130 5.4370 0.6140 ; + RECT 5.0670 0.6640 5.1170 0.7140 ; + RECT 5.0670 0.6130 5.1170 0.6140 ; + RECT 5.6750 0.7810 5.7250 0.9330 ; + RECT 5.3870 0.6640 5.4370 0.7310 ; + RECT 5.3870 0.7310 5.7250 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.3750 0.6200 5.4170 0.6620 ; + RECT 5.0710 0.6200 5.1130 0.6620 ; + RECT 5.6790 0.8710 5.7210 0.9130 ; + RECT 5.2230 0.6200 5.2650 0.6620 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.4710 0.9570 10.0890 1.0170 ; + RECT 9.9790 0.6900 10.0890 0.9570 ; + RECT 9.8550 0.6270 9.9050 0.9570 ; + END + PORT + LAYER CO ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.4030 0.9610 9.4450 1.0030 ; + RECT 10.0110 0.7100 10.0530 0.7520 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.8590 0.7430 9.9010 0.7850 ; + RECT 10.0110 0.8620 10.0530 0.9040 ; + RECT 8.4910 0.9610 8.5330 1.0030 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + END + END VDDG + OBS + LAYER CO ; + RECT 1.0430 0.8590 1.0850 0.9010 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 6.0590 0.5010 6.1010 0.5430 ; + RECT 7.5030 0.0900 7.5450 0.1320 ; + RECT 6.8190 0.4340 6.8610 0.4760 ; + RECT 7.0470 0.4340 7.0890 0.4760 ; + RECT 7.0470 1.1830 7.0890 1.2250 ; + RECT 2.7910 0.0910 2.8330 0.1330 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 3.5510 0.8580 3.5930 0.9000 ; + RECT 3.4750 1.1260 3.5170 1.1680 ; + RECT 4.0070 0.6620 4.0490 0.7040 ; + RECT 4.4630 0.6280 4.5050 0.6700 ; + RECT 3.7030 0.6890 3.7450 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.2510 0.1400 9.2930 0.1820 ; + RECT 5.1470 0.4090 5.1890 0.4510 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 8.6430 0.6480 8.6850 0.6900 ; + RECT 7.9590 1.2030 8.0010 1.2450 ; + RECT 8.6430 0.6480 8.6850 0.6900 ; + RECT 8.3390 0.6510 8.3810 0.6930 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9470 1.2370 0.9890 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.2510 0.8240 9.2930 0.8660 ; + RECT 8.9470 0.3720 8.9890 0.4140 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 9.7070 0.3610 9.7490 0.4030 ; + RECT 11.1510 0.0970 11.1930 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.0190 0.5250 3.0610 0.5670 ; + RECT 1.1950 0.7620 1.2370 0.8040 ; + RECT 11.7590 0.6640 11.8010 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 1.8790 0.0900 1.9210 0.1320 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.7670 1.0850 0.8090 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.2870 0.0900 6.3290 0.1320 ; + RECT 1.0430 0.9510 1.0850 0.9930 ; + RECT 3.8550 0.6620 3.8970 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.4510 0.4090 5.4930 0.4510 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 3.9310 0.5140 3.9730 0.5560 ; + RECT 9.7070 0.6800 9.7490 0.7220 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 5.4510 1.1240 5.4930 1.1660 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 6.3630 0.9710 6.4050 1.0130 ; + RECT 4.3110 0.6280 4.3530 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.1770 2.1490 1.2190 ; + RECT 2.1070 1.0850 2.1490 1.1270 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 4.3870 0.9450 4.4290 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0900 1.7690 0.1320 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.6430 0.7400 8.6850 0.7820 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.4270 0.5520 7.4690 0.5940 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 6.1350 1.4240 6.1770 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.5270 0.8510 5.5690 0.8930 ; + RECT 5.3750 0.9240 5.4170 0.9660 ; + RECT 8.4150 0.5310 8.4570 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.0710 0.9240 5.1130 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.3230 0.5090 3.3650 0.5510 ; + RECT 6.5910 0.6610 6.6330 0.7030 ; + RECT 6.7430 0.6650 6.7850 0.7070 ; + RECT 2.0310 0.0910 2.0730 0.1330 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.1350 0.0900 6.1770 0.1320 ; + RECT 6.4390 0.0900 6.4810 0.1320 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 3.7030 0.6890 3.7450 0.7310 ; + RECT 8.7950 0.8240 8.8370 0.8660 ; + RECT 7.1990 0.4340 7.2410 0.4760 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.1950 0.8540 1.2370 0.8960 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + RECT 2.1070 1.2690 2.1490 1.3110 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 6.1350 1.4240 6.1770 1.4660 ; + RECT 6.4390 0.7740 6.4810 0.8160 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 3.7030 1.4240 3.7450 1.4660 ; + RECT 3.9310 1.0620 3.9730 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.7070 0.1770 9.7490 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 9.0990 0.7130 9.1410 0.7550 ; + RECT 9.5550 0.7130 9.5970 0.7550 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 9.7070 0.2690 9.7490 0.3110 ; + RECT 8.9470 0.6770 8.9890 0.7190 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 5.2990 0.5090 5.3410 0.5510 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.2270 0.2490 11.2690 0.2910 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 5.2230 0.9240 5.2650 0.9660 ; + RECT 1.4990 0.7620 1.5410 0.8040 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 9.4790 0.4700 9.5210 0.5120 ; + RECT 9.7070 0.3610 9.7490 0.4030 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 6.0590 0.4090 6.1010 0.4510 ; + RECT 4.8430 0.9720 4.8850 1.0140 ; + RECT 4.9950 1.0640 5.0370 1.1060 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 8.3390 0.3460 8.3810 0.3880 ; + RECT 9.0990 0.1400 9.1410 0.1820 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.3390 0.7430 8.3810 0.7850 ; + RECT 9.5550 0.2560 9.5970 0.2980 ; + RECT 8.3390 0.3460 8.3810 0.3880 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 9.7830 1.0810 9.8250 1.1230 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 5.8310 1.5240 5.8730 1.5660 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 8.6430 0.8320 8.6850 0.8740 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 0.7390 0.9460 0.7810 0.9880 ; + RECT 0.7390 0.7620 0.7810 0.8040 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 6.2110 0.5010 6.2530 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.3630 0.3340 6.4050 0.3760 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 6.5910 0.9710 6.6330 1.0130 ; + RECT 6.0590 0.9710 6.1010 1.0130 ; + RECT 3.2470 0.8580 3.2890 0.9000 ; + RECT 0.7390 0.8540 0.7810 0.8960 ; + RECT 1.4990 0.9470 1.5410 0.9890 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.3390 0.6510 8.3810 0.6930 ; + RECT 9.7070 0.1770 9.7490 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 1.4990 0.8540 1.5410 0.8960 ; + RECT 6.2870 1.4240 6.3290 1.4660 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.5790 0.9630 7.6210 1.0050 ; + RECT 4.9950 0.5090 5.0370 0.5510 ; + RECT 11.2270 0.3410 11.2690 0.3830 ; + RECT 5.9070 0.4090 5.9490 0.4510 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 9.0230 1.2030 9.0650 1.2450 ; + RECT 8.7190 1.0810 8.7610 1.1230 ; + RECT 11.1510 0.6060 11.1930 0.6480 ; + RECT 8.3390 0.9270 8.3810 0.9690 ; + RECT 6.1350 0.7710 6.1770 0.8130 ; + RECT 6.2110 1.1990 6.2530 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 4.7670 1.5240 4.8090 1.5660 ; + RECT 6.2110 1.2910 6.2530 1.3330 ; + RECT 7.9590 0.8050 8.0010 0.8470 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 8.3390 0.1620 8.3810 0.2040 ; + RECT 8.7950 0.2560 8.8370 0.2980 ; + RECT 8.3390 0.2540 8.3810 0.2960 ; + RECT 8.7190 1.5240 8.7610 1.5660 ; + RECT 8.3390 0.9270 8.3810 0.9690 ; + RECT 9.3270 1.0810 9.3690 1.1230 ; + RECT 8.6430 0.8320 8.6850 0.8740 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 11.4550 0.0970 11.4970 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 3.1710 1.1260 3.2130 1.1680 ; + LAYER M1 ; + RECT 3.6990 0.6690 3.7490 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0900 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0650 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 3.6830 1.4200 6.3490 1.4700 ; + RECT 6.0550 0.6500 6.6530 0.7000 ; + RECT 6.0550 0.7670 6.1970 0.8170 ; + RECT 6.5710 0.7000 6.6530 0.7180 ; + RECT 6.0550 0.7000 6.1050 0.7670 ; + RECT 6.2080 1.1790 7.1440 1.2290 ; + RECT 6.2080 1.2290 6.2580 1.3530 ; + RECT 4.7470 1.5200 5.7410 1.5700 ; + RECT 5.5060 0.6130 5.7410 0.6630 ; + RECT 6.5180 0.9670 6.6530 1.0170 ; + RECT 6.4190 0.7700 6.5680 0.8200 ; + RECT 6.5180 0.8200 6.5680 0.9670 ; + RECT 10.3650 0.6320 10.8930 0.6820 ; + RECT 10.8430 0.5970 10.8930 0.6320 ; + RECT 7.9390 1.1990 10.4150 1.2490 ; + RECT 10.3650 0.6820 10.4150 1.1990 ; + RECT 8.7750 0.8200 9.3230 0.8700 ; + RECT 9.0790 0.7090 9.6170 0.7590 ; + RECT 9.3070 1.0770 9.8450 1.1270 ; + RECT 5.8090 1.5200 8.7810 1.5700 ; + RECT 2.7710 0.0880 6.5010 0.1380 ; + RECT 0.4310 1.0700 1.6970 1.1200 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8630 1.6970 1.0700 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1200 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 1.1200 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0700 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.4950 0.7630 1.5450 1.0090 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0090 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0080 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0130 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.7990 0.8540 3.6130 0.9040 ; + RECT 1.7990 0.4980 2.3350 0.5480 ; + RECT 1.7990 0.4130 1.8490 0.4980 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.5480 1.8490 0.8540 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 2.1610 0.7380 3.0660 0.7880 ; + RECT 2.8470 1.1200 3.8610 1.1700 ; + RECT 3.0150 0.5050 3.8610 0.5550 ; + RECT 3.0150 0.5550 3.0650 0.7380 ; + RECT 3.8110 0.6580 4.0760 0.7080 ; + RECT 3.8110 0.7080 3.8610 1.1200 ; + RECT 3.8110 0.5550 3.8610 0.6580 ; + RECT 4.1920 0.6240 4.5250 0.6740 ; + RECT 3.9110 0.5100 4.2420 0.5600 ; + RECT 3.9270 1.1080 3.9770 1.1240 ; + RECT 3.9270 1.0420 3.9770 1.0580 ; + RECT 3.9270 1.0580 4.2420 1.1080 ; + RECT 4.1920 0.6740 4.2420 1.0580 ; + RECT 4.1920 0.5600 4.2420 0.6240 ; + RECT 5.1270 0.4050 6.1050 0.4550 ; + RECT 5.9030 0.9670 6.4260 1.0170 ; + RECT 5.1270 1.1200 5.9530 1.1700 ; + RECT 6.0550 0.4550 6.1050 0.5630 ; + RECT 5.9030 0.3840 5.9530 0.4050 ; + RECT 6.0550 0.3800 6.1050 0.4050 ; + RECT 6.0550 0.3300 6.4310 0.3800 ; + RECT 6.0550 0.3270 6.1050 0.3300 ; + RECT 5.9030 0.4550 5.9530 0.9670 ; + RECT 5.9030 1.1700 5.9530 1.2700 ; + RECT 5.9030 1.0170 5.9530 1.1200 ; + RECT 6.2070 0.4300 7.2730 0.4800 ; + RECT 6.2070 0.4800 6.2570 0.5630 ; + RECT 4.8390 0.9200 5.5730 0.9700 ; + RECT 5.5230 0.8310 5.5730 0.9200 ; + RECT 4.8390 0.9700 4.8890 1.0340 ; + RECT 4.8390 0.5050 4.8890 0.9200 ; + RECT 6.7420 0.5300 7.4730 0.5800 ; + RECT 7.4230 0.5800 7.4730 0.8010 ; + RECT 7.4180 0.8010 8.0210 0.8510 ; + RECT 7.5750 0.8510 7.6250 1.3010 ; + RECT 6.7230 0.6610 6.8050 0.7110 ; + RECT 6.7420 0.5800 6.7920 0.6610 ; + RECT 4.9750 0.5050 5.8410 0.5550 ; + RECT 4.9910 1.0200 5.8410 1.0700 ; + RECT 5.7910 0.5550 5.8410 1.0200 ; + RECT 4.3600 0.5100 4.6570 0.5600 ; + RECT 4.6070 0.9910 4.6570 1.1200 ; + RECT 4.3600 0.9410 4.6570 0.9910 ; + RECT 4.6070 0.5600 4.6570 0.9410 ; + RECT 4.6070 1.1200 5.0410 1.1700 ; + RECT 4.9910 1.0700 5.0410 1.1200 ; + RECT 9.6630 0.6270 9.7530 0.6770 ; + RECT 9.6630 0.4270 9.7530 0.4660 ; + RECT 9.4590 0.4770 9.7130 0.5160 ; + RECT 9.4590 0.4660 9.7530 0.4770 ; + RECT 9.7030 0.1260 9.7530 0.4270 ; + RECT 9.7030 0.6770 9.7530 0.7680 ; + RECT 9.6630 0.5160 9.7130 0.6270 ; + RECT 9.0790 0.1360 9.3130 0.1860 ; + RECT 8.3350 1.0770 8.7840 1.1270 ; + RECT 8.2950 0.4270 8.3850 0.4770 ; + RECT 8.2950 0.6270 8.3850 0.6770 ; + RECT 8.3350 0.1260 8.3850 0.4270 ; + RECT 8.2950 0.4770 8.3450 0.6270 ; + RECT 8.3350 0.6770 8.3850 1.0770 ; + RECT 8.3950 0.5270 8.9930 0.5770 ; + RECT 8.6390 0.5770 8.6890 0.8970 ; + RECT 8.6390 0.1260 8.6890 0.5270 ; + RECT 8.9430 0.5770 8.9930 0.7700 ; + RECT 8.9430 0.3520 8.9930 0.5270 ; + RECT 8.7750 0.2520 9.6170 0.3020 ; + RECT 7.1290 0.0880 7.6090 0.1380 ; + RECT 11.2630 0.6600 11.8290 0.7100 ; + RECT 11.2230 0.4010 11.3130 0.4510 ; + RECT 11.2230 0.7780 11.3130 0.8280 ; + RECT 11.2230 0.2250 11.2730 0.4010 ; + RECT 11.2630 0.4510 11.3130 0.6600 ; + RECT 11.2230 0.8280 11.2730 1.3090 ; + RECT 11.2630 0.7100 11.3130 0.7780 ; + RECT 10.9580 0.6020 11.2130 0.6520 ; + RECT 10.9190 0.4010 11.0080 0.4510 ; + RECT 10.9190 0.7780 11.0080 0.8280 ; + RECT 10.9190 0.1570 10.9690 0.4010 ; + RECT 10.9190 0.8280 10.9690 1.3090 ; + RECT 10.9580 0.4510 11.0080 0.6020 ; + RECT 10.9580 0.6520 11.0080 0.7780 ; + RECT 11.1310 0.0930 11.5410 0.1430 ; + RECT 1.0990 1.5240 2.8530 1.5740 ; + RECT 2.4670 0.9680 3.7490 1.0180 ; + LAYER PO ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.7280 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.2050 0.0590 7.2350 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 7.5090 0.0590 7.5390 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 6.5970 0.9390 6.6270 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6450 ; + RECT 1.7330 0.0660 1.7630 0.6820 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.3570 0.0590 7.3870 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6130 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 11.6130 0.0710 11.6430 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6130 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.0770 0.8920 5.1070 1.6060 ; + RECT 6.2930 0.7710 6.3230 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.2290 0.8920 5.2590 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 5.3810 0.8920 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 0.6450 ; + RECT 5.3810 0.0610 5.4110 0.6910 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6820 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.1410 0.7540 6.1710 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.4610 0.0690 11.4910 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.3090 0.0710 11.3390 1.6060 ; + RECT 12.0690 0.0710 12.0990 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 5.2290 0.0610 5.2590 0.6910 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 11.7650 0.0690 11.7950 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.0770 0.0610 5.1070 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.8130 0.0670 7.8430 1.6050 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.6850 0.8390 5.7150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 0.6740 0.6870 ; + RECT -0.1150 0.6870 7.7060 1.5430 ; + RECT -0.1150 1.5430 12.2750 1.7730 ; + RECT 10.6390 0.6790 12.2750 1.5430 ; + RECT 8.1680 0.4950 10.1790 1.0830 ; + END +END RDFFNSRX1_HVT + +MACRO RDFFNSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.464 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.3750 0.8920 12.2500 0.9420 ; + RECT 12.0910 0.8570 12.2500 0.8920 ; + RECT 12.0910 0.9420 12.2500 0.9670 ; + RECT 11.6790 0.9420 11.7290 1.5640 ; + RECT 11.3750 0.9420 11.4250 1.5640 ; + RECT 11.3750 0.2130 11.4250 0.4520 ; + RECT 12.1900 0.5020 12.2400 0.8570 ; + RECT 11.3750 0.4520 12.2400 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.3790 1.3030 11.4210 1.3450 ; + RECT 11.3790 1.4870 11.4210 1.5290 ; + RECT 11.3790 1.3950 11.4210 1.4370 ; + RECT 11.3790 1.0270 11.4210 1.0690 ; + RECT 11.3790 1.1190 11.4210 1.1610 ; + RECT 11.3790 1.0270 11.4210 1.0690 ; + RECT 11.3790 1.3030 11.4210 1.3450 ; + RECT 11.3790 1.1190 11.4210 1.1610 ; + RECT 11.6830 0.4560 11.7250 0.4980 ; + RECT 11.6830 0.4560 11.7250 0.4980 ; + RECT 11.3790 1.2110 11.4210 1.2530 ; + RECT 11.3790 0.2430 11.4210 0.2850 ; + RECT 11.3790 1.3950 11.4210 1.4370 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.3790 1.4870 11.4210 1.5290 ; + RECT 11.3790 0.3350 11.4210 0.3770 ; + RECT 11.3790 0.3350 11.4210 0.3770 ; + RECT 11.3790 0.4270 11.4210 0.4690 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.3790 1.2110 11.4210 1.2530 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2580 1.1610 12.3780 1.2710 ; + RECT 12.1350 0.1160 12.1850 0.2890 ; + RECT 11.8310 0.1160 11.8810 0.2890 ; + RECT 11.8310 0.2890 12.3640 0.3390 ; + RECT 11.8310 1.0420 12.3640 1.0920 ; + RECT 11.8310 1.0920 11.8810 1.5640 ; + RECT 12.1350 1.0920 12.1850 1.5640 ; + RECT 12.3140 1.0920 12.3640 1.1610 ; + RECT 12.3140 0.3390 12.3640 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 11.8350 0.2430 11.8770 0.2850 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 0.2430 12.1810 0.2850 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.4640 1.7020 ; + RECT 2.5420 1.2200 5.6650 1.2700 ; + RECT 6.5110 1.4040 8.8810 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 10.7670 0.9590 10.8170 1.6420 ; + RECT 11.5270 0.9920 11.5770 1.6420 ; + RECT 11.9830 1.1810 12.0330 1.6420 ; + RECT 11.0710 0.9590 11.1210 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4030 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 1.3430 1.1790 1.3930 1.2130 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 6.5110 1.2790 6.5610 1.4040 ; + RECT 7.4230 0.9530 7.4730 1.4040 ; + RECT 8.8310 1.4540 8.8810 1.6420 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 1.3470 1.3210 1.3890 1.3630 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 3.6270 1.2240 3.6690 1.2660 ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 7.4270 1.2490 7.4690 1.2910 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 1.3470 1.2290 1.3890 1.2710 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 3.7790 1.2240 3.8210 1.2660 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 6.5150 1.3010 6.5570 1.3430 ; + RECT 10.7710 1.0040 10.8130 1.0460 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 7.4270 1.0650 7.4690 1.1070 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 7.4270 1.1570 7.4690 1.1990 ; + RECT 7.4270 1.0650 7.4690 1.1070 ; + RECT 7.4270 1.1570 7.4690 1.1990 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 7.4270 1.2490 7.4690 1.2910 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 10.7710 1.2800 10.8130 1.3220 ; + RECT 10.7710 1.1880 10.8130 1.2300 ; + RECT 10.7710 1.1880 10.8130 1.2300 ; + RECT 10.7710 1.0960 10.8130 1.1380 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.4270 0.9730 7.4690 1.0150 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 10.7710 1.2800 10.8130 1.3220 ; + RECT 3.3230 1.2240 3.3650 1.2660 ; + RECT 10.7710 1.0960 10.8130 1.1380 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 10.7710 1.0040 10.8130 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 1.5240 1.0290 1.5740 ; + RECT 0.8570 1.4540 0.9680 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.4640 0.0300 ; + RECT 6.4950 0.3280 7.7790 0.3780 ; + RECT 11.0310 0.2030 11.1370 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.3990 0.0300 9.4490 0.1980 ; + RECT 8.4870 0.0300 8.5370 0.4260 ; + RECT 9.8550 0.0300 9.9050 0.4260 ; + RECT 10.7670 0.0300 10.8170 0.4230 ; + RECT 11.9830 0.0300 12.0330 0.2260 ; + RECT 7.7280 0.0300 7.7780 0.3280 ; + RECT 11.0310 0.0300 11.0810 0.2030 ; + RECT 11.5270 0.2530 11.5770 0.3950 ; + RECT 11.7290 0.0300 11.7790 0.2030 ; + RECT 11.5270 0.2030 11.7790 0.2530 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 2.8790 0.3320 5.6650 0.3550 ; + RECT 2.1230 0.3050 5.6650 0.3320 ; + RECT 0.5830 0.1940 2.1730 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.1230 0.2440 2.1730 0.2820 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + END + PORT + LAYER CO ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 3.4750 0.3080 3.5170 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.0830 0.3090 4.1250 0.3510 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 9.8590 0.2660 9.9010 0.3080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.5310 0.2410 11.5730 0.2830 ; + RECT 11.0750 0.2070 11.1170 0.2490 ; + RECT 11.5310 0.3330 11.5730 0.3750 ; + RECT 9.8590 0.1740 9.9010 0.2160 ; + RECT 9.8590 0.3580 9.9010 0.4000 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.8590 0.1740 9.9010 0.2160 ; + RECT 3.7790 0.3090 3.8210 0.3510 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 10.7710 0.2690 10.8130 0.3110 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 11.5310 0.3330 11.5730 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 7.5790 0.3320 7.6210 0.3740 ; + RECT 6.5150 0.3320 6.5570 0.3740 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 10.7710 0.3610 10.8130 0.4030 ; + RECT 11.0750 0.2070 11.1170 0.2490 ; + RECT 3.1710 0.3080 3.2130 0.3500 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 10.7710 0.1770 10.8130 0.2190 ; + RECT 10.7710 0.2690 10.8130 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 9.8590 0.3580 9.9010 0.4000 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + END + END VSS + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.9780 0.2490 10.0890 0.3590 ; + RECT 9.7630 0.5270 10.0380 0.5770 ; + RECT 9.9880 0.3590 10.0380 0.5270 ; + END + PORT + LAYER CO ; + RECT 9.7830 0.5310 9.8250 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9610 0.6630 5.1170 0.8150 ; + RECT 5.0510 0.6130 5.4370 0.6630 ; + RECT 5.6750 0.7810 5.7250 0.9330 ; + RECT 5.3870 0.6630 5.4370 0.7310 ; + RECT 5.3870 0.7310 5.7250 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.3750 0.6170 5.4170 0.6590 ; + RECT 5.2230 0.6170 5.2650 0.6590 ; + RECT 5.6790 0.8710 5.7210 0.9130 ; + RECT 5.0710 0.6170 5.1130 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0940 0.6300 0.5730 0.6800 ; + RECT 0.0940 0.6800 0.2080 0.7030 ; + RECT 0.0940 0.5480 0.2080 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.4710 0.9420 10.0890 1.0020 ; + RECT 9.9780 0.6900 10.0890 0.9420 ; + RECT 9.8550 0.6270 9.9050 0.9420 ; + END + PORT + LAYER CO ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.8590 0.6510 9.9010 0.6930 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 9.8590 0.7430 9.9010 0.7850 ; + RECT 9.4030 0.9510 9.4450 0.9930 ; + RECT 10.0110 0.8620 10.0530 0.9040 ; + RECT 8.4910 0.9510 8.5330 0.9930 ; + RECT 9.8590 0.8350 9.9010 0.8770 ; + RECT 10.0110 0.7100 10.0530 0.7520 ; + RECT 9.8590 0.9270 9.9010 0.9690 ; + END + END VDDG + OBS + LAYER CO ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 11.6070 0.0970 11.6490 0.1390 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 4.3110 0.6280 4.3530 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.1770 2.1490 1.2190 ; + RECT 2.1070 1.0850 2.1490 1.1270 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 4.3870 0.9450 4.4290 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 6.8190 0.4340 6.8610 0.4760 ; + RECT 7.0470 0.4340 7.0890 0.4760 ; + RECT 7.0470 1.1830 7.0890 1.2250 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 3.5510 0.8580 3.5930 0.9000 ; + RECT 3.4750 1.1260 3.5170 1.1680 ; + RECT 4.0070 0.6620 4.0490 0.7040 ; + RECT 4.4630 0.6280 4.5050 0.6700 ; + RECT 3.7030 0.6890 3.7450 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 3.9310 1.0620 3.9730 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.7070 0.1770 9.7490 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 9.0990 0.7130 9.1410 0.7550 ; + RECT 9.5550 0.7130 9.5970 0.7550 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 9.7070 0.2690 9.7490 0.3110 ; + RECT 8.9470 0.6770 8.9890 0.7190 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 5.2990 0.5170 5.3410 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.2270 0.2490 11.2690 0.2910 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 5.2230 0.9240 5.2650 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 9.4790 0.4660 9.5210 0.5080 ; + RECT 9.7070 0.3610 9.7490 0.4030 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 6.0590 0.5010 6.1010 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.2510 0.8240 9.2930 0.8660 ; + RECT 8.9470 0.3680 8.9890 0.4100 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 9.7070 0.3610 9.7490 0.4030 ; + RECT 11.1510 0.0970 11.1930 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.0190 0.5250 3.0610 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 11.9110 0.6640 11.9530 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.2870 0.0920 6.3290 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 3.8550 0.6620 3.8970 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.3390 0.6510 8.3810 0.6930 ; + RECT 9.7070 0.1770 9.7490 0.2190 ; + RECT 6.8190 1.1830 6.8610 1.2250 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 6.2870 1.4240 6.3290 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.5790 0.9630 7.6210 1.0050 ; + RECT 4.9950 0.5170 5.0370 0.5590 ; + RECT 11.2270 0.3410 11.2690 0.3830 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 6.0590 0.4090 6.1010 0.4510 ; + RECT 4.8430 0.9720 4.8850 1.0140 ; + RECT 4.9950 1.0640 5.0370 1.1060 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 9.2510 0.1360 9.2930 0.1780 ; + RECT 5.1470 0.4170 5.1890 0.4590 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 8.6430 0.6380 8.6850 0.6800 ; + RECT 7.9590 1.2030 8.0010 1.2450 ; + RECT 8.6430 0.6380 8.6850 0.6800 ; + RECT 8.3390 0.6510 8.3810 0.6930 ; + RECT 8.3390 0.1620 8.3810 0.2040 ; + RECT 8.7950 0.2520 8.8370 0.2940 ; + RECT 8.3390 0.2540 8.3810 0.2960 ; + RECT 8.7190 1.5240 8.7610 1.5660 ; + RECT 8.3390 0.9270 8.3810 0.9690 ; + RECT 9.3270 1.0610 9.3690 1.1030 ; + RECT 8.6430 0.8220 8.6850 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.6430 0.7300 8.6850 0.7720 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.4270 0.5520 7.4690 0.5940 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 4.8430 0.5250 4.8850 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 6.1350 1.4240 6.1770 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.5270 0.8510 5.5690 0.8930 ; + RECT 5.3750 0.9240 5.4170 0.9660 ; + RECT 8.4150 0.5310 8.4570 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.0710 0.9240 5.1130 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 10.9230 1.1550 10.9650 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.3230 0.5090 3.3650 0.5510 ; + RECT 6.5910 0.6620 6.6330 0.7040 ; + RECT 6.7430 0.6620 6.7850 0.7040 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 5.4510 0.4170 5.4930 0.4590 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 3.9310 0.5140 3.9730 0.5560 ; + RECT 9.7070 0.6800 9.7490 0.7220 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 5.4510 1.1240 5.4930 1.1660 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 6.3630 0.9710 6.4050 1.0130 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.3630 0.3340 6.4050 0.3760 ; + RECT 12.0630 0.6640 12.1050 0.7060 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 9.0230 1.2030 9.0650 1.2450 ; + RECT 8.7190 1.0610 8.7610 1.1030 ; + RECT 11.1510 0.6060 11.1930 0.6480 ; + RECT 8.3390 0.9270 8.3810 0.9690 ; + RECT 6.1350 0.7710 6.1770 0.8130 ; + RECT 6.2110 1.1990 6.2530 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 4.7670 1.5240 4.8090 1.5660 ; + RECT 6.2110 1.2910 6.2530 1.3330 ; + RECT 7.9590 0.8050 8.0010 0.8470 ; + RECT 10.9230 1.2470 10.9650 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 11.4550 0.0970 11.4970 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 10.9230 1.0630 10.9650 1.1050 ; + RECT 3.1710 1.1260 3.2130 1.1680 ; + RECT 10.9230 0.9710 10.9650 1.0130 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 8.3390 0.3460 8.3810 0.3880 ; + RECT 9.0990 0.1360 9.1410 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.3390 0.7430 8.3810 0.7850 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 8.3390 0.3460 8.3810 0.3880 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 9.7830 1.0610 9.8250 1.1030 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 5.8310 1.5240 5.8730 1.5660 ; + RECT 8.3390 0.8350 8.3810 0.8770 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 8.6430 0.8220 8.6850 0.8640 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.1350 0.0920 6.1770 0.1340 ; + RECT 6.4390 0.0920 6.4810 0.1340 ; + RECT 10.9230 0.8790 10.9650 0.9210 ; + RECT 3.7030 0.6890 3.7450 0.7310 ; + RECT 8.7950 0.8240 8.8370 0.8660 ; + RECT 7.1990 0.4340 7.2410 0.4760 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.8470 0.6170 10.8890 0.6590 ; + RECT 2.1070 1.2690 2.1490 1.3110 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 6.1350 1.4240 6.1770 1.4660 ; + RECT 6.4390 0.7630 6.4810 0.8050 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 3.7030 1.4240 3.7450 1.4660 ; + RECT 6.5910 0.9710 6.6330 1.0130 ; + RECT 6.0590 0.9710 6.1010 1.0130 ; + RECT 3.2470 0.8580 3.2890 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 6.2110 0.5010 6.2530 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + LAYER M1 ; + RECT 11.1310 0.0930 11.6700 0.1430 ; + RECT 1.0990 1.5240 2.8530 1.5740 ; + RECT 2.4670 0.9680 3.7490 1.0180 ; + RECT 3.6990 0.6690 3.7490 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0900 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0650 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 6.2080 1.1790 7.1440 1.2290 ; + RECT 6.2080 1.2290 6.2580 1.3530 ; + RECT 4.7470 1.5200 5.7410 1.5700 ; + RECT 5.5060 0.6130 5.7410 0.6630 ; + RECT 6.1310 0.6580 6.6530 0.7080 ; + RECT 6.1310 0.7080 6.1810 0.8390 ; + RECT 6.5180 0.9670 6.6530 1.0170 ; + RECT 6.4190 0.7590 6.5680 0.8090 ; + RECT 6.5180 0.8090 6.5680 0.9670 ; + RECT 10.3650 0.6320 10.8930 0.6820 ; + RECT 10.8430 0.5970 10.8930 0.6320 ; + RECT 7.9390 1.1990 10.4150 1.2490 ; + RECT 10.3650 0.6820 10.4150 1.1990 ; + RECT 8.7750 0.8200 9.3230 0.8700 ; + RECT 9.0790 0.7090 9.6170 0.7590 ; + RECT 9.3070 1.0570 9.8450 1.1070 ; + RECT 5.8090 1.5200 8.7810 1.5700 ; + RECT 3.6830 1.4200 6.3490 1.4700 ; + RECT 2.7710 0.0880 6.5010 0.1380 ; + RECT 1.7990 0.8540 3.6130 0.9040 ; + RECT 1.7990 0.4980 2.3350 0.5480 ; + RECT 1.7990 0.4130 1.8490 0.4980 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.5480 1.8490 0.8540 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 2.1610 0.7380 3.0660 0.7880 ; + RECT 2.8470 1.1200 3.8610 1.1700 ; + RECT 3.0150 0.5050 3.8610 0.5550 ; + RECT 3.0150 0.5550 3.0650 0.7380 ; + RECT 3.8110 0.6580 4.0760 0.7080 ; + RECT 3.8110 0.7080 3.8610 1.1200 ; + RECT 3.8110 0.5550 3.8610 0.6580 ; + RECT 4.1920 0.6240 4.5250 0.6740 ; + RECT 3.9110 0.5100 4.2420 0.5600 ; + RECT 4.1920 0.5600 4.2420 0.6240 ; + RECT 3.9270 1.1080 3.9770 1.1240 ; + RECT 3.9270 1.0420 3.9770 1.0580 ; + RECT 4.1920 0.6740 4.2420 1.0580 ; + RECT 3.9270 1.0580 4.2420 1.1080 ; + RECT 5.7910 0.5630 5.8410 1.0200 ; + RECT 4.9750 0.5130 5.8400 0.5440 ; + RECT 4.9750 0.5440 5.8410 0.5630 ; + RECT 4.9910 1.0200 5.8410 1.0700 ; + RECT 4.3600 0.5100 4.6570 0.5600 ; + RECT 4.3600 0.9410 4.6570 0.9910 ; + RECT 4.6070 0.5600 4.6570 0.9410 ; + RECT 4.6070 0.9910 4.6570 1.1200 ; + RECT 4.6070 1.1200 5.0410 1.1700 ; + RECT 4.9910 1.0700 5.0410 1.1200 ; + RECT 5.1270 0.4130 6.1050 0.4630 ; + RECT 5.9030 0.9670 6.4260 1.0170 ; + RECT 5.1270 1.1200 5.9530 1.1700 ; + RECT 6.0550 0.4630 6.1050 0.5630 ; + RECT 5.9030 0.3840 5.9530 0.4130 ; + RECT 6.0550 0.3800 6.1050 0.4130 ; + RECT 6.0550 0.3300 6.4310 0.3800 ; + RECT 6.0550 0.3270 6.1050 0.3300 ; + RECT 5.9030 0.4630 5.9530 0.9670 ; + RECT 5.9030 1.1700 5.9530 1.2700 ; + RECT 5.9030 1.0170 5.9530 1.1200 ; + RECT 6.2070 0.4300 7.2730 0.4800 ; + RECT 6.2070 0.4800 6.2570 0.5630 ; + RECT 4.8390 0.9200 5.5730 0.9700 ; + RECT 5.5230 0.8310 5.5730 0.9200 ; + RECT 4.8390 0.9700 4.8890 1.0340 ; + RECT 4.8390 0.5050 4.8890 0.9200 ; + RECT 6.7420 0.5300 7.4730 0.5800 ; + RECT 7.4230 0.5800 7.4730 0.8010 ; + RECT 7.4180 0.8010 8.0210 0.8510 ; + RECT 7.5750 0.8510 7.6250 1.3010 ; + RECT 6.7230 0.6580 6.8050 0.7080 ; + RECT 6.7420 0.5800 6.7920 0.6580 ; + RECT 7.1290 0.0880 7.6090 0.1380 ; + RECT 9.6630 0.6270 9.7530 0.6770 ; + RECT 9.6630 0.4270 9.7530 0.4620 ; + RECT 9.4590 0.4770 9.7130 0.5120 ; + RECT 9.4590 0.4620 9.7530 0.4770 ; + RECT 9.7030 0.1260 9.7530 0.4270 ; + RECT 9.7030 0.6770 9.7530 0.7680 ; + RECT 9.6630 0.5120 9.7130 0.6270 ; + RECT 9.0790 0.1320 9.3130 0.1820 ; + RECT 8.3350 1.0570 8.7840 1.1070 ; + RECT 8.2950 0.4270 8.3850 0.4770 ; + RECT 8.2950 0.6270 8.3850 0.6770 ; + RECT 8.3350 0.1260 8.3850 0.4270 ; + RECT 8.2950 0.4770 8.3450 0.6270 ; + RECT 8.3350 0.6770 8.3850 1.0570 ; + RECT 8.3950 0.5270 8.9930 0.5770 ; + RECT 8.6390 0.5770 8.6890 0.8870 ; + RECT 8.6390 0.1260 8.6890 0.5270 ; + RECT 8.9430 0.5770 8.9930 0.7700 ; + RECT 8.9430 0.3480 8.9930 0.5270 ; + RECT 8.7750 0.2480 9.6170 0.2980 ; + RECT 11.2630 0.6600 12.1260 0.7100 ; + RECT 11.2230 0.4010 11.3130 0.4510 ; + RECT 11.2230 0.7780 11.3130 0.8280 ; + RECT 11.2230 0.2250 11.2730 0.4010 ; + RECT 11.2630 0.4510 11.3130 0.6600 ; + RECT 11.2230 0.8280 11.2730 1.3090 ; + RECT 11.2630 0.7100 11.3130 0.7780 ; + RECT 10.9580 0.6020 11.2130 0.6520 ; + RECT 10.9190 0.4010 11.0080 0.4510 ; + RECT 10.9190 0.7780 11.0080 0.8280 ; + RECT 10.9190 0.1570 10.9690 0.4010 ; + RECT 10.9190 0.8280 10.9690 1.3090 ; + RECT 10.9580 0.4510 11.0080 0.6020 ; + RECT 10.9580 0.6520 11.0080 0.7780 ; + LAYER PO ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.7280 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.2050 0.0590 7.2350 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 7.5090 0.0590 7.5390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 6.5970 0.9390 6.6270 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 7.3570 0.0590 7.3870 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 11.6130 0.0710 11.6430 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.0770 0.8920 5.1070 1.6060 ; + RECT 6.2930 0.7710 6.3230 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.2290 0.8920 5.2590 1.6060 ; + RECT 11.7650 0.0710 11.7950 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 5.3810 0.8920 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 0.6470 ; + RECT 5.3810 0.0660 5.4110 0.6910 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.1410 0.7540 6.1710 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.4610 0.0690 11.4910 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.3090 0.0710 11.3390 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 5.2290 0.0660 5.2590 0.6910 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.6910 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.8130 0.0670 7.8430 1.6050 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.6850 0.8390 5.7150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.5790 1.7730 ; + RECT -0.1150 0.6890 7.7060 1.5430 ; + RECT 10.6390 0.6790 12.5790 1.5430 ; + RECT -0.1150 0.6790 1.5950 0.6890 ; + RECT 2.0520 0.6790 6.4320 0.6890 ; + RECT 8.1670 0.4910 10.1780 1.0830 ; + END +END RDFFNSRX2_HVT + +MACRO RDFFNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.616 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.4470 0.9420 11.9140 1.0020 ; + RECT 11.8010 0.6900 11.9140 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.4670 0.9510 10.5090 0.9930 ; + RECT 11.8350 0.8620 11.8770 0.9040 ; + RECT 11.5310 0.9510 11.5730 0.9930 ; + RECT 11.2270 0.9510 11.2690 0.9930 ; + RECT 11.8350 0.7100 11.8770 0.7520 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.6160 0.0300 ; + RECT 6.7990 0.2830 8.9930 0.3330 ; + RECT 4.8500 0.2280 5.9710 0.2780 ; + RECT 11.5270 0.0300 11.5770 0.3120 ; + RECT 0.8870 0.0300 0.9370 0.5570 ; + RECT 1.1910 0.0300 1.2410 0.5570 ; + RECT 0.5830 0.0300 0.6330 0.5570 ; + RECT 9.3990 0.0300 9.4490 0.2410 ; + RECT 11.2230 0.0300 11.2730 0.2020 ; + RECT 10.4630 0.0300 10.5130 0.2060 ; + RECT 8.9430 0.3330 8.9930 0.4430 ; + RECT 8.9430 0.0300 8.9930 0.2830 ; + RECT 3.6230 0.3570 3.6730 0.5580 ; + RECT 1.6470 0.0300 1.6970 0.3070 ; + RECT 4.8500 0.2780 4.9000 0.3070 ; + RECT 1.6310 0.3070 4.9000 0.3570 ; + END + PORT + LAYER CO ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 11.5310 0.1440 11.5730 0.1860 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 7.1230 0.2870 7.1650 0.3290 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 7.4270 0.2870 7.4690 0.3290 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 1.9550 0.3110 1.9970 0.3530 ; + RECT 8.6430 0.2870 8.6850 0.3290 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.9310 0.3120 3.9730 0.3540 ; + RECT 1.1950 0.3110 1.2370 0.3530 ; + RECT 1.1950 0.4030 1.2370 0.4450 ; + RECT 1.1950 0.4950 1.2370 0.5370 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 4.3870 0.3120 4.4290 0.3540 ; + RECT 2.2590 0.3110 2.3010 0.3530 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 2.5630 0.3110 2.6050 0.3530 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 6.8190 0.2870 6.8610 0.3290 ; + RECT 9.4030 0.1720 9.4450 0.2140 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 0.5870 0.4030 0.6290 0.4450 ; + RECT 0.5870 0.4950 0.6290 0.5370 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 8.0350 0.2870 8.0770 0.3290 ; + RECT 8.9470 0.3710 8.9890 0.4130 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 5.9070 0.2320 5.9490 0.2740 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.6270 0.4040 3.6690 0.4460 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.5870 0.3110 0.6290 0.3530 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.6270 0.4960 3.6690 0.5380 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.5790 0.2870 7.6210 0.3290 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 4.9950 0.2320 5.0370 0.2740 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 10.4670 0.1440 10.5090 0.1860 ; + RECT 3.6270 0.3120 3.6690 0.3540 ; + RECT 5.4510 0.2320 5.4930 0.2740 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.5240 1.3350 1.5740 ; + RECT 0.4010 1.5740 0.5740 1.5750 ; + RECT 0.4010 1.4650 0.5740 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5280 0.7050 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + RECT 0.5110 1.5280 0.5530 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.5510 0.9690 10.0850 1.0190 ; + RECT 9.5350 0.3010 10.0850 0.3510 ; + RECT 10.0350 0.3510 10.0850 0.9690 ; + RECT 9.5510 1.1290 9.6010 1.3270 ; + RECT 9.5510 1.0190 9.7830 1.1290 ; + END + PORT + LAYER CO ; + RECT 9.5550 0.3050 9.5970 0.3470 ; + RECT 9.5550 1.2650 9.5970 1.3070 ; + RECT 9.5550 1.2650 9.5970 1.3070 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.2470 0.4010 9.9470 0.4510 ; + RECT 9.2470 0.8590 9.9470 0.9090 ; + RECT 9.8250 0.4510 9.9470 0.5380 ; + RECT 9.2470 0.1570 9.2970 0.4010 ; + RECT 9.2470 0.9090 9.2970 1.2320 ; + RECT 9.8970 0.5380 9.9470 0.8590 ; + END + PORT + LAYER CO ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.2690 9.2930 0.3110 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 0.1770 9.2930 0.2190 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.6160 1.7020 ; + RECT 0.5640 1.2900 9.4500 1.3400 ; + RECT 9.3990 0.9590 9.4490 1.2900 ; + RECT 2.2150 1.3400 2.2650 1.6420 ; + RECT 7.1590 1.3400 7.2090 1.6420 ; + END + PORT + LAYER CO ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.8190 1.2940 6.8610 1.3360 ; + RECT 1.6510 1.2940 1.6930 1.3360 ; + RECT 1.9550 1.2940 1.9970 1.3360 ; + RECT 3.9310 1.2920 3.9730 1.3340 ; + RECT 1.1950 1.2940 1.2370 1.3360 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3870 1.2920 4.4290 1.3340 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 3.0190 1.2940 3.0610 1.3360 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 0.5870 1.2940 0.6290 1.3360 ; + RECT 3.4750 1.2940 3.5170 1.3360 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 0.8910 1.2940 0.9330 1.3360 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 2.2590 1.2940 2.3010 1.3360 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 2.5630 1.2940 2.6050 1.3360 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6170 1.4080 11.1540 1.4580 ; + RECT 11.0410 1.3130 11.1540 1.4080 ; + END + PORT + LAYER CO ; + RECT 10.9990 1.4120 11.0410 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 8.5670 1.4100 8.6090 1.4520 ; + RECT 7.6550 1.4120 7.6970 1.4540 ; + RECT 7.9590 1.4120 8.0010 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6820 0.9250 3.3090 0.9750 ; + RECT 2.6820 0.9750 2.7920 1.1190 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9290 3.2890 0.9710 ; + RECT 2.7910 0.9290 2.8330 0.9710 ; + END + ANTENNAGATEAREA 0.0537 ; + END D + OBS + LAYER CO ; + RECT 1.3470 1.0380 1.3890 1.0800 ; + RECT 1.3470 1.1300 1.3890 1.1720 ; + RECT 1.3470 0.4330 1.3890 0.4750 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.4350 1.0380 0.4770 1.0800 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 4.0070 0.0960 4.0490 0.1380 ; + RECT 4.6150 0.8070 4.6570 0.8490 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 11.6830 0.6800 11.7250 0.7220 ; + RECT 4.5390 1.0770 4.5810 1.1190 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 4.1590 0.8070 4.2010 0.8490 ; + RECT 3.7030 0.7120 3.7450 0.7540 ; + RECT 11.6830 0.3290 11.7250 0.3710 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 11.3030 0.4660 11.3450 0.5080 ; + RECT 1.8030 1.1130 1.8450 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.1070 1.1130 2.1490 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 1.4990 0.4590 1.5410 0.5010 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 2.1070 0.4590 2.1490 0.5010 ; + RECT 1.4990 1.1130 1.5410 1.1550 ; + RECT 1.5750 0.8990 1.6170 0.9410 ; + RECT 1.8030 0.4590 1.8450 0.5010 ; + RECT 1.7270 0.8990 1.7690 0.9410 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.3350 1.5390 2.3770 1.5810 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 4.2350 1.1820 4.2770 1.2240 ; + RECT 5.6030 0.3370 5.6450 0.3790 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 1.1300 0.7810 1.1720 ; + RECT 6.4390 0.8180 6.4810 0.8600 ; + RECT 4.0070 1.5380 4.0490 1.5800 ; + RECT 0.7390 1.0380 0.7810 1.0800 ; + RECT 0.4350 0.5250 0.4770 0.5670 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 1.0430 1.1300 1.0850 1.1720 ; + RECT 1.0430 1.0380 1.0850 1.0800 ; + RECT 6.3630 0.4810 6.4050 0.5230 ; + RECT 6.5150 0.4810 6.5570 0.5230 ; + RECT 7.1990 0.6310 7.2410 0.6730 ; + RECT 5.1470 0.3370 5.1890 0.3790 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 11.0750 0.6230 11.1170 0.6650 ; + RECT 4.7670 0.7070 4.8090 0.7490 ; + RECT 3.3990 0.8140 3.4410 0.8560 ; + RECT 3.0950 1.5380 3.1370 1.5800 ; + RECT 10.6190 0.6330 10.6610 0.6750 ; + RECT 6.6670 0.3890 6.7090 0.4310 ; + RECT 6.6670 1.1940 6.7090 1.2360 ; + RECT 7.2750 0.4230 7.3170 0.4650 ; + RECT 5.2990 0.4830 5.3410 0.5250 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 2.7150 1.4280 2.7570 1.4700 ; + RECT 5.0710 1.5380 5.1130 1.5800 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 7.9590 0.6680 8.0010 0.7100 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + RECT 3.7790 0.5190 3.8210 0.5610 ; + RECT 10.6190 0.3740 10.6610 0.4160 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 3.0950 0.0920 3.1370 0.1340 ; + RECT 0.4350 0.4330 0.4770 0.4750 ; + RECT 5.0710 0.0960 5.1130 0.1380 ; + RECT 5.2230 0.6180 5.2650 0.6600 ; + RECT 6.2110 0.9710 6.2530 1.0130 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 6.5150 1.1780 6.5570 1.2200 ; + RECT 9.4790 0.6170 9.5210 0.6590 ; + RECT 6.3630 1.0860 6.4050 1.1280 ; + RECT 6.2110 1.0860 6.2530 1.1280 ; + RECT 2.4870 0.7940 2.5290 0.8360 ; + RECT 4.0830 0.4180 4.1250 0.4600 ; + RECT 6.9710 1.1940 7.0130 1.2360 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 9.7830 0.7630 9.8250 0.8050 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 10.9230 0.3680 10.9650 0.4100 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 3.5510 0.7120 3.5930 0.7540 ; + RECT 8.4910 0.4880 8.5330 0.5300 ; + RECT 6.2110 0.3610 6.2530 0.4030 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 3.4750 0.4270 3.5170 0.4690 ; + RECT 5.6030 1.4040 5.6450 1.4460 ; + RECT 2.6390 0.0920 2.6810 0.1340 ; + RECT 3.7790 0.4270 3.8210 0.4690 ; + RECT 4.6910 1.1820 4.7330 1.2240 ; + RECT 3.6270 1.0710 3.6690 1.1130 ; + RECT 11.9110 0.5660 11.9530 0.6080 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 6.5150 0.9940 6.5570 1.0360 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 11.3790 0.6770 11.4210 0.7190 ; + RECT 6.0590 0.3370 6.1010 0.3790 ; + RECT 6.5910 1.5380 6.6330 1.5800 ; + RECT 5.7550 0.4830 5.7970 0.5250 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 2.7150 0.4160 2.7570 0.4580 ; + RECT 6.2870 0.0960 6.3290 0.1380 ; + RECT 6.3630 1.1780 6.4050 1.2200 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.3510 0.6310 7.3930 0.6730 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 10.3150 0.6650 10.3570 0.7070 ; + RECT 11.9110 1.5320 11.9530 1.5740 ; + RECT 11.6070 0.4660 11.6490 0.5080 ; + RECT 4.9190 0.8070 4.9610 0.8490 ; + RECT 10.7710 0.1360 10.8130 0.1780 ; + RECT 10.3150 0.3740 10.3570 0.4160 ; + RECT 10.9230 0.8410 10.9650 0.8830 ; + RECT 9.7830 1.2040 9.8250 1.2460 ; + RECT 10.7710 0.6390 10.8130 0.6810 ; + RECT 5.9830 0.0960 6.0250 0.1380 ; + RECT 11.3790 0.3500 11.4210 0.3920 ; + RECT 8.7190 0.0980 8.7610 0.1400 ; + RECT 5.1470 1.4040 5.1890 1.4460 ; + RECT 8.3390 0.4020 8.3810 0.4440 ; + RECT 6.1350 0.6180 6.1770 0.6600 ; + RECT 6.3630 0.9940 6.4050 1.0360 ; + RECT 4.7670 0.9360 4.8090 0.9780 ; + RECT 5.5270 1.5380 5.5690 1.5800 ; + RECT 3.1710 1.4280 3.2130 1.4700 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 3.4750 0.5190 3.5170 0.5610 ; + RECT 6.2110 1.1780 6.2530 1.2200 ; + RECT 4.2350 0.5250 4.2770 0.5670 ; + RECT 6.0590 1.4040 6.1010 1.4460 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.0830 1.0770 4.1250 1.1190 ; + RECT 7.8070 1.5320 7.8490 1.5740 ; + RECT 7.8830 1.0710 7.9250 1.1130 ; + RECT 10.8470 0.4980 10.8890 0.5400 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 6.2870 0.8180 6.3290 0.8600 ; + RECT 10.6950 1.0610 10.7370 1.1030 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 4.5390 0.4180 4.5810 0.4600 ; + RECT 3.1710 0.4160 3.2130 0.4580 ; + RECT 6.2110 0.2690 6.2530 0.3110 ; + RECT 6.5150 0.3890 6.5570 0.4310 ; + RECT 5.6790 0.6180 5.7210 0.6600 ; + RECT 8.3390 1.0710 8.3810 1.1130 ; + RECT 6.6670 0.2970 6.7090 0.3390 ; + RECT 2.4870 0.0920 2.5290 0.1340 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.6390 1.5380 2.6810 1.5800 ; + RECT 0.4350 1.1300 0.4770 1.1720 ; + RECT 4.4630 1.5380 4.5050 1.5800 ; + RECT 8.2630 0.7630 8.3050 0.8050 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 5.7550 0.9710 5.7970 1.0130 ; + RECT 2.1830 0.0960 2.2250 0.1380 ; + RECT 2.8670 1.1820 2.9090 1.2240 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 8.1110 0.6680 8.1530 0.7100 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 5.2990 0.9710 5.3410 1.0130 ; + RECT 6.7430 0.8710 6.7850 0.9130 ; + RECT 6.3630 0.3890 6.4050 0.4310 ; + RECT 5.5270 0.0960 5.5690 0.1380 ; + RECT 4.4630 0.0960 4.5050 0.1380 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 4.9190 0.6180 4.9610 0.6600 ; + RECT 6.2110 0.4530 6.2530 0.4950 ; + RECT 7.2750 1.1370 7.3170 1.1790 ; + RECT 10.8470 1.2040 10.8890 1.2460 ; + RECT 6.5150 1.0860 6.5570 1.1280 ; + RECT 11.0750 0.1750 11.1170 0.2170 ; + RECT 1.3470 0.5250 1.3890 0.5670 ; + LAYER M1 ; + RECT 4.0610 0.4140 4.6010 0.4640 ; + RECT 4.8990 0.6140 6.1970 0.6640 ; + RECT 9.7630 1.2000 10.9090 1.2500 ; + RECT 3.3630 0.0920 6.3490 0.1420 ; + RECT 2.2900 0.1940 3.4130 0.2440 ; + RECT 2.2900 0.1420 2.3400 0.1940 ; + RECT 2.1630 0.0920 2.3400 0.1420 ; + RECT 3.3630 0.1420 3.4130 0.1940 ; + RECT 2.6930 1.4240 3.2330 1.4740 ; + RECT 2.6930 0.4120 3.2330 0.4620 ; + RECT 6.4190 0.6130 6.6530 0.6630 ; + RECT 5.1270 1.4000 6.1210 1.4500 ; + RECT 6.2670 0.8140 6.5210 0.8640 ; + RECT 5.1080 0.3330 6.1210 0.3830 ; + RECT 2.4620 0.0880 3.1660 0.1380 ; + RECT 9.2230 0.5010 9.5250 0.5510 ; + RECT 8.6990 0.6130 9.2730 0.6630 ; + RECT 9.4750 0.5510 9.5250 0.6790 ; + RECT 9.2230 0.5510 9.2730 0.6130 ; + RECT 10.3110 1.0570 10.7570 1.1070 ; + RECT 10.3110 0.1260 10.3610 1.0570 ; + RECT 6.3990 0.0920 8.7820 0.1420 ; + RECT 6.3590 0.1940 6.4490 0.2440 ; + RECT 6.1670 0.7140 6.2970 0.7640 ; + RECT 5.2600 0.9670 6.2730 1.0170 ; + RECT 6.2070 1.1900 6.4090 1.2400 ; + RECT 6.3990 0.1420 6.4490 0.1940 ; + RECT 5.2600 0.4790 6.4090 0.5290 ; + RECT 6.2070 0.2420 6.2570 0.4790 ; + RECT 6.2470 0.5290 6.2970 0.7140 ; + RECT 6.1670 0.7640 6.2170 0.9670 ; + RECT 6.3590 0.9740 6.4090 1.1900 ; + RECT 6.2070 1.0170 6.2570 1.1900 ; + RECT 6.3590 0.5290 6.4090 0.5430 ; + RECT 6.3590 0.2440 6.4090 0.4790 ; + RECT 11.3750 0.3620 11.5680 0.4120 ; + RECT 11.5180 0.4620 11.6690 0.5120 ; + RECT 11.3750 0.5890 11.5680 0.6390 ; + RECT 11.5180 0.4120 11.5680 0.4620 ; + RECT 11.5180 0.5120 11.5680 0.5890 ; + RECT 11.3750 0.1260 11.4250 0.3620 ; + RECT 11.3750 0.6390 11.4250 0.7870 ; + RECT 6.6630 0.4550 7.0330 0.5050 ; + RECT 6.5110 1.1900 7.0330 1.2400 ; + RECT 6.5110 0.9780 6.6730 1.0280 ; + RECT 6.6230 0.7670 6.7530 0.8170 ; + RECT 6.5110 0.2770 6.7130 0.3270 ; + RECT 6.5110 0.9740 6.5610 0.9780 ; + RECT 6.6230 0.8170 6.6730 0.9780 ; + RECT 6.7030 0.5050 6.7530 0.7670 ; + RECT 6.5110 0.3270 6.5610 0.5560 ; + RECT 6.6630 0.3270 6.7130 0.4550 ; + RECT 6.5110 1.0280 6.5610 1.1900 ; + RECT 3.3790 1.5340 6.6530 1.5840 ; + RECT 7.0790 0.7670 7.3210 0.8170 ; + RECT 6.9680 0.7080 7.1290 0.7580 ; + RECT 6.7230 0.8670 7.0180 0.9170 ; + RECT 7.2710 0.8170 7.3210 1.2400 ; + RECT 7.2710 0.4030 7.3210 0.5270 ; + RECT 7.0790 0.5270 7.3210 0.5770 ; + RECT 7.0790 0.7580 7.1290 0.7670 ; + RECT 7.0790 0.5770 7.1290 0.7080 ; + RECT 6.9680 0.7580 7.0180 0.8670 ; + RECT 7.5350 0.3980 8.4010 0.4480 ; + RECT 7.5350 1.0670 8.4010 1.1170 ; + RECT 7.1790 0.6270 7.5850 0.6770 ; + RECT 7.5350 0.6770 7.5850 1.0670 ; + RECT 7.5350 0.4480 7.5850 0.6270 ; + RECT 7.8390 0.8670 8.5370 0.9170 ; + RECT 7.6510 0.5140 8.5370 0.5640 ; + RECT 8.4870 0.9170 8.5370 1.2400 ; + RECT 7.8390 0.9170 7.8890 1.0170 ; + RECT 7.6510 0.5640 7.7010 0.6790 ; + RECT 8.4870 0.3830 8.5370 0.5140 ; + RECT 7.8390 0.5640 7.8890 0.8670 ; + RECT 11.0710 0.4620 11.3650 0.5120 ; + RECT 10.7510 0.1320 11.1210 0.1820 ; + RECT 10.7510 0.6350 11.1210 0.6850 ; + RECT 11.0710 0.1820 11.1210 0.4620 ; + RECT 11.0710 0.5120 11.1210 0.6350 ; + RECT 8.2430 0.7590 9.8450 0.8090 ; + RECT 8.5990 0.5130 9.1480 0.5630 ; + RECT 9.0950 0.3830 9.1450 0.5130 ; + RECT 9.0950 0.8090 9.1450 1.2400 ; + RECT 8.7910 0.3830 8.8410 0.5130 ; + RECT 8.7910 0.8090 8.8410 1.2400 ; + RECT 8.5990 0.5630 8.6490 0.7590 ; + RECT 9.3230 0.6420 9.3730 0.7590 ; + RECT 10.6150 0.4940 10.9690 0.5440 ; + RECT 10.9190 0.3480 10.9690 0.4940 ; + RECT 10.6150 0.5440 10.6650 0.7430 ; + RECT 7.7870 1.5280 11.9750 1.5780 ; + RECT 7.9390 0.6640 8.1730 0.7140 ; + RECT 3.6070 1.0670 3.9770 1.1170 ; + RECT 3.9270 0.8530 3.9770 1.0670 ; + RECT 3.9270 0.8030 4.9810 0.8530 ; + RECT 3.7750 0.4070 3.8250 0.6080 ; + RECT 3.9270 0.6580 3.9770 0.8030 ; + RECT 3.4710 0.6080 3.9770 0.6580 ; + RECT 3.4710 0.4070 3.5210 0.6080 ; + RECT 11.6790 0.5620 11.9730 0.6120 ; + RECT 11.6790 0.3620 11.8640 0.4120 ; + RECT 11.8140 0.4120 11.8640 0.5620 ; + RECT 10.5150 0.8370 11.7290 0.8870 ; + RECT 11.6790 0.6120 11.7290 0.8370 ; + RECT 11.6790 0.1260 11.7290 0.3620 ; + RECT 10.5150 0.3700 10.6830 0.4200 ; + RECT 10.5150 0.4200 10.5650 0.8370 ; + RECT 4.0610 1.0730 4.6010 1.1230 ; + RECT 0.4310 0.8950 2.3970 0.9450 ; + RECT 1.3430 0.9450 1.3930 1.1920 ; + RECT 1.3430 0.4130 1.3930 0.8950 ; + RECT 0.4310 0.9450 0.4810 1.1920 ; + RECT 0.4310 0.4130 0.4810 0.8950 ; + RECT 0.7350 0.9450 0.7850 1.1920 ; + RECT 0.7350 0.4130 0.7850 0.8950 ; + RECT 1.0390 0.9450 1.0890 1.1920 ; + RECT 1.0390 0.4130 1.0890 0.8950 ; + RECT 2.3150 1.5340 3.1570 1.5840 ; + RECT 2.4830 0.8100 3.4610 0.8600 ; + RECT 1.4770 0.4550 2.5330 0.5050 ; + RECT 2.4830 0.5050 2.5330 0.8100 ; + RECT 2.4830 0.8600 2.5330 1.1090 ; + RECT 1.4790 1.1090 2.5330 1.1590 ; + RECT 4.2140 0.5210 4.7530 0.5710 ; + RECT 4.6470 0.7030 4.8290 0.7530 ; + RECT 4.6470 0.5710 4.6970 0.7030 ; + RECT 3.3190 0.7080 3.7650 0.7580 ; + RECT 3.4850 0.9360 3.6440 0.9860 ; + RECT 3.5940 0.7580 3.6440 0.9360 ; + RECT 2.8450 1.1780 4.8130 1.2280 ; + RECT 4.7630 0.9160 4.8130 1.1780 ; + RECT 2.8450 0.5210 3.3810 0.5710 ; + RECT 3.3190 0.4130 3.3690 0.5210 ; + RECT 3.3190 0.5710 3.3690 0.7080 ; + RECT 3.4850 0.9860 3.5350 1.1780 ; + LAYER PO ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.7320 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.0770 0.8170 5.1070 1.6060 ; + RECT 4.0130 0.8420 4.0430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.7800 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 5.5330 0.8170 5.5630 1.6060 ; + RECT 5.9890 0.8280 6.0190 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6460 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7200 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.6850 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.7800 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.0130 0.0660 4.0430 0.7320 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6460 ; + RECT 3.1010 0.8800 3.1310 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 8.1170 0.8610 8.1470 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 6.4450 0.7850 6.4750 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 2.6450 0.8800 2.6750 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.6460 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 7.6610 0.8590 7.6910 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.7890 0.0670 9.8190 1.6050 ; + RECT 4.4690 0.8420 4.4990 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + LAYER NWELL ; + RECT -0.1160 1.5430 12.7260 1.7730 ; + RECT -0.1160 0.8510 9.6830 1.5430 ; + RECT 12.4510 0.6790 12.7260 1.5430 ; + RECT -0.1160 0.6790 0.2070 0.8510 ; + RECT 4.8480 0.6790 9.6830 0.8510 ; + RECT 10.1450 0.4910 11.9910 1.0830 ; + END +END RDFFNX1_HVT + +MACRO RDFFNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.072 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.9030 0.9420 12.3700 1.0020 ; + RECT 12.2570 0.6900 12.3700 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.2910 0.8620 12.3330 0.9040 ; + RECT 10.9230 0.9510 10.9650 0.9930 ; + RECT 12.2910 0.7100 12.3330 0.7520 ; + RECT 11.9870 0.9510 12.0290 0.9930 ; + RECT 11.6830 0.9510 11.7250 0.9930 ; + END + END VDDG + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.0720 0.0300 ; + RECT 6.7990 0.2830 8.9930 0.3330 ; + RECT 4.8500 0.1970 5.9710 0.2470 ; + RECT 11.9830 0.0300 12.0330 0.3120 ; + RECT 10.9190 0.0300 10.9690 0.2060 ; + RECT 0.8870 0.0300 0.9370 0.5570 ; + RECT 1.1910 0.0300 1.2410 0.5570 ; + RECT 0.5830 0.0300 0.6330 0.5570 ; + RECT 9.3990 0.0300 9.4490 0.2410 ; + RECT 11.6790 0.0300 11.7290 0.2020 ; + RECT 9.8550 0.0300 9.9050 0.2410 ; + RECT 8.9430 0.3330 8.9930 0.4430 ; + RECT 8.9430 0.0300 8.9930 0.2830 ; + RECT 3.6230 0.3570 3.6730 0.5580 ; + RECT 1.6470 0.0300 1.6970 0.3070 ; + RECT 4.8500 0.2470 4.9000 0.3070 ; + RECT 1.6310 0.3070 4.9000 0.3570 ; + END + PORT + LAYER CO ; + RECT 8.6430 0.2870 8.6850 0.3290 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 9.8590 0.1720 9.9010 0.2140 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 0.5870 0.4030 0.6290 0.4450 ; + RECT 0.5870 0.4950 0.6290 0.5370 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.9310 0.3120 3.9730 0.3540 ; + RECT 1.1950 0.3110 1.2370 0.3530 ; + RECT 1.1950 0.4030 1.2370 0.4450 ; + RECT 1.1950 0.4950 1.2370 0.5370 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 4.3870 0.3110 4.4290 0.3530 ; + RECT 2.2590 0.3110 2.3010 0.3530 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 3.6270 0.4040 3.6690 0.4460 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 11.9870 0.1440 12.0290 0.1860 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 7.4270 0.2870 7.4690 0.3290 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.9550 0.3110 1.9970 0.3530 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 5.9070 0.2010 5.9490 0.2430 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 4.9950 0.2010 5.0370 0.2430 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 10.9230 0.1440 10.9650 0.1860 ; + RECT 3.6270 0.3120 3.6690 0.3540 ; + RECT 5.4510 0.2010 5.4930 0.2430 ; + RECT 7.1230 0.2870 7.1650 0.3290 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.8190 0.2870 6.8610 0.3290 ; + RECT 9.4030 0.1720 9.4450 0.2140 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 3.6270 0.4960 3.6690 0.5380 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 7.5790 0.2870 7.6210 0.3290 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 2.5630 0.3110 2.6050 0.3530 ; + RECT 0.5870 0.3110 0.6290 0.3530 ; + RECT 3.0190 0.3110 3.0610 0.3530 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.0350 0.2870 8.0770 0.3290 ; + RECT 8.9470 0.3710 8.9890 0.4130 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.5240 1.3350 1.5740 ; + RECT 0.4010 1.5740 0.5740 1.5750 ; + RECT 0.4010 1.4650 0.5740 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 0.5110 1.5280 0.5530 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.6630 1.5280 0.7050 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.5350 0.3010 10.5410 0.3510 ; + RECT 9.5510 0.9690 10.5410 1.0090 ; + RECT 9.5210 1.0190 9.6310 1.1190 ; + RECT 9.5210 1.0090 10.5410 1.0190 ; + RECT 10.4910 0.3510 10.5410 0.9690 ; + RECT 9.5510 1.1190 9.6010 1.2350 ; + RECT 10.0070 1.0190 10.0570 1.3270 ; + END + PORT + LAYER CO ; + RECT 10.0110 0.3050 10.0530 0.3470 ; + RECT 10.0110 0.9890 10.0530 1.0310 ; + RECT 10.0110 0.9890 10.0530 1.0310 ; + RECT 10.0110 1.1730 10.0530 1.2150 ; + RECT 10.0110 1.1730 10.0530 1.2150 ; + RECT 10.0110 1.2650 10.0530 1.3070 ; + RECT 10.0110 1.2650 10.0530 1.3070 ; + RECT 10.0110 1.0810 10.0530 1.1230 ; + RECT 10.0110 1.0810 10.0530 1.1230 ; + RECT 9.5550 0.3050 9.5970 0.3470 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + RECT 9.5550 0.9890 9.5970 1.0310 ; + RECT 9.5550 1.1730 9.5970 1.2150 ; + RECT 9.5550 1.0810 9.5970 1.1230 ; + END + ANTENNADIFFAREA 0.255 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 9.2470 0.4010 10.4030 0.4510 ; + RECT 9.2470 0.8590 10.4030 0.9090 ; + RECT 10.2810 0.4510 10.4030 0.5380 ; + RECT 9.2470 0.1570 9.2970 0.4010 ; + RECT 9.2470 0.9090 9.2970 1.2320 ; + RECT 10.3530 0.5380 10.4030 0.8590 ; + END + PORT + LAYER CO ; + RECT 9.7070 0.4050 9.7490 0.4470 ; + RECT 9.7070 0.8630 9.7490 0.9050 ; + RECT 9.7070 0.8630 9.7490 0.9050 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 0.1770 9.2930 0.2190 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.8790 9.2930 0.9210 ; + RECT 9.2510 0.9710 9.2930 1.0130 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.0630 9.2930 1.1050 ; + RECT 9.2510 1.1550 9.2930 1.1970 ; + RECT 9.2510 0.2690 9.2930 0.3110 ; + END + ANTENNADIFFAREA 0.255 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.0720 1.7020 ; + RECT 9.3990 0.9590 9.4490 1.2900 ; + RECT 0.5640 1.2900 9.9050 1.3400 ; + RECT 9.8550 1.1380 9.9050 1.2900 ; + RECT 2.2150 1.3400 2.2650 1.6420 ; + RECT 7.1590 1.3400 7.2090 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.2920 3.9730 1.3340 ; + RECT 1.1950 1.2940 1.2370 1.3360 ; + RECT 9.8590 1.1630 9.9010 1.2050 ; + RECT 9.8590 1.1630 9.9010 1.2050 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 4.9950 1.2940 5.0370 1.3360 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.6510 1.2940 1.6930 1.3360 ; + RECT 1.9550 1.2940 1.9970 1.3360 ; + RECT 3.4750 1.2940 3.5170 1.3360 ; + RECT 2.2590 1.2940 2.3010 1.3360 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 5.4510 1.2940 5.4930 1.3360 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 2.5630 1.2940 2.6050 1.3360 ; + RECT 5.9070 1.2940 5.9490 1.3360 ; + RECT 9.8590 1.2550 9.9010 1.2970 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.8190 1.2940 6.8610 1.3360 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3870 1.2920 4.4290 1.3340 ; + RECT 9.4030 1.0710 9.4450 1.1130 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 9.4030 1.1630 9.4450 1.2050 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 9.4030 1.2550 9.4450 1.2970 ; + RECT 7.5790 1.2940 7.6210 1.3360 ; + RECT 8.0350 1.2940 8.0770 1.3360 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 0.8910 1.2940 0.9330 1.3360 ; + RECT 7.1230 1.2940 7.1650 1.3360 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 9.8590 1.2550 9.9010 1.2970 ; + RECT 8.9470 1.2940 8.9890 1.3360 ; + RECT 8.6430 1.2940 8.6850 1.3360 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 9.4030 0.9790 9.4450 1.0210 ; + RECT 3.0190 1.2940 3.0610 1.3360 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 0.5870 1.2940 0.6290 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.6170 1.4080 11.6100 1.4580 ; + RECT 11.4970 1.3130 11.6100 1.4080 ; + END + PORT + LAYER CO ; + RECT 7.9590 1.4120 8.0010 1.4540 ; + RECT 11.4550 1.4120 11.4970 1.4540 ; + RECT 10.8470 1.4120 10.8890 1.4540 ; + RECT 8.5670 1.4100 8.6090 1.4520 ; + RECT 7.6550 1.4120 7.6970 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6810 0.9250 3.3090 0.9750 ; + RECT 2.6810 0.9750 2.7920 1.1190 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9290 3.2890 0.9710 ; + RECT 2.7910 0.9290 2.8330 0.9710 ; + END + ANTENNAGATEAREA 0.0537 ; + END D + OBS + LAYER CO ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.3350 1.5390 2.3770 1.5810 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 4.2350 1.1820 4.2770 1.2240 ; + RECT 5.6030 0.3250 5.6450 0.3670 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 1.1300 0.7810 1.1720 ; + RECT 6.4390 0.8190 6.4810 0.8610 ; + RECT 4.0070 1.5380 4.0490 1.5800 ; + RECT 0.7390 1.0380 0.7810 1.0800 ; + RECT 0.4350 0.5250 0.4770 0.5670 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 1.0430 1.1300 1.0850 1.1720 ; + RECT 1.0430 1.0380 1.0850 1.0800 ; + RECT 9.7830 0.6620 9.8250 0.7040 ; + RECT 9.9350 0.6170 9.9770 0.6590 ; + RECT 4.7670 0.7070 4.8090 0.7490 ; + RECT 3.3990 0.8140 3.4410 0.8560 ; + RECT 3.5510 0.7120 3.5930 0.7540 ; + RECT 8.4910 0.4880 8.5330 0.5300 ; + RECT 6.2110 0.3650 6.2530 0.4070 ; + RECT 6.3630 0.4810 6.4050 0.5230 ; + RECT 6.5150 0.4810 6.5570 0.5230 ; + RECT 7.1990 0.6310 7.2410 0.6730 ; + RECT 5.1470 0.3250 5.1890 0.3670 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 11.5310 0.6230 11.5730 0.6650 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 6.5150 0.9940 6.5570 1.0360 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 11.8350 0.7130 11.8770 0.7550 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.4350 1.0380 0.4770 1.0800 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 4.0070 0.0920 4.0490 0.1340 ; + RECT 4.6150 0.8070 4.6570 0.8490 ; + RECT 8.7950 0.5010 8.8370 0.5430 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 1.3470 1.0380 1.3890 1.0800 ; + RECT 1.3470 1.1300 1.3890 1.1720 ; + RECT 1.3470 0.4330 1.3890 0.4750 ; + RECT 6.8950 0.8710 6.9370 0.9130 ; + RECT 1.8030 1.1130 1.8450 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.1070 1.1130 2.1490 1.1550 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 1.4990 0.4590 1.5410 0.5010 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 2.1070 0.4590 2.1490 0.5010 ; + RECT 1.4990 1.1130 1.5410 1.1550 ; + RECT 1.5750 0.8990 1.6170 0.9410 ; + RECT 1.8030 0.4590 1.8450 0.5010 ; + RECT 1.7270 0.8990 1.7690 0.9410 ; + RECT 3.7790 0.4270 3.8210 0.4690 ; + RECT 4.6910 1.1820 4.7330 1.2240 ; + RECT 3.6270 1.0710 3.6690 1.1130 ; + RECT 12.3670 0.5660 12.4090 0.6080 ; + RECT 6.6670 0.2970 6.7090 0.3390 ; + RECT 2.4870 0.0920 2.5290 0.1340 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 2.4110 1.1130 2.4530 1.1550 ; + RECT 2.6390 1.5380 2.6810 1.5800 ; + RECT 0.4350 1.1300 0.4770 1.1720 ; + RECT 4.4630 1.5380 4.5050 1.5800 ; + RECT 8.2630 0.7630 8.3050 0.8050 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 5.7550 0.9710 5.7970 1.0130 ; + RECT 2.1830 0.0960 2.2250 0.1380 ; + RECT 2.8670 1.1820 2.9090 1.2240 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 8.1110 0.6680 8.1530 0.7100 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 5.2990 0.9710 5.3410 1.0130 ; + RECT 6.7430 0.8710 6.7850 0.9130 ; + RECT 6.3630 0.3890 6.4050 0.4310 ; + RECT 5.5270 0.0920 5.5690 0.1340 ; + RECT 4.4630 0.0920 4.5050 0.1340 ; + RECT 4.6910 0.5250 4.7330 0.5670 ; + RECT 4.9190 0.6140 4.9610 0.6560 ; + RECT 3.0950 1.5380 3.1370 1.5800 ; + RECT 11.0750 0.6330 11.1170 0.6750 ; + RECT 6.6670 0.3890 6.7090 0.4310 ; + RECT 6.6670 1.1940 6.7090 1.2360 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 12.1390 0.6800 12.1810 0.7220 ; + RECT 4.5390 1.0770 4.5810 1.1190 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 4.1590 0.8070 4.2010 0.8490 ; + RECT 3.7030 0.7120 3.7450 0.7540 ; + RECT 12.1390 0.3290 12.1810 0.3710 ; + RECT 9.0990 1.0640 9.1410 1.1060 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 8.7950 0.4090 8.8370 0.4510 ; + RECT 9.0990 0.9720 9.1410 1.0140 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 9.0990 1.1560 9.1410 1.1980 ; + RECT 11.7590 0.4660 11.8010 0.5080 ; + RECT 5.0710 0.0920 5.1130 0.1340 ; + RECT 5.2230 0.6140 5.2650 0.6560 ; + RECT 6.2110 0.9870 6.2530 1.0290 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 6.5150 1.1780 6.5570 1.2200 ; + RECT 9.4790 0.6170 9.5210 0.6590 ; + RECT 6.3630 1.0860 6.4050 1.1280 ; + RECT 6.2110 1.0790 6.2530 1.1210 ; + RECT 2.4870 0.7940 2.5290 0.8360 ; + RECT 4.0830 0.4180 4.1250 0.4600 ; + RECT 6.9710 1.1940 7.0130 1.2360 ; + RECT 2.8670 0.5250 2.9090 0.5670 ; + RECT 10.2390 0.7630 10.2810 0.8050 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 6.9710 0.4590 7.0130 0.5010 ; + RECT 11.3790 0.3680 11.4210 0.4100 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 3.1710 0.4160 3.2130 0.4580 ; + RECT 6.2110 0.2730 6.2530 0.3150 ; + RECT 6.5150 0.3890 6.5570 0.4310 ; + RECT 5.6790 0.6140 5.7210 0.6560 ; + RECT 8.3390 1.0710 8.3810 1.1130 ; + RECT 6.2110 0.4570 6.2530 0.4990 ; + RECT 7.2750 1.1370 7.3170 1.1790 ; + RECT 11.3030 1.2040 11.3450 1.2460 ; + RECT 6.5150 1.0860 6.5570 1.1280 ; + RECT 11.5310 0.1750 11.5730 0.2170 ; + RECT 1.3470 0.5250 1.3890 0.5670 ; + RECT 6.0590 0.3250 6.1010 0.3670 ; + RECT 6.5910 1.5380 6.6330 1.5800 ; + RECT 5.7550 0.4830 5.7970 0.5250 ; + RECT 8.7190 0.6170 8.7610 0.6590 ; + RECT 2.7150 0.4160 2.7570 0.4580 ; + RECT 6.2870 0.0920 6.3290 0.1340 ; + RECT 6.3630 1.1780 6.4050 1.2200 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.4230 7.3170 0.4650 ; + RECT 5.2990 0.4830 5.3410 0.5250 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 2.7150 1.4280 2.7570 1.4700 ; + RECT 5.0710 1.5380 5.1130 1.5800 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 8.7950 0.9720 8.8370 1.0140 ; + RECT 7.9590 0.6680 8.0010 0.7100 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + RECT 3.7790 0.5190 3.8210 0.5610 ; + RECT 11.0750 0.3740 11.1170 0.4160 ; + RECT 2.4110 0.4590 2.4530 0.5010 ; + RECT 3.0950 0.0920 3.1370 0.1340 ; + RECT 0.4350 0.4330 0.4770 0.4750 ; + RECT 7.3510 0.6310 7.3930 0.6730 ; + RECT 8.7950 1.1560 8.8370 1.1980 ; + RECT 10.7710 0.6650 10.8130 0.7070 ; + RECT 12.3670 1.5320 12.4090 1.5740 ; + RECT 12.0630 0.4660 12.1050 0.5080 ; + RECT 4.9190 0.8070 4.9610 0.8490 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 10.7710 0.3740 10.8130 0.4160 ; + RECT 11.3790 0.8410 11.4210 0.8830 ; + RECT 10.2390 1.2040 10.2810 1.2460 ; + RECT 11.2270 0.6390 11.2690 0.6810 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 11.8350 0.3500 11.8770 0.3920 ; + RECT 8.7190 0.0980 8.7610 0.1400 ; + RECT 5.1470 1.4040 5.1890 1.4460 ; + RECT 8.3390 0.4020 8.3810 0.4440 ; + RECT 6.1350 0.6140 6.1770 0.6560 ; + RECT 6.3630 0.9940 6.4050 1.0360 ; + RECT 4.7670 0.9360 4.8090 0.9780 ; + RECT 5.5270 1.5380 5.5690 1.5800 ; + RECT 3.1710 1.4280 3.2130 1.4700 ; + RECT 8.4910 1.0640 8.5330 1.1060 ; + RECT 3.4750 0.5190 3.5170 0.5610 ; + RECT 6.2110 1.1710 6.2530 1.2130 ; + RECT 4.2350 0.5250 4.2770 0.5670 ; + RECT 6.0590 1.4040 6.1010 1.4460 ; + RECT 9.0990 0.5010 9.1410 0.5430 ; + RECT 4.0830 1.0770 4.1250 1.1190 ; + RECT 7.8070 1.5320 7.8490 1.5740 ; + RECT 8.7950 1.0640 8.8370 1.1060 ; + RECT 3.4750 0.4270 3.5170 0.4690 ; + RECT 5.6030 1.4040 5.6450 1.4460 ; + RECT 2.6390 0.0920 2.6810 0.1340 ; + RECT 7.8830 1.0710 7.9250 1.1130 ; + RECT 11.3030 0.4980 11.3450 0.5400 ; + RECT 3.3230 1.1820 3.3650 1.2240 ; + RECT 6.2870 0.8190 6.3290 0.8610 ; + RECT 11.1510 1.0610 11.1930 1.1030 ; + RECT 9.0990 0.4090 9.1410 0.4510 ; + RECT 4.5390 0.4180 4.5810 0.4600 ; + LAYER M1 ; + RECT 1.4770 0.4550 2.5330 0.5050 ; + RECT 2.4830 0.5050 2.5330 0.8100 ; + RECT 2.4830 0.8600 2.5330 1.1090 ; + RECT 1.4790 1.1090 2.5330 1.1590 ; + RECT 4.2140 0.5210 4.7530 0.5710 ; + RECT 4.6470 0.7030 4.8290 0.7530 ; + RECT 4.6470 0.5710 4.6970 0.7030 ; + RECT 4.0610 0.4140 4.6010 0.4640 ; + RECT 4.8990 0.6100 6.1970 0.6600 ; + RECT 10.2190 1.2000 11.3650 1.2500 ; + RECT 3.3630 0.0880 6.3490 0.1380 ; + RECT 2.2900 0.1940 3.4130 0.2440 ; + RECT 2.2900 0.1420 2.3400 0.1940 ; + RECT 2.1630 0.0920 2.3400 0.1420 ; + RECT 3.3630 0.1380 3.4130 0.1940 ; + RECT 2.6930 1.4240 3.2330 1.4740 ; + RECT 2.6930 0.4120 3.2330 0.4620 ; + RECT 9.2230 0.5010 9.9810 0.5510 ; + RECT 8.6990 0.6130 9.2730 0.6630 ; + RECT 9.9310 0.5510 9.9810 0.6790 ; + RECT 9.4750 0.5510 9.5250 0.6790 ; + RECT 9.2230 0.5510 9.2730 0.6130 ; + RECT 10.7670 1.0570 11.2130 1.1070 ; + RECT 10.7670 0.1260 10.8170 1.0570 ; + RECT 6.3990 0.0920 8.7820 0.1420 ; + RECT 6.3590 0.1940 6.4490 0.2440 ; + RECT 6.1670 0.7150 6.2970 0.7650 ; + RECT 5.2600 0.9670 6.2730 1.0170 ; + RECT 6.2070 1.1900 6.4090 1.2400 ; + RECT 6.3990 0.1420 6.4490 0.1940 ; + RECT 5.2600 0.4790 6.4090 0.5290 ; + RECT 6.2070 0.2460 6.2570 0.4790 ; + RECT 6.2470 0.5290 6.2970 0.7150 ; + RECT 6.1670 0.7650 6.2170 0.9670 ; + RECT 6.3590 0.9740 6.4090 1.1900 ; + RECT 6.2070 1.0170 6.2570 1.1900 ; + RECT 6.3590 0.5290 6.4090 0.5430 ; + RECT 6.3590 0.2440 6.4090 0.4790 ; + RECT 8.2430 0.7590 10.3010 0.8090 ; + RECT 8.5990 0.5130 9.1480 0.5630 ; + RECT 9.0950 0.3830 9.1450 0.5130 ; + RECT 9.0950 0.8090 9.1450 1.2400 ; + RECT 8.7910 0.3830 8.8410 0.5130 ; + RECT 8.7910 0.8090 8.8410 1.2400 ; + RECT 8.5990 0.5630 8.6490 0.7590 ; + RECT 9.3230 0.6420 9.3730 0.7590 ; + RECT 9.7790 0.6420 9.8290 0.7590 ; + RECT 6.6630 0.4550 7.0330 0.5050 ; + RECT 6.5110 1.1900 7.0330 1.2400 ; + RECT 6.5110 0.2770 6.7130 0.3270 ; + RECT 6.6230 0.7670 6.7530 0.8170 ; + RECT 6.5110 0.9780 6.6730 1.0280 ; + RECT 6.5110 0.3270 6.5610 0.5560 ; + RECT 6.6630 0.3270 6.7130 0.4550 ; + RECT 6.7030 0.5050 6.7530 0.7670 ; + RECT 6.5110 0.9740 6.5610 0.9780 ; + RECT 6.6230 0.8170 6.6730 0.9780 ; + RECT 6.5110 1.0280 6.5610 1.1900 ; + RECT 3.6070 1.0670 3.9770 1.1170 ; + RECT 3.4710 0.6080 3.9770 0.6580 ; + RECT 3.7750 0.4070 3.8250 0.6080 ; + RECT 3.9270 0.8530 3.9770 1.0670 ; + RECT 3.9270 0.8030 4.9810 0.8530 ; + RECT 3.9270 0.6580 3.9770 0.8030 ; + RECT 3.4710 0.4070 3.5210 0.6080 ; + RECT 3.4850 0.9360 3.6440 0.9860 ; + RECT 3.3190 0.7080 3.7650 0.7580 ; + RECT 2.8450 1.1780 4.8130 1.2280 ; + RECT 4.7630 0.9160 4.8130 1.1780 ; + RECT 3.5940 0.7580 3.6440 0.9360 ; + RECT 2.8450 0.5210 3.3810 0.5710 ; + RECT 3.4850 0.9860 3.5350 1.1780 ; + RECT 3.3190 0.5710 3.3690 0.7080 ; + RECT 3.3190 0.4130 3.3690 0.5210 ; + RECT 11.8310 0.5890 12.0240 0.6390 ; + RECT 11.8310 0.3620 12.0240 0.4120 ; + RECT 11.9740 0.4620 12.1250 0.5120 ; + RECT 11.9740 0.5120 12.0240 0.5890 ; + RECT 11.9740 0.4120 12.0240 0.4620 ; + RECT 11.8310 0.6390 11.8810 0.7870 ; + RECT 11.8310 0.1260 11.8810 0.3620 ; + RECT 6.4190 0.6130 6.6530 0.6630 ; + RECT 3.3790 1.5340 6.6530 1.5840 ; + RECT 7.0790 0.7670 7.3210 0.8170 ; + RECT 6.9680 0.7080 7.1290 0.7580 ; + RECT 6.7230 0.8670 7.0180 0.9170 ; + RECT 7.2710 0.8170 7.3210 1.2400 ; + RECT 7.2710 0.4030 7.3210 0.5270 ; + RECT 7.0790 0.5270 7.3210 0.5770 ; + RECT 7.0790 0.7580 7.1290 0.7670 ; + RECT 7.0790 0.5770 7.1290 0.7080 ; + RECT 6.9680 0.7580 7.0180 0.8670 ; + RECT 7.5350 0.3980 8.4010 0.4480 ; + RECT 7.5350 1.0670 8.4010 1.1170 ; + RECT 7.1790 0.6270 7.5850 0.6770 ; + RECT 7.5350 0.6770 7.5850 1.0670 ; + RECT 7.5350 0.4480 7.5850 0.6270 ; + RECT 7.8390 0.8670 8.5370 0.9170 ; + RECT 7.6510 0.5140 8.5370 0.5640 ; + RECT 8.4870 0.9170 8.5370 1.2400 ; + RECT 7.8390 0.9170 7.8890 1.0170 ; + RECT 7.6510 0.5640 7.7010 0.6790 ; + RECT 8.4870 0.3830 8.5370 0.5140 ; + RECT 7.8390 0.5640 7.8890 0.8670 ; + RECT 11.5270 0.4620 11.8210 0.5120 ; + RECT 11.2070 0.1320 11.5770 0.1820 ; + RECT 11.2070 0.6350 11.5770 0.6850 ; + RECT 11.5270 0.1820 11.5770 0.4620 ; + RECT 11.5270 0.5120 11.5770 0.6350 ; + RECT 11.0710 0.4940 11.4250 0.5440 ; + RECT 11.3750 0.3480 11.4250 0.4940 ; + RECT 11.0710 0.5440 11.1210 0.7870 ; + RECT 7.7870 1.5280 12.4310 1.5780 ; + RECT 7.9390 0.6640 8.1730 0.7140 ; + RECT 12.1350 0.5620 12.4290 0.6120 ; + RECT 12.1350 0.3620 12.3200 0.4120 ; + RECT 12.2700 0.4120 12.3200 0.5620 ; + RECT 10.9710 0.8370 12.1850 0.8870 ; + RECT 12.1350 0.6120 12.1850 0.8370 ; + RECT 12.1350 0.1260 12.1850 0.3620 ; + RECT 10.9710 0.3700 11.1390 0.4200 ; + RECT 10.9710 0.4200 11.0210 0.8370 ; + RECT 4.0610 1.0730 4.6010 1.1230 ; + RECT 5.1270 1.4000 6.1210 1.4500 ; + RECT 6.2670 0.8150 6.5210 0.8650 ; + RECT 0.4310 0.8950 2.3970 0.9450 ; + RECT 1.3430 0.9450 1.3930 1.1920 ; + RECT 1.3430 0.4130 1.3930 0.8950 ; + RECT 0.4310 0.9450 0.4810 1.1920 ; + RECT 0.4310 0.4130 0.4810 0.8950 ; + RECT 0.7350 0.9450 0.7850 1.1920 ; + RECT 0.7350 0.4130 0.7850 0.8950 ; + RECT 1.0390 0.9450 1.0890 1.1920 ; + RECT 1.0390 0.4130 1.0890 0.8950 ; + RECT 5.1080 0.3210 6.1210 0.3710 ; + RECT 2.4620 0.0880 3.1660 0.1380 ; + RECT 2.3150 1.5340 3.1570 1.5840 ; + RECT 2.4830 0.8100 3.4610 0.8600 ; + LAYER PO ; + RECT 5.5330 0.8170 5.5630 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.7320 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.0770 0.8170 5.1070 1.6060 ; + RECT 4.0130 0.8420 4.0430 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.7800 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 5.9890 0.8280 6.0190 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6470 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.7200 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.6850 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.7800 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.0130 0.0660 4.0430 0.7320 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6470 ; + RECT 3.1010 0.8800 3.1310 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 8.1170 0.8610 8.1470 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 6.4450 0.7850 6.4750 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 2.6450 0.8800 2.6750 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 5.0770 0.0660 5.1070 0.6510 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 7.6610 0.8590 7.6910 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 10.2450 0.0670 10.2750 1.6050 ; + RECT 4.4690 0.8420 4.4990 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + LAYER NWELL ; + RECT -0.1160 1.5430 13.1820 1.7730 ; + RECT -0.1160 0.8510 10.1390 1.5430 ; + RECT 12.9070 0.6790 13.1820 1.5430 ; + RECT -0.1160 0.6790 0.2070 0.8510 ; + RECT 4.8480 0.6790 10.1390 0.8510 ; + RECT 10.6010 0.4910 12.4470 1.0830 ; + END +END RDFFNX2_HVT + +MACRO RDFFSRARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.984 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2690 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.5240 1.7910 1.5740 ; + RECT 0.8570 1.5740 1.0300 1.5750 ; + RECT 0.8570 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.1990 0.8920 13.7460 0.9420 ; + RECT 13.6250 0.9420 13.7460 0.9670 ; + RECT 13.6250 0.8570 13.7460 0.8920 ; + RECT 13.1990 0.9420 13.2490 1.5640 ; + RECT 13.1990 0.2130 13.2490 0.4520 ; + RECT 13.6950 0.5020 13.7450 0.8570 ; + RECT 13.1990 0.4520 13.7450 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 0.4270 13.2450 0.4690 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 0.2430 13.2450 0.2850 ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.7770 1.1610 13.8980 1.2710 ; + RECT 13.5030 0.1160 13.5530 0.2890 ; + RECT 13.5030 0.2890 13.8610 0.3390 ; + RECT 13.5030 1.0420 13.8610 1.0920 ; + RECT 13.5030 1.0920 13.5530 1.5640 ; + RECT 13.8110 1.0920 13.8610 1.1610 ; + RECT 13.8110 0.3390 13.8610 1.0420 ; + END + PORT + LAYER CO ; + RECT 13.5070 0.1510 13.5490 0.1930 ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.5070 0.1510 13.5490 0.1930 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + RECT 13.5070 0.2430 13.5490 0.2850 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.9840 1.7020 ; + RECT 4.5180 1.2200 7.6410 1.2700 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 8.4870 1.4040 10.7050 1.4540 ; + RECT 12.5910 0.9590 12.6410 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 12.8950 0.9590 12.9450 1.6420 ; + RECT 13.3510 0.9920 13.4010 1.6420 ; + RECT 0.5830 1.3780 0.6330 1.6420 ; + RECT 13.6550 1.1810 13.7050 1.6420 ; + RECT 4.9270 1.2700 4.9770 1.6420 ; + RECT 2.6050 1.4140 2.6550 1.6420 ; + RECT 9.2470 0.9530 9.2970 1.4040 ; + RECT 8.4870 1.2790 8.5370 1.4040 ; + RECT 10.6550 1.4540 10.7050 1.6420 ; + END + PORT + LAYER CO ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.8990 1.1880 12.9410 1.2300 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 12.8990 1.0040 12.9410 1.0460 ; + RECT 13.3550 1.0270 13.3970 1.0690 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 12.8990 1.2800 12.9410 1.3220 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 13.3550 1.1190 13.3970 1.1610 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 12.8990 1.0040 12.9410 1.0460 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 12.8990 1.0960 12.9410 1.1380 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 12.8990 1.0960 12.9410 1.1380 ; + RECT 12.8990 1.1880 12.9410 1.2300 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 12.8990 1.2800 12.9410 1.3220 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 5.7550 1.2240 5.7970 1.2660 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 8.4910 1.3010 8.5330 1.3430 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.3550 1.1190 13.3970 1.1610 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.2510 0.9730 9.2930 1.0150 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 13.3550 1.0270 13.3970 1.0690 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.9840 0.0300 ; + RECT 13.3510 0.2030 13.4470 0.2530 ; + RECT 12.8550 0.2030 12.9610 0.2530 ; + RECT 1.0390 0.0300 1.0890 0.5650 ; + RECT 0.2790 0.0300 0.3290 0.4270 ; + RECT 0.5830 0.0300 0.6330 0.4280 ; + RECT 1.3430 0.0300 1.3930 0.5650 ; + RECT 10.3110 0.0300 10.3610 0.4260 ; + RECT 12.5910 0.0300 12.6410 0.4230 ; + RECT 11.2230 0.0300 11.2730 0.1980 ; + RECT 11.6790 0.0300 11.7290 0.4260 ; + RECT 13.6550 0.0300 13.7050 0.2260 ; + RECT 9.4230 0.0300 9.4730 0.3280 ; + RECT 8.4710 0.3750 9.4480 0.3780 ; + RECT 8.4710 0.3280 9.4730 0.3750 ; + RECT 13.3510 0.2530 13.4010 0.3950 ; + RECT 13.3970 0.0300 13.4470 0.2030 ; + RECT 12.8550 0.0300 12.9050 0.2030 ; + RECT 4.0990 0.2880 4.9050 0.3050 ; + RECT 4.8550 0.3380 7.6410 0.3550 ; + RECT 4.0990 0.3050 7.6410 0.3380 ; + RECT 1.6470 0.1940 4.1490 0.2440 ; + RECT 1.6470 0.2440 1.6970 0.5650 ; + RECT 1.6470 0.0300 1.6970 0.1940 ; + RECT 4.0990 0.2440 4.1490 0.2880 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 2.7150 0.1980 2.7570 0.2400 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 5.4510 0.3080 5.4930 0.3500 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.4110 0.1980 2.4530 0.2400 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 10.3150 0.1620 10.3570 0.2040 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 12.8990 0.2070 12.9410 0.2490 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 4.8430 0.2920 4.8850 0.3340 ; + RECT 11.6830 0.2660 11.7250 0.3080 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 13.3550 0.2410 13.3970 0.2830 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 12.8990 0.2070 12.9410 0.2490 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 11.6830 0.1740 11.7250 0.2160 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 5.7550 0.3090 5.7970 0.3510 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 9.4030 0.3320 9.4450 0.3740 ; + RECT 8.4910 0.3320 8.5330 0.3740 ; + RECT 4.5390 0.2920 4.5810 0.3340 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 13.6590 0.1490 13.7010 0.1910 ; + RECT 13.3550 0.3330 13.3970 0.3750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 11.6830 0.1740 11.7250 0.2160 ; + RECT 11.6830 0.3580 11.7250 0.4000 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 13.6590 0.1490 13.7010 0.1910 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 13.3550 0.3330 13.3970 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 10.3150 0.2540 10.3570 0.2960 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 11.6830 0.3580 11.7250 0.4000 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.3150 0.3460 10.3570 0.3880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 10.3150 0.3460 10.3570 0.3880 ; + RECT 5.1470 0.3080 5.1890 0.3500 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8350 0.0880 4.3730 0.1380 ; + RECT 9.1550 0.0880 9.2370 0.1380 ; + RECT 4.1990 0.1380 4.3730 0.1880 ; + RECT 4.3070 0.2320 9.2120 0.2380 ; + RECT 4.3070 0.2100 9.2210 0.2320 ; + RECT 9.1710 0.1380 9.2210 0.1880 ; + RECT 4.1990 0.1880 9.2210 0.2100 ; + END + PORT + LAYER CO ; + RECT 3.8550 0.0920 3.8970 0.1340 ; + RECT 4.3110 0.0980 4.3530 0.1400 ; + RECT 9.1750 0.0900 9.2170 0.1320 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.8010 0.2490 11.9130 0.3590 ; + RECT 11.5870 0.5170 11.8620 0.5670 ; + RECT 11.8120 0.3590 11.8620 0.5170 ; + END + PORT + LAYER CO ; + RECT 11.6070 0.5210 11.6490 0.5630 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.9370 0.6630 7.0930 0.8150 ; + RECT 7.0270 0.6130 7.4130 0.6630 ; + RECT 7.6510 0.7810 7.7010 0.9330 ; + RECT 7.3630 0.6630 7.4130 0.7310 ; + RECT 7.3630 0.7310 7.7010 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.6170 7.3930 0.6590 ; + RECT 7.0470 0.6170 7.0890 0.6590 ; + RECT 7.1990 0.6170 7.2410 0.6590 ; + RECT 7.6550 0.8710 7.6970 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.2950 0.9420 11.9130 1.0020 ; + RECT 11.8010 0.6900 11.9130 0.9420 ; + RECT 11.6790 0.6270 11.7290 0.9420 ; + END + PORT + LAYER CO ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.2270 0.9510 11.2690 0.9930 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 11.6830 0.7430 11.7250 0.7850 ; + RECT 11.8350 0.7100 11.8770 0.7520 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 11.8350 0.8620 11.8770 0.9040 ; + RECT 10.3150 0.9510 10.3570 0.9930 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + END + END VDDG + OBS + LAYER CO ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 4.2350 1.0860 4.2770 1.1280 ; + RECT 4.2350 1.1780 4.2770 1.2200 ; + RECT 4.3870 1.1120 4.4290 1.1540 ; + RECT 4.3870 1.2040 4.4290 1.2460 ; + RECT 4.0830 1.2040 4.1250 1.2460 ; + RECT 4.0830 1.1120 4.1250 1.1540 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.2040 3.6690 1.2460 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 3.6270 1.1120 3.6690 1.1540 ; + RECT 6.3630 0.5140 6.4050 0.5560 ; + RECT 6.3630 0.9450 6.4050 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 4.7670 0.0920 4.8090 0.1340 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 5.6030 0.5090 5.6450 0.5510 ; + RECT 5.5270 0.8580 5.5690 0.9000 ; + RECT 5.4510 1.1260 5.4930 1.1680 ; + RECT 5.9830 0.6620 6.0250 0.7040 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 4.1590 0.7420 4.2010 0.7840 ; + RECT 5.9070 0.5140 5.9490 0.5560 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 3.7790 0.5620 3.8210 0.6040 ; + RECT 11.5310 0.6800 11.5730 0.7220 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 10.4670 0.3460 10.5090 0.3880 ; + RECT 7.4270 1.1240 7.4690 1.1660 ; + RECT 13.0510 1.2470 13.0930 1.2890 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 8.6430 0.4370 8.6850 0.4790 ; + RECT 8.0350 0.5010 8.0770 0.5430 ; + RECT 3.3230 1.0790 3.3650 1.1210 ; + RECT 8.7950 0.4370 8.8370 0.4790 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 11.0750 0.8240 11.1170 0.8660 ; + RECT 10.7710 0.3680 10.8130 0.4100 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 12.9750 0.0970 13.0170 0.1390 ; + RECT 6.4390 0.6280 6.4810 0.6700 ; + RECT 5.6790 0.6890 5.7210 0.7310 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 6.2870 0.6280 6.3290 0.6700 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 10.4670 0.6380 10.5090 0.6800 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 7.2750 1.0240 7.3170 1.0660 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.3390 0.9710 8.3810 1.0130 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 5.9070 1.0620 5.9490 1.1040 ; + RECT 3.4750 0.3140 3.5170 0.3560 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 3.3230 1.0790 3.3650 1.1210 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 13.0510 1.2470 13.0930 1.2890 ; + RECT 10.9230 0.7130 10.9650 0.7550 ; + RECT 11.3790 0.7130 11.4210 0.7550 ; + RECT 13.0510 0.9710 13.0930 1.0130 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 10.7710 0.6770 10.8130 0.7190 ; + RECT 13.0510 1.1550 13.0930 1.1970 ; + RECT 10.4670 0.3460 10.5090 0.3880 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 4.9950 0.5250 5.0370 0.5670 ; + RECT 13.5830 0.6640 13.6250 0.7060 ; + RECT 3.3230 0.9870 3.3650 1.0290 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.3990 0.0900 3.4410 0.1320 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 13.0510 0.8790 13.0930 0.9210 ; + RECT 4.6910 1.0720 4.7330 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 8.2630 0.0900 8.3050 0.1320 ; + RECT 5.8310 0.6620 5.8730 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 7.4270 0.4170 7.4690 0.4590 ; + RECT 4.8430 1.1260 4.8850 1.1680 ; + RECT 4.2350 0.5020 4.2770 0.5440 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.2470 0.0900 3.2890 0.1320 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 10.4670 0.7300 10.5090 0.7720 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 8.6430 1.2910 8.6850 1.3330 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 12.7470 0.2690 12.7890 0.3110 ; + RECT 4.7670 0.6330 4.8090 0.6750 ; + RECT 9.0990 0.5620 9.1410 0.6040 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 4.6910 0.3920 4.7330 0.4340 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 8.1110 1.4240 8.1530 1.4660 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.5030 0.8510 7.5450 0.8930 ; + RECT 7.3510 0.9240 7.3930 0.9660 ; + RECT 10.2390 0.5310 10.2810 0.5730 ; + RECT 7.0470 0.9240 7.0890 0.9660 ; + RECT 4.3870 1.2960 4.4290 1.3380 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 4.6150 0.6330 4.6570 0.6750 ; + RECT 5.2990 0.5090 5.3410 0.5510 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 8.5670 0.6570 8.6090 0.6990 ; + RECT 8.8710 0.6540 8.9130 0.6960 ; + RECT 3.5510 0.0900 3.5930 0.1320 ; + RECT 4.4630 0.6330 4.5050 0.6750 ; + RECT 8.1110 0.0900 8.1530 0.1320 ; + RECT 8.4150 0.0900 8.4570 0.1320 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 5.6790 0.6890 5.7210 0.7310 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 10.6190 0.8240 10.6610 0.8660 ; + RECT 7.2750 0.5170 7.3170 0.5590 ; + RECT 13.0510 0.2490 13.0930 0.2910 ; + RECT 13.0510 1.0630 13.0930 1.1050 ; + RECT 13.0510 1.0630 13.0930 1.1050 ; + RECT 7.1990 0.9240 7.2410 0.9660 ; + RECT 10.4670 0.2540 10.5090 0.2960 ; + RECT 11.3030 0.4660 11.3450 0.5080 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 13.0510 0.9710 13.0930 1.0130 ; + RECT 10.4670 0.1620 10.5090 0.2040 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 6.9710 1.0640 7.0130 1.1060 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 11.0750 0.1360 11.1170 0.1780 ; + RECT 7.1230 0.4170 7.1650 0.4590 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 5.1470 1.1260 5.1890 1.1680 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 10.9230 0.1360 10.9650 0.1780 ; + RECT 3.3230 0.9870 3.3650 1.0290 ; + RECT 10.1630 0.7430 10.2050 0.7850 ; + RECT 11.3790 0.2520 11.4210 0.2940 ; + RECT 1.8790 0.0900 1.9210 0.1320 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 11.6070 1.0610 11.6490 1.1030 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 7.8070 1.5240 7.8490 1.5660 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 8.9470 0.5460 8.9890 0.5880 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 9.3270 0.6620 9.3690 0.7040 ; + RECT 12.7470 0.1770 12.7890 0.2190 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 3.0950 0.6860 3.1370 0.7280 ; + RECT 4.0830 1.2960 4.1250 1.3380 ; + RECT 12.7470 0.3610 12.7890 0.4030 ; + RECT 8.1110 1.4240 8.1530 1.4660 ; + RECT 8.4150 0.7550 8.4570 0.7970 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 5.6790 1.4240 5.7210 1.4660 ; + RECT 8.5670 0.9710 8.6090 1.0130 ; + RECT 8.0350 0.9710 8.0770 1.0130 ; + RECT 5.2230 0.8580 5.2650 0.9000 ; + RECT 4.4630 1.4280 4.5050 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 8.7950 1.1830 8.8370 1.2250 ; + RECT 13.0510 1.1550 13.0930 1.1970 ; + RECT 8.9470 1.2910 8.9890 1.3330 ; + RECT 13.0510 0.8790 13.0930 0.9210 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 8.2630 1.4240 8.3050 1.4660 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 9.4030 0.9630 9.4450 1.0050 ; + RECT 6.9710 0.5170 7.0130 0.5590 ; + RECT 13.0510 0.3410 13.0930 0.3830 ; + RECT 7.8830 0.4170 7.9250 0.4590 ; + RECT 4.4630 0.9710 4.5050 1.0130 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 10.1630 0.1620 10.2050 0.2040 ; + RECT 10.6190 0.2520 10.6610 0.2940 ; + RECT 10.1630 0.2540 10.2050 0.2960 ; + RECT 10.5430 1.5240 10.5850 1.5660 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 11.1510 1.0610 11.1930 1.1030 ; + RECT 10.4670 0.8220 10.5090 0.8640 ; + RECT 3.1710 0.3140 3.2130 0.3560 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 7.6550 1.5240 7.6970 1.5660 ; + RECT 4.3870 0.3920 4.4290 0.4340 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 8.1870 0.5010 8.2290 0.5430 ; + RECT 4.0830 0.5020 4.1250 0.5440 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 7.5030 0.6170 7.5450 0.6590 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 8.3390 0.3340 8.3810 0.3760 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 10.8470 1.2030 10.8890 1.2450 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 10.5430 1.0720 10.5850 1.1140 ; + RECT 12.9750 0.6060 13.0170 0.6480 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 8.1110 0.7710 8.1530 0.8130 ; + RECT 8.1870 1.1990 8.2290 1.2410 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 8.1870 1.2910 8.2290 1.3330 ; + RECT 9.7830 0.8050 9.8250 0.8470 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 4.6150 0.9710 4.6570 1.0130 ; + RECT 3.9310 0.3240 3.9730 0.3660 ; + RECT 13.2790 0.0970 13.3210 0.1390 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + LAYER PO ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.0530 0.8920 7.0830 1.6060 ; + RECT 8.2690 0.7710 8.2990 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 7.2050 0.8920 7.2350 1.6060 ; + RECT 13.4370 0.0710 13.4670 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 7.3570 0.8920 7.3870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6520 ; + RECT 7.3570 0.0660 7.3870 0.6910 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6820 ; + RECT 13.7410 0.0690 13.7710 1.6060 ; + RECT 4.6210 0.9390 4.6510 1.6060 ; + RECT 8.1170 0.7540 8.1470 1.6060 ; + RECT 13.2850 0.0690 13.3150 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 13.1330 0.0710 13.1630 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 13.8930 0.0710 13.9230 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 4.6210 0.0660 4.6510 0.6950 ; + RECT 4.4690 0.0660 4.4990 0.6950 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 13.5890 0.0690 13.6190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6910 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + RECT 9.6370 0.0670 9.6670 1.6050 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 7.6610 0.8390 7.6910 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.7280 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 8.5730 0.9390 8.6030 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6450 ; + RECT 3.2530 0.0660 3.2830 0.6820 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + LAYER M1 ; + RECT 12.7820 0.6520 12.8320 0.7780 ; + RECT 11.4870 0.6270 11.5770 0.6770 ; + RECT 11.5270 0.1260 11.5770 0.4170 ; + RECT 11.2830 0.4670 11.5370 0.5120 ; + RECT 11.4870 0.4170 11.5770 0.4620 ; + RECT 11.2830 0.4620 11.5770 0.4670 ; + RECT 11.5270 0.6770 11.5770 0.7680 ; + RECT 11.4870 0.5120 11.5370 0.6270 ; + RECT 12.9550 0.0930 13.3410 0.1430 ; + RECT 3.6780 0.7380 5.0420 0.7880 ; + RECT 4.8230 1.1200 5.8370 1.1700 ; + RECT 4.9910 0.5050 5.8370 0.5550 ; + RECT 5.7870 0.6580 6.0520 0.7080 ; + RECT 5.7870 0.7080 5.8370 1.1200 ; + RECT 4.9910 0.5550 5.0410 0.7380 ; + RECT 5.7870 0.5550 5.8370 0.6580 ; + RECT 4.4430 0.9680 5.7330 1.0180 ; + RECT 5.6750 0.6690 5.7250 0.9680 ; + RECT 4.3830 1.0680 4.7530 1.1180 ; + RECT 4.0790 1.0920 4.1290 1.2920 ; + RECT 3.9270 1.0920 3.9770 1.2920 ; + RECT 3.6230 1.0920 3.6730 1.2920 ; + RECT 4.3830 1.1180 4.4330 1.2920 ; + RECT 3.6070 1.2920 4.4490 1.3420 ; + RECT 4.4430 0.6290 4.8290 0.6790 ; + RECT 6.1680 0.6240 6.5010 0.6740 ; + RECT 5.9030 1.1080 5.9530 1.1240 ; + RECT 5.9030 1.0420 5.9530 1.0580 ; + RECT 6.1680 0.6740 6.2180 1.0580 ; + RECT 5.9030 1.0580 6.2180 1.1080 ; + RECT 6.1680 0.5600 6.2180 0.6240 ; + RECT 5.8870 0.5100 6.2180 0.5600 ; + RECT 7.4820 0.6130 7.7170 0.6630 ; + RECT 6.8150 0.9200 7.5490 0.9700 ; + RECT 7.4990 0.8310 7.5490 0.9200 ; + RECT 6.8150 0.9700 6.8650 1.0340 ; + RECT 6.8150 0.5050 6.8650 0.9200 ; + RECT 8.0310 0.7670 8.1730 0.8170 ; + RECT 8.0310 0.6510 8.6290 0.7010 ; + RECT 8.0310 0.7010 8.0810 0.7670 ; + RECT 8.0310 0.6500 8.0810 0.6510 ; + RECT 8.4940 0.9670 8.6290 1.0170 ; + RECT 8.3950 0.7510 8.5440 0.8010 ; + RECT 8.4940 0.8010 8.5440 0.9670 ; + RECT 10.5990 0.8200 11.1470 0.8700 ; + RECT 10.9030 0.7090 11.4410 0.7590 ; + RECT 11.1310 1.0570 11.6690 1.1070 ; + RECT 3.5310 1.4240 4.5250 1.4740 ; + RECT 3.0750 1.5240 4.8290 1.5740 ; + RECT 7.7850 1.5200 10.6050 1.5700 ; + RECT 6.7230 1.5200 7.7170 1.5700 ; + RECT 5.6590 1.4200 8.3250 1.4700 ; + RECT 8.6160 1.2870 9.0090 1.3370 ; + RECT 7.1030 0.4130 8.0810 0.4630 ; + RECT 7.8790 0.9670 8.4020 1.0170 ; + RECT 7.1030 1.1200 7.9290 1.1700 ; + RECT 7.8790 0.3840 7.9290 0.4130 ; + RECT 8.0310 0.4630 8.0810 0.5630 ; + RECT 7.8790 0.4630 7.9290 0.9670 ; + RECT 7.8790 1.1700 7.9290 1.2700 ; + RECT 7.8790 1.0170 7.9290 1.1200 ; + RECT 8.0310 0.3800 8.0810 0.4130 ; + RECT 8.0310 0.3300 8.4070 0.3800 ; + RECT 8.0310 0.3270 8.0810 0.3300 ; + RECT 0.4310 1.2450 3.2170 1.2950 ; + RECT 3.1670 0.8750 3.2570 0.9250 ; + RECT 3.1670 1.2960 3.5210 1.3460 ; + RECT 3.1670 0.9250 3.2170 1.2450 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 3.1670 1.2950 3.2170 1.2960 ; + RECT 3.1510 0.3100 3.5370 0.3600 ; + RECT 3.2070 0.3600 3.2570 0.8750 ; + RECT 0.4310 1.2950 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.2450 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 10.2190 0.5270 10.8170 0.5770 ; + RECT 10.4630 0.5770 10.5130 0.8870 ; + RECT 10.4630 0.1260 10.5130 0.5270 ; + RECT 10.7670 0.5770 10.8170 0.7700 ; + RECT 10.7670 0.3480 10.8170 0.5270 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 7.7670 0.5630 7.8170 1.0200 ; + RECT 6.9510 0.5130 7.8160 0.5440 ; + RECT 6.9510 0.5440 7.8170 0.5630 ; + RECT 6.9670 1.0200 7.8170 1.0700 ; + RECT 6.3360 0.5100 6.6330 0.5600 ; + RECT 6.3360 0.9410 6.6330 0.9910 ; + RECT 6.5830 0.5600 6.6330 0.9410 ; + RECT 6.5830 0.9910 6.6330 1.1200 ; + RECT 6.5830 1.1200 7.0170 1.1700 ; + RECT 6.9670 1.0700 7.0170 1.1200 ; + RECT 12.1890 0.6320 12.7170 0.6820 ; + RECT 12.6670 0.5970 12.7170 0.6320 ; + RECT 12.1890 0.6820 12.2390 1.1990 ; + RECT 9.7630 1.1990 12.2390 1.2490 ; + RECT 9.0950 0.8010 9.8450 0.8510 ; + RECT 9.3990 0.8510 9.4490 1.3010 ; + RECT 9.0950 0.5420 9.1450 0.6500 ; + RECT 8.8510 0.6500 9.1450 0.7000 ; + RECT 9.0950 0.8510 9.1450 1.3010 ; + RECT 9.0950 0.7000 9.1450 0.8010 ; + RECT 3.3190 0.8540 5.5890 0.9040 ; + RECT 3.3190 0.5630 3.6730 0.6130 ; + RECT 3.3190 0.4130 3.3690 0.5630 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 4.2310 0.9040 4.2810 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.1410 ; + RECT 3.3190 0.6130 3.3690 0.8540 ; + RECT 3.8910 0.5080 4.1450 0.5480 ; + RECT 3.6220 0.4980 4.1450 0.5080 ; + RECT 3.6230 0.4070 3.6730 0.4580 ; + RECT 3.6220 0.4580 3.9410 0.4980 ; + RECT 3.6230 0.5080 3.6730 0.5630 ; + RECT 4.7470 0.0880 8.4770 0.1380 ; + RECT 1.8560 0.0880 3.6190 0.1380 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 3.9960 0.3880 4.7530 0.4380 ; + RECT 3.9080 0.3200 4.0460 0.3700 ; + RECT 3.9960 0.3700 4.0460 0.3880 ; + RECT 4.2150 0.4980 4.2970 0.5480 ; + RECT 3.7590 0.5580 3.8420 0.6070 ; + RECT 3.7750 0.6080 4.2810 0.6570 ; + RECT 3.7590 0.6070 4.2810 0.6080 ; + RECT 4.2310 0.5480 4.2810 0.6070 ; + RECT 8.9430 0.4390 9.3730 0.4890 ; + RECT 9.3230 0.4890 9.3730 0.7240 ; + RECT 8.1830 0.4640 8.2330 0.5420 ; + RECT 8.1840 1.2290 8.2340 1.3530 ; + RECT 8.1830 0.5420 9.0090 0.5920 ; + RECT 8.1840 1.1790 9.0450 1.2290 ; + RECT 8.9430 0.4890 8.9930 0.5420 ; + RECT 8.6790 0.5920 8.7290 0.7570 ; + RECT 8.6790 0.7570 9.0450 0.8070 ; + RECT 8.9950 0.8070 9.0450 1.1790 ; + RECT 8.6160 0.4330 8.8570 0.4830 ; + RECT 10.1590 1.0680 10.6080 1.1180 ; + RECT 10.1190 0.4270 10.2090 0.4770 ; + RECT 10.1190 0.6270 10.2090 0.6770 ; + RECT 10.1590 0.1260 10.2090 0.4270 ; + RECT 10.1190 0.4770 10.1690 0.6270 ; + RECT 10.1590 0.6770 10.2090 1.0680 ; + RECT 10.5990 0.2480 11.4410 0.2980 ; + RECT 10.9030 0.1320 11.1370 0.1820 ; + RECT 13.0870 0.6600 13.6450 0.7100 ; + RECT 13.0470 0.4010 13.1370 0.4510 ; + RECT 13.0470 0.7780 13.1370 0.8280 ; + RECT 13.0470 0.2250 13.0970 0.4010 ; + RECT 13.0470 0.8280 13.0970 1.3090 ; + RECT 13.0870 0.4510 13.1370 0.6600 ; + RECT 13.0870 0.7100 13.1370 0.7780 ; + RECT 12.7820 0.6020 13.0370 0.6520 ; + RECT 12.7430 0.4010 12.8320 0.4510 ; + RECT 12.7430 0.7780 12.8320 0.8280 ; + RECT 12.7430 0.1570 12.7930 0.4010 ; + RECT 12.7430 0.8280 12.7930 1.3090 ; + RECT 12.7820 0.4510 12.8320 0.6020 ; + LAYER NWELL ; + RECT -0.1150 1.5430 14.1000 1.7730 ; + RECT -0.1150 0.8170 9.5300 1.5430 ; + RECT 12.4630 0.6790 14.1000 1.5430 ; + RECT 3.0400 0.6870 9.5300 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 3.5810 0.6820 9.0440 0.6870 ; + RECT 3.5810 0.6790 8.4250 0.6820 ; + RECT 8.7540 0.6790 9.0440 0.6820 ; + RECT 9.9920 0.4910 12.0030 1.0830 ; + END +END RDFFSRARX1_HVT + +MACRO RDFFSRARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.288 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2690 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8580 1.5240 1.7910 1.5740 ; + RECT 0.8580 1.5740 1.0300 1.5750 ; + RECT 0.8580 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.1990 0.9420 13.2490 1.5640 ; + RECT 13.5030 0.9420 13.5530 1.5640 ; + RECT 13.1990 0.8920 14.0650 0.9420 ; + RECT 13.7770 0.9420 13.8980 0.9670 ; + RECT 13.7770 0.8570 13.8980 0.8920 ; + RECT 13.1990 0.2130 13.2490 0.4520 ; + RECT 13.5030 0.5020 13.5530 0.5440 ; + RECT 13.5030 0.3410 13.5530 0.4520 ; + RECT 14.0150 0.5020 14.0650 0.8920 ; + RECT 13.1990 0.4520 14.0650 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.5070 1.1190 13.5490 1.1610 ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + RECT 13.5070 1.0270 13.5490 1.0690 ; + RECT 13.5070 1.0270 13.5490 1.0690 ; + RECT 13.5070 1.3950 13.5490 1.4370 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + RECT 13.5070 1.4870 13.5490 1.5290 ; + RECT 13.2030 0.2430 13.2450 0.2850 ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 0.3350 13.2450 0.3770 ; + RECT 13.2030 0.4270 13.2450 0.4690 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.2030 1.4870 13.2450 1.5290 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.5070 0.4630 13.5490 0.5050 ; + RECT 13.5070 0.4630 13.5490 0.5050 ; + RECT 13.2030 1.3950 13.2450 1.4370 ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 13.2030 1.0270 13.2450 1.0690 ; + RECT 13.2030 1.3030 13.2450 1.3450 ; + RECT 13.5070 0.3710 13.5490 0.4130 ; + RECT 13.2030 1.1190 13.2450 1.1610 ; + RECT 13.5070 1.3030 13.5490 1.3450 ; + RECT 13.2030 1.2110 13.2450 1.2530 ; + RECT 13.5070 1.1190 13.5490 1.1610 ; + RECT 13.5070 1.2110 13.5490 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.0810 1.1610 14.2020 1.2710 ; + RECT 13.9590 0.1160 14.0090 0.2890 ; + RECT 13.6550 0.1160 13.7050 0.2890 ; + RECT 13.6550 0.2890 14.1650 0.3390 ; + RECT 13.6550 1.0420 14.1650 1.0920 ; + RECT 13.9590 1.0920 14.0090 1.5640 ; + RECT 13.6550 1.0920 13.7050 1.5640 ; + RECT 14.1150 1.0920 14.1650 1.1610 ; + RECT 14.1150 0.3390 14.1650 1.0420 ; + END + PORT + LAYER CO ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 0.1510 14.0050 0.1930 ; + RECT 13.9630 0.2430 14.0050 0.2850 ; + RECT 13.6590 0.2430 13.7010 0.2850 ; + RECT 13.6590 0.1510 13.7010 0.1930 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 0.1510 13.7010 0.1930 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 0.1510 14.0050 0.1930 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.2880 1.7020 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 4.5180 1.2200 7.6410 1.2700 ; + RECT 8.4870 1.4040 10.7050 1.4540 ; + RECT 12.5910 0.9590 12.6410 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 12.8950 0.9590 12.9450 1.6420 ; + RECT 13.3510 0.9920 13.4010 1.6420 ; + RECT 0.5830 1.3780 0.6330 1.6420 ; + RECT 13.8070 1.1810 13.8570 1.6420 ; + RECT 2.6050 1.4140 2.6550 1.6420 ; + RECT 4.9270 1.2700 4.9770 1.6420 ; + RECT 9.2470 0.9530 9.2970 1.4040 ; + RECT 8.4870 1.2790 8.5370 1.4040 ; + RECT 10.6550 1.4540 10.7050 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 12.8990 1.0040 12.9410 1.0460 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 12.8990 1.1880 12.9410 1.2300 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.8990 1.0040 12.9410 1.0460 ; + RECT 12.8990 1.0960 12.9410 1.1380 ; + RECT 12.8990 1.1880 12.9410 1.2300 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 13.3550 1.3030 13.3970 1.3450 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 12.8990 1.2800 12.9410 1.3220 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 13.3550 1.0270 13.3970 1.0690 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 13.3550 1.1190 13.3970 1.1610 ; + RECT 12.8990 1.2800 12.9410 1.3220 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 9.2510 1.1570 9.2930 1.1990 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 12.8990 1.0960 12.9410 1.1380 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 9.2510 1.0650 9.2930 1.1070 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 5.7550 1.2240 5.7970 1.2660 ; + RECT 13.3550 1.2110 13.3970 1.2530 ; + RECT 7.5790 1.2240 7.6210 1.2660 ; + RECT 8.4910 1.3010 8.5330 1.3430 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 13.3550 1.1190 13.3970 1.1610 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 13.3550 1.3950 13.3970 1.4370 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.1880 12.6370 1.2300 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 13.3550 1.0270 13.3970 1.0690 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 13.3550 1.4870 13.3970 1.5290 ; + RECT 12.5950 1.2800 12.6370 1.3220 ; + RECT 5.2990 1.2240 5.3410 1.2660 ; + RECT 12.5950 1.0960 12.6370 1.1380 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 12.5950 1.0040 12.6370 1.0460 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.2510 1.2490 9.2930 1.2910 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 9.2510 0.9730 9.2930 1.0150 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.2880 0.0300 ; + RECT 13.3510 0.2030 13.6050 0.2530 ; + RECT 12.8550 0.2030 12.9610 0.2530 ; + RECT 1.0390 0.0300 1.0890 0.5650 ; + RECT 0.2790 0.0300 0.3290 0.4270 ; + RECT 1.3430 0.0300 1.3930 0.5650 ; + RECT 0.5830 0.0300 0.6330 0.4280 ; + RECT 11.2230 0.0300 11.2730 0.1980 ; + RECT 10.3110 0.0300 10.3610 0.4260 ; + RECT 12.5910 0.0300 12.6410 0.4230 ; + RECT 11.6790 0.0300 11.7290 0.4260 ; + RECT 13.8070 0.0300 13.8570 0.2260 ; + RECT 9.4230 0.0300 9.4730 0.3280 ; + RECT 8.4710 0.3280 9.4730 0.3780 ; + RECT 13.3510 0.2530 13.4010 0.3950 ; + RECT 13.5550 0.0300 13.6050 0.2030 ; + RECT 12.8550 0.0300 12.9050 0.2030 ; + RECT 4.0990 0.2880 4.9050 0.3050 ; + RECT 4.8550 0.3380 7.6410 0.3550 ; + RECT 4.0990 0.3050 7.6410 0.3380 ; + RECT 1.6470 0.1940 4.1490 0.2440 ; + RECT 1.6470 0.2440 1.6970 0.5650 ; + RECT 1.6470 0.0300 1.6970 0.1940 ; + RECT 4.0990 0.2440 4.1490 0.2880 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 2.7150 0.1980 2.7570 0.2400 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 5.4510 0.3080 5.4930 0.3500 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 11.6830 0.1740 11.7250 0.2160 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 5.7550 0.3090 5.7970 0.3510 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 12.5950 0.3610 12.6370 0.4030 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.4110 0.1980 2.4530 0.2400 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 13.8110 0.1490 13.8530 0.1910 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 13.3550 0.3330 13.3970 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 12.8990 0.2070 12.9410 0.2490 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 4.8430 0.2920 4.8850 0.3340 ; + RECT 11.6830 0.2660 11.7250 0.3080 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 13.3550 0.2410 13.3970 0.2830 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 10.3150 0.2540 10.3570 0.2960 ; + RECT 10.3150 0.1620 10.3570 0.2040 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 9.4030 0.3320 9.4450 0.3740 ; + RECT 8.4910 0.3320 8.5330 0.3740 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 12.8990 0.2070 12.9410 0.2490 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.3550 0.3330 13.3970 0.3750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 11.6830 0.1740 11.7250 0.2160 ; + RECT 11.6830 0.3580 11.7250 0.4000 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 7.5790 0.3090 7.6210 0.3510 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 12.5950 0.1770 12.6370 0.2190 ; + RECT 12.5950 0.2690 12.6370 0.3110 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 4.5390 0.2920 4.5810 0.3340 ; + RECT 11.2270 0.1360 11.2690 0.1780 ; + RECT 13.8110 0.1490 13.8530 0.1910 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 11.6830 0.3580 11.7250 0.4000 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 10.3150 0.3460 10.3570 0.3880 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 10.3150 0.3460 10.3570 0.3880 ; + RECT 5.1470 0.3080 5.1890 0.3500 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8350 0.0880 4.3850 0.1380 ; + RECT 9.1550 0.0880 9.2370 0.1380 ; + RECT 4.1990 0.1380 4.3850 0.1880 ; + RECT 4.3070 0.2320 9.2120 0.2380 ; + RECT 4.3070 0.2100 9.2210 0.2320 ; + RECT 9.1710 0.1380 9.2210 0.1880 ; + RECT 4.1990 0.1880 9.2210 0.2100 ; + END + PORT + LAYER CO ; + RECT 3.8550 0.0920 3.8970 0.1340 ; + RECT 4.3110 0.0980 4.3530 0.1400 ; + RECT 9.1750 0.0920 9.2170 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.8010 0.2490 11.9130 0.3590 ; + RECT 11.5870 0.5270 11.8620 0.5770 ; + RECT 11.8120 0.3590 11.8620 0.5270 ; + END + PORT + LAYER CO ; + RECT 11.6070 0.5310 11.6490 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.9370 0.6630 7.0930 0.8150 ; + RECT 7.0270 0.6130 7.4130 0.6630 ; + RECT 7.6510 0.7810 7.7010 0.9330 ; + RECT 7.3630 0.6630 7.4130 0.7310 ; + RECT 7.3630 0.7310 7.7010 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.3510 0.6170 7.3930 0.6590 ; + RECT 7.1990 0.6170 7.2410 0.6590 ; + RECT 7.0470 0.6170 7.0890 0.6590 ; + RECT 7.6550 0.8710 7.6970 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.2950 0.9420 11.9130 1.0020 ; + RECT 11.8000 0.6900 11.9130 0.9420 ; + RECT 11.6790 0.6270 11.7290 0.9420 ; + END + PORT + LAYER CO ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.6830 0.7430 11.7250 0.7850 ; + RECT 11.6830 0.6510 11.7250 0.6930 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 11.2270 0.9510 11.2690 0.9930 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 10.3150 0.9510 10.3570 0.9930 ; + RECT 11.6830 0.8350 11.7250 0.8770 ; + RECT 11.8350 0.7100 11.8770 0.7520 ; + RECT 11.6830 0.9270 11.7250 0.9690 ; + RECT 11.8350 0.8620 11.8770 0.9040 ; + END + END VDDG + OBS + LAYER CO ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 6.4390 0.6280 6.4810 0.6700 ; + RECT 5.6790 0.6890 5.7210 0.7310 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 6.2870 0.6280 6.3290 0.6700 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 4.2350 1.0860 4.2770 1.1280 ; + RECT 4.2350 1.1780 4.2770 1.2200 ; + RECT 4.3870 1.1120 4.4290 1.1540 ; + RECT 4.3870 1.2040 4.4290 1.2460 ; + RECT 4.0830 1.2040 4.1250 1.2460 ; + RECT 4.0830 1.1120 4.1250 1.1540 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.2040 3.6690 1.2460 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 3.6270 1.1120 3.6690 1.1540 ; + RECT 6.3630 0.5140 6.4050 0.5560 ; + RECT 6.3630 0.9450 6.4050 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 4.7670 0.0920 4.8090 0.1340 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 5.6030 0.5090 5.6450 0.5510 ; + RECT 5.5270 0.8580 5.5690 0.9000 ; + RECT 5.4510 1.1260 5.4930 1.1680 ; + RECT 5.9830 0.6620 6.0250 0.7040 ; + RECT 3.3230 0.9870 3.3650 1.0290 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.3990 0.0920 3.4410 0.1340 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 13.0510 0.8790 13.0930 0.9210 ; + RECT 4.6910 1.0720 4.7330 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 8.2630 0.0920 8.3050 0.1340 ; + RECT 5.8310 0.6620 5.8730 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 7.4270 0.4170 7.4690 0.4590 ; + RECT 4.8430 1.1260 4.8850 1.1680 ; + RECT 4.2350 0.5020 4.2770 0.5440 ; + RECT 4.1590 0.7420 4.2010 0.7840 ; + RECT 5.9070 0.5140 5.9490 0.5560 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 8.6430 0.4370 8.6850 0.4790 ; + RECT 8.0350 0.5010 8.0770 0.5430 ; + RECT 3.3230 1.0790 3.3650 1.1210 ; + RECT 8.7950 0.4370 8.8370 0.4790 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 11.0750 0.8240 11.1170 0.8660 ; + RECT 10.7710 0.3680 10.8130 0.4100 ; + RECT 10.4670 0.1620 10.5090 0.2040 ; + RECT 8.0350 0.4090 8.0770 0.4510 ; + RECT 6.8190 0.9720 6.8610 1.0140 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 6.9710 1.0640 7.0130 1.1060 ; + RECT 7.1230 1.1240 7.1650 1.1660 ; + RECT 11.0750 0.1360 11.1170 0.1780 ; + RECT 7.1230 0.4170 7.1650 0.4590 ; + RECT 3.7790 0.5620 3.8210 0.6040 ; + RECT 11.5310 0.6800 11.5730 0.7220 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 10.4670 0.3460 10.5090 0.3880 ; + RECT 7.4270 1.1240 7.4690 1.1660 ; + RECT 13.0510 1.2470 13.0930 1.2890 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 7.2750 1.0240 7.3170 1.0660 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.3390 0.9710 8.3810 1.0130 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 5.9070 1.0620 5.9490 1.1040 ; + RECT 3.4750 0.3140 3.5170 0.3560 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 3.3230 1.0790 3.3650 1.1210 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 13.0510 1.2470 13.0930 1.2890 ; + RECT 10.9230 0.7130 10.9650 0.7550 ; + RECT 11.3790 0.7130 11.4210 0.7550 ; + RECT 13.0510 0.9710 13.0930 1.0130 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 10.7710 0.6770 10.8130 0.7190 ; + RECT 7.8830 1.0240 7.9250 1.0660 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 12.9750 0.0970 13.0170 0.1390 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 4.9950 0.5250 5.0370 0.5670 ; + RECT 13.7350 0.6640 13.7770 0.7060 ; + RECT 9.4030 1.2390 9.4450 1.2810 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 8.7950 1.1830 8.8370 1.2250 ; + RECT 13.0510 1.1550 13.0930 1.1970 ; + RECT 8.9470 1.2910 8.9890 1.3330 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.4670 0.6380 10.5090 0.6800 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 10.4670 0.6380 10.5090 0.6800 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 10.1630 0.1620 10.2050 0.2040 ; + RECT 10.6190 0.2520 10.6610 0.2940 ; + RECT 10.1630 0.2540 10.2050 0.2960 ; + RECT 10.5430 1.5240 10.5850 1.5660 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 11.1510 1.0610 11.1930 1.1030 ; + RECT 10.4670 0.8220 10.5090 0.8640 ; + RECT 3.1710 0.3140 3.2130 0.3560 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 13.8870 0.6640 13.9290 0.7060 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 13.4310 0.0970 13.4730 0.1390 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 10.4670 0.7300 10.5090 0.7720 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 8.6430 1.2910 8.6850 1.3330 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 12.7470 0.2690 12.7890 0.3110 ; + RECT 4.7670 0.6330 4.8090 0.6750 ; + RECT 9.0990 0.5620 9.1410 0.6040 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 6.8190 0.5250 6.8610 0.5670 ; + RECT 4.6910 0.3920 4.7330 0.4340 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 8.1110 1.4240 8.1530 1.4660 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.5030 0.8510 7.5450 0.8930 ; + RECT 7.3510 0.9240 7.3930 0.9660 ; + RECT 10.2390 0.5310 10.2810 0.5730 ; + RECT 7.0470 0.9240 7.0890 0.9660 ; + RECT 4.3870 1.2960 4.4290 1.3380 ; + RECT 13.0510 1.1550 13.0930 1.1970 ; + RECT 10.4670 0.3460 10.5090 0.3880 ; + RECT 7.2750 0.5170 7.3170 0.5590 ; + RECT 13.0510 0.2490 13.0930 0.2910 ; + RECT 13.0510 1.0630 13.0930 1.1050 ; + RECT 13.0510 1.0630 13.0930 1.1050 ; + RECT 7.1990 0.9240 7.2410 0.9660 ; + RECT 10.4670 0.2540 10.5090 0.2960 ; + RECT 11.3030 0.4660 11.3450 0.5080 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 13.0510 0.9710 13.0930 1.0130 ; + RECT 7.8830 1.2080 7.9250 1.2500 ; + RECT 8.2630 1.4240 8.3050 1.4660 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 9.4030 0.9630 9.4450 1.0050 ; + RECT 6.9710 0.5170 7.0130 0.5590 ; + RECT 13.0510 0.3410 13.0930 0.3830 ; + RECT 7.8830 0.4170 7.9250 0.4590 ; + RECT 4.4630 0.9710 4.5050 1.0130 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 10.8470 1.2030 10.8890 1.2450 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 10.5430 1.0610 10.5850 1.1030 ; + RECT 12.9750 0.6060 13.0170 0.6480 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 8.1110 0.7710 8.1530 0.8130 ; + RECT 8.1870 1.1990 8.2290 1.2410 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 8.1870 1.2910 8.2290 1.3330 ; + RECT 9.7830 0.8050 9.8250 0.8470 ; + RECT 12.7470 1.2470 12.7890 1.2890 ; + RECT 4.6150 0.9710 4.6570 1.0130 ; + RECT 3.9310 0.3240 3.9730 0.3660 ; + RECT 13.2790 0.0970 13.3210 0.1390 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 9.0990 0.9630 9.1410 1.0050 ; + RECT 12.7470 1.0630 12.7890 1.1050 ; + RECT 5.1470 1.1260 5.1890 1.1680 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 10.9230 0.1360 10.9650 0.1780 ; + RECT 12.7470 1.1550 12.7890 1.1970 ; + RECT 4.6150 0.6330 4.6570 0.6750 ; + RECT 5.2990 0.5090 5.3410 0.5510 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 8.5670 0.6640 8.6090 0.7060 ; + RECT 8.8710 0.6540 8.9130 0.6960 ; + RECT 3.5510 0.0920 3.5930 0.1340 ; + RECT 4.4630 0.6330 4.5050 0.6750 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 12.7470 0.8790 12.7890 0.9210 ; + RECT 5.6790 0.6890 5.7210 0.7310 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 10.6190 0.8240 10.6610 0.8660 ; + RECT 8.9470 0.5460 8.9890 0.5880 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 9.3270 0.6640 9.3690 0.7060 ; + RECT 12.7470 0.1770 12.7890 0.2190 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 3.0950 0.6860 3.1370 0.7280 ; + RECT 4.0830 1.2960 4.1250 1.3380 ; + RECT 12.7470 0.3610 12.7890 0.4030 ; + RECT 8.1110 1.4240 8.1530 1.4660 ; + RECT 8.4150 0.7650 8.4570 0.8070 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 5.6790 1.4240 5.7210 1.4660 ; + RECT 8.5670 0.9710 8.6090 1.0130 ; + RECT 8.0350 0.9710 8.0770 1.0130 ; + RECT 5.2230 0.8580 5.2650 0.9000 ; + RECT 4.4630 1.4280 4.5050 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.0990 1.1470 9.1410 1.1890 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 8.3390 0.3340 8.3810 0.3760 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 3.3230 0.9870 3.3650 1.0290 ; + RECT 10.1630 0.7430 10.2050 0.7850 ; + RECT 11.3790 0.2520 11.4210 0.2940 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 10.1630 0.3460 10.2050 0.3880 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 9.4030 1.0550 9.4450 1.0970 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 11.6070 1.0610 11.6490 1.1030 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 7.8070 1.5240 7.8490 1.5660 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 9.4030 1.1470 9.4450 1.1890 ; + RECT 10.4670 0.8220 10.5090 0.8640 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 7.6550 1.5240 7.6970 1.5660 ; + RECT 4.3870 0.3920 4.4290 0.4340 ; + RECT 9.0990 1.2390 9.1410 1.2810 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 8.1870 0.5010 8.2290 0.5430 ; + RECT 4.0830 0.5020 4.1250 0.5440 ; + RECT 7.8830 1.1160 7.9250 1.1580 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 9.0990 1.0550 9.1410 1.0970 ; + RECT 7.5030 0.6170 7.5450 0.6590 ; + RECT 13.0510 0.8790 13.0930 0.9210 ; + RECT 12.7470 0.9710 12.7890 1.0130 ; + LAYER PO ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.0530 0.8920 7.0830 1.6060 ; + RECT 8.2690 0.7710 8.2990 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 7.2050 0.8920 7.2350 1.6060 ; + RECT 13.5890 0.0710 13.6190 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 7.3570 0.8920 7.3870 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 8.2690 0.0660 8.2990 0.6470 ; + RECT 7.3570 0.0660 7.3870 0.6910 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6840 ; + RECT 4.6210 0.9390 4.6510 1.6060 ; + RECT 8.1170 0.7540 8.1470 1.6060 ; + RECT 13.2850 0.0690 13.3150 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 13.1330 0.0710 13.1630 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 4.6210 0.0660 4.6510 0.6950 ; + RECT 4.4690 0.0660 4.4990 0.6950 ; + RECT 7.2050 0.0660 7.2350 0.6910 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 13.8930 0.0690 13.9230 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 13.7410 0.0690 13.7710 1.6060 ; + RECT 13.4370 0.0690 13.4670 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6910 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + RECT 9.6370 0.0670 9.6670 1.6050 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 7.6610 0.8390 7.6910 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.7280 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 8.5730 0.9390 8.6030 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6470 ; + RECT 3.2530 0.0660 3.2830 0.6840 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 14.0450 0.0710 14.0750 1.6060 ; + RECT 14.1970 0.0690 14.2270 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + LAYER M1 ; + RECT 12.7820 0.4510 12.8320 0.6020 ; + RECT 12.7820 0.6520 12.8320 0.7780 ; + RECT 12.9550 0.0930 13.4990 0.1430 ; + RECT 4.2150 0.4980 4.2970 0.5480 ; + RECT 3.7590 0.5580 3.8420 0.6070 ; + RECT 3.7750 0.6080 4.2810 0.6570 ; + RECT 3.7590 0.6070 4.2810 0.6080 ; + RECT 4.2310 0.5480 4.2810 0.6070 ; + RECT 3.6780 0.7380 5.0420 0.7880 ; + RECT 4.8230 1.1200 5.8370 1.1700 ; + RECT 4.9910 0.5050 5.8370 0.5550 ; + RECT 5.7870 0.6580 6.0520 0.7080 ; + RECT 5.7870 0.7080 5.8370 1.1200 ; + RECT 4.9910 0.5550 5.0410 0.7380 ; + RECT 5.7870 0.5550 5.8370 0.6580 ; + RECT 4.4430 0.6290 4.8290 0.6790 ; + RECT 4.4430 0.9680 5.7330 1.0180 ; + RECT 5.6750 0.6690 5.7250 0.9680 ; + RECT 4.3830 1.0680 4.7530 1.1180 ; + RECT 4.0790 1.0920 4.1290 1.2920 ; + RECT 3.9270 1.0920 3.9770 1.2920 ; + RECT 3.6230 1.0920 3.6730 1.2920 ; + RECT 4.3830 1.1180 4.4330 1.2920 ; + RECT 3.6070 1.2920 4.4490 1.3420 ; + RECT 8.1070 0.6600 8.6290 0.7100 ; + RECT 8.1070 0.7100 8.1570 0.8410 ; + RECT 6.8150 0.9200 7.5490 0.9700 ; + RECT 7.4990 0.8310 7.5490 0.9200 ; + RECT 6.8150 0.9700 6.8650 1.0340 ; + RECT 6.8150 0.5050 6.8650 0.9200 ; + RECT 6.1680 0.6240 6.5010 0.6740 ; + RECT 5.9030 1.1080 5.9530 1.1240 ; + RECT 5.9030 1.0420 5.9530 1.0580 ; + RECT 6.1680 0.6740 6.2180 1.0580 ; + RECT 5.9030 1.0580 6.2180 1.1080 ; + RECT 6.1680 0.5600 6.2180 0.6240 ; + RECT 5.8870 0.5100 6.2180 0.5600 ; + RECT 7.4820 0.6130 7.7170 0.6630 ; + RECT 8.4940 0.9670 8.6290 1.0170 ; + RECT 8.3950 0.7610 8.5440 0.8110 ; + RECT 8.4940 0.8110 8.5440 0.9670 ; + RECT 10.5990 0.8200 11.1470 0.8700 ; + RECT 10.9030 0.7090 11.4410 0.7590 ; + RECT 11.1310 1.0570 11.6690 1.1070 ; + RECT 3.5310 1.4240 4.5250 1.4740 ; + RECT 3.0750 1.5240 4.8290 1.5740 ; + RECT 5.6590 1.4200 8.3250 1.4700 ; + RECT 7.7850 1.5200 10.6050 1.5700 ; + RECT 6.7230 1.5200 7.7170 1.5700 ; + RECT 8.6160 1.2870 9.0090 1.3370 ; + RECT 7.1030 0.4130 8.0810 0.4630 ; + RECT 7.8790 0.9670 8.4020 1.0170 ; + RECT 7.1030 1.1200 7.9290 1.1700 ; + RECT 7.8790 0.3840 7.9290 0.4130 ; + RECT 8.0310 0.4630 8.0810 0.5630 ; + RECT 7.8790 0.4630 7.9290 0.9670 ; + RECT 7.8790 1.1700 7.9290 1.2700 ; + RECT 7.8790 1.0170 7.9290 1.1200 ; + RECT 8.0310 0.3800 8.0810 0.4130 ; + RECT 8.0310 0.3300 8.4070 0.3800 ; + RECT 8.0310 0.3270 8.0810 0.3300 ; + RECT 0.4310 1.2450 3.2170 1.2950 ; + RECT 3.1670 0.8750 3.2570 0.9250 ; + RECT 3.1670 1.2960 3.5210 1.3460 ; + RECT 3.1670 0.9250 3.2170 1.2450 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 3.1670 1.2950 3.2170 1.2960 ; + RECT 3.1510 0.3100 3.5370 0.3600 ; + RECT 3.2070 0.3600 3.2570 0.8750 ; + RECT 0.4310 1.2950 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.2450 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 10.2190 0.5270 10.8170 0.5770 ; + RECT 10.4630 0.5770 10.5130 0.8870 ; + RECT 10.4630 0.1260 10.5130 0.5270 ; + RECT 10.7670 0.5770 10.8170 0.7700 ; + RECT 10.7670 0.3480 10.8170 0.5270 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 7.7670 0.5630 7.8170 1.0200 ; + RECT 6.9510 0.5130 7.8160 0.5440 ; + RECT 6.9510 0.5440 7.8170 0.5630 ; + RECT 6.9670 1.0200 7.8170 1.0700 ; + RECT 6.3360 0.5100 6.6330 0.5600 ; + RECT 6.3360 0.9410 6.6330 0.9910 ; + RECT 6.5830 0.5600 6.6330 0.9410 ; + RECT 6.5830 0.9910 6.6330 1.1200 ; + RECT 6.5830 1.1200 7.0170 1.1700 ; + RECT 6.9670 1.0700 7.0170 1.1200 ; + RECT 12.1890 0.6320 12.7170 0.6820 ; + RECT 12.6670 0.5970 12.7170 0.6320 ; + RECT 12.1890 0.6820 12.2390 1.1990 ; + RECT 9.7630 1.1990 12.2390 1.2490 ; + RECT 9.0950 0.8010 9.8450 0.8510 ; + RECT 9.3990 0.8510 9.4490 1.3010 ; + RECT 9.0950 0.5420 9.1450 0.6500 ; + RECT 8.8510 0.6500 9.1450 0.7000 ; + RECT 9.0950 0.8510 9.1450 1.3010 ; + RECT 9.0950 0.7000 9.1450 0.8010 ; + RECT 3.3190 0.8540 5.5890 0.9040 ; + RECT 3.3190 0.5630 3.6730 0.6130 ; + RECT 3.3190 0.4130 3.3690 0.5630 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 4.2310 0.9040 4.2810 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.1410 ; + RECT 3.3190 0.6130 3.3690 0.8540 ; + RECT 3.6220 0.4580 3.9410 0.4980 ; + RECT 3.8910 0.5080 4.1450 0.5480 ; + RECT 3.6220 0.4980 4.1450 0.5080 ; + RECT 3.6230 0.4070 3.6730 0.4580 ; + RECT 3.6230 0.5080 3.6730 0.5630 ; + RECT 4.7470 0.0880 8.4770 0.1380 ; + RECT 1.8560 0.0880 3.6190 0.1380 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 3.9960 0.3880 4.7530 0.4380 ; + RECT 3.9080 0.3200 4.0460 0.3700 ; + RECT 3.9960 0.3700 4.0460 0.3880 ; + RECT 8.9430 0.4390 9.3730 0.4890 ; + RECT 9.3230 0.4890 9.3730 0.7260 ; + RECT 8.1830 0.4640 8.2330 0.5420 ; + RECT 8.1840 1.2290 8.2340 1.3530 ; + RECT 8.1830 0.5420 9.0090 0.5920 ; + RECT 8.1840 1.1790 9.0450 1.2290 ; + RECT 8.9430 0.4890 8.9930 0.5420 ; + RECT 8.6790 0.5920 8.7290 0.7570 ; + RECT 8.6790 0.7570 9.0450 0.8070 ; + RECT 8.9950 0.8070 9.0450 1.1790 ; + RECT 8.6160 0.4330 8.8570 0.4830 ; + RECT 10.9030 0.1320 11.1370 0.1820 ; + RECT 10.1590 1.0570 10.6080 1.1070 ; + RECT 10.1190 0.4270 10.2090 0.4770 ; + RECT 10.1190 0.6270 10.2090 0.6770 ; + RECT 10.1590 0.1260 10.2090 0.4270 ; + RECT 10.1190 0.4770 10.1690 0.6270 ; + RECT 10.1590 0.6770 10.2090 1.0570 ; + RECT 10.5990 0.2480 11.4410 0.2980 ; + RECT 11.4870 0.6270 11.5770 0.6770 ; + RECT 11.2830 0.4770 11.5370 0.5120 ; + RECT 11.2830 0.4620 11.5770 0.4770 ; + RECT 11.5270 0.1260 11.5770 0.4270 ; + RECT 11.5270 0.6770 11.5770 0.7680 ; + RECT 11.4870 0.5120 11.5370 0.6270 ; + RECT 11.4870 0.4270 11.5770 0.4620 ; + RECT 13.0870 0.6600 13.9490 0.7100 ; + RECT 13.0470 0.4010 13.1370 0.4510 ; + RECT 13.0470 0.7780 13.1370 0.8280 ; + RECT 13.0470 0.2250 13.0970 0.4010 ; + RECT 13.0470 0.8280 13.0970 1.3090 ; + RECT 13.0870 0.4510 13.1370 0.6600 ; + RECT 13.0870 0.7100 13.1370 0.7780 ; + RECT 12.7820 0.6020 13.0370 0.6520 ; + RECT 12.7430 0.4010 12.8320 0.4510 ; + RECT 12.7430 0.7780 12.8320 0.8280 ; + RECT 12.7430 0.1570 12.7930 0.4010 ; + RECT 12.7430 0.8280 12.7930 1.3090 ; + LAYER NWELL ; + RECT -0.1150 1.5430 14.4040 1.7730 ; + RECT -0.1150 0.8170 9.5300 1.5430 ; + RECT 12.4630 0.6790 14.4040 1.5430 ; + RECT 3.0400 0.7030 9.5300 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 3.5720 0.6890 9.5300 0.7030 ; + RECT 3.5720 0.6790 8.4360 0.6890 ; + RECT 9.9920 0.4910 12.0030 1.0830 ; + END +END RDFFSRARX2_HVT + +MACRO RDFFSRASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.44 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2690 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.5240 1.7910 1.5740 ; + RECT 0.8570 1.5740 1.0300 1.5750 ; + RECT 0.8570 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.6550 0.8920 14.2020 0.9420 ; + RECT 14.0810 0.8570 14.2020 0.8920 ; + RECT 14.0810 0.9420 14.2020 0.9670 ; + RECT 13.6550 0.9420 13.7050 1.5640 ; + RECT 13.6550 0.2130 13.7050 0.4520 ; + RECT 14.1510 0.5020 14.2010 0.8570 ; + RECT 13.6550 0.4520 14.2010 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.6590 0.3350 13.7010 0.3770 ; + RECT 13.6590 0.3350 13.7010 0.3770 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.6590 0.4270 13.7010 0.4690 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.6590 1.0270 13.7010 1.0690 ; + RECT 13.6590 1.1190 13.7010 1.1610 ; + RECT 13.6590 1.0270 13.7010 1.0690 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 0.2430 13.7010 0.2850 ; + RECT 13.6590 1.1190 13.7010 1.1610 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.2330 1.1610 14.3540 1.2710 ; + RECT 13.9590 0.1160 14.0090 0.2890 ; + RECT 13.9590 0.2890 14.3170 0.3390 ; + RECT 13.9590 1.0420 14.3170 1.0920 ; + RECT 13.9590 1.0920 14.0090 1.5640 ; + RECT 14.2670 1.0920 14.3170 1.1610 ; + RECT 14.2670 0.3390 14.3170 1.0420 ; + END + PORT + LAYER CO ; + RECT 13.9630 0.2430 14.0050 0.2850 ; + RECT 13.9630 0.1510 14.0050 0.1930 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 0.1510 14.0050 0.1930 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.4400 1.7020 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 4.5180 1.2200 8.0970 1.2700 ; + RECT 8.9430 1.4040 11.1610 1.4540 ; + RECT 13.0470 0.9590 13.0970 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 13.3510 0.9590 13.4010 1.6420 ; + RECT 13.8070 0.9920 13.8570 1.6420 ; + RECT 0.5830 1.3780 0.6330 1.6420 ; + RECT 14.1110 1.1810 14.1610 1.6420 ; + RECT 2.6050 1.4140 2.6550 1.6420 ; + RECT 4.9270 1.2700 4.9770 1.6420 ; + RECT 9.7030 0.9530 9.7530 1.4040 ; + RECT 8.9430 1.2790 8.9930 1.4040 ; + RECT 11.1110 1.4540 11.1610 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 6.9710 1.2240 7.0130 1.2660 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 13.8110 1.0270 13.8530 1.0690 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 13.8110 1.1190 13.8530 1.1610 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 13.0510 1.2800 13.0930 1.3220 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 9.7070 1.1570 9.7490 1.1990 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.7070 1.0650 9.7490 1.1070 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 9.7070 1.1570 9.7490 1.1990 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 9.7070 1.2490 9.7490 1.2910 ; + RECT 9.7070 1.0650 9.7490 1.1070 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 13.0510 1.0960 13.0930 1.1380 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 13.8110 1.0270 13.8530 1.0690 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 13.0510 1.2800 13.0930 1.3220 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 13.0510 1.0960 13.0930 1.1380 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 13.0510 1.0040 13.0930 1.0460 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 8.9470 1.3010 8.9890 1.3430 ; + RECT 13.0510 1.0040 13.0930 1.0460 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 13.8110 1.1190 13.8530 1.1610 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 9.7070 0.9730 9.7490 1.0150 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.7070 1.2490 9.7490 1.2910 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 13.0510 1.1880 13.0930 1.2300 ; + RECT 13.0510 1.1880 13.0930 1.2300 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.4400 0.0300 ; + RECT 13.3110 0.2030 13.4170 0.2530 ; + RECT 13.8070 0.2030 13.9030 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4270 ; + RECT 0.5830 0.0300 0.6330 0.4280 ; + RECT 1.0390 0.0300 1.0890 0.5650 ; + RECT 1.3430 0.0300 1.3930 0.5650 ; + RECT 10.7670 0.0300 10.8170 0.4260 ; + RECT 12.1350 0.0300 12.1850 0.4260 ; + RECT 13.0470 0.0300 13.0970 0.4230 ; + RECT 11.6790 0.0300 11.7290 0.1980 ; + RECT 14.1110 0.0300 14.1610 0.2260 ; + RECT 9.8790 0.0300 9.9290 0.3280 ; + RECT 8.9270 0.3750 9.9040 0.3780 ; + RECT 8.9270 0.3280 9.9290 0.3750 ; + RECT 13.3110 0.0300 13.3610 0.2030 ; + RECT 13.8070 0.2530 13.8570 0.3950 ; + RECT 13.8530 0.0300 13.9030 0.2030 ; + RECT 4.0990 0.2980 4.9050 0.3050 ; + RECT 4.8550 0.3480 8.0970 0.3550 ; + RECT 4.0990 0.3050 8.0970 0.3480 ; + RECT 1.6470 0.2200 4.1490 0.2700 ; + RECT 1.6470 0.2700 1.6970 0.5650 ; + RECT 1.6470 0.0300 1.6970 0.2200 ; + RECT 4.0990 0.2700 4.1490 0.2980 ; + END + PORT + LAYER CO ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.1070 0.2240 2.1490 0.2660 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.7550 0.3080 5.7970 0.3500 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.4110 0.2240 2.4530 0.2660 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 6.9710 0.3090 7.0130 0.3510 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 10.7710 0.2540 10.8130 0.2960 ; + RECT 10.7710 0.1620 10.8130 0.2040 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 13.3550 0.2070 13.3970 0.2490 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 4.8430 0.3020 4.8850 0.3440 ; + RECT 12.1390 0.2660 12.1810 0.3080 ; + RECT 13.8110 0.2410 13.8530 0.2830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 13.3550 0.2070 13.3970 0.2490 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 13.8110 0.3330 13.8530 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 12.1390 0.1740 12.1810 0.2160 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 9.8590 0.3320 9.9010 0.3740 ; + RECT 8.9470 0.3320 8.9890 0.3740 ; + RECT 4.5390 0.3020 4.5810 0.3440 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 14.1150 0.1490 14.1570 0.1910 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 12.1390 0.1740 12.1810 0.2160 ; + RECT 12.1390 0.3580 12.1810 0.4000 ; + RECT 14.1150 0.1490 14.1570 0.1910 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 13.8110 0.3330 13.8530 0.3750 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 2.7150 0.2240 2.7570 0.2660 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 12.1390 0.3580 12.1810 0.4000 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 8.0350 0.3090 8.0770 0.3510 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 10.7710 0.3460 10.8130 0.3880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 10.7710 0.3460 10.8130 0.3880 ; + RECT 5.2990 0.3080 5.3410 0.3500 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.0670 1.3200 8.5370 1.3700 ; + RECT 8.4870 1.0790 9.3270 1.1270 ; + RECT 9.1710 0.9510 9.2210 1.0090 ; + RECT 9.1710 1.0090 9.3270 1.0790 ; + RECT 5.0670 1.3700 5.1170 1.4550 ; + RECT 5.5230 1.3700 5.5730 1.4550 ; + RECT 5.9790 1.3700 6.0290 1.4550 ; + RECT 8.4870 1.1270 9.2210 1.1290 ; + RECT 8.4870 1.1290 8.5370 1.3200 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.3930 6.0250 1.4350 ; + RECT 5.0710 1.3930 5.1130 1.4350 ; + RECT 5.5270 1.3930 5.5690 1.4350 ; + RECT 9.1750 0.9710 9.2170 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8350 0.0880 4.3570 0.1380 ; + RECT 9.6110 0.0980 9.6930 0.1480 ; + RECT 4.1990 0.1380 4.3570 0.1980 ; + RECT 4.3070 0.2100 9.6770 0.2480 ; + RECT 9.6270 0.1480 9.6770 0.1980 ; + RECT 4.1990 0.1980 9.6770 0.2100 ; + END + PORT + LAYER CO ; + RECT 3.8550 0.0920 3.8970 0.1340 ; + RECT 4.3110 0.1120 4.3530 0.1540 ; + RECT 9.6310 0.1020 9.6730 0.1440 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2560 0.2490 12.3690 0.3590 ; + RECT 12.0430 0.5170 12.3180 0.5670 ; + RECT 12.2680 0.3590 12.3180 0.5170 ; + END + PORT + LAYER CO ; + RECT 12.0630 0.5210 12.1050 0.5630 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3930 0.6630 7.5490 0.8150 ; + RECT 7.4830 0.6130 7.8690 0.6630 ; + RECT 8.1070 0.7810 8.1570 0.9330 ; + RECT 7.8190 0.6630 7.8690 0.7310 ; + RECT 7.8190 0.7310 8.1570 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.8070 0.6170 7.8490 0.6590 ; + RECT 7.5030 0.6170 7.5450 0.6590 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.7510 0.9420 12.3690 1.0020 ; + RECT 12.2560 0.6900 12.3690 0.9420 ; + RECT 12.1350 0.6270 12.1850 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.1390 0.6510 12.1810 0.6930 ; + RECT 12.1390 0.9270 12.1810 0.9690 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 12.1390 0.6510 12.1810 0.6930 ; + RECT 11.6830 0.9510 11.7250 0.9930 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 12.1390 0.7430 12.1810 0.7850 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 12.2910 0.7100 12.3330 0.7520 ; + RECT 12.1390 0.9270 12.1810 0.9690 ; + RECT 12.2910 0.8620 12.3330 0.9040 ; + RECT 10.7710 0.9510 10.8130 0.9930 ; + END + END VDDG + OBS + LAYER CO ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 6.8950 0.6280 6.9370 0.6700 ; + RECT 6.1350 0.6890 6.1770 0.7310 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 6.7430 0.6280 6.7850 0.6700 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 4.7670 0.0940 4.8090 0.1360 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 5.9070 0.4090 5.9490 0.4510 ; + RECT 6.0590 0.5090 6.1010 0.5510 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 5.7550 1.1260 5.7970 1.1680 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 4.6910 1.0720 4.7330 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 8.7190 0.0940 8.7610 0.1360 ; + RECT 6.2870 0.6620 6.3290 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 7.8830 0.4170 7.9250 0.4590 ; + RECT 4.8430 1.1260 4.8850 1.1680 ; + RECT 4.2350 0.5020 4.2770 0.5440 ; + RECT 4.1590 0.7420 4.2010 0.7840 ; + RECT 6.3630 0.5140 6.4050 0.5560 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 3.7790 0.5620 3.8210 0.6040 ; + RECT 11.9870 0.6800 12.0290 0.7220 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 7.8830 1.1240 7.9250 1.1660 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 4.2350 1.0860 4.2770 1.1280 ; + RECT 4.2350 1.1780 4.2770 1.2200 ; + RECT 4.3870 1.1120 4.4290 1.1540 ; + RECT 4.3870 1.2040 4.4290 1.2460 ; + RECT 4.0830 1.2040 4.1250 1.2460 ; + RECT 4.0830 1.1120 4.1250 1.1540 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.2040 3.6690 1.2460 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 3.6270 1.1120 3.6690 1.1540 ; + RECT 6.8190 0.5140 6.8610 0.5560 ; + RECT 6.8190 0.9450 6.8610 0.9870 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 3.0950 0.7410 3.1370 0.7830 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 8.4910 0.5010 8.5330 0.5430 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 11.5310 0.8240 11.5730 0.8660 ; + RECT 11.2270 0.3680 11.2690 0.4100 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 6.4390 0.6620 6.4810 0.7040 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 10.9230 0.6380 10.9650 0.6800 ; + RECT 10.2390 1.2030 10.2810 1.2450 ; + RECT 10.9230 0.6380 10.9650 0.6800 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.1620 10.6610 0.2040 ; + RECT 11.0750 0.2520 11.1170 0.2940 ; + RECT 10.6190 0.2540 10.6610 0.2960 ; + RECT 10.9990 1.5380 11.0410 1.5800 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 11.6070 1.0610 11.6490 1.1030 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.7950 0.9710 8.8370 1.0130 ; + RECT 6.3630 1.0620 6.4050 1.1040 ; + RECT 3.4750 0.3600 3.5170 0.4020 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 4.9950 0.4090 5.0370 0.4510 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 11.3790 0.7130 11.4210 0.7550 ; + RECT 11.8350 0.7130 11.8770 0.7550 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + RECT 11.2270 0.6770 11.2690 0.7190 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 13.5070 0.2490 13.5490 0.2910 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 9.8590 1.2390 9.9010 1.2810 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 11.9870 0.3610 12.0290 0.4030 ; + RECT 13.4310 0.0970 13.4730 0.1390 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 14.0390 0.6640 14.0810 0.7060 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 5.4510 0.4090 5.4930 0.4510 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.3990 0.0980 3.4410 0.1400 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 10.9230 0.8220 10.9650 0.8640 ; + RECT 3.1710 0.3600 3.2130 0.4020 ; + RECT 3.3230 0.5810 3.3650 0.6230 ; + RECT 3.3230 0.4890 3.3650 0.5310 ; + RECT 3.2470 0.0980 3.2890 0.1400 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 10.9230 0.7300 10.9650 0.7720 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 9.0990 1.2910 9.1410 1.3330 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 13.2030 0.2690 13.2450 0.3110 ; + RECT 4.7670 0.6330 4.8090 0.6750 ; + RECT 9.5550 0.5580 9.5970 0.6000 ; + RECT 13.2030 1.1550 13.2450 1.1970 ; + RECT 7.2750 0.5250 7.3170 0.5670 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 4.6910 0.4020 4.7330 0.4440 ; + RECT 13.2030 0.8790 13.2450 0.9210 ; + RECT 8.5670 1.4380 8.6090 1.4800 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.9590 0.8510 8.0010 0.8930 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 7.8070 0.9240 7.8490 0.9660 ; + RECT 10.6950 0.5310 10.7370 0.5730 ; + RECT 7.5030 0.9240 7.5450 0.9660 ; + RECT 4.3870 1.2960 4.4290 1.3380 ; + RECT 13.2030 1.1550 13.2450 1.1970 ; + RECT 4.6150 0.6330 4.6570 0.6750 ; + RECT 5.6030 0.5090 5.6450 0.5510 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 9.0230 0.7160 9.0650 0.7580 ; + RECT 9.3270 0.7210 9.3690 0.7630 ; + RECT 3.5510 0.0980 3.5930 0.1400 ; + RECT 4.4630 0.6330 4.5050 0.6750 ; + RECT 8.5670 0.0940 8.6090 0.1360 ; + RECT 8.8710 0.0940 8.9130 0.1360 ; + RECT 13.2030 0.8790 13.2450 0.9210 ; + RECT 6.1350 0.6890 6.1770 0.7310 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 7.6550 0.9240 7.6970 0.9660 ; + RECT 10.9230 0.2540 10.9650 0.2960 ; + RECT 11.7590 0.4660 11.8010 0.5080 ; + RECT 11.9870 0.3610 12.0290 0.4030 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 10.9230 0.1620 10.9650 0.2040 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 7.2750 0.9720 7.3170 1.0140 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 7.4270 1.0640 7.4690 1.1060 ; + RECT 7.5790 1.1240 7.6210 1.1660 ; + RECT 11.5310 0.1360 11.5730 0.1780 ; + RECT 7.5790 0.4170 7.6210 0.4590 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 8.6430 1.2910 8.6850 1.3330 ; + RECT 10.2390 0.8050 10.2810 0.8470 ; + RECT 13.2030 1.2470 13.2450 1.2890 ; + RECT 4.6150 0.9710 4.6570 1.0130 ; + RECT 3.9310 0.3240 3.9730 0.3660 ; + RECT 13.7350 0.0970 13.7770 0.1390 ; + RECT 13.2030 1.0630 13.2450 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 9.5550 0.9630 9.5970 1.0050 ; + RECT 13.2030 1.0630 13.2450 1.1050 ; + RECT 5.2990 1.1260 5.3410 1.1680 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 13.2030 0.9710 13.2450 1.0130 ; + RECT 9.8590 1.0550 9.9010 1.0970 ; + RECT 10.6190 0.3460 10.6610 0.3880 ; + RECT 11.3790 0.1360 11.4210 0.1780 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 11.8350 0.2520 11.8770 0.2940 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 10.6190 0.3460 10.6610 0.3880 ; + RECT 9.8590 1.0550 9.9010 1.0970 ; + RECT 9.5550 1.1470 9.5970 1.1890 ; + RECT 11.0750 0.8240 11.1170 0.8660 ; + RECT 9.4030 0.4340 9.4450 0.4760 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 9.7830 0.6890 9.8250 0.7310 ; + RECT 13.2030 0.1770 13.2450 0.2190 ; + RECT 13.1270 0.6170 13.1690 0.6590 ; + RECT 4.0830 1.2960 4.1250 1.3380 ; + RECT 13.2030 0.3610 13.2450 0.4030 ; + RECT 8.5670 1.4380 8.6090 1.4800 ; + RECT 8.8710 0.8180 8.9130 0.8600 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 6.1350 1.4380 6.1770 1.4800 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 9.0230 0.9710 9.0650 1.0130 ; + RECT 8.4910 0.9710 8.5330 1.0130 ; + RECT 5.3750 0.8580 5.4170 0.9000 ; + RECT 4.4630 1.4280 4.5050 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.8590 1.2390 9.9010 1.2810 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 9.2510 1.1830 9.2930 1.2250 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 9.4030 1.2910 9.4450 1.3330 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 13.2030 0.9710 13.2450 1.0130 ; + RECT 8.3390 1.2080 8.3810 1.2500 ; + RECT 8.7190 1.4380 8.7610 1.4800 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 9.8590 0.9630 9.9010 1.0050 ; + RECT 7.4270 0.5170 7.4690 0.5590 ; + RECT 13.5070 0.3410 13.5490 0.3830 ; + RECT 8.3390 0.4170 8.3810 0.4590 ; + RECT 4.4630 0.9710 4.5050 1.0130 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 12.0630 1.0610 12.1050 1.1030 ; + RECT 9.8590 1.1470 9.9010 1.1890 ; + RECT 8.2630 1.5380 8.3050 1.5800 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 9.8590 1.1470 9.9010 1.1890 ; + RECT 10.9230 0.8220 10.9650 0.8640 ; + RECT 9.5550 1.0550 9.5970 1.0970 ; + RECT 8.1110 1.5380 8.1530 1.5800 ; + RECT 4.3870 0.4020 4.4290 0.4440 ; + RECT 9.5550 1.2390 9.5970 1.2810 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + RECT 8.6430 0.5010 8.6850 0.5430 ; + RECT 4.0830 0.5020 4.1250 0.5440 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 1.8790 0.0980 1.9210 0.1400 ; + RECT 8.3390 1.1160 8.3810 1.1580 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 9.5550 1.0550 9.5970 1.0970 ; + RECT 7.9590 0.6170 8.0010 0.6590 ; + RECT 9.5550 1.1470 9.5970 1.1890 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 8.7950 0.3340 8.8370 0.3760 ; + RECT 13.2030 1.2470 13.2450 1.2890 ; + RECT 11.3030 1.2030 11.3450 1.2450 ; + RECT 9.5550 1.2390 9.5970 1.2810 ; + RECT 10.9990 1.0610 11.0410 1.1030 ; + RECT 13.4310 0.6060 13.4730 0.6480 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 8.5670 0.8160 8.6090 0.8580 ; + RECT 8.6430 1.1990 8.6850 1.2410 ; + RECT 7.1990 1.5380 7.2410 1.5800 ; + LAYER PO ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 7.5090 0.8920 7.5390 1.6060 ; + RECT 8.7250 0.7710 8.7550 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.6610 0.8920 7.6910 1.6060 ; + RECT 13.8930 0.0710 13.9230 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 7.8130 0.8920 7.8430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6690 ; + RECT 7.8130 0.0660 7.8430 0.6910 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.7250 ; + RECT 14.1970 0.0690 14.2270 1.6060 ; + RECT 4.6210 0.9390 4.6510 1.6060 ; + RECT 8.5730 0.7540 8.6030 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 13.7410 0.0690 13.7710 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 13.5890 0.0710 13.6190 1.6060 ; + RECT 4.6210 0.0660 4.6510 0.6950 ; + RECT 4.4690 0.0660 4.4990 0.6950 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 14.3490 0.0710 14.3790 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 14.0450 0.0690 14.0750 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6910 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + RECT 10.0930 0.0670 10.1230 1.6050 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 8.1170 0.8390 8.1470 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.8160 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 9.0290 0.9390 9.0590 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6490 ; + RECT 3.2530 0.0660 3.2830 0.7250 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + LAYER M1 ; + RECT 13.4110 0.0930 13.7970 0.1430 ; + RECT 4.2150 0.4980 4.2970 0.5480 ; + RECT 3.7590 0.5580 3.8420 0.6070 ; + RECT 3.7750 0.6080 4.2810 0.6570 ; + RECT 3.7590 0.6070 4.2810 0.6080 ; + RECT 4.2310 0.5480 4.2810 0.6070 ; + RECT 3.6780 0.7380 5.1940 0.7880 ; + RECT 4.8230 1.1200 6.2930 1.1700 ; + RECT 5.1430 0.5050 6.2930 0.5550 ; + RECT 6.2430 0.6580 6.5080 0.7080 ; + RECT 6.2430 0.7080 6.2930 1.1200 ; + RECT 5.1430 0.5550 5.1930 0.7380 ; + RECT 6.2430 0.5550 6.2930 0.6580 ; + RECT 4.4430 0.6290 4.8290 0.6790 ; + RECT 4.4430 0.9680 6.0370 1.0180 ; + RECT 5.9870 0.6850 6.1810 0.7350 ; + RECT 6.1310 0.7350 6.1810 0.7510 ; + RECT 6.1310 0.6690 6.1810 0.6850 ; + RECT 5.9870 0.7350 6.0370 0.9680 ; + RECT 4.3830 1.0680 4.7530 1.1180 ; + RECT 3.6070 1.2920 4.4490 1.3420 ; + RECT 4.0790 1.0920 4.1290 1.2920 ; + RECT 3.9270 1.0920 3.9770 1.2920 ; + RECT 3.6230 1.0920 3.6730 1.2920 ; + RECT 4.3830 1.1180 4.4330 1.2920 ; + RECT 7.9380 0.6130 8.1730 0.6630 ; + RECT 7.2710 0.9200 8.0050 0.9700 ; + RECT 7.9550 0.8310 8.0050 0.9200 ; + RECT 7.2710 0.9700 7.3210 1.0340 ; + RECT 7.2710 0.5050 7.3210 0.9200 ; + RECT 6.6240 0.6240 6.9570 0.6740 ; + RECT 6.3590 1.1080 6.4090 1.1240 ; + RECT 6.3590 1.0420 6.4090 1.0580 ; + RECT 6.6240 0.6740 6.6740 1.0580 ; + RECT 6.3590 1.0580 6.6740 1.1080 ; + RECT 6.6240 0.5600 6.6740 0.6240 ; + RECT 6.3430 0.5100 6.6740 0.5600 ; + RECT 8.4870 0.8120 8.6290 0.8620 ; + RECT 8.4870 0.7120 9.0850 0.7620 ; + RECT 8.4870 0.7620 8.5370 0.8120 ; + RECT 8.8510 0.8140 8.9580 0.8640 ; + RECT 8.9080 0.9670 9.0850 1.0170 ; + RECT 8.9080 0.8640 8.9580 0.9670 ; + RECT 11.0550 0.8200 11.6030 0.8700 ; + RECT 11.3590 0.7090 11.8970 0.7590 ; + RECT 11.5870 1.0570 12.1250 1.1070 ; + RECT 3.5310 1.4240 4.5250 1.4740 ; + RECT 3.0750 1.5240 4.8290 1.5740 ; + RECT 7.1790 1.5340 8.1730 1.5840 ; + RECT 6.1150 1.4340 8.7810 1.4840 ; + RECT 9.0720 1.2870 9.4650 1.3370 ; + RECT 8.2410 1.5340 11.0610 1.5840 ; + RECT 7.5590 0.4130 8.5370 0.4630 ; + RECT 8.3350 0.9670 8.8580 1.0170 ; + RECT 8.4870 0.4630 8.5370 0.5630 ; + RECT 8.4870 0.3800 8.5370 0.4130 ; + RECT 8.4870 0.3300 8.8630 0.3800 ; + RECT 8.4870 0.3270 8.5370 0.3300 ; + RECT 7.5590 1.1200 8.3850 1.1700 ; + RECT 8.3350 0.3840 8.3850 0.4130 ; + RECT 8.3350 0.4630 8.3850 0.9670 ; + RECT 8.3350 1.1700 8.3850 1.2700 ; + RECT 8.3350 1.0170 8.3850 1.1200 ; + RECT 3.3190 0.8540 5.8930 0.9040 ; + RECT 3.3190 0.5630 3.6730 0.6130 ; + RECT 3.3190 0.4690 3.3690 0.5630 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 4.2310 0.9040 4.2810 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.2450 ; + RECT 3.3190 0.6130 3.3690 0.8540 ; + RECT 3.6220 0.4580 3.9410 0.4980 ; + RECT 3.8910 0.5080 4.1450 0.5480 ; + RECT 3.6220 0.4980 4.1450 0.5080 ; + RECT 3.6230 0.4070 3.6730 0.4580 ; + RECT 3.6230 0.5080 3.6730 0.5630 ; + RECT 10.6750 0.5270 11.2730 0.5770 ; + RECT 10.9190 0.5770 10.9690 0.8840 ; + RECT 10.9190 0.1260 10.9690 0.5270 ; + RECT 11.2230 0.5770 11.2730 0.7700 ; + RECT 11.2230 0.3480 11.2730 0.5270 ; + RECT 0.4310 1.2450 3.2170 1.2950 ; + RECT 3.1670 0.9110 3.2570 0.9610 ; + RECT 3.1670 1.2960 3.5210 1.3460 ; + RECT 3.1670 0.9610 3.2170 1.2450 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 3.1670 1.2950 3.2170 1.2960 ; + RECT 3.1510 0.3560 3.5370 0.4060 ; + RECT 3.2070 0.4060 3.2570 0.9110 ; + RECT 0.4310 1.2950 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.2450 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 8.2230 0.5630 8.2730 1.0200 ; + RECT 7.4070 0.5130 8.2720 0.5440 ; + RECT 7.4070 0.5440 8.2730 0.5630 ; + RECT 7.4230 1.0200 8.2730 1.0700 ; + RECT 6.7920 0.5100 7.0890 0.5600 ; + RECT 6.7920 0.9410 7.0890 0.9910 ; + RECT 7.0390 0.5600 7.0890 0.9410 ; + RECT 7.0390 0.9910 7.0890 1.1200 ; + RECT 7.0390 1.1200 7.4730 1.1700 ; + RECT 7.4230 1.0700 7.4730 1.1200 ; + RECT 12.6450 0.6320 13.1730 0.6820 ; + RECT 13.1230 0.5970 13.1730 0.6320 ; + RECT 12.6450 0.6820 12.6950 1.1990 ; + RECT 10.2190 1.1990 12.6950 1.2490 ; + RECT 9.5510 0.8010 10.3010 0.8510 ; + RECT 9.8550 0.8510 9.9050 1.3010 ; + RECT 9.3230 0.5300 9.6010 0.5800 ; + RECT 9.3070 0.7170 9.3890 0.7670 ; + RECT 9.3230 0.5800 9.3730 0.7170 ; + RECT 9.5510 0.8510 9.6010 1.3010 ; + RECT 9.5510 0.5800 9.6010 0.8010 ; + RECT 4.7470 0.0900 8.9330 0.1400 ; + RECT 3.9960 0.3980 4.7530 0.4480 ; + RECT 3.9080 0.3200 4.0460 0.3700 ; + RECT 3.9960 0.3700 4.0460 0.3980 ; + RECT 1.8560 0.0940 3.6190 0.1440 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 4.9670 0.4050 5.9720 0.4550 ; + RECT 8.6390 0.4300 9.8290 0.4800 ; + RECT 9.7790 0.4800 9.8290 0.7510 ; + RECT 8.6400 1.1790 9.5010 1.2290 ; + RECT 9.1350 0.4800 9.1850 0.8190 ; + RECT 9.1350 0.8190 9.5010 0.8690 ; + RECT 9.4510 0.8690 9.5010 1.1790 ; + RECT 8.6390 0.4800 8.6890 0.5630 ; + RECT 8.6400 1.2290 8.6900 1.3530 ; + RECT 10.6150 1.0570 11.0640 1.1070 ; + RECT 10.5750 0.4270 10.6650 0.4770 ; + RECT 10.5750 0.6270 10.6650 0.6770 ; + RECT 10.6150 0.1260 10.6650 0.4270 ; + RECT 10.5750 0.4770 10.6250 0.6270 ; + RECT 10.6150 0.6770 10.6650 1.0570 ; + RECT 11.0550 0.2480 11.8970 0.2980 ; + RECT 13.5430 0.6600 14.1010 0.7100 ; + RECT 13.5030 0.4010 13.5930 0.4510 ; + RECT 13.5030 0.7780 13.5930 0.8280 ; + RECT 13.5030 0.2250 13.5530 0.4010 ; + RECT 13.5030 0.8280 13.5530 1.3090 ; + RECT 13.5430 0.4510 13.5930 0.6600 ; + RECT 13.5430 0.7100 13.5930 0.7780 ; + RECT 13.2380 0.6020 13.4930 0.6520 ; + RECT 13.1990 0.4010 13.2880 0.4510 ; + RECT 13.1990 0.7780 13.2880 0.8280 ; + RECT 13.1990 0.1570 13.2490 0.4010 ; + RECT 13.1990 0.8280 13.2490 1.3090 ; + RECT 13.2380 0.4510 13.2880 0.6020 ; + RECT 13.2380 0.6520 13.2880 0.7780 ; + RECT 11.7390 0.4620 11.9930 0.5120 ; + RECT 11.9430 0.4000 12.0330 0.4500 ; + RECT 11.9430 0.6270 12.0330 0.6770 ; + RECT 11.9830 0.1260 12.0330 0.4000 ; + RECT 11.9430 0.4500 11.9930 0.4620 ; + RECT 11.9830 0.6770 12.0330 0.7680 ; + RECT 11.9430 0.5120 11.9930 0.6270 ; + RECT 11.3590 0.1320 11.5930 0.1820 ; + LAYER NWELL ; + RECT 10.4480 0.4910 12.4590 1.0830 ; + RECT -0.1150 1.5430 14.5550 1.7730 ; + RECT -0.1150 0.8170 9.9860 1.5430 ; + RECT 12.9190 0.6790 14.5550 1.5430 ; + RECT 3.7240 0.7290 9.9860 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 3.7240 0.6790 8.8920 0.7290 ; + END +END RDFFSRASRX1_HVT + +MACRO RDFFSRASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 14.744 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2690 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.2070 0.6060 0.2490 0.6480 ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8580 1.5240 1.7910 1.5740 ; + RECT 0.8580 1.5740 1.0300 1.5750 ; + RECT 0.8580 1.4650 1.0300 1.5240 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.5280 1.3130 1.5700 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 1.4230 1.5280 1.4650 1.5700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + END + ANTENNAGATEAREA 0.162 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 13.6550 0.8920 14.5060 0.9420 ; + RECT 14.3840 0.8570 14.5060 0.8920 ; + RECT 14.3840 0.9420 14.5060 0.9670 ; + RECT 13.6550 0.9420 13.7050 1.5640 ; + RECT 13.9590 0.9420 14.0090 1.5640 ; + RECT 13.6550 0.2130 13.7050 0.4520 ; + RECT 13.9590 0.3070 14.0090 0.4520 ; + RECT 14.4550 0.5020 14.5050 0.8570 ; + RECT 13.6550 0.4520 14.5050 0.5020 ; + END + PORT + LAYER CO ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.4870 14.0050 1.5290 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.1190 14.0050 1.1610 ; + RECT 13.9630 1.3950 14.0050 1.4370 ; + RECT 13.9630 1.3030 14.0050 1.3450 ; + RECT 13.9630 1.2110 14.0050 1.2530 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 1.0270 14.0050 1.0690 ; + RECT 13.9630 0.4270 14.0050 0.4690 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.9630 0.3350 14.0050 0.3770 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + RECT 13.6590 0.2430 13.7010 0.2850 ; + RECT 13.6590 1.1190 13.7010 1.1610 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.6590 0.3350 13.7010 0.3770 ; + RECT 13.6590 0.3350 13.7010 0.3770 ; + RECT 13.6590 0.4270 13.7010 0.4690 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 1.4870 13.7010 1.5290 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.6590 1.0270 13.7010 1.0690 ; + RECT 13.6590 1.1190 13.7010 1.1610 ; + RECT 13.6590 1.0270 13.7010 1.0690 ; + RECT 13.6590 1.3030 13.7010 1.3450 ; + RECT 13.6590 1.3950 13.7010 1.4370 ; + RECT 13.6590 1.2110 13.7010 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 14.5350 1.1610 14.6580 1.2710 ; + RECT 14.2630 0.1160 14.3130 0.2890 ; + RECT 14.2630 0.2890 14.6210 0.3390 ; + RECT 14.2630 1.0420 14.6210 1.0920 ; + RECT 14.2630 1.0920 14.3130 1.5640 ; + RECT 14.5710 1.0920 14.6210 1.1610 ; + RECT 14.5710 0.3390 14.6210 1.0420 ; + END + PORT + LAYER CO ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.2110 14.3090 1.2530 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 1.3030 14.3090 1.3450 ; + RECT 14.2670 0.1510 14.3090 0.1930 ; + RECT 14.2670 0.2430 14.3090 0.2850 ; + RECT 14.2670 0.1510 14.3090 0.1930 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.4870 14.3090 1.5290 ; + RECT 14.2670 1.3950 14.3090 1.4370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 14.7440 1.7020 ; + RECT 4.5180 1.2200 8.0970 1.2700 ; + RECT 1.0200 1.3640 2.8030 1.4140 ; + RECT 8.9430 1.4040 11.1610 1.4540 ; + RECT 13.0470 0.9590 13.0970 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 13.3510 0.9590 13.4010 1.6420 ; + RECT 13.8070 0.9920 13.8570 1.6420 ; + RECT 0.5830 1.3780 0.6330 1.6420 ; + RECT 14.4150 1.1810 14.4650 1.6420 ; + RECT 14.1110 1.1810 14.1610 1.6420 ; + RECT 4.9270 1.2700 4.9770 1.6420 ; + RECT 2.6050 1.4140 2.6550 1.6420 ; + RECT 9.7030 0.9530 9.7530 1.4040 ; + RECT 8.9430 1.2790 8.9930 1.4040 ; + RECT 11.1110 1.4540 11.1610 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 14.1150 1.4870 14.1570 1.5290 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 14.1150 1.3030 14.1570 1.3450 ; + RECT 14.1150 1.3950 14.1570 1.4370 ; + RECT 14.1150 1.2110 14.1570 1.2530 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 5.9070 1.2240 5.9490 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 2.4110 1.3680 2.4530 1.4100 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 1.6510 1.3680 1.6930 1.4100 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 2.7150 1.3680 2.7570 1.4100 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 13.8110 1.0270 13.8530 1.0690 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 13.8110 1.1190 13.8530 1.1610 ; + RECT 13.3550 1.0040 13.3970 1.0460 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 6.9710 1.2240 7.0130 1.2660 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 9.7070 1.2490 9.7490 1.2910 ; + RECT 9.7070 1.0650 9.7490 1.1070 ; + RECT 13.3550 1.0960 13.3970 1.1380 ; + RECT 13.3550 1.1880 13.3970 1.2300 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 13.8110 1.3030 13.8530 1.3450 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 14.4190 1.4870 14.4610 1.5290 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 13.8110 1.4870 13.8530 1.5290 ; + RECT 13.0510 1.2800 13.0930 1.3220 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 13.0510 1.0960 13.0930 1.1380 ; + RECT 14.4190 1.2110 14.4610 1.2530 ; + RECT 13.0510 1.0040 13.0930 1.0460 ; + RECT 13.3550 1.2800 13.3970 1.3220 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 14.4190 1.3030 14.4610 1.3450 ; + RECT 9.7070 1.1570 9.7490 1.1990 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 1.0430 1.3680 1.0850 1.4100 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 2.1070 1.3680 2.1490 1.4100 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.7070 1.0650 9.7490 1.1070 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 6.2110 1.2240 6.2530 1.2660 ; + RECT 13.8110 1.2110 13.8530 1.2530 ; + RECT 8.0350 1.2240 8.0770 1.2660 ; + RECT 8.9470 1.3010 8.9890 1.3430 ; + RECT 13.0510 1.0040 13.0930 1.0460 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 13.8110 1.1190 13.8530 1.1610 ; + RECT 13.8110 1.3950 13.8530 1.4370 ; + RECT 13.0510 1.2800 13.0930 1.3220 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 13.0510 1.1880 13.0930 1.2300 ; + RECT 13.0510 1.1880 13.0930 1.2300 ; + RECT 13.0510 1.0960 13.0930 1.1380 ; + RECT 6.6670 1.2240 6.7090 1.2660 ; + RECT 13.8110 1.0270 13.8530 1.0690 ; + RECT 9.7070 1.1570 9.7490 1.1990 ; + RECT 7.1230 1.2240 7.1650 1.2660 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.7070 1.2490 9.7490 1.2910 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 14.4190 1.3950 14.4610 1.4370 ; + RECT 9.7070 0.9730 9.7490 1.0150 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 1.3470 1.3680 1.3890 1.4100 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 14.7440 0.0300 ; + RECT 13.3110 0.2030 13.4170 0.2530 ; + RECT 13.8070 0.2030 14.1610 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4270 ; + RECT 0.5830 0.0300 0.6330 0.4280 ; + RECT 1.0390 0.0300 1.0890 0.5650 ; + RECT 1.3430 0.0300 1.3930 0.5650 ; + RECT 10.7670 0.0300 10.8170 0.4260 ; + RECT 12.1350 0.0300 12.1850 0.4260 ; + RECT 13.0470 0.0300 13.0970 0.4230 ; + RECT 11.6790 0.0300 11.7290 0.1980 ; + RECT 14.4150 0.0300 14.4650 0.2260 ; + RECT 9.8790 0.0300 9.9290 0.3280 ; + RECT 8.9270 0.3750 9.9040 0.3780 ; + RECT 8.9270 0.3280 9.9290 0.3750 ; + RECT 13.3110 0.0300 13.3610 0.2030 ; + RECT 13.8070 0.2530 13.8570 0.3950 ; + RECT 14.1110 0.0300 14.1610 0.2030 ; + RECT 4.8230 0.3380 8.0970 0.3550 ; + RECT 4.0990 0.2880 4.9100 0.3050 ; + RECT 4.0990 0.3050 8.0970 0.3380 ; + RECT 1.6470 0.1940 4.1490 0.2440 ; + RECT 1.6470 0.2440 1.6970 0.5650 ; + RECT 1.6470 0.0300 1.6970 0.1940 ; + RECT 4.0990 0.2440 4.1490 0.2880 ; + END + PORT + LAYER CO ; + RECT 14.1150 0.1490 14.1570 0.1910 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 14.1150 0.1490 14.1570 0.1910 ; + RECT 5.7550 0.3080 5.7970 0.3500 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 12.1390 0.1740 12.1810 0.2160 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 6.2110 0.3090 6.2530 0.3510 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 2.4110 0.1980 2.4530 0.2400 ; + RECT 6.6670 0.3090 6.7090 0.3510 ; + RECT 6.9710 0.3090 7.0130 0.3510 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + RECT 1.6510 0.4030 1.6930 0.4450 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 13.8110 0.3330 13.8530 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 12.1390 0.1740 12.1810 0.2160 ; + RECT 12.1390 0.3580 12.1810 0.4000 ; + RECT 14.4190 0.1490 14.4610 0.1910 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 13.8110 0.3330 13.8530 0.3750 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 7.1230 0.3090 7.1650 0.3510 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 13.0510 0.3610 13.0930 0.4030 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.3550 0.2070 13.3970 0.2490 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 4.8430 0.2920 4.8850 0.3340 ; + RECT 12.1390 0.2660 12.1810 0.3080 ; + RECT 13.8110 0.2410 13.8530 0.2830 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 12.1390 0.3580 12.1810 0.4000 ; + RECT 10.7710 0.2540 10.8130 0.2960 ; + RECT 10.7710 0.1620 10.8130 0.2040 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 9.8590 0.3320 9.9010 0.3740 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 13.3550 0.2070 13.3970 0.2490 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 10.7710 0.3460 10.8130 0.3880 ; + RECT 5.2990 0.3080 5.3410 0.3500 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 8.0350 0.3090 8.0770 0.3510 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 13.0510 0.1770 13.0930 0.2190 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 13.0510 0.2690 13.0930 0.3110 ; + RECT 8.9470 0.3320 8.9890 0.3740 ; + RECT 4.5390 0.2920 4.5810 0.3340 ; + RECT 11.6830 0.1360 11.7250 0.1780 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4190 0.1490 14.4610 0.1910 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 2.7150 0.1980 2.7570 0.2400 ; + RECT 1.6510 0.3110 1.6930 0.3530 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 10.7710 0.3460 10.8130 0.3880 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.0670 1.3200 8.5370 1.3700 ; + RECT 8.4870 1.0790 9.3270 1.1270 ; + RECT 9.1710 0.9510 9.2210 1.0090 ; + RECT 9.1710 1.0090 9.3270 1.0790 ; + RECT 5.0670 1.3700 5.1170 1.4550 ; + RECT 5.5230 1.3700 5.5730 1.4550 ; + RECT 5.9790 1.3700 6.0290 1.4550 ; + RECT 8.4870 1.1270 9.2210 1.1290 ; + RECT 8.4870 1.1290 8.5370 1.3200 ; + END + PORT + LAYER CO ; + RECT 5.9830 1.3930 6.0250 1.4350 ; + RECT 5.0710 1.3930 5.1130 1.4350 ; + RECT 5.5270 1.3930 5.5690 1.4350 ; + RECT 9.1750 0.9710 9.2170 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8350 0.0880 4.3570 0.1380 ; + RECT 9.6110 0.0880 9.6930 0.1380 ; + RECT 4.1990 0.1380 4.3570 0.1880 ; + RECT 4.3070 0.2320 9.6660 0.2380 ; + RECT 4.3070 0.2100 9.6770 0.2320 ; + RECT 9.6270 0.1380 9.6770 0.1880 ; + RECT 4.1990 0.1880 9.6770 0.2100 ; + END + PORT + LAYER CO ; + RECT 3.8550 0.0920 3.8970 0.1340 ; + RECT 9.6310 0.0920 9.6730 0.1340 ; + RECT 4.3110 0.1100 4.3530 0.1520 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2570 0.2490 12.3690 0.3590 ; + RECT 12.0430 0.5270 12.3180 0.5770 ; + RECT 12.2680 0.3590 12.3180 0.5270 ; + END + PORT + LAYER CO ; + RECT 12.0630 0.5310 12.1050 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 7.3930 0.6630 7.5490 0.8150 ; + RECT 7.4830 0.6130 7.8690 0.6630 ; + RECT 8.1070 0.7810 8.1570 0.9330 ; + RECT 7.8190 0.6630 7.8690 0.7310 ; + RECT 7.8190 0.7310 8.1570 0.7810 ; + END + PORT + LAYER CO ; + RECT 7.8070 0.6170 7.8490 0.6590 ; + RECT 7.6550 0.6170 7.6970 0.6590 ; + RECT 7.5030 0.6170 7.5450 0.6590 ; + RECT 8.1110 0.8710 8.1530 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 10.7510 0.9420 12.3690 1.0020 ; + RECT 12.2570 0.6900 12.3690 0.9420 ; + RECT 12.1350 0.6270 12.1850 0.9420 ; + END + PORT + LAYER CO ; + RECT 12.1390 0.6510 12.1810 0.6930 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 12.1390 0.7430 12.1810 0.7850 ; + RECT 12.1390 0.6510 12.1810 0.6930 ; + RECT 12.1390 0.9270 12.1810 0.9690 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 11.6830 0.9510 11.7250 0.9930 ; + RECT 10.7710 0.9510 10.8130 0.9930 ; + RECT 12.1390 0.8350 12.1810 0.8770 ; + RECT 12.2910 0.7100 12.3330 0.7520 ; + RECT 12.1390 0.9270 12.1810 0.9690 ; + RECT 12.2910 0.8620 12.3330 0.9040 ; + END + END VDDG + OBS + LAYER CO ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 2.3350 0.8990 2.3770 0.9410 ; + RECT 1.9550 1.1130 1.9970 1.1550 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 2.5630 1.1130 2.6050 1.1550 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.4870 0.8990 2.5290 0.9410 ; + RECT 5.9070 0.4090 5.9490 0.4510 ; + RECT 6.0590 0.5090 6.1010 0.5510 ; + RECT 5.8310 0.8580 5.8730 0.9000 ; + RECT 5.7550 1.1260 5.7970 1.1680 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 2.7910 0.8990 2.8330 0.9410 ; + RECT 6.4390 0.6620 6.4810 0.7040 ; + RECT 2.6390 0.8990 2.6810 0.9410 ; + RECT 2.1830 0.8990 2.2250 0.9410 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 6.8950 0.6280 6.9370 0.6700 ; + RECT 6.1350 0.6890 6.1770 0.7310 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 6.7430 0.6280 6.7850 0.6700 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 4.7670 0.0920 4.8090 0.1340 ; + RECT 1.4990 1.0380 1.5410 1.0800 ; + RECT 14.1910 0.6640 14.2330 0.7060 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 13.8870 0.0970 13.9290 0.1390 ; + RECT 1.4990 0.4330 1.5410 0.4750 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 3.0950 0.6860 3.1370 0.7280 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 8.4910 0.5010 8.5330 0.5430 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 11.5310 0.8240 11.5730 0.8660 ; + RECT 11.2270 0.3680 11.2690 0.4100 ; + RECT 9.8590 1.2390 9.9010 1.2810 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 8.3390 1.0240 8.3810 1.0660 ; + RECT 11.9870 0.3610 12.0290 0.4030 ; + RECT 13.4310 0.0970 13.4730 0.1390 ; + RECT 3.4750 1.1830 3.5170 1.2250 ; + RECT 5.1470 0.5250 5.1890 0.5670 ; + RECT 14.3430 0.6640 14.3850 0.7060 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 5.4510 0.4090 5.4930 0.4510 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.3990 0.0920 3.4410 0.1340 ; + RECT 5.1470 1.1240 5.1890 1.1660 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 4.6910 1.0720 4.7330 1.1140 ; + RECT 3.3990 1.5280 3.4410 1.5700 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 6.2870 0.6620 6.3290 0.7040 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 1.8790 0.8990 1.9210 0.9410 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 2.5630 0.4590 2.6050 0.5010 ; + RECT 2.8670 0.4590 2.9090 0.5010 ; + RECT 1.4990 0.5250 1.5410 0.5670 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.0910 3.5170 1.1330 ; + RECT 4.2350 1.0860 4.2770 1.1280 ; + RECT 4.2350 1.1780 4.2770 1.2200 ; + RECT 4.3870 1.1120 4.4290 1.1540 ; + RECT 4.3870 1.2040 4.4290 1.2460 ; + RECT 4.0830 1.2040 4.1250 1.2460 ; + RECT 4.0830 1.1120 4.1250 1.1540 ; + RECT 3.7790 1.0860 3.8210 1.1280 ; + RECT 3.9310 1.1120 3.9730 1.1540 ; + RECT 3.9310 1.2960 3.9730 1.3380 ; + RECT 3.9310 1.2040 3.9730 1.2460 ; + RECT 3.6270 1.2040 3.6690 1.2460 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 3.6270 1.1120 3.6690 1.1540 ; + RECT 6.8190 0.5140 6.8610 0.5560 ; + RECT 6.8190 0.9450 6.8610 0.9870 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.8030 1.0380 1.8450 1.0800 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 13.5070 0.2490 13.5490 0.2910 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 13.5070 1.0630 13.5490 1.1050 ; + RECT 7.6550 0.9240 7.6970 0.9660 ; + RECT 10.9230 0.2540 10.9650 0.2960 ; + RECT 11.7590 0.4660 11.8010 0.5080 ; + RECT 11.9870 0.3610 12.0290 0.4030 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 10.9230 0.1620 10.9650 0.2040 ; + RECT 8.4910 0.4090 8.5330 0.4510 ; + RECT 7.2750 0.9720 7.3170 1.0140 ; + RECT 3.6270 1.2960 3.6690 1.3380 ; + RECT 7.4270 1.0640 7.4690 1.1060 ; + RECT 7.5790 1.1240 7.6210 1.1660 ; + RECT 11.5310 0.1360 11.5730 0.1780 ; + RECT 7.8830 0.4170 7.9250 0.4590 ; + RECT 4.8430 1.1260 4.8850 1.1680 ; + RECT 4.2350 0.5020 4.2770 0.5440 ; + RECT 4.1590 0.7420 4.2010 0.7840 ; + RECT 6.3630 0.5140 6.4050 0.5560 ; + RECT 3.7790 1.1780 3.8210 1.2200 ; + RECT 3.7790 0.5620 3.8210 0.6040 ; + RECT 11.9870 0.6800 12.0290 0.7220 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 7.8830 1.1240 7.9250 1.1660 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 3.6270 0.4330 3.6690 0.4750 ; + RECT 7.7310 1.0240 7.7730 1.0660 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 8.7950 0.9710 8.8370 1.0130 ; + RECT 6.3630 1.0620 6.4050 1.1040 ; + RECT 3.4750 0.3140 3.5170 0.3560 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 3.3230 1.1830 3.3650 1.2250 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 4.9190 0.8580 4.9610 0.9000 ; + RECT 3.4750 1.2750 3.5170 1.3170 ; + RECT 4.9950 0.4090 5.0370 0.4510 ; + RECT 13.5070 1.2470 13.5490 1.2890 ; + RECT 11.3790 0.7130 11.4210 0.7550 ; + RECT 11.8350 0.7130 11.8770 0.7550 ; + RECT 13.5070 0.9710 13.5490 1.0130 ; + RECT 13.1270 0.6170 13.1690 0.6590 ; + RECT 4.0830 1.2960 4.1250 1.3380 ; + RECT 13.2030 0.3610 13.2450 0.4030 ; + RECT 8.5670 1.4240 8.6090 1.4660 ; + RECT 8.8710 0.7610 8.9130 0.8030 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 6.1350 1.4240 6.1770 1.4660 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 9.0230 0.9710 9.0650 1.0130 ; + RECT 8.4910 0.9710 8.5330 1.0130 ; + RECT 5.3750 0.8580 5.4170 0.9000 ; + RECT 4.4630 1.4280 4.5050 1.4700 ; + RECT 3.0950 1.5280 3.1370 1.5700 ; + RECT 9.8590 1.2390 9.9010 1.2810 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 7.5790 0.4170 7.6210 0.4590 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.9230 0.6380 10.9650 0.6800 ; + RECT 10.2390 1.2030 10.2810 1.2450 ; + RECT 10.9230 0.6380 10.9650 0.6800 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.1620 10.6610 0.2040 ; + RECT 11.0750 0.2520 11.1170 0.2940 ; + RECT 10.6190 0.2540 10.6610 0.2960 ; + RECT 10.9990 1.5240 11.0410 1.5660 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 11.6070 1.0610 11.6490 1.1030 ; + RECT 10.9230 0.8220 10.9650 0.8640 ; + RECT 3.1710 0.3140 3.2130 0.3560 ; + RECT 3.3230 0.5250 3.3650 0.5670 ; + RECT 3.3230 0.4330 3.3650 0.4750 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 1.4990 1.1300 1.5410 1.1720 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 10.9230 0.7300 10.9650 0.7720 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 9.0990 1.2910 9.1410 1.3330 ; + RECT 3.5510 1.4280 3.5930 1.4700 ; + RECT 13.2030 0.2690 13.2450 0.3110 ; + RECT 4.7670 0.6330 4.8090 0.6750 ; + RECT 9.5550 0.5580 9.5970 0.6000 ; + RECT 13.2030 1.1550 13.2450 1.1970 ; + RECT 7.2750 0.5250 7.3170 0.5670 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 4.6910 0.3980 4.7330 0.4400 ; + RECT 13.2030 0.8790 13.2450 0.9210 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + RECT 11.2270 0.6770 11.2690 0.7190 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 10.9230 0.3460 10.9650 0.3880 ; + RECT 8.6430 0.5010 8.6850 0.5430 ; + RECT 4.0830 0.5020 4.1250 0.5440 ; + RECT 2.8670 1.1130 2.9090 1.1550 ; + RECT 9.2510 1.1830 9.2930 1.2250 ; + RECT 13.5070 1.1550 13.5490 1.1970 ; + RECT 9.4030 1.2910 9.4450 1.3330 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 13.5070 0.8790 13.5490 0.9210 ; + RECT 13.2030 0.9710 13.2450 1.0130 ; + RECT 8.3390 1.2080 8.3810 1.2500 ; + RECT 8.7190 1.4240 8.7610 1.4660 ; + RECT 3.1710 1.2750 3.2130 1.3170 ; + RECT 4.7670 1.5280 4.8090 1.5700 ; + RECT 9.8590 0.9630 9.9010 1.0050 ; + RECT 7.4270 0.5170 7.4690 0.5590 ; + RECT 13.5070 0.3410 13.5490 0.3830 ; + RECT 8.3390 0.4170 8.3810 0.4590 ; + RECT 4.4630 0.9710 4.5050 1.0130 ; + RECT 13.2030 1.2470 13.2450 1.2890 ; + RECT 11.3030 1.2030 11.3450 1.2450 ; + RECT 9.5550 1.2390 9.5970 1.2810 ; + RECT 10.9990 1.0610 11.0410 1.1030 ; + RECT 13.4310 0.6060 13.4730 0.6480 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 8.5670 0.7710 8.6090 0.8130 ; + RECT 8.6430 1.1990 8.6850 1.2410 ; + RECT 7.1990 1.5240 7.2410 1.5660 ; + RECT 8.6430 1.2910 8.6850 1.3330 ; + RECT 10.2390 0.8050 10.2810 0.8470 ; + RECT 13.2030 1.2470 13.2450 1.2890 ; + RECT 4.6150 0.9710 4.6570 1.0130 ; + RECT 3.9310 0.3240 3.9730 0.3660 ; + RECT 13.7350 0.0970 13.7770 0.1390 ; + RECT 13.2030 1.0630 13.2450 1.1050 ; + RECT 3.1710 1.0910 3.2130 1.1330 ; + RECT 9.5550 0.9630 9.5970 1.0050 ; + RECT 13.2030 1.0630 13.2450 1.1050 ; + RECT 5.2990 1.1260 5.3410 1.1680 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 8.5670 1.4240 8.6090 1.4660 ; + RECT 3.1710 1.1830 3.2130 1.2250 ; + RECT 7.9590 0.8510 8.0010 0.8930 ; + RECT 2.0310 0.8990 2.0730 0.9410 ; + RECT 7.8070 0.9240 7.8490 0.9660 ; + RECT 10.6950 0.5310 10.7370 0.5730 ; + RECT 7.5030 0.9240 7.5450 0.9660 ; + RECT 4.3870 1.2960 4.4290 1.3380 ; + RECT 13.2030 1.1550 13.2450 1.1970 ; + RECT 4.6150 0.6330 4.6570 0.6750 ; + RECT 5.6030 0.5090 5.6450 0.5510 ; + RECT 3.7030 0.7420 3.7450 0.7840 ; + RECT 9.0230 0.6610 9.0650 0.7030 ; + RECT 9.3270 0.6610 9.3690 0.7030 ; + RECT 3.5510 0.0920 3.5930 0.1340 ; + RECT 4.4630 0.6330 4.5050 0.6750 ; + RECT 8.5670 0.0920 8.6090 0.1340 ; + RECT 8.8710 0.0920 8.9130 0.1340 ; + RECT 13.2030 0.8790 13.2450 0.9210 ; + RECT 6.1350 0.6890 6.1770 0.7310 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 9.5550 1.1470 9.5970 1.1890 ; + RECT 11.0750 0.8240 11.1170 0.8660 ; + RECT 9.4030 0.4340 9.4450 0.4760 ; + RECT 8.1110 0.6170 8.1530 0.6590 ; + RECT 9.7830 0.6640 9.8250 0.7060 ; + RECT 13.2030 0.1770 13.2450 0.2190 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 8.3390 1.1160 8.3810 1.1580 ; + RECT 2.2590 1.1130 2.3010 1.1550 ; + RECT 9.5550 1.0550 9.5970 1.0970 ; + RECT 7.9590 0.6170 8.0010 0.6590 ; + RECT 9.5550 1.1470 9.5970 1.1890 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 8.7950 0.3340 8.8370 0.3760 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 13.2030 0.9710 13.2450 1.0130 ; + RECT 9.8590 1.0550 9.9010 1.0970 ; + RECT 10.6190 0.3460 10.6610 0.3880 ; + RECT 11.3790 0.1360 11.4210 0.1780 ; + RECT 3.3230 1.0910 3.3650 1.1330 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 11.8350 0.2520 11.8770 0.2940 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 10.6190 0.3460 10.6610 0.3880 ; + RECT 9.8590 1.0550 9.9010 1.0970 ; + RECT 12.0630 1.0610 12.1050 1.1030 ; + RECT 9.8590 1.1470 9.9010 1.1890 ; + RECT 8.2630 1.5240 8.3050 1.5660 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 9.8590 1.1470 9.9010 1.1890 ; + RECT 10.9230 0.8220 10.9650 0.8640 ; + RECT 9.5550 1.0550 9.5970 1.0970 ; + RECT 8.1110 1.5240 8.1530 1.5660 ; + RECT 4.3870 0.3980 4.4290 0.4400 ; + RECT 9.5550 1.2390 9.5970 1.2810 ; + RECT 1.8030 1.1300 1.8450 1.1720 ; + LAYER PO ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 8.1170 0.0660 8.1470 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 7.5090 0.8920 7.5390 1.6060 ; + RECT 8.7250 0.7710 8.7550 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.6610 0.8920 7.6910 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 7.8130 0.8920 7.8430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 8.7250 0.0660 8.7550 0.6470 ; + RECT 7.8130 0.0660 7.8430 0.6910 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 3.4050 0.8400 3.4350 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.6840 ; + RECT 4.6210 0.9390 4.6510 1.6060 ; + RECT 8.5730 0.7540 8.6030 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 4.6210 0.0660 4.6510 0.6950 ; + RECT 4.4690 0.0660 4.4990 0.6950 ; + RECT 13.7410 0.0690 13.7710 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 13.5890 0.0710 13.6190 1.6060 ; + RECT 14.1970 0.0690 14.2270 1.6060 ; + RECT 14.5010 0.0690 14.5310 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 13.8930 0.0690 13.9230 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 14.6530 0.0710 14.6830 1.6060 ; + RECT 7.6610 0.0660 7.6910 0.6910 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 14.3490 0.0690 14.3790 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 14.0450 0.0690 14.0750 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6910 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 4.4690 0.9390 4.4990 1.6060 ; + RECT 10.0930 0.0670 10.1230 1.6050 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 8.1170 0.8390 8.1470 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 9.0290 0.0660 9.0590 0.7280 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 3.2530 0.8400 3.2830 1.6060 ; + RECT 9.0290 0.9390 9.0590 1.6060 ; + RECT 8.5730 0.0660 8.6030 0.6470 ; + RECT 3.2530 0.0660 3.2830 0.6840 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + LAYER M1 ; + RECT 3.7590 0.6070 4.2810 0.6080 ; + RECT 4.2310 0.5480 4.2810 0.6070 ; + RECT 3.6780 0.7380 5.1940 0.7880 ; + RECT 4.8230 1.1200 6.2930 1.1700 ; + RECT 5.1430 0.5050 6.2930 0.5550 ; + RECT 6.2430 0.6580 6.5080 0.7080 ; + RECT 6.2430 0.7080 6.2930 1.1200 ; + RECT 5.1430 0.5550 5.1930 0.7380 ; + RECT 6.2430 0.5550 6.2930 0.6580 ; + RECT 4.3830 1.0680 4.7530 1.1180 ; + RECT 3.6070 1.2920 4.4490 1.3420 ; + RECT 4.0790 1.0920 4.1290 1.2920 ; + RECT 3.9270 1.0920 3.9770 1.2920 ; + RECT 3.6230 1.0920 3.6730 1.2920 ; + RECT 4.3830 1.1180 4.4330 1.2920 ; + RECT 4.4430 0.6290 4.8290 0.6790 ; + RECT 4.4430 0.9680 6.0370 1.0180 ; + RECT 5.9870 0.6850 6.1810 0.7350 ; + RECT 6.1310 0.7350 6.1810 0.7510 ; + RECT 6.1310 0.6690 6.1810 0.6850 ; + RECT 5.9870 0.7350 6.0370 0.9680 ; + RECT 6.6240 0.6240 6.9570 0.6740 ; + RECT 6.3590 1.1080 6.4090 1.1240 ; + RECT 6.3590 1.0420 6.4090 1.0580 ; + RECT 6.6240 0.6740 6.6740 1.0580 ; + RECT 6.3590 1.0580 6.6740 1.1080 ; + RECT 6.6240 0.5600 6.6740 0.6240 ; + RECT 6.3430 0.5100 6.6740 0.5600 ; + RECT 7.9380 0.6130 8.1730 0.6630 ; + RECT 7.2710 0.9200 8.0050 0.9700 ; + RECT 7.9550 0.8310 8.0050 0.9200 ; + RECT 7.2710 0.9700 7.3210 1.0340 ; + RECT 7.2710 0.5050 7.3210 0.9200 ; + RECT 8.4870 0.7670 8.6290 0.8170 ; + RECT 8.4870 0.6570 9.0850 0.7070 ; + RECT 8.4870 0.7070 8.5370 0.7670 ; + RECT 8.9500 0.9670 9.0850 1.0170 ; + RECT 8.8510 0.7570 9.0000 0.8070 ; + RECT 8.9500 0.8070 9.0000 0.9670 ; + RECT 11.0550 0.8200 11.6030 0.8700 ; + RECT 11.3590 0.7090 11.8970 0.7590 ; + RECT 12.6450 0.6320 13.1730 0.6820 ; + RECT 13.1230 0.5970 13.1730 0.6320 ; + RECT 12.6450 0.6820 12.6950 1.1990 ; + RECT 10.2190 1.1990 12.6950 1.2490 ; + RECT 11.5870 1.0570 12.1250 1.1070 ; + RECT 3.5310 1.4240 4.5250 1.4740 ; + RECT 3.0750 1.5240 4.8290 1.5740 ; + RECT 7.1790 1.5200 8.1730 1.5700 ; + RECT 6.1150 1.4200 8.7810 1.4700 ; + RECT 9.0720 1.2870 9.4650 1.3370 ; + RECT 8.2410 1.5200 11.0610 1.5700 ; + RECT 7.5590 0.4130 8.5370 0.4630 ; + RECT 8.3350 0.9670 8.8580 1.0170 ; + RECT 8.4870 0.4630 8.5370 0.5630 ; + RECT 8.4870 0.3800 8.5370 0.4130 ; + RECT 8.4870 0.3300 8.8630 0.3800 ; + RECT 8.4870 0.3270 8.5370 0.3300 ; + RECT 7.5590 1.1200 8.3850 1.1700 ; + RECT 8.3350 0.3840 8.3850 0.4130 ; + RECT 8.3350 0.4630 8.3850 0.9670 ; + RECT 8.3350 1.1700 8.3850 1.2700 ; + RECT 8.3350 1.0170 8.3850 1.1200 ; + RECT 3.3190 0.8540 5.8930 0.9040 ; + RECT 3.3190 0.5630 3.6730 0.6130 ; + RECT 3.3190 0.4130 3.3690 0.5630 ; + RECT 3.7750 0.9040 3.8250 1.2420 ; + RECT 4.2310 0.9040 4.2810 1.2420 ; + RECT 3.3190 0.9040 3.3690 1.2450 ; + RECT 3.3190 0.6130 3.3690 0.8540 ; + RECT 3.6220 0.4580 3.9410 0.4980 ; + RECT 3.8910 0.5080 4.1450 0.5480 ; + RECT 3.6220 0.4980 4.1450 0.5080 ; + RECT 3.6230 0.4070 3.6730 0.4580 ; + RECT 3.6230 0.5080 3.6730 0.5630 ; + RECT 10.6750 0.5270 11.2730 0.5770 ; + RECT 10.9190 0.5770 10.9690 0.8870 ; + RECT 10.9190 0.1260 10.9690 0.5270 ; + RECT 11.2230 0.5770 11.2730 0.7700 ; + RECT 11.2230 0.3480 11.2730 0.5270 ; + RECT 4.7470 0.0880 8.9330 0.1380 ; + RECT 0.4310 1.2450 3.2170 1.2950 ; + RECT 3.1670 0.9110 3.2570 0.9610 ; + RECT 3.1670 1.2960 3.5210 1.3460 ; + RECT 3.1670 0.9610 3.2170 1.2450 ; + RECT 3.4710 0.9540 3.5210 1.2960 ; + RECT 3.1670 1.2950 3.2170 1.2960 ; + RECT 3.1510 0.3100 3.5370 0.3600 ; + RECT 3.2070 0.3600 3.2570 0.9110 ; + RECT 0.4310 1.2950 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.2450 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 0.8870 0.8950 2.8530 0.9450 ; + RECT 1.7990 0.9450 1.8490 1.1920 ; + RECT 1.7990 0.4130 1.8490 0.8950 ; + RECT 0.8870 0.9450 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.8950 ; + RECT 1.1910 0.9450 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.8950 ; + RECT 1.4950 0.9450 1.5450 1.1920 ; + RECT 1.4950 0.4130 1.5450 0.8950 ; + RECT 8.2230 0.5630 8.2730 1.0200 ; + RECT 7.4070 0.5130 8.2720 0.5440 ; + RECT 7.4070 0.5440 8.2730 0.5630 ; + RECT 7.4230 1.0200 8.2730 1.0700 ; + RECT 6.7920 0.5100 7.0890 0.5600 ; + RECT 6.7920 0.9410 7.0890 0.9910 ; + RECT 7.0390 0.5600 7.0890 0.9410 ; + RECT 7.0390 0.9910 7.0890 1.1200 ; + RECT 7.0390 1.1200 7.4730 1.1700 ; + RECT 7.4230 1.0700 7.4730 1.1200 ; + RECT 9.5510 0.8010 10.3010 0.8510 ; + RECT 9.8550 0.8510 9.9050 1.3010 ; + RECT 9.3230 0.5300 9.6010 0.5800 ; + RECT 9.3070 0.6570 9.3890 0.7070 ; + RECT 9.3230 0.5800 9.3730 0.6570 ; + RECT 9.5510 0.8510 9.6010 1.3010 ; + RECT 9.5510 0.5800 9.6010 0.8010 ; + RECT 3.9960 0.3940 4.7530 0.4440 ; + RECT 3.9080 0.3200 4.0460 0.3700 ; + RECT 3.9960 0.3700 4.0460 0.3940 ; + RECT 1.8560 0.0880 3.6190 0.1380 ; + RECT 1.9330 0.4550 3.1410 0.5050 ; + RECT 3.0510 0.7540 3.1410 0.8040 ; + RECT 1.9350 1.1090 3.1010 1.1590 ; + RECT 3.0910 0.5050 3.1410 0.7540 ; + RECT 3.0510 0.8040 3.1010 1.1090 ; + RECT 4.9670 0.4050 5.9720 0.4550 ; + RECT 8.6390 0.4300 9.8290 0.4800 ; + RECT 9.7790 0.4800 9.8290 0.7260 ; + RECT 8.6400 1.1790 9.5010 1.2290 ; + RECT 9.1350 0.4800 9.1850 0.7570 ; + RECT 9.1350 0.7570 9.5010 0.8070 ; + RECT 9.4510 0.8070 9.5010 1.1790 ; + RECT 8.6390 0.4800 8.6890 0.5630 ; + RECT 8.6400 1.2290 8.6900 1.3530 ; + RECT 11.3590 0.1320 11.5930 0.1820 ; + RECT 10.6150 1.0570 11.0640 1.1070 ; + RECT 10.5750 0.4270 10.6650 0.4770 ; + RECT 10.5750 0.6270 10.6650 0.6770 ; + RECT 10.6150 0.1260 10.6650 0.4270 ; + RECT 10.5750 0.4770 10.6250 0.6270 ; + RECT 10.6150 0.6770 10.6650 1.0570 ; + RECT 11.0550 0.2480 11.8970 0.2980 ; + RECT 13.5430 0.6600 14.4050 0.7100 ; + RECT 13.5030 0.4010 13.5930 0.4510 ; + RECT 13.5030 0.7780 13.5930 0.8280 ; + RECT 13.5030 0.2250 13.5530 0.4010 ; + RECT 13.5430 0.4510 13.5930 0.6600 ; + RECT 13.5030 0.8280 13.5530 1.3090 ; + RECT 13.5430 0.7100 13.5930 0.7780 ; + RECT 13.2380 0.6020 13.4930 0.6520 ; + RECT 13.1990 0.4010 13.2880 0.4510 ; + RECT 13.1990 0.7780 13.2880 0.8280 ; + RECT 13.1990 0.1570 13.2490 0.4010 ; + RECT 13.1990 0.8280 13.2490 1.3090 ; + RECT 13.2380 0.4510 13.2880 0.6020 ; + RECT 13.2380 0.6520 13.2880 0.7780 ; + RECT 11.9430 0.6270 12.0330 0.6770 ; + RECT 11.9430 0.4270 12.0330 0.4620 ; + RECT 11.7390 0.4770 11.9930 0.5120 ; + RECT 11.7390 0.4620 12.0330 0.4770 ; + RECT 11.9830 0.1260 12.0330 0.4270 ; + RECT 11.9830 0.6770 12.0330 0.7680 ; + RECT 11.9430 0.5120 11.9930 0.6270 ; + RECT 13.4110 0.0930 13.9490 0.1430 ; + RECT 4.2150 0.4980 4.2970 0.5480 ; + RECT 3.7590 0.5580 3.8420 0.6070 ; + RECT 3.7750 0.6080 4.2810 0.6570 ; + LAYER NWELL ; + RECT -0.1150 1.5430 14.8590 1.7730 ; + RECT -0.1150 0.8170 9.9860 1.5430 ; + RECT 12.9190 0.6790 14.8590 1.5430 ; + RECT 3.0400 0.6890 9.9860 0.8170 ; + RECT -0.1150 0.6790 0.7550 0.8170 ; + RECT 6.6120 0.6790 8.8920 0.6890 ; + RECT 10.4480 0.4910 12.4590 1.0830 ; + END +END RDFFSRASRX2_HVT + +MACRO RDFFSRASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.312 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.5270 0.8920 12.0740 0.9420 ; + RECT 11.9510 0.9420 12.0740 0.9670 ; + RECT 11.9510 0.8570 12.0740 0.8920 ; + RECT 11.5270 0.9420 11.5770 1.5640 ; + RECT 11.5270 0.2130 11.5770 0.4520 ; + RECT 12.0230 0.5020 12.0730 0.8570 ; + RECT 11.5270 0.4520 12.0730 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 0.2430 11.5730 0.2850 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.4270 11.5730 0.4690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.1050 1.1610 12.2260 1.2710 ; + RECT 11.8310 0.1160 11.8810 0.2890 ; + RECT 11.8310 0.2890 12.1890 0.3390 ; + RECT 11.8310 1.0420 12.1890 1.0920 ; + RECT 11.8310 1.0920 11.8810 1.5640 ; + RECT 12.1390 1.0920 12.1890 1.1610 ; + RECT 12.1390 0.3390 12.1890 1.0420 ; + END + PORT + LAYER CO ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 11.8350 0.2430 11.8770 0.2850 ; + RECT 11.8350 0.1510 11.8770 0.1930 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.3120 1.7020 ; + RECT 2.5420 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.0330 1.4540 ; + RECT 10.9190 0.9590 10.9690 1.6420 ; + RECT 11.6790 0.9920 11.7290 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.2230 0.9590 11.2730 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.6420 ; + RECT 11.9830 1.1810 12.0330 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.6420 ; + RECT 0.8870 1.2130 1.3930 1.2630 ; + RECT 1.3430 1.1790 1.3930 1.2130 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 7.5750 0.9530 7.6250 1.4040 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 8.9830 1.4540 9.0330 1.6420 ; + END + PORT + LAYER CO ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.3210 1.3890 1.3630 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 1.3470 1.4130 1.3890 1.4550 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.5790 0.9730 7.6210 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2290 1.3890 1.2710 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 1.3130 1.1190 1.3840 ; + RECT 1.0080 1.3840 1.1190 1.4230 ; + RECT 1.0080 1.4230 1.0580 1.5260 ; + RECT 0.7950 1.5260 1.0580 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5320 1.0090 1.5740 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.3120 0.0300 ; + RECT 6.9510 0.3280 7.9840 0.3780 ; + RECT 11.1830 0.2030 11.2890 0.2530 ; + RECT 11.6790 0.2030 11.7750 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.5510 0.0300 9.6010 0.1980 ; + RECT 8.6390 0.0300 8.6890 0.4260 ; + RECT 10.0070 0.0300 10.0570 0.4260 ; + RECT 10.9190 0.0300 10.9690 0.4230 ; + RECT 11.9830 0.0300 12.0330 0.2260 ; + RECT 7.9340 0.0300 7.9840 0.3280 ; + RECT 11.1830 0.0300 11.2330 0.2030 ; + RECT 11.6790 0.2530 11.7290 0.3950 ; + RECT 11.7250 0.0300 11.7750 0.2030 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 2.8790 0.3320 6.1210 0.3550 ; + RECT 2.1230 0.3050 6.1210 0.3320 ; + RECT 0.5830 0.1940 2.1730 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.1230 0.2440 2.1730 0.2820 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 7.7310 0.3320 7.7730 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 11.9870 0.1490 12.0290 0.1910 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 10.0110 0.2660 10.0530 0.3080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.6830 0.2410 11.7250 0.2830 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.3230 0.3080 3.3650 0.3500 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0910 1.3200 6.5610 1.3700 ; + RECT 6.5110 1.0790 7.3510 1.1270 ; + RECT 7.1950 0.9510 7.2450 1.0090 ; + RECT 7.1950 1.0090 7.3510 1.0790 ; + RECT 3.0910 1.3700 3.1410 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 6.5110 1.1270 7.2450 1.1290 ; + RECT 6.5110 1.1290 6.5610 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.1990 0.9710 7.2410 1.0130 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 3.0950 1.3930 3.1370 1.4350 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2110 0.7070 ; + RECT 0.0970 0.5530 0.2110 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.1260 0.2490 10.2410 0.3590 ; + RECT 9.9150 0.5270 10.1900 0.5770 ; + RECT 10.1400 0.3590 10.1900 0.5270 ; + END + PORT + LAYER CO ; + RECT 9.9350 0.5310 9.9770 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6630 5.5730 0.8150 ; + RECT 5.5070 0.6130 5.8930 0.6630 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6630 5.8930 0.7310 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6170 5.8730 0.6590 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.6230 0.9470 10.2410 1.0070 ; + RECT 10.1270 0.6900 10.2410 0.9470 ; + RECT 10.0070 0.6270 10.0570 0.9470 ; + END + PORT + LAYER CO ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.7430 10.0530 0.7850 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 8.6430 0.9560 8.6850 0.9980 ; + RECT 9.5550 0.9560 9.5970 0.9980 ; + RECT 10.1630 0.7100 10.2050 0.7520 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.1630 0.8620 10.2050 0.9040 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + END + END VDDG + OBS + LAYER CO ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.3790 0.2490 11.4210 0.2910 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7620 1.5410 0.8040 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 9.6310 0.4660 9.6730 0.5080 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9460 1.2370 0.9880 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.8240 9.4450 0.8660 ; + RECT 9.0990 0.3680 9.1410 0.4100 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3030 0.0970 11.3450 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 1.1950 0.7620 1.2370 0.8040 ; + RECT 11.9110 0.6640 11.9530 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 1.8790 0.0900 1.9210 0.1320 ; + RECT 3.1710 1.1240 3.2130 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8540 1.0850 0.8960 ; + RECT 1.0430 0.7620 1.0850 0.8040 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.7430 0.0900 6.7850 0.1320 ; + RECT 1.0430 0.9460 1.0850 0.9880 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 9.8590 0.6800 9.9010 0.7220 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.3790 0.3410 11.4210 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 9.1750 1.2030 9.2170 1.2450 ; + RECT 8.8710 1.0640 8.9130 1.1060 ; + RECT 11.3030 0.6060 11.3450 0.6480 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 8.7950 0.6480 8.8370 0.6900 ; + RECT 8.1110 1.2030 8.1530 1.2450 ; + RECT 8.7950 0.6480 8.8370 0.6900 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 8.9470 0.2520 8.9890 0.2940 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 9.4790 1.0720 9.5210 1.1140 ; + RECT 8.7950 0.8320 8.8370 0.8740 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0900 1.7690 0.1320 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.7950 0.7400 8.8370 0.7820 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.5790 0.5580 7.6210 0.6000 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.5670 0.5310 8.6090 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + RECT 7.0470 0.6620 7.0890 0.7040 ; + RECT 7.3510 0.6620 7.3930 0.7040 ; + RECT 2.0310 0.0900 2.0730 0.1320 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.5910 0.0900 6.6330 0.1320 ; + RECT 6.8950 0.0900 6.9370 0.1320 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 8.9470 0.8240 8.9890 0.8660 ; + RECT 7.4270 0.4340 7.4690 0.4760 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.0190 0.4090 3.0610 0.4510 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 9.2510 0.7130 9.2930 0.7550 ; + RECT 9.7070 0.7130 9.7490 0.7550 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 9.8590 0.2690 9.9010 0.3110 ; + RECT 9.0990 0.6770 9.1410 0.7190 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.1110 0.8050 8.1530 0.8470 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 11.6070 0.0970 11.6490 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 9.2510 0.1360 9.2930 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.4910 0.7430 8.5330 0.7850 ; + RECT 9.7070 0.2520 9.7490 0.2940 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 7.4270 0.2160 7.4690 0.2580 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 9.9350 1.0720 9.9770 1.1140 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 8.7950 0.8320 8.8370 0.8740 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 0.7390 0.9460 0.7810 0.9880 ; + RECT 0.7390 0.7620 0.7810 0.8040 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.6550 0.0900 7.6970 0.1320 ; + RECT 1.1950 0.8540 1.2370 0.8960 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7640 6.9370 0.8060 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 0.7390 0.8540 0.7810 0.8960 ; + RECT 1.4990 0.9460 1.5410 0.9880 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8540 1.5410 0.8960 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.7310 0.9630 7.7730 1.0050 ; + LAYER PO ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.0730 7.6910 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7280 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.5090 0.0730 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6450 ; + RECT 1.7330 0.0660 1.7630 0.6820 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6120 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6120 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.7650 0.0710 11.7950 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6450 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6820 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0690 11.6430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.4610 0.0710 11.4910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.2210 0.0710 12.2510 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.9650 0.0670 7.9950 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + LAYER M1 ; + RECT 11.0710 0.1570 11.1210 0.4010 ; + RECT 11.1100 0.4510 11.1600 0.6020 ; + RECT 11.0710 0.8280 11.1210 1.3090 ; + RECT 11.1100 0.6520 11.1600 0.7780 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8150 0.4270 9.9050 0.4620 ; + RECT 9.6110 0.4770 9.8650 0.5120 ; + RECT 9.6110 0.4620 9.9050 0.4770 ; + RECT 9.8550 0.1260 9.9050 0.4270 ; + RECT 9.8550 0.6770 9.9050 0.7680 ; + RECT 9.8150 0.5120 9.8650 0.6270 ; + RECT 11.2830 0.0930 11.6690 0.1430 ; + RECT 2.4670 0.9680 4.0610 1.0180 ; + RECT 4.0110 0.6850 4.2050 0.7350 ; + RECT 4.1550 0.7350 4.2050 0.7510 ; + RECT 4.1550 0.6690 4.2050 0.6850 ; + RECT 4.0110 0.7350 4.0610 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0870 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5110 0.7670 6.6530 0.8170 ; + RECT 6.5110 0.6580 7.1090 0.7080 ; + RECT 6.5110 0.7080 6.5610 0.7670 ; + RECT 6.8750 0.7600 7.0240 0.8100 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8100 7.0240 0.9670 ; + RECT 9.2310 0.7090 9.7690 0.7590 ; + RECT 8.9270 0.8200 9.4750 0.8700 ; + RECT 9.4590 1.0680 9.9970 1.1180 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 1.5550 1.5240 2.8530 1.5740 ; + RECT 6.2650 1.5200 8.9330 1.5700 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0080 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0080 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 1.7990 0.8540 3.9170 0.9040 ; + RECT 1.7990 0.4980 2.3210 0.5480 ; + RECT 1.7990 0.4130 1.8490 0.4980 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.5480 1.8490 0.8540 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 0.4310 1.0580 1.6980 1.1080 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6470 1.1080 1.6970 1.2960 ; + RECT 1.6470 0.8630 1.6970 1.0580 ; + RECT 0.4310 1.1080 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0580 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 8.5470 0.5270 9.1450 0.5770 ; + RECT 8.7910 0.5770 8.8410 0.8970 ; + RECT 8.7910 0.1260 8.8410 0.5270 ; + RECT 9.0950 0.5770 9.1450 0.7700 ; + RECT 9.0950 0.3480 9.1450 0.5270 ; + RECT 2.7710 0.0880 6.9570 0.1380 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 10.5170 0.6320 11.0450 0.6820 ; + RECT 10.9950 0.5970 11.0450 0.6320 ; + RECT 10.5170 0.6820 10.5670 1.1990 ; + RECT 8.0910 1.1990 10.5670 1.2490 ; + RECT 1.0980 0.0880 2.0990 0.1380 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.4950 0.7630 1.5450 1.0080 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0080 ; + RECT 2.9910 0.4050 3.9960 0.4550 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 2.1580 0.7380 3.2180 0.7880 ; + RECT 2.8470 1.1200 4.3170 1.1700 ; + RECT 3.1670 0.5050 4.3170 0.5550 ; + RECT 3.1670 0.5550 3.2170 0.7380 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 6.6630 0.4300 7.4970 0.4800 ; + RECT 6.6640 1.1790 7.5250 1.2290 ; + RECT 7.4750 0.7570 7.5250 0.7580 ; + RECT 7.1590 0.4800 7.2090 0.7580 ; + RECT 7.1590 0.7580 7.5250 0.8080 ; + RECT 7.4750 0.8080 7.5250 1.1790 ; + RECT 6.6630 0.4800 6.7130 0.5630 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 7.3470 0.5300 7.6250 0.5800 ; + RECT 7.7270 0.8510 7.7770 1.3010 ; + RECT 7.5750 0.8010 8.1730 0.8510 ; + RECT 7.5750 0.8510 7.6250 0.8520 ; + RECT 7.5750 0.5800 7.6250 0.8010 ; + RECT 7.3310 0.6580 7.4130 0.7080 ; + RECT 7.3470 0.5800 7.3970 0.6580 ; + RECT 8.9270 0.2480 9.7690 0.2980 ; + RECT 7.4230 0.0880 7.7230 0.1380 ; + RECT 7.4230 0.1380 7.4730 0.2780 ; + RECT 9.2310 0.1320 9.4650 0.1820 ; + RECT 8.4870 1.0600 8.9360 1.1100 ; + RECT 8.4470 0.4270 8.5370 0.4770 ; + RECT 8.4470 0.6270 8.5370 0.6770 ; + RECT 8.4870 0.1260 8.5370 0.4270 ; + RECT 8.4470 0.4770 8.4970 0.6270 ; + RECT 8.4870 0.6770 8.5370 1.0600 ; + RECT 11.4150 0.6600 11.9730 0.7100 ; + RECT 11.3750 0.4010 11.4650 0.4510 ; + RECT 11.3750 0.7780 11.4650 0.8280 ; + RECT 11.3750 0.2250 11.4250 0.4010 ; + RECT 11.4150 0.4510 11.4650 0.6600 ; + RECT 11.3750 0.8280 11.4250 1.3090 ; + RECT 11.4150 0.7100 11.4650 0.7780 ; + RECT 11.1100 0.6020 11.3650 0.6520 ; + RECT 11.0710 0.4010 11.1600 0.4510 ; + RECT 11.0710 0.7780 11.1600 0.8280 ; + LAYER NWELL ; + RECT 8.3200 0.4910 10.3310 1.0830 ; + RECT -0.0870 1.5430 12.3850 1.7730 ; + RECT -0.0870 0.6870 7.8580 1.5430 ; + RECT 10.7910 0.6790 12.3850 1.5430 ; + RECT -0.0870 0.6820 0.6840 0.6870 ; + RECT -0.0870 0.6790 0.1850 0.6820 ; + END +END RDFFSRASX1_HVT + +MACRO RDFFSRASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.616 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.5270 0.9420 11.5770 1.5640 ; + RECT 11.8310 0.9420 11.8810 1.5640 ; + RECT 11.5270 0.8920 12.3910 0.9420 ; + RECT 12.2570 0.8570 12.3910 0.8920 ; + RECT 11.5270 0.2130 11.5770 0.4520 ; + RECT 12.3410 0.5020 12.3910 0.8570 ; + RECT 11.5270 0.4520 12.3910 0.5020 ; + RECT 12.2570 0.9420 12.3690 0.9670 ; + END + PORT + LAYER CO ; + RECT 11.5310 0.2430 11.5730 0.2850 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 0.4560 11.8770 0.4980 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.5310 0.3350 11.5730 0.3770 ; + RECT 11.5310 0.4270 11.5730 0.4690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.0270 11.5730 1.0690 ; + RECT 11.5310 1.3030 11.5730 1.3450 ; + RECT 11.5310 1.1190 11.5730 1.1610 ; + RECT 11.5310 1.4870 11.5730 1.5290 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.5310 1.2110 11.5730 1.2530 ; + RECT 11.8350 0.4560 11.8770 0.4980 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.5310 1.3950 11.5730 1.4370 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.4090 1.0920 12.5210 1.1190 ; + RECT 12.4090 1.0090 12.5210 1.0420 ; + RECT 11.9830 0.2890 12.4990 0.3390 ; + RECT 11.9830 1.0420 12.5210 1.0920 ; + RECT 12.2870 1.0920 12.3370 1.5640 ; + RECT 12.4490 0.3390 12.4990 1.0090 ; + RECT 11.9830 0.1160 12.0330 0.2890 ; + RECT 11.9830 1.0920 12.0330 1.5640 ; + END + PORT + LAYER CO ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.9870 0.2430 12.0290 0.2850 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 12.2910 0.2930 12.3330 0.3350 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.6160 1.7020 ; + RECT 2.5420 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.0330 1.4540 ; + RECT 10.9190 0.9590 10.9690 1.6420 ; + RECT 11.2230 0.9590 11.2730 1.6420 ; + RECT 11.6790 0.9920 11.7290 1.6420 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.6420 ; + RECT 12.1350 1.1810 12.1850 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.6420 ; + RECT 0.8870 1.2130 1.3930 1.2630 ; + RECT 1.3430 1.1790 1.3930 1.2130 ; + RECT 2.9510 1.2700 3.0010 1.6420 ; + RECT 7.5750 0.9530 7.6250 1.4040 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 8.9830 1.4540 9.0330 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.3210 1.3890 1.3630 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.3470 1.4130 1.3890 1.4550 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 11.2270 1.0040 11.2690 1.0460 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.5790 1.1570 7.6210 1.1990 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 7.5790 1.0650 7.6210 1.1070 ; + RECT 11.2270 1.0960 11.2690 1.1380 ; + RECT 11.2270 1.1880 11.2690 1.2300 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.1880 10.9650 1.2300 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 10.9230 1.2800 10.9650 1.3220 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 10.9230 1.0960 10.9650 1.1380 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2290 1.3890 1.2710 ; + RECT 11.2270 1.2800 11.2690 1.3220 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.5630 1.2240 2.6050 1.2660 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.5790 1.2490 7.6210 1.2910 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.5790 0.9730 7.6210 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + RECT 10.9230 1.0040 10.9650 1.0460 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 1.3130 1.1190 1.3840 ; + RECT 1.0080 1.3840 1.1190 1.4230 ; + RECT 1.0080 1.4230 1.0580 1.5240 ; + RECT 0.7950 1.5240 1.0580 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.6160 0.0300 ; + RECT 6.9510 0.3280 7.9840 0.3780 ; + RECT 11.1830 0.2030 11.2890 0.2530 ; + RECT 11.6790 0.2030 11.9320 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.5730 ; + RECT 9.5510 0.0300 9.6010 0.1980 ; + RECT 8.6390 0.0300 8.6890 0.4260 ; + RECT 10.0070 0.0300 10.0570 0.4260 ; + RECT 10.9190 0.0300 10.9690 0.4230 ; + RECT 12.1350 0.0300 12.1850 0.2260 ; + RECT 7.9340 0.0300 7.9840 0.3280 ; + RECT 11.1830 0.0300 11.2330 0.2030 ; + RECT 11.6790 0.2530 11.7290 0.3950 ; + RECT 11.8820 0.0300 11.9320 0.2030 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 2.8790 0.3320 6.1210 0.3550 ; + RECT 2.1230 0.3050 6.1210 0.3320 ; + RECT 0.5830 0.1940 2.1730 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.1230 0.2440 2.1730 0.2820 ; + RECT 2.1230 0.2820 2.9290 0.3050 ; + END + PORT + LAYER CO ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 10.9230 0.3610 10.9650 0.4030 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 2.8670 0.2860 2.9090 0.3280 ; + RECT 10.0110 0.2660 10.0530 0.3080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 7.7310 0.3320 7.7730 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 11.6830 0.2410 11.7250 0.2830 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 11.2270 0.2070 11.2690 0.2490 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 10.0110 0.1740 10.0530 0.2160 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 11.6830 0.3330 11.7250 0.3750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 10.9230 0.1770 10.9650 0.2190 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + RECT 2.5630 0.2860 2.6050 0.3280 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 10.0110 0.3580 10.0530 0.4000 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.3230 0.3080 3.3650 0.3500 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 10.9230 0.2690 10.9650 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0910 1.3200 6.5610 1.3700 ; + RECT 6.5110 1.0790 7.3510 1.1270 ; + RECT 7.1950 0.9510 7.2450 1.0090 ; + RECT 7.1950 1.0090 7.3510 1.0790 ; + RECT 3.0910 1.3700 3.1410 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 6.5110 1.1270 7.2450 1.1290 ; + RECT 6.5110 1.1290 6.5610 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 3.0950 1.3930 3.1370 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 7.1990 0.9710 7.2410 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.5530 0.2160 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.1290 0.2490 10.2410 0.3590 ; + RECT 9.9150 0.5270 10.1900 0.5770 ; + RECT 10.1400 0.3590 10.1900 0.5270 ; + END + PORT + LAYER CO ; + RECT 9.9350 0.5310 9.9770 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6630 5.5730 0.8150 ; + RECT 5.5070 0.6130 5.8930 0.6630 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6630 5.8930 0.7310 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6170 5.8730 0.6590 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.6230 0.9420 10.2410 1.0020 ; + RECT 10.1290 0.6900 10.2410 0.9420 ; + RECT 10.0070 0.6270 10.0570 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.6510 10.0530 0.6930 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 9.5550 0.9510 9.5970 0.9930 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.0110 0.7430 10.0530 0.7850 ; + RECT 8.6430 0.9510 8.6850 0.9930 ; + RECT 10.0110 0.8350 10.0530 0.8770 ; + RECT 10.1630 0.7100 10.2050 0.7520 ; + RECT 10.0110 0.9270 10.0530 0.9690 ; + RECT 10.1630 0.8620 10.2050 0.9040 ; + END + END VDDG + OBS + LAYER CO ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 12.0630 0.6640 12.1050 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 2.1070 0.3860 2.1490 0.4280 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.1710 1.1240 3.2130 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 2.7150 1.0720 2.7570 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 2.8670 1.1260 2.9090 1.1680 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 9.8590 0.6800 9.9010 0.7220 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 2.9430 0.8580 2.9850 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.0190 0.4090 3.0610 0.4510 ; + RECT 11.3790 1.2470 11.4210 1.2890 ; + RECT 9.2510 0.7130 9.2930 0.7550 ; + RECT 9.7070 0.7130 9.7490 0.7550 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.8240 9.4450 0.8660 ; + RECT 9.0990 0.3680 9.1410 0.4100 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3030 0.0970 11.3450 0.1390 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 8.7950 0.6380 8.8370 0.6800 ; + RECT 8.1110 1.2030 8.1530 1.2450 ; + RECT 8.7950 0.6380 8.8370 0.6800 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 8.4910 0.1620 8.5330 0.2040 ; + RECT 8.9470 0.2520 8.9890 0.2940 ; + RECT 8.4910 0.2540 8.5330 0.2960 ; + RECT 8.8710 1.5240 8.9130 1.5660 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 9.4790 1.0620 9.5210 1.1040 ; + RECT 8.7950 0.8220 8.8370 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.7950 0.7300 8.8370 0.7720 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 2.7910 0.6330 2.8330 0.6750 ; + RECT 7.5790 0.5580 7.6210 0.6000 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 2.7150 0.3860 2.7570 0.4280 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.5670 0.5310 8.6090 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 9.8590 0.2690 9.9010 0.3110 ; + RECT 9.0990 0.6770 9.1410 0.7190 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.3790 0.2490 11.4210 0.2910 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 11.3790 1.0630 11.4210 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 9.6310 0.4660 9.6730 0.5080 ; + RECT 9.8590 0.3610 9.9010 0.4030 ; + RECT 11.3790 0.9710 11.4210 1.0130 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 2.4870 0.9710 2.5290 1.0130 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 9.1750 1.2030 9.2170 1.2450 ; + RECT 8.8710 1.0620 8.9130 1.1040 ; + RECT 11.3030 0.6060 11.3450 0.6480 ; + RECT 8.4910 0.9270 8.5330 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.1110 0.8050 8.1530 0.8470 ; + RECT 11.0750 1.2470 11.1170 1.2890 ; + RECT 2.6390 0.9710 2.6810 1.0130 ; + RECT 11.6070 0.0970 11.6490 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 11.0750 1.0630 11.1170 1.1050 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 11.0750 1.1550 11.1170 1.1970 ; + RECT 2.6390 0.6330 2.6810 0.6750 ; + RECT 3.6270 0.5090 3.6690 0.5510 ; + RECT 2.3350 0.7420 2.3770 0.7840 ; + RECT 7.0470 0.6640 7.0890 0.7060 ; + RECT 7.3510 0.6640 7.3930 0.7060 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.4870 0.6330 2.5290 0.6750 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 11.0750 0.8790 11.1170 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 8.9470 0.8240 8.9890 0.8660 ; + RECT 7.4270 0.4340 7.4690 0.4760 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.6550 0.0920 7.6970 0.1340 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 10.9990 0.6170 11.0410 0.6590 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7650 6.9370 0.8070 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.4870 1.4280 2.5290 1.4700 ; + RECT 1.5750 1.5280 1.6170 1.5700 ; + RECT 7.7310 1.2390 7.7730 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.4910 0.6510 8.5330 0.6930 ; + RECT 9.8590 0.1770 9.9010 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 11.3790 1.1550 11.4210 1.1970 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 11.7590 0.0970 11.8010 0.1390 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 9.2510 0.1360 9.2930 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.4910 0.7430 8.5330 0.7850 ; + RECT 9.7070 0.2520 9.7490 0.2940 ; + RECT 8.4910 0.3460 8.5330 0.3880 ; + RECT 7.4270 0.2160 7.4690 0.2580 ; + RECT 7.7310 1.0550 7.7730 1.0970 ; + RECT 9.9350 1.0620 9.9770 1.1040 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.4910 0.8350 8.5330 0.8770 ; + RECT 7.7310 1.1470 7.7730 1.1890 ; + RECT 8.7950 0.8220 8.8370 0.8640 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.4110 0.3860 2.4530 0.4280 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.2590 0.5020 2.3010 0.5440 ; + RECT 11.3790 0.8790 11.4210 0.9210 ; + RECT 11.0750 0.9710 11.1170 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 2.7910 1.5280 2.8330 1.5700 ; + RECT 7.7310 0.9630 7.7730 1.0050 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.3790 0.3410 11.4210 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + LAYER PO ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6950 ; + RECT 2.4930 0.0660 2.5230 0.6950 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 7.6610 0.0730 7.6910 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7280 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 7.5090 0.0730 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0710 11.9470 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.7650 0.0710 11.7950 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6470 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 2.6450 0.9390 2.6750 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 11.6130 0.0690 11.6430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.4610 0.0710 11.4910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.5250 0.0710 12.5550 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.4930 0.9390 2.5230 1.6060 ; + RECT 7.9650 0.0670 7.9950 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + LAYER M1 ; + RECT 11.3750 0.2250 11.4250 0.4010 ; + RECT 11.4150 0.4510 11.4650 0.6600 ; + RECT 11.3750 0.8280 11.4250 1.3090 ; + RECT 11.4150 0.7100 11.4650 0.7780 ; + RECT 11.1100 0.6020 11.3650 0.6520 ; + RECT 11.0710 0.4010 11.1600 0.4510 ; + RECT 11.0710 0.7780 11.1600 0.8280 ; + RECT 11.0710 0.1570 11.1210 0.4010 ; + RECT 11.1100 0.4510 11.1600 0.6020 ; + RECT 11.0710 0.8280 11.1210 1.3090 ; + RECT 11.1100 0.6520 11.1600 0.7780 ; + RECT 11.2830 0.0930 11.8270 0.1430 ; + RECT 2.4670 0.9680 4.0610 1.0180 ; + RECT 4.0110 0.6850 4.2050 0.7350 ; + RECT 4.1550 0.7350 4.2050 0.7510 ; + RECT 4.1550 0.6690 4.2050 0.6850 ; + RECT 4.0110 0.7350 4.0610 0.9680 ; + RECT 2.4070 1.0680 2.7770 1.1180 ; + RECT 2.0870 1.2920 2.4730 1.3420 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.4070 1.1180 2.4570 1.2920 ; + RECT 2.4670 0.6290 2.8530 0.6790 ; + RECT 6.8750 0.7610 7.0240 0.8110 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8110 7.0240 0.9670 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5870 0.6600 7.1090 0.7100 ; + RECT 6.5870 0.7100 6.6370 0.8460 ; + RECT 9.2310 0.7090 9.7690 0.7590 ; + RECT 9.4590 1.0580 9.9970 1.1080 ; + RECT 8.9270 0.8200 9.4750 0.8700 ; + RECT 1.5550 1.5240 2.8530 1.5740 ; + RECT 2.0110 1.4240 2.5490 1.4740 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 6.2650 1.5200 8.9330 1.5700 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 1.7990 0.8540 3.9170 0.9040 ; + RECT 1.7990 0.4980 2.3210 0.5480 ; + RECT 1.7990 0.4130 1.8490 0.4980 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.5480 1.8490 0.8540 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 8.5470 0.5270 9.1450 0.5770 ; + RECT 8.7910 0.5770 8.8410 0.8870 ; + RECT 8.7910 0.1260 8.8410 0.5270 ; + RECT 9.0950 0.5770 9.1450 0.7700 ; + RECT 9.0950 0.3480 9.1450 0.5270 ; + RECT 2.7710 0.0880 6.9570 0.1380 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 10.5170 0.6320 11.0450 0.6820 ; + RECT 10.9950 0.5970 11.0450 0.6320 ; + RECT 10.5170 0.6820 10.5670 1.1990 ; + RECT 8.0910 1.1990 10.5670 1.2490 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.0980 0.0880 2.0990 0.1380 ; + RECT 2.8470 1.1200 4.3170 1.1700 ; + RECT 2.1540 0.7380 3.2180 0.7880 ; + RECT 3.1670 0.5050 4.3170 0.5550 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 3.1670 0.5550 3.2170 0.7380 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 2.9910 0.4050 3.9960 0.4550 ; + RECT 2.0870 0.3820 2.7770 0.4320 ; + RECT 6.6630 0.4300 7.4970 0.4800 ; + RECT 6.6640 1.1790 7.4680 1.2290 ; + RECT 7.4180 0.8170 7.4680 1.1790 ; + RECT 7.1590 0.7670 7.4680 0.8170 ; + RECT 7.1590 0.4800 7.2090 0.7670 ; + RECT 6.6630 0.4800 6.7130 0.5630 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 7.3470 0.5300 7.6250 0.5800 ; + RECT 7.7270 0.8510 7.7770 1.3010 ; + RECT 7.5750 0.8010 8.1730 0.8510 ; + RECT 7.5750 0.8510 7.6250 0.8520 ; + RECT 7.5750 0.5800 7.6250 0.8010 ; + RECT 7.3310 0.6600 7.4130 0.7100 ; + RECT 7.3470 0.5800 7.3970 0.6600 ; + RECT 8.9270 0.2480 9.7690 0.2980 ; + RECT 9.8150 0.6270 9.9050 0.6770 ; + RECT 9.8150 0.4270 9.9050 0.4620 ; + RECT 9.6110 0.4770 9.8650 0.5120 ; + RECT 9.6110 0.4620 9.9050 0.4770 ; + RECT 9.8550 0.1260 9.9050 0.4270 ; + RECT 9.8550 0.6770 9.9050 0.7680 ; + RECT 9.8150 0.5120 9.8650 0.6270 ; + RECT 7.4230 0.0880 7.7230 0.1380 ; + RECT 7.4230 0.1380 7.4730 0.2780 ; + RECT 9.2310 0.1320 9.4650 0.1820 ; + RECT 8.4870 1.0580 8.9360 1.1080 ; + RECT 8.4470 0.4270 8.5370 0.4770 ; + RECT 8.4470 0.6270 8.5370 0.6770 ; + RECT 8.4870 0.1260 8.5370 0.4270 ; + RECT 8.4470 0.4770 8.4970 0.6270 ; + RECT 8.4870 0.6770 8.5370 1.0580 ; + RECT 11.4150 0.6600 12.2840 0.7100 ; + RECT 11.3750 0.4010 11.4650 0.4510 ; + RECT 11.3750 0.7780 11.4650 0.8280 ; + LAYER NWELL ; + RECT -0.0760 1.5430 12.7060 1.7730 ; + RECT -0.0760 0.6910 7.8580 1.5430 ; + RECT 10.7910 0.6790 12.7060 1.5430 ; + RECT 2.0520 0.6890 7.8580 0.6910 ; + RECT -0.0760 0.6790 1.5960 0.6910 ; + RECT 2.0520 0.6790 6.9160 0.6890 ; + RECT 8.3200 0.4910 10.3310 1.0830 ; + END +END RDFFSRASX2_HVT + +MACRO PMT2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.912 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.9670 0.3290 1.5550 ; + RECT 0.2490 0.8560 0.3590 0.9670 ; + RECT 0.2490 0.8310 0.6330 0.8560 ; + RECT 0.2790 0.8060 0.6330 0.8310 ; + RECT 0.5830 0.8560 0.6330 1.5550 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.0330 0.6290 1.0750 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 0.5870 1.2170 0.6290 1.2590 ; + RECT 0.5870 1.3090 0.6290 1.3510 ; + RECT 0.5870 1.4010 0.6290 1.4430 ; + RECT 0.5870 1.4930 0.6290 1.5350 ; + RECT 0.5870 1.1250 0.6290 1.1670 ; + RECT 0.2830 1.4010 0.3250 1.4430 ; + RECT 0.2830 1.4930 0.3250 1.5350 ; + RECT 0.2830 1.1250 0.3250 1.1670 ; + RECT 0.2830 1.2170 0.3250 1.2590 ; + RECT 0.2830 1.3090 0.3250 1.3510 ; + RECT 0.2830 0.8490 0.3250 0.8910 ; + RECT 0.2830 0.9410 0.3250 0.9830 ; + RECT 0.2830 1.0330 0.3250 1.0750 ; + END + ANTENNADIFFAREA 0.1632 ; + ANTENNAGATEAREA 0.1632 ; + END S + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.9120 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4590 0.5110 1.5750 ; + RECT 0.4310 0.9210 0.4810 1.4590 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.2170 0.4770 1.2590 ; + RECT 0.4350 1.3090 0.4770 1.3510 ; + RECT 0.4350 1.0330 0.4770 1.0750 ; + RECT 0.4350 0.9410 0.4770 0.9830 ; + RECT 0.4350 1.1250 0.4770 1.1670 ; + RECT 0.4350 1.4930 0.4770 1.5350 ; + RECT 0.4350 1.4010 0.4770 1.4430 ; + END + ANTENNADIFFAREA 0.0976 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.9120 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6590 0.5730 0.7090 ; + RECT 0.4010 0.5530 0.5110 0.6590 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6630 0.5530 0.7050 ; + RECT 0.3590 0.6630 0.4010 0.7050 ; + END + ANTENNAGATEAREA 0.048 ; + END G + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.0270 1.7730 ; + LAYER PO ; + RECT 0.0610 0.6430 0.0910 1.6050 ; + RECT 0.2130 0.6430 0.2430 1.6050 ; + RECT 0.3650 0.6430 0.3950 1.6050 ; + RECT 0.5170 0.6430 0.5470 1.6050 ; + RECT 0.6690 0.6430 0.6990 1.6010 ; + RECT 0.8210 0.6430 0.8510 1.6050 ; + END +END PMT2_HVT + +MACRO PMT3_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6590 0.8770 0.7090 ; + RECT 0.4010 0.5530 0.5110 0.6590 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6630 0.8570 0.7050 ; + RECT 0.3590 0.6630 0.4010 0.7050 ; + RECT 0.5110 0.6630 0.5530 0.7050 ; + RECT 0.6630 0.6630 0.7050 0.7050 ; + END + ANTENNAGATEAREA 0.096 ; + END G + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.5050 0.7850 1.5550 ; + RECT 0.4010 1.5550 0.5110 1.5750 ; + RECT 0.4310 0.9210 0.4810 1.4590 ; + RECT 0.4010 1.4590 0.5110 1.5050 ; + RECT 0.7350 0.9210 0.7850 1.5050 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.4930 0.4770 1.5350 ; + RECT 0.4350 1.0330 0.4770 1.0750 ; + RECT 0.4350 1.2170 0.4770 1.2590 ; + RECT 0.4350 0.9410 0.4770 0.9830 ; + RECT 0.7390 1.1250 0.7810 1.1670 ; + RECT 0.4350 1.1250 0.4770 1.1670 ; + RECT 0.7390 1.4930 0.7810 1.5350 ; + RECT 0.4350 1.3090 0.4770 1.3510 ; + RECT 0.4350 1.4010 0.4770 1.4430 ; + RECT 0.7390 1.3090 0.7810 1.3510 ; + RECT 0.7390 1.2170 0.7810 1.2590 ; + RECT 0.7390 0.9410 0.7810 0.9830 ; + RECT 0.7390 1.0330 0.7810 1.0750 ; + RECT 0.7390 1.4010 0.7810 1.4430 ; + END + ANTENNADIFFAREA 0.1952 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + END + END VDD + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.9730 0.3290 1.5550 ; + RECT 0.2490 0.8680 0.3590 0.9730 ; + RECT 0.2490 0.8430 0.9370 0.8680 ; + RECT 0.2790 0.8180 0.9370 0.8430 ; + RECT 0.5830 0.8680 0.6330 1.3710 ; + RECT 0.8870 0.8680 0.9370 1.5550 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.4010 0.9330 1.4430 ; + RECT 0.5870 0.8490 0.6290 0.8910 ; + RECT 0.8910 0.9410 0.9330 0.9830 ; + RECT 0.5870 1.2170 0.6290 1.2590 ; + RECT 0.5870 0.9410 0.6290 0.9830 ; + RECT 0.5870 1.0330 0.6290 1.0750 ; + RECT 0.8910 0.8490 0.9330 0.8910 ; + RECT 0.8910 1.0330 0.9330 1.0750 ; + RECT 0.5870 1.3090 0.6290 1.3510 ; + RECT 0.2830 0.9410 0.3250 0.9830 ; + RECT 0.2830 0.8490 0.3250 0.8910 ; + RECT 0.8910 1.2170 0.9330 1.2590 ; + RECT 0.8910 1.3090 0.9330 1.3510 ; + RECT 0.2830 1.2170 0.3250 1.2590 ; + RECT 0.2830 1.3090 0.3250 1.3510 ; + RECT 0.2830 1.4010 0.3250 1.4430 ; + RECT 0.2830 1.4930 0.3250 1.5350 ; + RECT 0.2830 1.0330 0.3250 1.0750 ; + RECT 0.2830 1.1250 0.3250 1.1670 ; + RECT 0.8910 1.1250 0.9330 1.1670 ; + RECT 0.8910 1.4930 0.9330 1.5350 ; + RECT 0.5870 1.1250 0.6290 1.1670 ; + END + ANTENNADIFFAREA 0.2608 ; + ANTENNAGATEAREA 0.2608 ; + END S + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER PO ; + RECT 0.9730 0.6430 1.0030 1.6050 ; + RECT 0.5170 0.6430 0.5470 1.6050 ; + RECT 0.6690 0.6430 0.6990 1.6050 ; + RECT 0.8210 0.6430 0.8510 1.6050 ; + RECT 1.1250 0.6430 1.1550 1.6050 ; + RECT 0.3650 0.6430 0.3950 1.6050 ; + RECT 0.2130 0.6430 0.2430 1.6050 ; + RECT 0.0610 0.6430 0.0910 1.6050 ; + END +END PMT3_HVT + +MACRO RDFFARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.352 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1280 0.8570 5.2890 0.9670 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.8890 5.2650 0.9310 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.1830 0.9500 14.6620 1.0100 ; + RECT 14.5400 0.6900 14.6620 0.9500 ; + END + PORT + LAYER CO ; + RECT 14.5710 0.8620 14.6130 0.9040 ; + RECT 13.2030 0.9590 13.2450 1.0010 ; + RECT 14.5710 0.7100 14.6130 0.7520 ; + RECT 14.2670 0.9590 14.3090 1.0010 ; + RECT 13.9630 0.9590 14.0050 1.0010 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4600 1.1770 2.6220 1.2270 ; + RECT 2.5840 0.7630 3.6160 0.8130 ; + RECT 0.0970 1.2480 1.5100 1.2980 ; + RECT 0.0970 1.1610 0.2070 1.2480 ; + RECT 1.4600 1.1700 1.5100 1.1770 ; + RECT 2.5720 1.0340 2.6220 1.1770 ; + RECT 2.5720 0.9840 3.6160 1.0340 ; + RECT 3.5660 0.8130 3.6160 0.9840 ; + RECT 1.4600 1.2270 1.5100 1.2480 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.7670 3.5930 0.8090 ; + RECT 3.0950 0.7670 3.1370 0.8090 ; + RECT 2.6390 0.7670 2.6810 0.8090 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.3520 0.0300 ; + RECT 9.2310 0.2830 11.7290 0.3330 ; + RECT 14.2630 0.0300 14.3130 0.3120 ; + RECT 12.1350 0.0300 12.1850 0.2410 ; + RECT 13.9590 0.0300 14.0090 0.2020 ; + RECT 13.1990 0.0300 13.2490 0.2060 ; + RECT 0.7350 0.0300 0.7850 0.5570 ; + RECT 1.0390 0.0300 1.0890 0.5570 ; + RECT 0.4310 0.0300 0.4810 0.5570 ; + RECT 11.6790 0.3330 11.7290 0.4430 ; + RECT 11.6640 0.0300 11.7140 0.2830 ; + RECT 4.0790 0.2380 4.1290 0.4570 ; + RECT 4.3830 0.2380 4.4330 0.4570 ; + RECT 4.8390 0.2910 4.8890 0.4570 ; + RECT 4.5350 0.2380 4.5850 0.4570 ; + RECT 4.8390 0.2410 5.8990 0.2910 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 5.8490 0.2910 5.8990 0.3070 ; + RECT 5.8490 0.3070 8.4060 0.3570 ; + RECT 1.4790 0.0300 1.5290 0.3070 ; + RECT 3.7750 0.3570 3.8250 0.3620 ; + RECT 1.4790 0.3070 3.8250 0.3570 ; + RECT 3.7750 0.2380 3.8250 0.3070 ; + RECT 4.8390 0.2380 4.8890 0.2410 ; + RECT 3.7750 0.1880 4.8890 0.2380 ; + END + PORT + LAYER CO ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.2990 0.2450 5.3410 0.2870 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.5390 0.2110 4.5810 0.2530 ; + RECT 4.8430 0.2110 4.8850 0.2530 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 4.8430 0.3030 4.8850 0.3450 ; + RECT 4.8430 0.3950 4.8850 0.4370 ; + RECT 4.5390 0.3030 4.5810 0.3450 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 1.4990 0.3110 1.5410 0.3530 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 4.5390 0.3950 4.5810 0.4370 ; + RECT 11.3790 0.2870 11.4210 0.3290 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 10.1630 0.2870 10.2050 0.3290 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 4.3870 0.3030 4.4290 0.3450 ; + RECT 4.3870 0.2110 4.4290 0.2530 ; + RECT 4.3870 0.3950 4.4290 0.4370 ; + RECT 12.1390 0.1720 12.1810 0.2140 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.4030 0.4770 0.4450 ; + RECT 0.4350 0.4950 0.4770 0.5370 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 0.7390 0.3110 0.7810 0.3530 ; + RECT 0.7390 0.4950 0.7810 0.5370 ; + RECT 0.7390 0.4030 0.7810 0.4450 ; + RECT 5.9070 0.3120 5.9490 0.3540 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 2.1070 0.3110 2.1490 0.3530 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.7790 0.3000 3.8210 0.3420 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 14.2670 0.1440 14.3090 0.1860 ; + RECT 4.0830 0.2110 4.1250 0.2530 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 10.7710 0.2870 10.8130 0.3290 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 11.6830 0.3710 11.7250 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 13.2030 0.1440 13.2450 0.1860 ; + RECT 3.7790 0.2080 3.8210 0.2500 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 10.3150 0.2870 10.3570 0.3290 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 2.4110 0.3110 2.4530 0.3530 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.3110 0.4770 0.3530 ; + RECT 2.8670 0.3110 2.9090 0.3530 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.0830 0.3030 4.1250 0.3450 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.0830 0.3950 4.1250 0.4370 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2500 1.5340 1.1830 1.5840 ; + RECT 0.2500 1.4590 0.4220 1.5340 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 0.3590 1.5380 0.4010 1.5800 ; + RECT 0.9670 1.5380 1.0090 1.5800 ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + RECT 0.6630 1.5380 0.7050 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2710 0.3010 12.8620 0.3510 ; + RECT 12.7280 0.2490 12.8620 0.3010 ; + RECT 12.2870 0.9690 12.8210 1.0190 ; + RECT 12.7280 0.3510 12.8620 0.3830 ; + RECT 12.7710 0.3830 12.8210 0.9690 ; + RECT 12.2870 1.0190 12.3370 1.2400 ; + END + PORT + LAYER CO ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.3050 12.3330 0.3470 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + END + ANTENNADIFFAREA 0.1275 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.4050 12.6830 0.4550 ; + RECT 11.9830 0.8590 12.6830 0.9090 ; + RECT 12.5580 0.4550 12.6830 0.5380 ; + RECT 11.9830 0.1270 12.0330 0.4050 ; + RECT 11.9830 0.9090 12.0330 1.2320 ; + RECT 12.6330 0.5380 12.6830 0.8590 ; + END + PORT + LAYER CO ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + END + ANTENNADIFFAREA 0.1275 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.3520 1.7020 ; + RECT 2.0480 1.4090 2.0980 1.6420 ; + RECT 0.4120 1.3590 2.0980 1.4090 ; + RECT 2.0480 1.2900 12.1850 1.3400 ; + RECT 12.1350 0.9590 12.1850 1.2900 ; + RECT 2.0480 1.3400 2.0980 1.3590 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.2930 5.3410 1.3350 ; + RECT 4.8430 1.2940 4.8850 1.3360 ; + RECT 3.3230 1.2940 3.3650 1.3360 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.4990 1.3630 1.5410 1.4050 ; + RECT 1.8030 1.3630 1.8450 1.4050 ; + RECT 5.9070 1.2920 5.9490 1.3340 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 4.3870 1.2940 4.4290 1.3360 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 1.0430 1.3630 1.0850 1.4050 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 10.1630 1.2940 10.2050 1.3360 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 2.8670 1.2940 2.9090 1.3360 ; + RECT 0.4350 1.3630 0.4770 1.4050 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 2.1070 1.2940 2.1490 1.3360 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 2.4110 1.2940 2.4530 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 0.7390 1.3630 0.7810 1.4050 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 11.6830 1.2940 11.7250 1.3360 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.3530 1.4080 13.9020 1.4580 ; + RECT 13.7800 1.3130 13.9020 1.4080 ; + END + PORT + LAYER CO ; + RECT 13.7350 1.4120 13.7770 1.4540 ; + RECT 10.6950 1.4120 10.7370 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 13.1270 1.4120 13.1690 1.4540 ; + RECT 11.3030 1.4100 11.3450 1.4520 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 5.1470 1.0650 5.1890 1.1070 ; + RECT 4.9190 0.7110 4.9610 0.7530 ; + RECT 4.6150 0.7150 4.6570 0.7570 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 4.7670 0.6150 4.8090 0.6570 ; + RECT 4.6910 1.3940 4.7330 1.4360 ; + RECT 4.5390 0.9380 4.5810 0.9800 ; + RECT 4.9190 0.5140 4.9610 0.5560 ; + RECT 4.0070 0.7150 4.0490 0.7570 ; + RECT 4.1590 0.7150 4.2010 0.7570 ; + RECT 4.6910 0.3260 4.7330 0.3680 ; + RECT 4.6910 0.4180 4.7330 0.4600 ; + RECT 7.0470 0.6870 7.0890 0.7290 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 3.7030 0.6620 3.7450 0.7040 ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.4750 1.4280 3.5170 1.4700 ; + RECT 3.3990 0.8680 3.4410 0.9100 ; + RECT 10.0110 1.1940 10.0530 1.2360 ; + RECT 9.7070 1.1940 9.7490 1.2360 ; + RECT 1.1950 1.0280 1.2370 1.0700 ; + RECT 1.1950 1.1200 1.2370 1.1620 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 3.3990 0.6620 3.4410 0.7040 ; + RECT 1.6510 1.0440 1.6930 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.9550 1.0440 1.9970 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.3470 0.4590 1.3890 0.5010 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 1.3470 1.0440 1.3890 1.0860 ; + RECT 1.4230 0.7260 1.4650 0.7680 ; + RECT 1.6510 0.4590 1.6930 0.5010 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.5750 0.7260 1.6170 0.7680 ; + RECT 1.7270 0.7260 1.7690 0.7680 ; + RECT 1.8790 0.7260 1.9210 0.7680 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 10.0870 1.4210 10.1290 1.4630 ; + RECT 9.6310 1.4210 9.6730 1.4630 ; + RECT 5.5270 1.4210 5.5690 1.4630 ; + RECT 5.5270 1.0630 5.5690 1.1050 ; + RECT 10.0110 0.4230 10.0530 0.4650 ; + RECT 3.9310 1.3930 3.9730 1.4350 ; + RECT 4.2350 1.3940 4.2770 1.4360 ; + RECT 4.2350 0.3260 4.2770 0.3680 ; + RECT 4.2350 0.4180 4.2770 0.4600 ; + RECT 5.6790 0.6870 5.7210 0.7290 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 2.7150 0.5250 2.7570 0.5670 ; + RECT 12.5190 0.7630 12.5610 0.8050 ; + RECT 8.4150 1.5380 8.4570 1.5800 ; + RECT 2.1830 0.7260 2.2250 0.7680 ; + RECT 10.6190 0.4020 10.6610 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 13.6590 0.3680 13.7010 0.4100 ; + RECT 2.0310 0.7260 2.0730 0.7680 ; + RECT 3.8550 0.6150 3.8970 0.6570 ; + RECT 11.2270 0.4880 11.2690 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 0.5870 1.1200 0.6290 1.1620 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 0.5870 1.0280 0.6290 1.0700 ; + RECT 0.2830 0.5250 0.3250 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.8910 1.1200 0.9330 1.1620 ; + RECT 0.8910 1.0280 0.9330 1.0700 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 13.8110 0.6230 13.8530 0.6650 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 14.1150 0.7130 14.1570 0.7550 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 0.2830 1.0280 0.3250 1.0700 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 6.5910 0.6870 6.6330 0.7290 ; + RECT 8.4910 1.1380 8.5330 1.1800 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 6.0590 1.0770 6.1010 1.1190 ; + RECT 10.5430 1.5320 10.5850 1.5740 ; + RECT 10.6190 1.0710 10.6610 1.1130 ; + RECT 13.5830 0.4980 13.6250 0.5400 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.0350 1.1380 8.0770 1.1800 ; + RECT 2.4870 0.6620 2.5290 0.7040 ; + RECT 3.9310 0.3260 3.9730 0.3680 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 4.0830 0.9380 4.1250 0.9800 ; + RECT 14.6470 0.5660 14.6890 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 2.4870 0.8680 2.5290 0.9100 ; + RECT 0.2830 1.1200 0.3250 1.1620 ; + RECT 6.4390 1.5380 6.4810 1.5800 ; + RECT 10.9990 0.7630 11.0410 0.8050 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 2.7150 1.1820 2.7570 1.2240 ; + RECT 2.1830 1.5380 2.2250 1.5800 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 10.8470 0.6680 10.8890 0.7100 ; + RECT 12.0630 0.6620 12.1050 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 6.4390 0.0920 6.4810 0.1340 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + RECT 2.9430 0.8680 2.9850 0.9100 ; + RECT 13.3550 0.6330 13.3970 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 14.4190 0.6800 14.4610 0.7220 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 6.1350 0.6870 6.1770 0.7290 ; + RECT 4.3110 0.6150 4.3530 0.6570 ; + RECT 14.4190 0.3290 14.4610 0.3710 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 12.2150 0.6170 12.2570 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 2.3350 0.7310 2.3770 0.7730 ; + RECT 6.0590 0.4180 6.1010 0.4600 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.4310 1.0800 13.4730 1.1220 ; + RECT 11.8350 0.4090 11.8770 0.4510 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 3.0190 0.4160 3.0610 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 11.0750 1.0710 11.1170 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 9.8590 1.0670 9.9010 1.1090 ; + RECT 13.5830 1.2040 13.6250 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 13.8110 0.1750 13.8530 0.2170 ; + RECT 5.1470 0.4960 5.1890 0.5380 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 2.5630 0.4160 2.6050 0.4580 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 0.5870 0.5250 0.6290 0.5670 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 2.5630 1.4280 2.6050 1.4700 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 10.6950 0.6680 10.7370 0.7100 ; + RECT 10.8470 0.8710 10.8890 0.9130 ; + RECT 3.9310 0.4180 3.9730 0.4600 ; + RECT 13.3550 0.3740 13.3970 0.4160 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.9430 0.6620 2.9850 0.7040 ; + RECT 0.2830 0.4330 0.3250 0.4750 ; + RECT 9.9350 0.6310 9.9770 0.6730 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 13.0510 0.6650 13.0930 0.7070 ; + RECT 14.6470 1.5320 14.6890 1.5740 ; + RECT 14.3430 0.4660 14.3850 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.5070 0.1360 13.5490 0.1780 ; + RECT 13.0510 0.3740 13.0930 0.4160 ; + RECT 13.6590 0.8490 13.7010 0.8910 ; + RECT 12.5190 1.2040 12.5610 1.2460 ; + RECT 13.5070 0.6390 13.5490 0.6810 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 14.1150 0.3500 14.1570 0.3920 ; + RECT 11.4550 0.0980 11.4970 0.1400 ; + RECT 7.5790 1.1380 7.6210 1.1800 ; + RECT 11.0750 0.4020 11.1170 0.4440 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 7.9590 1.5380 8.0010 1.5800 ; + RECT 3.0190 1.4280 3.0610 1.4700 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + LAYER PO ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 2.9490 0.8560 2.9790 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 10.8530 0.8610 10.8830 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 2.4930 0.8560 2.5230 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 10.3970 0.8590 10.4270 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.5250 0.0670 12.5550 1.6050 ; + RECT 6.4450 0.8430 6.4750 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7380 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 5.9890 0.8430 6.0190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.7350 ; + RECT 2.4930 0.0660 2.5230 0.7350 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 3.4050 0.8560 3.4350 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.7200 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7380 ; + RECT 4.7730 0.0650 4.8030 1.6060 ; + RECT 4.6210 0.0650 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.8460 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 2.9490 0.0660 2.9790 0.7350 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.7380 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + LAYER M1 ; + RECT 1.1910 0.4130 1.2410 0.7220 ; + RECT 0.2790 0.7720 0.3290 1.1820 ; + RECT 0.2790 0.4130 0.3290 0.7220 ; + RECT 0.5830 0.7720 0.6330 1.1820 ; + RECT 0.5830 0.4130 0.6330 0.7220 ; + RECT 0.8870 0.7720 0.9370 1.1820 ; + RECT 0.8870 0.4130 0.9370 0.7220 ; + RECT 12.4990 1.2000 13.6450 1.2500 ; + RECT 2.5410 1.4240 3.5410 1.4740 ; + RECT 2.5410 0.4120 3.5390 0.4620 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 2.1630 1.5340 9.0850 1.5840 ; + RECT 2.3150 0.0880 8.7810 0.1380 ; + RECT 3.9110 1.3900 4.7570 1.4400 ; + RECT 5.1230 0.4920 5.4630 0.5420 ; + RECT 5.1440 1.0590 5.5890 1.0600 ; + RECT 3.9320 1.1090 5.1560 1.1100 ; + RECT 5.4130 0.5420 5.4630 1.0590 ; + RECT 3.9320 1.0600 5.5890 1.1090 ; + RECT 3.9320 0.7110 4.6770 0.7610 ; + RECT 3.9320 0.7610 3.9820 1.0600 ; + RECT 2.4640 0.6580 3.7650 0.7080 ; + RECT 9.6800 1.1900 10.0760 1.2400 ; + RECT 5.4930 1.4170 10.1490 1.4670 ; + RECT 7.5590 1.1340 8.5530 1.1840 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 11.9590 0.5220 12.2610 0.5720 ; + RECT 11.4350 0.6130 12.0090 0.6630 ; + RECT 12.2110 0.5720 12.2610 0.6790 ; + RECT 11.9590 0.5720 12.0090 0.6130 ; + RECT 13.0470 1.0760 13.4930 1.1260 ; + RECT 13.0470 0.1260 13.0970 1.0760 ; + RECT 8.8310 0.0920 11.5180 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 10.9790 0.7590 12.5810 0.8090 ; + RECT 11.3350 0.5130 11.8840 0.5630 ; + RECT 11.8310 0.3830 11.8810 0.5130 ; + RECT 11.8310 0.8090 11.8810 1.2400 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.3350 0.5630 11.3850 0.7590 ; + RECT 12.0590 0.6420 12.1090 0.7590 ; + RECT 14.1110 0.5890 14.3040 0.6390 ; + RECT 14.1110 0.3620 14.3040 0.4120 ; + RECT 14.2540 0.4620 14.4050 0.5120 ; + RECT 14.2540 0.5120 14.3040 0.5890 ; + RECT 14.2540 0.4120 14.3040 0.4620 ; + RECT 14.1110 0.6390 14.1610 0.7950 ; + RECT 14.1110 0.1260 14.1610 0.3620 ; + RECT 13.3510 0.4940 13.7050 0.5440 ; + RECT 13.6550 0.3480 13.7050 0.4940 ; + RECT 13.3510 0.5440 13.4010 0.7950 ; + RECT 13.8070 0.4620 14.1010 0.5120 ; + RECT 13.4870 0.6350 13.8570 0.6850 ; + RECT 13.4870 0.1320 13.8570 0.1820 ; + RECT 13.8070 0.5120 13.8570 0.6350 ; + RECT 13.8070 0.1820 13.8570 0.4620 ; + RECT 10.5230 1.5280 14.7110 1.5780 ; + RECT 10.6750 0.6640 10.9090 0.7140 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 9.7630 0.6270 10.3210 0.6770 ; + RECT 10.2710 0.3980 11.1370 0.4480 ; + RECT 10.2710 1.0670 11.1370 1.1170 ; + RECT 10.2710 0.4480 10.3210 0.6270 ; + RECT 10.2710 0.6770 10.3210 1.0670 ; + RECT 4.0630 0.9340 4.9650 0.9840 ; + RECT 4.9150 0.6910 4.9650 0.9340 ; + RECT 14.4150 0.5620 14.7090 0.6120 ; + RECT 14.4150 0.3620 14.6000 0.4120 ; + RECT 14.5500 0.4120 14.6000 0.5620 ; + RECT 13.2510 0.8450 14.4650 0.8950 ; + RECT 14.4150 0.6120 14.4650 0.8450 ; + RECT 14.4150 0.1260 14.4650 0.3620 ; + RECT 13.2510 0.3700 13.4190 0.4200 ; + RECT 13.2510 0.4200 13.3010 0.8450 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.5110 0.7670 9.9050 0.8170 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 9.8550 0.8170 9.9050 1.1290 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 10.0070 0.4030 10.0570 0.5270 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.5110 0.5270 10.0570 0.5770 ; + RECT 5.6590 0.6830 7.1090 0.7330 ; + RECT 7.0430 0.7330 7.0930 0.8670 ; + RECT 7.0530 0.9160 7.4130 0.9170 ; + RECT 7.0430 0.8670 7.4130 0.9160 ; + RECT 4.9530 0.3920 5.7250 0.4420 ; + RECT 5.6750 0.4420 5.7250 0.6830 ; + RECT 3.9270 0.3060 3.9770 0.5110 ; + RECT 4.2310 0.3060 4.2810 0.5110 ; + RECT 4.6870 0.3060 4.7370 0.5110 ; + RECT 3.9320 0.5600 5.0030 0.5610 ; + RECT 4.9530 0.4420 5.0030 0.5110 ; + RECT 3.9270 0.5110 5.0030 0.5600 ; + RECT 6.0370 1.0730 7.0360 1.1230 ; + RECT 2.3310 0.8640 3.4640 0.9140 ; + RECT 1.3250 0.4550 2.3810 0.5050 ; + RECT 2.3310 0.5050 2.3810 0.8640 ; + RECT 2.3310 0.9140 2.3810 1.0400 ; + RECT 1.3270 1.0400 2.3810 1.0900 ; + RECT 7.2240 0.7670 7.5130 0.8170 ; + RECT 6.1900 0.5210 7.2740 0.5710 ; + RECT 7.2240 0.5710 7.2740 0.7670 ; + RECT 7.4630 0.8170 7.5130 1.0260 ; + RECT 7.1670 1.0260 7.5130 1.0760 ; + RECT 2.6930 1.1780 7.2330 1.2280 ; + RECT 7.1670 1.0760 7.2170 1.1780 ; + RECT 3.8270 0.6110 4.8290 0.6610 ; + RECT 2.6930 0.5210 3.8770 0.5710 ; + RECT 3.8270 0.6610 3.8770 1.1780 ; + RECT 3.8270 0.5710 3.8770 0.6110 ; + RECT 10.3870 0.5140 11.2730 0.5640 ; + RECT 10.5750 0.8670 11.2730 0.9170 ; + RECT 10.3870 0.5640 10.4370 0.6790 ; + RECT 11.2230 0.3830 11.2730 0.5140 ; + RECT 11.2230 0.9170 11.2730 1.2400 ; + RECT 10.5750 0.9170 10.6250 1.0170 ; + RECT 10.5750 0.5640 10.6250 0.8670 ; + RECT 6.0370 0.4140 7.0340 0.4640 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 0.2790 0.7220 2.2450 0.7720 ; + RECT 1.1910 0.7720 1.2410 1.1820 ; + LAYER NWELL ; + RECT -0.1160 1.5430 15.4620 1.7730 ; + RECT -0.1160 0.7400 12.4190 1.5430 ; + RECT 15.1870 0.6790 15.4620 1.5430 ; + RECT 3.7240 0.7320 4.4840 0.7400 ; + RECT -0.1160 0.6790 0.1330 0.7400 ; + RECT 7.2800 0.6790 12.4190 0.7400 ; + RECT 12.8810 0.4910 14.7270 1.0830 ; + END +END RDFFARX1_HVT + +MACRO RDFFARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.808 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1280 0.8570 5.2920 0.9670 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.8940 5.2650 0.9360 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.6390 0.9420 15.1180 1.0020 ; + RECT 14.9960 0.6900 15.1180 0.9420 ; + END + PORT + LAYER CO ; + RECT 13.6590 0.9510 13.7010 0.9930 ; + RECT 15.0270 0.8620 15.0690 0.9040 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 14.4190 0.9510 14.4610 0.9930 ; + RECT 15.0270 0.7100 15.0690 0.7520 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.1120 1.2480 1.5100 1.2980 ; + RECT 0.1120 1.1610 0.2260 1.2480 ; + RECT 1.4600 1.1770 2.6220 1.2270 ; + RECT 2.5840 0.7560 3.6160 0.8060 ; + RECT 1.4600 1.2270 1.5100 1.2480 ; + RECT 2.5720 1.0340 2.6220 1.1770 ; + RECT 2.5720 0.9840 3.6160 1.0340 ; + RECT 3.5660 0.8060 3.6160 0.9840 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.7600 3.5930 0.8020 ; + RECT 2.6390 0.7600 2.6810 0.8020 ; + RECT 3.0950 0.7600 3.1370 0.8020 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.8080 0.0300 ; + RECT 9.2310 0.2830 11.7290 0.3330 ; + RECT 0.7350 0.0300 0.7850 0.5570 ; + RECT 1.0390 0.0300 1.0890 0.5570 ; + RECT 0.4310 0.0300 0.4810 0.5570 ; + RECT 14.7190 0.0300 14.7690 0.3120 ; + RECT 12.1350 0.0300 12.1850 0.2410 ; + RECT 14.4150 0.0300 14.4650 0.2020 ; + RECT 12.5910 0.0300 12.6410 0.2410 ; + RECT 13.6550 0.0300 13.7050 0.2060 ; + RECT 11.6790 0.3330 11.7290 0.4430 ; + RECT 11.6640 0.0300 11.7140 0.2830 ; + RECT 4.0790 0.2380 4.1290 0.4570 ; + RECT 4.3830 0.2380 4.4330 0.4570 ; + RECT 4.8390 0.2910 4.8890 0.4570 ; + RECT 4.5350 0.2380 4.5850 0.4570 ; + RECT 4.8390 0.2410 5.8990 0.2910 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 5.8490 0.2910 5.8990 0.3070 ; + RECT 5.8490 0.3070 8.4060 0.3570 ; + RECT 1.4790 0.0300 1.5290 0.3070 ; + RECT 3.7750 0.3570 3.8250 0.3620 ; + RECT 1.4790 0.3070 3.8250 0.3570 ; + RECT 3.7750 0.2380 3.8250 0.3070 ; + RECT 4.8390 0.2380 4.8890 0.2410 ; + RECT 3.7750 0.1880 4.8890 0.2380 ; + END + PORT + LAYER CO ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 10.1630 0.2870 10.2050 0.3290 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 4.3870 0.3030 4.4290 0.3450 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 4.3870 0.2110 4.4290 0.2530 ; + RECT 4.3870 0.3950 4.4290 0.4370 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.2990 0.2450 5.3410 0.2870 ; + RECT 12.5950 0.1720 12.6370 0.2140 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.5390 0.2110 4.5810 0.2530 ; + RECT 4.8430 0.2110 4.8850 0.2530 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 4.8430 0.3030 4.8850 0.3450 ; + RECT 4.8430 0.3950 4.8850 0.4370 ; + RECT 4.5390 0.3030 4.5810 0.3450 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.7230 0.1440 14.7650 0.1860 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 12.1390 0.1720 12.1810 0.2140 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 1.4990 0.3110 1.5410 0.3530 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 4.5390 0.3950 4.5810 0.4370 ; + RECT 11.3790 0.2870 11.4210 0.3290 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 0.7390 0.3110 0.7810 0.3530 ; + RECT 0.7390 0.4950 0.7810 0.5370 ; + RECT 0.7390 0.4030 0.7810 0.4450 ; + RECT 5.9070 0.3120 5.9490 0.3540 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 2.1070 0.3110 2.1490 0.3530 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 3.7790 0.3000 3.8210 0.3420 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 2.4110 0.3110 2.4530 0.3530 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.4350 0.3110 0.4770 0.3530 ; + RECT 2.8670 0.3110 2.9090 0.3530 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 4.0830 0.3030 4.1250 0.3450 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.4350 0.4030 0.4770 0.4450 ; + RECT 0.4350 0.4950 0.4770 0.5370 ; + RECT 4.0830 0.2110 4.1250 0.2530 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 10.7710 0.2870 10.8130 0.3290 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 11.6830 0.3710 11.7250 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 4.0830 0.3950 4.1250 0.4370 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 10.3150 0.2870 10.3570 0.3290 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 13.6590 0.1440 13.7010 0.1860 ; + RECT 3.7790 0.2080 3.8210 0.2500 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2500 1.5340 1.1830 1.5840 ; + RECT 0.2500 1.4590 0.4220 1.5340 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 0.9670 1.5380 1.0090 1.5800 ; + RECT 0.6630 1.5380 0.7050 1.5800 ; + RECT 0.3590 1.5380 0.4010 1.5800 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2710 0.3010 13.3110 0.3510 ; + RECT 13.1840 0.3510 13.3110 0.3880 ; + RECT 13.1840 0.2490 13.3110 0.3010 ; + RECT 12.2870 0.9690 13.2770 1.0190 ; + RECT 13.2270 0.3880 13.2770 0.9690 ; + RECT 12.2870 1.0190 12.3370 1.2400 ; + RECT 12.7430 1.0190 12.7930 1.3270 ; + END + PORT + LAYER CO ; + RECT 12.7470 1.0810 12.7890 1.1230 ; + RECT 12.7470 1.0810 12.7890 1.1230 ; + RECT 12.7470 1.2650 12.7890 1.3070 ; + RECT 12.7470 1.2650 12.7890 1.3070 ; + RECT 12.7470 0.9890 12.7890 1.0310 ; + RECT 12.7470 0.9890 12.7890 1.0310 ; + RECT 12.7470 1.1730 12.7890 1.2150 ; + RECT 12.7470 0.3050 12.7890 0.3470 ; + RECT 12.7470 1.1730 12.7890 1.2150 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.3050 12.3330 0.3470 ; + END + ANTENNADIFFAREA 0.255 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.4100 13.1390 0.4600 ; + RECT 11.9830 0.8590 13.1390 0.9090 ; + RECT 13.0140 0.4600 13.1390 0.5380 ; + RECT 11.9830 0.1270 12.0330 0.4100 ; + RECT 11.9830 0.9090 12.0330 1.2320 ; + RECT 13.0890 0.5380 13.1390 0.8590 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.8630 12.4850 0.9050 ; + RECT 12.4430 0.8630 12.4850 0.9050 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 12.4430 0.4140 12.4850 0.4560 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + END + ANTENNADIFFAREA 0.255 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.8080 1.7020 ; + RECT 2.0480 1.4090 2.0980 1.6420 ; + RECT 0.4120 1.3590 2.0980 1.4090 ; + RECT 12.1350 0.9590 12.1850 1.2900 ; + RECT 2.0480 1.2900 12.6410 1.3400 ; + RECT 12.5910 1.1380 12.6410 1.2900 ; + RECT 2.0480 1.3400 2.0980 1.3590 ; + END + PORT + LAYER CO ; + RECT 12.5950 1.1630 12.6370 1.2050 ; + RECT 12.5950 1.1630 12.6370 1.2050 ; + RECT 12.5950 1.2550 12.6370 1.2970 ; + RECT 12.5950 1.2550 12.6370 1.2970 ; + RECT 5.2990 1.2930 5.3410 1.3350 ; + RECT 4.8430 1.2940 4.8850 1.3360 ; + RECT 3.3230 1.2940 3.3650 1.3360 ; + RECT 10.1630 1.2940 10.2050 1.3360 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 1.4990 1.3630 1.5410 1.4050 ; + RECT 1.8030 1.3630 1.8450 1.4050 ; + RECT 5.9070 1.2920 5.9490 1.3340 ; + RECT 1.0430 1.3630 1.0850 1.4050 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6830 1.2940 11.7250 1.3360 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 4.3870 1.2940 4.4290 1.3360 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 2.8670 1.2940 2.9090 1.3360 ; + RECT 0.4350 1.3630 0.4770 1.4050 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 2.1070 1.2940 2.1490 1.3360 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 0.7390 1.3630 0.7810 1.4050 ; + RECT 2.4110 1.2940 2.4530 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.3530 1.4080 14.3580 1.4580 ; + RECT 14.2360 1.3130 14.3580 1.4080 ; + END + PORT + LAYER CO ; + RECT 11.3030 1.4100 11.3450 1.4520 ; + RECT 14.1910 1.4120 14.2330 1.4540 ; + RECT 10.6950 1.4120 10.7370 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 13.5830 1.4120 13.6250 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 3.9310 1.3930 3.9730 1.4350 ; + RECT 4.2350 1.3940 4.2770 1.4360 ; + RECT 4.2350 0.3260 4.2770 0.3680 ; + RECT 4.2350 0.4180 4.2770 0.4600 ; + RECT 5.6790 0.6870 5.7210 0.7290 ; + RECT 5.1470 1.0650 5.1890 1.1070 ; + RECT 4.9190 0.7110 4.9610 0.7530 ; + RECT 4.6150 0.7170 4.6570 0.7590 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 4.7670 0.6170 4.8090 0.6590 ; + RECT 4.6910 1.3940 4.7330 1.4360 ; + RECT 4.5390 0.9380 4.5810 0.9800 ; + RECT 4.9190 0.5140 4.9610 0.5560 ; + RECT 4.0070 0.7170 4.0490 0.7590 ; + RECT 4.1590 0.7170 4.2010 0.7590 ; + RECT 4.6910 0.3260 4.7330 0.3680 ; + RECT 4.6910 0.4180 4.7330 0.4600 ; + RECT 7.0470 0.6870 7.0890 0.7290 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 3.7030 0.6600 3.7450 0.7020 ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.4750 1.4280 3.5170 1.4700 ; + RECT 3.3990 0.8610 3.4410 0.9030 ; + RECT 10.0110 1.1940 10.0530 1.2360 ; + RECT 9.7070 1.1940 9.7490 1.2360 ; + RECT 14.5710 0.7090 14.6130 0.7510 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 3.3990 0.6600 3.4410 0.7020 ; + RECT 1.6510 1.0440 1.6930 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.9550 1.0440 1.9970 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.3470 0.4590 1.3890 0.5010 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 1.3470 1.0440 1.3890 1.0860 ; + RECT 1.4230 0.7260 1.4650 0.7680 ; + RECT 1.6510 0.4590 1.6930 0.5010 ; + RECT 10.0870 1.4210 10.1290 1.4630 ; + RECT 9.6310 1.4210 9.6730 1.4630 ; + RECT 5.5270 1.4210 5.5690 1.4630 ; + RECT 5.5270 1.0640 5.5690 1.1060 ; + RECT 10.0110 0.4230 10.0530 0.4650 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 6.5910 0.6870 6.6330 0.7290 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 14.8750 0.6800 14.9170 0.7220 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 6.1350 0.6870 6.1770 0.7290 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 14.8750 0.3290 14.9170 0.3710 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 14.4950 0.4660 14.5370 0.5080 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 12.2150 0.6170 12.2570 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 2.3350 0.7310 2.3770 0.7730 ; + RECT 6.0590 0.4180 6.1010 0.4600 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.5750 0.7260 1.6170 0.7680 ; + RECT 1.7270 0.7260 1.7690 0.7680 ; + RECT 1.8790 0.7260 1.9210 0.7680 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 0.5870 1.1300 0.6290 1.1720 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 0.5870 1.0380 0.6290 1.0800 ; + RECT 0.2830 0.5250 0.3250 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 14.2670 0.6230 14.3090 0.6650 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 10.6950 0.6680 10.7370 0.7100 ; + RECT 10.8470 0.8710 10.8890 0.9130 ; + RECT 3.9310 0.4180 3.9730 0.4600 ; + RECT 13.8110 0.3740 13.8530 0.4160 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.9430 0.6600 2.9850 0.7020 ; + RECT 0.2830 0.4330 0.3250 0.4750 ; + RECT 9.9350 0.6310 9.9770 0.6730 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 13.5070 0.6650 13.5490 0.7070 ; + RECT 15.1030 1.5320 15.1450 1.5740 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 13.5070 0.3740 13.5490 0.4160 ; + RECT 14.1150 0.8280 14.1570 0.8700 ; + RECT 12.9750 1.2040 13.0170 1.2460 ; + RECT 13.9630 0.6390 14.0050 0.6810 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 14.5710 0.3500 14.6130 0.3920 ; + RECT 11.4550 0.0980 11.4970 0.1400 ; + RECT 7.5790 1.1380 7.6210 1.1800 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 2.7150 0.5250 2.7570 0.5670 ; + RECT 12.9750 0.7630 13.0170 0.8050 ; + RECT 8.4150 1.5380 8.4570 1.5800 ; + RECT 2.1830 0.7260 2.2250 0.7680 ; + RECT 10.6190 0.4020 10.6610 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 14.1150 0.3680 14.1570 0.4100 ; + RECT 2.0310 0.7260 2.0730 0.7680 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 11.2270 0.4880 11.2690 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.0350 1.1380 8.0770 1.1800 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 3.9310 0.3260 3.9730 0.3680 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 4.0830 0.9380 4.1250 0.9800 ; + RECT 15.1030 0.5660 15.1450 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 2.4870 0.8610 2.5290 0.9030 ; + RECT 0.2830 1.1300 0.3250 1.1720 ; + RECT 6.4390 1.5380 6.4810 1.5800 ; + RECT 10.9990 0.7630 11.0410 0.8050 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 2.7150 1.1820 2.7570 1.2240 ; + RECT 2.1830 1.5380 2.2250 1.5800 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 10.8470 0.6680 10.8890 0.7100 ; + RECT 12.0630 0.6620 12.1050 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 0.2830 1.0380 0.3250 1.0800 ; + RECT 11.0750 0.4020 11.1170 0.4440 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 7.9590 1.5380 8.0010 1.5800 ; + RECT 3.0190 1.4280 3.0610 1.4700 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 8.4910 1.1380 8.5330 1.1800 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 6.0590 1.0770 6.1010 1.1190 ; + RECT 10.5430 1.5320 10.5850 1.5740 ; + RECT 10.6190 1.0710 10.6610 1.1130 ; + RECT 14.0390 0.4980 14.0810 0.5400 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.8870 1.0730 13.9290 1.1150 ; + RECT 11.8350 0.4090 11.8770 0.4510 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 3.0190 0.4160 3.0610 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 11.0750 1.0710 11.1170 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 9.8590 1.0670 9.9010 1.1090 ; + RECT 14.0390 1.2040 14.0810 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 14.2670 0.1750 14.3090 0.2170 ; + RECT 5.1470 0.4960 5.1890 0.5380 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 2.5630 0.4160 2.6050 0.4580 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 0.5870 0.5250 0.6290 0.5670 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 6.4390 0.0920 6.4810 0.1340 ; + RECT 12.5190 0.6620 12.5610 0.7040 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + RECT 2.9430 0.8610 2.9850 0.9030 ; + RECT 13.8110 0.6330 13.8530 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 2.5630 1.4280 2.6050 1.4700 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + LAYER PO ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.7380 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 2.9490 0.8560 2.9790 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 10.8530 0.8610 10.8830 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 2.4930 0.8560 2.5230 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 10.3970 0.8590 10.4270 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.9810 0.0670 13.0110 1.6050 ; + RECT 6.4450 0.8430 6.4750 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7380 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 5.9890 0.8430 6.0190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.7350 ; + RECT 2.4930 0.0660 2.5230 0.7350 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 3.4050 0.8560 3.4350 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.7200 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7380 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.8460 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 2.9490 0.0660 2.9790 0.7350 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + LAYER M1 ; + RECT 3.9320 0.7630 3.9820 1.0600 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 11.4350 0.6130 12.0090 0.6630 ; + RECT 11.9590 0.5110 12.7170 0.5610 ; + RECT 12.2110 0.5610 12.2610 0.6790 ; + RECT 12.6670 0.5610 12.7170 0.6790 ; + RECT 11.9590 0.5610 12.0090 0.6130 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.5110 0.7670 9.9050 0.8170 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.5110 0.5270 10.0570 0.5770 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.8550 0.8170 9.9050 1.1290 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 10.0070 0.4030 10.0570 0.5270 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 10.6750 0.6640 10.9090 0.7140 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 13.8070 0.4940 14.1610 0.5440 ; + RECT 14.1110 0.3480 14.1610 0.4940 ; + RECT 13.8070 0.5440 13.8570 0.7740 ; + RECT 6.0370 1.0730 7.0360 1.1230 ; + RECT 7.5590 1.1340 8.5530 1.1840 ; + RECT 9.6800 1.1900 10.0760 1.2400 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 12.9550 1.2000 14.1010 1.2500 ; + RECT 2.5410 1.4240 3.5410 1.4740 ; + RECT 3.9110 1.3900 4.7570 1.4400 ; + RECT 13.5030 1.0690 13.9490 1.1190 ; + RECT 13.5030 0.1260 13.5530 1.0690 ; + RECT 10.9790 0.7590 13.0370 0.8090 ; + RECT 11.3350 0.5130 11.8840 0.5630 ; + RECT 11.8310 0.8090 11.8810 1.2400 ; + RECT 11.8310 0.3830 11.8810 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.3350 0.5630 11.3850 0.7590 ; + RECT 12.0590 0.6420 12.1090 0.7590 ; + RECT 12.5150 0.6420 12.5650 0.7590 ; + RECT 10.5230 1.5280 15.1670 1.5780 ; + RECT 10.3870 0.5140 11.2730 0.5640 ; + RECT 10.5750 0.8670 11.2730 0.9170 ; + RECT 10.3870 0.5640 10.4370 0.6790 ; + RECT 11.2230 0.3830 11.2730 0.5140 ; + RECT 11.2230 0.9170 11.2730 1.2400 ; + RECT 10.5750 0.9170 10.6250 1.0170 ; + RECT 10.5750 0.5640 10.6250 0.8670 ; + RECT 2.3310 0.8570 3.4640 0.9070 ; + RECT 1.3250 0.4550 2.3810 0.5050 ; + RECT 2.3310 0.5050 2.3810 0.8570 ; + RECT 2.3310 0.9070 2.3810 1.0400 ; + RECT 1.3270 1.0400 2.3810 1.0900 ; + RECT 0.2790 0.7220 2.2450 0.7720 ; + RECT 1.1910 0.7720 1.2410 1.1980 ; + RECT 1.1910 0.4130 1.2410 0.7220 ; + RECT 0.2790 0.7720 0.3290 1.1980 ; + RECT 0.2790 0.4130 0.3290 0.7220 ; + RECT 0.5830 0.7720 0.6330 1.1980 ; + RECT 0.5830 0.4130 0.6330 0.7220 ; + RECT 0.8870 0.7720 0.9370 1.1980 ; + RECT 0.8870 0.4130 0.9370 0.7220 ; + RECT 14.8710 0.5620 15.1650 0.6120 ; + RECT 14.8710 0.3620 15.0560 0.4120 ; + RECT 15.0060 0.4120 15.0560 0.5620 ; + RECT 13.7070 0.8240 14.9210 0.8740 ; + RECT 14.8710 0.6120 14.9210 0.8240 ; + RECT 14.8710 0.1260 14.9210 0.3620 ; + RECT 13.7070 0.3700 13.8750 0.4200 ; + RECT 13.7070 0.4200 13.7570 0.8240 ; + RECT 2.1630 1.5340 9.0850 1.5840 ; + RECT 2.3150 0.0880 8.7810 0.1380 ; + RECT 9.7630 0.6270 10.3210 0.6770 ; + RECT 10.2710 0.3980 11.1370 0.4480 ; + RECT 10.2710 1.0670 11.1370 1.1170 ; + RECT 10.2710 0.4480 10.3210 0.6270 ; + RECT 10.2710 0.6770 10.3210 1.0670 ; + RECT 7.2240 0.7670 7.5130 0.8170 ; + RECT 6.1900 0.5210 7.2740 0.5710 ; + RECT 2.6930 1.1780 7.2330 1.2280 ; + RECT 7.1670 1.0260 7.5130 1.0760 ; + RECT 7.4630 0.8170 7.5130 1.0260 ; + RECT 7.2240 0.5710 7.2740 0.7670 ; + RECT 7.1670 1.0760 7.2170 1.1780 ; + RECT 3.8270 0.6130 4.8290 0.6630 ; + RECT 2.6930 0.5210 3.8770 0.5710 ; + RECT 3.8270 0.5710 3.8770 0.6130 ; + RECT 3.8270 0.6630 3.8770 1.1780 ; + RECT 5.4930 1.4170 10.1490 1.4670 ; + RECT 14.2630 0.4620 14.5570 0.5120 ; + RECT 13.9430 0.1320 14.3130 0.1820 ; + RECT 13.9430 0.6350 14.3130 0.6850 ; + RECT 14.2630 0.1820 14.3130 0.4620 ; + RECT 14.2630 0.5120 14.3130 0.6350 ; + RECT 8.8310 0.0920 11.5180 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 14.5670 0.3620 14.7600 0.4120 ; + RECT 14.5670 0.5890 14.7600 0.6390 ; + RECT 14.7100 0.4620 14.8610 0.5120 ; + RECT 14.7100 0.5120 14.7600 0.5890 ; + RECT 14.7100 0.4120 14.7600 0.4620 ; + RECT 14.5670 0.1260 14.6170 0.3620 ; + RECT 14.5670 0.6390 14.6170 0.7740 ; + RECT 2.5410 0.4120 3.5390 0.4620 ; + RECT 2.4830 0.7060 2.5330 0.7350 ; + RECT 2.4580 0.6560 3.7650 0.7060 ; + RECT 4.0630 0.9340 4.9650 0.9840 ; + RECT 4.9150 0.6910 4.9650 0.9340 ; + RECT 5.6590 0.6830 7.1090 0.7330 ; + RECT 7.0430 0.7330 7.0930 0.8670 ; + RECT 7.0530 0.9160 7.4130 0.9170 ; + RECT 7.0430 0.8670 7.4130 0.9160 ; + RECT 4.9530 0.3920 5.7250 0.4420 ; + RECT 5.6750 0.4420 5.7250 0.6830 ; + RECT 3.9270 0.3060 3.9770 0.5110 ; + RECT 4.2310 0.3060 4.2810 0.5110 ; + RECT 4.6870 0.3060 4.7370 0.5110 ; + RECT 4.9530 0.4420 5.0030 0.5110 ; + RECT 3.9270 0.5110 5.0030 0.5610 ; + RECT 6.0370 0.4140 7.0340 0.4640 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 5.1230 0.4920 5.4630 0.5420 ; + RECT 5.4130 0.5420 5.4630 1.0600 ; + RECT 3.9320 1.0600 5.5890 1.1100 ; + RECT 3.9320 0.7130 4.6770 0.7630 ; + LAYER NWELL ; + RECT 13.3370 0.4910 15.1830 1.0830 ; + RECT -0.1160 1.5430 15.9180 1.7730 ; + RECT -0.1160 0.7430 12.8750 1.5430 ; + RECT 15.6430 0.6790 15.9180 1.5430 ; + RECT -0.1160 0.7400 1.2920 0.7430 ; + RECT 2.3560 0.7400 12.8750 0.7430 ; + RECT -0.1160 0.6790 0.1480 0.7400 ; + RECT 3.7240 0.7340 4.4840 0.7400 ; + RECT 7.2800 0.6790 12.8750 0.7400 ; + END +END RDFFARX2_HVT + +MACRO RDFFNARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.352 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1130 0.8570 5.2960 0.9910 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.9370 5.2650 0.9790 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.1830 0.9550 14.6650 1.0150 ; + RECT 14.5400 0.6890 14.6500 0.9550 ; + END + PORT + LAYER CO ; + RECT 14.5710 0.8620 14.6130 0.9040 ; + RECT 13.2030 0.9610 13.2450 1.0030 ; + RECT 14.5710 0.7100 14.6130 0.7520 ; + RECT 14.2670 0.9610 14.3090 1.0030 ; + RECT 13.9630 0.9610 14.0050 1.0030 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4600 1.1770 2.6220 1.2270 ; + RECT 2.5840 0.7640 3.6160 0.8140 ; + RECT 0.0970 1.2480 1.5100 1.2980 ; + RECT 0.0970 1.1550 0.2070 1.2480 ; + RECT 2.5720 1.0340 2.6220 1.1770 ; + RECT 2.5720 0.9840 3.6160 1.0340 ; + RECT 3.5660 0.8140 3.6160 0.9840 ; + RECT 1.4600 1.2270 1.5100 1.2480 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.7680 3.5930 0.8100 ; + RECT 3.0950 0.7680 3.1370 0.8100 ; + RECT 2.6390 0.7680 2.6810 0.8100 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.3520 0.0300 ; + RECT 9.2310 0.2830 11.7290 0.3330 ; + RECT 14.2630 0.0300 14.3130 0.3110 ; + RECT 12.1350 0.0300 12.1850 0.2400 ; + RECT 13.9590 0.0300 14.0090 0.2010 ; + RECT 13.1990 0.0300 13.2490 0.2080 ; + RECT 0.7350 0.0300 0.7850 0.5570 ; + RECT 1.0390 0.0300 1.0890 0.5570 ; + RECT 0.4310 0.0300 0.4810 0.5570 ; + RECT 11.6790 0.3330 11.7290 0.4430 ; + RECT 11.6640 0.0300 11.7140 0.2830 ; + RECT 4.0790 0.2380 4.1290 0.4570 ; + RECT 4.3830 0.2380 4.4330 0.4570 ; + RECT 4.8390 0.2910 4.8890 0.4570 ; + RECT 4.5350 0.2380 4.5850 0.4570 ; + RECT 4.8390 0.2410 5.8990 0.2910 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 5.8490 0.2910 5.8990 0.3070 ; + RECT 5.8490 0.3070 8.4060 0.3570 ; + RECT 1.4800 0.0300 1.5300 0.3070 ; + RECT 3.7750 0.3570 3.8250 0.3620 ; + RECT 1.4790 0.3070 3.8250 0.3570 ; + RECT 3.7750 0.2380 3.8250 0.3070 ; + RECT 4.8390 0.2380 4.8890 0.2410 ; + RECT 3.7750 0.1880 4.8890 0.2380 ; + END + PORT + LAYER CO ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 5.2990 0.2450 5.3410 0.2870 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.5390 0.2110 4.5810 0.2530 ; + RECT 4.8430 0.2110 4.8850 0.2530 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 4.8430 0.3030 4.8850 0.3450 ; + RECT 4.8430 0.3950 4.8850 0.4370 ; + RECT 4.5390 0.3030 4.5810 0.3450 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 1.4990 0.3110 1.5410 0.3530 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 4.5390 0.3950 4.5810 0.4370 ; + RECT 11.3790 0.2870 11.4210 0.3290 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 10.1630 0.2870 10.2050 0.3290 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 4.3870 0.3030 4.4290 0.3450 ; + RECT 4.3870 0.2110 4.4290 0.2530 ; + RECT 4.3870 0.3950 4.4290 0.4370 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.4030 0.4770 0.4450 ; + RECT 0.4350 0.4950 0.4770 0.5370 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 0.7390 0.3110 0.7810 0.3530 ; + RECT 0.7390 0.4950 0.7810 0.5370 ; + RECT 0.7390 0.4030 0.7810 0.4450 ; + RECT 5.9070 0.3120 5.9490 0.3540 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 2.1070 0.3110 2.1490 0.3530 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 3.7790 0.3000 3.8210 0.3420 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 14.2670 0.1440 14.3090 0.1860 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.0830 0.2110 4.1250 0.2530 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 10.7710 0.2870 10.8130 0.3290 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 11.6830 0.3710 11.7250 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 13.2030 0.1440 13.2450 0.1860 ; + RECT 3.7790 0.2080 3.8210 0.2500 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 12.1390 0.1720 12.1810 0.2140 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 10.3150 0.2870 10.3570 0.3290 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 2.4110 0.3110 2.4530 0.3530 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.3110 0.4770 0.3530 ; + RECT 2.8670 0.3110 2.9090 0.3530 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.0830 0.3030 4.1250 0.3450 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.0830 0.3950 4.1250 0.4370 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.5340 1.1830 1.5840 ; + RECT 0.2490 1.4650 0.4210 1.5340 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 0.3590 1.5380 0.4010 1.5800 ; + RECT 0.9670 1.5380 1.0090 1.5800 ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + RECT 0.6630 1.5380 0.7050 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2870 0.9690 12.8210 1.0190 ; + RECT 12.2710 0.3010 12.8330 0.3510 ; + RECT 12.7130 0.2490 12.8330 0.3010 ; + RECT 12.7130 0.3510 12.8330 0.3590 ; + RECT 12.2870 1.0190 12.3370 1.2400 ; + RECT 12.7710 0.3590 12.8210 0.9690 ; + END + PORT + LAYER CO ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.3050 12.3330 0.3470 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + END + ANTENNADIFFAREA 0.1275 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.4010 12.6830 0.4510 ; + RECT 11.9830 0.8590 12.6830 0.9090 ; + RECT 12.5610 0.4510 12.6830 0.5380 ; + RECT 11.9830 0.1270 12.0330 0.4010 ; + RECT 11.9830 0.9090 12.0330 1.2320 ; + RECT 12.6330 0.5380 12.6830 0.8590 ; + END + PORT + LAYER CO ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + END + ANTENNADIFFAREA 0.1275 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.3520 1.7020 ; + RECT 0.4120 1.3590 2.0770 1.4090 ; + RECT 2.0270 1.4090 2.0770 1.6420 ; + RECT 12.1350 0.9590 12.1850 1.2900 ; + RECT 2.0270 1.2900 12.1850 1.3400 ; + RECT 2.0270 1.3400 2.0770 1.3590 ; + END + PORT + LAYER CO ; + RECT 5.2990 1.2930 5.3410 1.3350 ; + RECT 4.8430 1.2940 4.8850 1.3360 ; + RECT 3.3230 1.2940 3.3650 1.3360 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 1.4990 1.3630 1.5410 1.4050 ; + RECT 1.8030 1.3630 1.8450 1.4050 ; + RECT 5.9070 1.2920 5.9490 1.3340 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 4.3870 1.2940 4.4290 1.3360 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 1.0430 1.3630 1.0850 1.4050 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 10.1630 1.2940 10.2050 1.3360 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 2.8670 1.2940 2.9090 1.3360 ; + RECT 0.4350 1.3630 0.4770 1.4050 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 2.1070 1.2940 2.1490 1.3360 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 2.4110 1.2940 2.4530 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 0.7390 1.3630 0.7810 1.4050 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 11.6830 1.2940 11.7250 1.3360 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.3530 1.4080 13.9020 1.4580 ; + RECT 13.7770 1.3120 13.8870 1.4080 ; + END + PORT + LAYER CO ; + RECT 13.7350 1.4120 13.7770 1.4540 ; + RECT 10.6950 1.4120 10.7370 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 13.1270 1.4120 13.1690 1.4540 ; + RECT 11.3030 1.4100 11.3450 1.4520 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 4.9190 0.7110 4.9610 0.7530 ; + RECT 4.6150 0.7170 4.6570 0.7590 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 4.7670 0.6170 4.8090 0.6590 ; + RECT 4.6910 1.3940 4.7330 1.4360 ; + RECT 4.5390 0.9380 4.5810 0.9800 ; + RECT 4.9190 0.5140 4.9610 0.5560 ; + RECT 4.0070 0.7170 4.0490 0.7590 ; + RECT 4.1590 0.7170 4.2010 0.7590 ; + RECT 4.6910 0.3260 4.7330 0.3680 ; + RECT 4.6910 0.4180 4.7330 0.4600 ; + RECT 7.0470 0.6870 7.0890 0.7290 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 3.7030 0.6680 3.7450 0.7100 ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.4750 1.4280 3.5170 1.4700 ; + RECT 3.3990 0.8680 3.4410 0.9100 ; + RECT 10.0110 1.1940 10.0530 1.2360 ; + RECT 9.7070 1.1940 9.7490 1.2360 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 3.3990 0.6680 3.4410 0.7100 ; + RECT 1.6510 1.0440 1.6930 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.9550 1.0440 1.9970 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.3470 0.4590 1.3890 0.5010 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 1.3470 1.0440 1.3890 1.0860 ; + RECT 1.4230 0.7230 1.4650 0.7650 ; + RECT 1.6510 0.4590 1.6930 0.5010 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.5750 0.7230 1.6170 0.7650 ; + RECT 1.7270 0.7230 1.7690 0.7650 ; + RECT 1.8790 0.7230 1.9210 0.7650 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 10.0870 1.4210 10.1290 1.4630 ; + RECT 9.6310 1.4210 9.6730 1.4630 ; + RECT 5.5270 1.4210 5.5690 1.4630 ; + RECT 5.5270 1.0640 5.5690 1.1060 ; + RECT 10.0110 0.4230 10.0530 0.4650 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 3.9310 1.3930 3.9730 1.4350 ; + RECT 4.2350 1.3940 4.2770 1.4360 ; + RECT 4.2350 0.3260 4.2770 0.3680 ; + RECT 4.2350 0.4180 4.2770 0.4600 ; + RECT 5.6790 0.6870 5.7210 0.7290 ; + RECT 5.1470 1.0650 5.1890 1.1070 ; + RECT 12.5190 0.7630 12.5610 0.8050 ; + RECT 8.4150 1.5380 8.4570 1.5800 ; + RECT 2.1830 0.7230 2.2250 0.7650 ; + RECT 10.6190 0.4020 10.6610 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 13.6590 0.3680 13.7010 0.4100 ; + RECT 2.0310 0.7230 2.0730 0.7650 ; + RECT 3.8550 0.6170 3.8970 0.6590 ; + RECT 11.2270 0.4880 11.2690 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 0.5870 1.1300 0.6290 1.1720 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 0.5870 1.0380 0.6290 1.0800 ; + RECT 0.2830 0.5250 0.3250 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 13.8110 0.6230 13.8530 0.6650 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 14.1150 0.7130 14.1570 0.7550 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 0.2830 1.0380 0.3250 1.0800 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 6.5910 0.6870 6.6330 0.7290 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 10.6190 1.0710 10.6610 1.1130 ; + RECT 13.5830 0.4980 13.6250 0.5400 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 8.0350 1.1380 8.0770 1.1800 ; + RECT 2.4870 0.6680 2.5290 0.7100 ; + RECT 3.9310 0.3260 3.9730 0.3680 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 4.0830 0.9380 4.1250 0.9800 ; + RECT 14.6470 0.5660 14.6890 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 2.1830 0.0920 2.2250 0.1340 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 2.4870 0.8680 2.5290 0.9100 ; + RECT 0.2830 1.1300 0.3250 1.1720 ; + RECT 6.4390 1.5380 6.4810 1.5800 ; + RECT 10.9990 0.7630 11.0410 0.8050 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 2.7150 1.1820 2.7570 1.2240 ; + RECT 2.3350 1.5380 2.3770 1.5800 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 10.8470 0.6680 10.8890 0.7100 ; + RECT 12.0630 0.6620 12.1050 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 6.4390 0.0920 6.4810 0.1340 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + RECT 2.9430 0.8680 2.9850 0.9100 ; + RECT 13.3550 0.6330 13.3970 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 14.4190 0.6800 14.4610 0.7220 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 6.1350 0.6870 6.1770 0.7290 ; + RECT 4.3110 0.6170 4.3530 0.6590 ; + RECT 14.4190 0.3290 14.4610 0.3710 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 14.0390 0.4660 14.0810 0.5080 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 12.2150 0.6170 12.2570 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 2.3350 0.7230 2.3770 0.7650 ; + RECT 6.0590 0.4180 6.1010 0.4600 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 2.7150 0.5250 2.7570 0.5670 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.4310 1.0790 13.4730 1.1210 ; + RECT 11.8350 0.4090 11.8770 0.4510 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 3.0190 0.4160 3.0610 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 11.0750 1.0710 11.1170 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 9.8590 1.0670 9.9010 1.1090 ; + RECT 13.5830 1.2040 13.6250 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 2.7910 0.8680 2.8330 0.9100 ; + RECT 13.8110 0.1750 13.8530 0.2170 ; + RECT 5.1470 0.4960 5.1890 0.5380 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 2.5630 0.4160 2.6050 0.4580 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 0.5870 0.5250 0.6290 0.5670 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 2.5630 1.4280 2.6050 1.4700 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 10.6950 0.6680 10.7370 0.7100 ; + RECT 10.8470 0.8710 10.8890 0.9130 ; + RECT 3.9310 0.4180 3.9730 0.4600 ; + RECT 13.3550 0.3740 13.3970 0.4160 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.9430 0.6680 2.9850 0.7100 ; + RECT 0.2830 0.4330 0.3250 0.4750 ; + RECT 9.9350 0.6310 9.9770 0.6730 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 13.0510 0.6650 13.0930 0.7070 ; + RECT 14.6470 1.5320 14.6890 1.5740 ; + RECT 14.3430 0.4660 14.3850 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.5070 0.1360 13.5490 0.1780 ; + RECT 13.0510 0.3740 13.0930 0.4160 ; + RECT 13.6590 0.8490 13.7010 0.8910 ; + RECT 12.5190 1.2040 12.5610 1.2460 ; + RECT 13.5070 0.6390 13.5490 0.6810 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 14.1150 0.3500 14.1570 0.3920 ; + RECT 11.4550 0.0980 11.4970 0.1400 ; + RECT 7.5790 1.1380 7.6210 1.1800 ; + RECT 11.0750 0.4020 11.1170 0.4440 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 7.9590 1.5380 8.0010 1.5800 ; + RECT 3.0190 1.4280 3.0610 1.4700 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 8.4910 1.1380 8.5330 1.1800 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 6.0590 1.0770 6.1010 1.1190 ; + RECT 10.5430 1.5320 10.5850 1.5740 ; + LAYER PO ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 2.4930 0.8560 2.5230 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 10.3970 0.8590 10.4270 1.6060 ; + RECT 12.9810 0.0660 13.0110 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.5250 0.0670 12.5550 1.6050 ; + RECT 6.4450 0.8430 6.4750 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7380 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 5.9890 0.8430 6.0190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.7350 ; + RECT 2.4930 0.0660 2.5230 0.7350 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 3.4050 0.8560 3.4350 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.7200 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7380 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.8460 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 2.9490 0.0660 2.9790 0.7350 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.7380 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 2.9490 0.8560 2.9790 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 10.8530 0.8610 10.8830 1.6060 ; + LAYER M1 ; + RECT 0.2790 0.7690 0.3290 1.1920 ; + RECT 0.2790 0.4130 0.3290 0.7190 ; + RECT 0.5830 0.7690 0.6330 1.1920 ; + RECT 0.5830 0.4130 0.6330 0.7190 ; + RECT 0.8870 0.7690 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.7190 ; + RECT 12.4990 1.2000 13.6450 1.2500 ; + RECT 2.5410 1.4240 3.5410 1.4740 ; + RECT 2.5410 0.4120 3.5390 0.4620 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 2.3150 1.5340 9.0850 1.5840 ; + RECT 2.1630 0.0880 8.7810 0.1380 ; + RECT 3.9110 1.3900 4.7570 1.4400 ; + RECT 5.1230 0.4920 5.4630 0.5420 ; + RECT 5.4130 0.5420 5.4630 1.0600 ; + RECT 3.9320 1.0600 5.5890 1.1100 ; + RECT 3.9320 0.7130 4.6770 0.7630 ; + RECT 3.9320 0.7630 3.9820 1.0600 ; + RECT 2.4640 0.6640 3.7650 0.7140 ; + RECT 9.6800 1.1900 10.0760 1.2400 ; + RECT 5.4930 1.4170 10.1490 1.4670 ; + RECT 7.5590 1.1340 8.5530 1.1840 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 11.9590 0.5010 12.2610 0.5510 ; + RECT 11.4350 0.6130 12.0090 0.6630 ; + RECT 12.2110 0.5510 12.2610 0.6790 ; + RECT 11.9590 0.5510 12.0090 0.6130 ; + RECT 13.0470 1.0750 13.4930 1.1250 ; + RECT 13.0470 0.1260 13.0970 1.0750 ; + RECT 8.8310 0.0920 11.5180 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 14.1110 0.5890 14.3040 0.6390 ; + RECT 14.1110 0.3620 14.3040 0.4120 ; + RECT 14.2540 0.4620 14.4050 0.5120 ; + RECT 14.2540 0.5120 14.3040 0.5890 ; + RECT 14.2540 0.4120 14.3040 0.4620 ; + RECT 14.1110 0.6390 14.1610 0.7950 ; + RECT 14.1110 0.1260 14.1610 0.3620 ; + RECT 13.3510 0.4940 13.7050 0.5440 ; + RECT 13.6550 0.3480 13.7050 0.4940 ; + RECT 13.3510 0.5440 13.4010 0.7950 ; + RECT 13.8070 0.4620 14.1010 0.5120 ; + RECT 13.4870 0.6350 13.8570 0.6850 ; + RECT 13.4870 0.1320 13.8570 0.1820 ; + RECT 13.8070 0.5120 13.8570 0.6350 ; + RECT 13.8070 0.1820 13.8570 0.4620 ; + RECT 10.9790 0.7590 12.5810 0.8090 ; + RECT 11.3350 0.5130 11.8840 0.5630 ; + RECT 11.8310 0.8090 11.8810 1.2400 ; + RECT 11.8310 0.3830 11.8810 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.3350 0.5630 11.3850 0.7590 ; + RECT 12.0590 0.6420 12.1090 0.7590 ; + RECT 10.5230 1.5280 14.7110 1.5780 ; + RECT 10.6750 0.6640 10.9090 0.7140 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 9.7630 0.6270 10.3210 0.6770 ; + RECT 10.2710 0.3980 11.1370 0.4480 ; + RECT 10.2710 1.0670 11.1370 1.1170 ; + RECT 10.2710 0.4480 10.3210 0.6270 ; + RECT 10.2710 0.6770 10.3210 1.0670 ; + RECT 4.0630 0.9340 4.9650 0.9840 ; + RECT 4.9150 0.6910 4.9650 0.9340 ; + RECT 14.4150 0.5620 14.7090 0.6120 ; + RECT 14.4150 0.3620 14.6000 0.4120 ; + RECT 14.5500 0.4120 14.6000 0.5620 ; + RECT 13.2510 0.8450 14.4650 0.8950 ; + RECT 14.4150 0.6120 14.4650 0.8450 ; + RECT 14.4150 0.1260 14.4650 0.3620 ; + RECT 13.2510 0.3700 13.4190 0.4200 ; + RECT 13.2510 0.4200 13.3010 0.8450 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.5110 0.7670 9.9050 0.8170 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 9.8550 0.8170 9.9050 1.1290 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 10.0070 0.4030 10.0570 0.5270 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.5110 0.5270 10.0570 0.5770 ; + RECT 5.6590 0.6830 7.1090 0.7330 ; + RECT 7.0430 0.7330 7.0930 0.8670 ; + RECT 7.0530 0.9160 7.4130 0.9170 ; + RECT 7.0430 0.8670 7.4130 0.9160 ; + RECT 4.9530 0.3920 5.7250 0.4420 ; + RECT 5.6750 0.4420 5.7250 0.6830 ; + RECT 3.9270 0.3060 3.9770 0.5110 ; + RECT 4.2310 0.3060 4.2810 0.5110 ; + RECT 4.6870 0.3060 4.7370 0.5110 ; + RECT 4.9530 0.4420 5.0030 0.5110 ; + RECT 3.9270 0.5110 5.0030 0.5610 ; + RECT 6.0370 1.0730 7.0360 1.1230 ; + RECT 7.2240 0.7670 7.5130 0.8170 ; + RECT 6.1900 0.5210 7.2740 0.5710 ; + RECT 7.2240 0.5710 7.2740 0.7670 ; + RECT 7.4630 0.8170 7.5130 1.0260 ; + RECT 7.1670 1.0260 7.5130 1.0760 ; + RECT 2.6930 1.1780 7.2330 1.2280 ; + RECT 7.1670 1.0760 7.2170 1.1780 ; + RECT 3.8270 0.6130 4.8290 0.6630 ; + RECT 2.6930 0.5210 3.8770 0.5710 ; + RECT 3.8270 0.6630 3.8770 1.1780 ; + RECT 3.8270 0.5710 3.8770 0.6130 ; + RECT 10.3870 0.5140 11.2730 0.5640 ; + RECT 10.5750 0.8670 11.2730 0.9170 ; + RECT 10.3870 0.5640 10.4370 0.6790 ; + RECT 11.2230 0.3830 11.2730 0.5140 ; + RECT 11.2230 0.9170 11.2730 1.2400 ; + RECT 10.5750 0.9170 10.6250 1.0170 ; + RECT 10.5750 0.5640 10.6250 0.8670 ; + RECT 2.4560 0.8640 3.4640 0.9140 ; + RECT 1.3250 0.4550 2.3810 0.5050 ; + RECT 1.3270 1.0400 2.3810 1.0900 ; + RECT 2.3310 0.5050 2.3810 1.0400 ; + RECT 6.0370 0.4140 7.0340 0.4640 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 0.2790 0.7190 2.2450 0.7690 ; + RECT 1.1910 0.7690 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.7190 ; + LAYER NWELL ; + RECT -0.1160 1.5430 15.4610 1.7730 ; + RECT -0.1160 0.7390 12.4180 1.5430 ; + RECT 15.1870 0.6790 15.4610 1.5430 ; + RECT -0.1160 0.7310 1.2920 0.7390 ; + RECT 2.3620 0.7280 12.4180 0.7390 ; + RECT -0.1160 0.6790 0.1640 0.7310 ; + RECT 7.2650 0.6790 12.4180 0.7280 ; + RECT 12.8810 0.4910 14.7270 1.0830 ; + END +END RDFFNARX1_HVT + +MACRO RDFFNARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 15.808 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1130 0.7050 5.2930 0.8150 ; + END + PORT + LAYER CO ; + RECT 5.2230 0.7390 5.2650 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END RSTB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2710 0.3010 13.4310 0.3510 ; + RECT 13.2270 0.3510 13.4310 0.3700 ; + RECT 13.2270 0.2490 13.4310 0.3010 ; + RECT 12.2870 0.9690 13.2770 1.0190 ; + RECT 12.2870 1.0190 12.3370 1.2400 ; + RECT 12.7430 1.0190 12.7930 1.2400 ; + RECT 13.2270 0.3700 13.2770 0.9690 ; + END + PORT + LAYER CO ; + RECT 12.7470 1.1730 12.7890 1.2150 ; + RECT 12.7470 1.0810 12.7890 1.1230 ; + RECT 12.7470 1.1730 12.7890 1.2150 ; + RECT 12.7470 0.9890 12.7890 1.0310 ; + RECT 12.7470 1.0810 12.7890 1.1230 ; + RECT 12.7470 0.3050 12.7890 0.3470 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.2910 0.9890 12.3330 1.0310 ; + RECT 12.2910 1.1730 12.3330 1.2150 ; + RECT 12.2910 1.0810 12.3330 1.1230 ; + RECT 12.7470 0.9890 12.7890 1.0310 ; + RECT 12.2910 0.3050 12.3330 0.3470 ; + END + ANTENNADIFFAREA 0.255 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 13.6390 0.9420 15.1180 1.0020 ; + RECT 14.9960 0.6900 15.1180 0.9420 ; + END + PORT + LAYER CO ; + RECT 13.6590 0.9510 13.7010 0.9930 ; + RECT 15.0270 0.8620 15.0690 0.9040 ; + RECT 14.7230 0.9510 14.7650 0.9930 ; + RECT 14.4190 0.9510 14.4610 0.9930 ; + RECT 15.0270 0.7100 15.0690 0.7520 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0830 1.2480 1.5100 1.2980 ; + RECT 0.0830 1.2980 0.2220 1.3100 ; + RECT 0.0830 1.1480 0.2220 1.2480 ; + RECT 1.4600 1.1770 2.6220 1.2270 ; + RECT 2.5840 0.7610 3.6160 0.8110 ; + RECT 1.4600 1.2270 1.5100 1.2480 ; + RECT 2.5720 1.0340 2.6220 1.1770 ; + RECT 2.5720 0.9840 3.6160 1.0340 ; + RECT 3.5660 0.8110 3.6160 0.9840 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.7650 3.5930 0.8070 ; + RECT 2.6390 0.7650 2.6810 0.8070 ; + RECT 3.0950 0.7650 3.1370 0.8070 ; + END + ANTENNAGATEAREA 0.09 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 15.8080 0.0300 ; + RECT 9.2310 0.2830 11.7290 0.3330 ; + RECT 0.7350 0.0300 0.7850 0.5570 ; + RECT 1.0390 0.0300 1.0890 0.5570 ; + RECT 0.4310 0.0300 0.4810 0.5570 ; + RECT 14.7190 0.0300 14.7690 0.2410 ; + RECT 12.1350 0.0300 12.1850 0.2410 ; + RECT 14.4150 0.0300 14.4650 0.2020 ; + RECT 12.5910 0.0300 12.6410 0.2410 ; + RECT 13.6550 0.0300 13.7050 0.2060 ; + RECT 11.6790 0.3330 11.7290 0.4430 ; + RECT 11.6640 0.0300 11.7140 0.2830 ; + RECT 4.0790 0.2380 4.1290 0.4570 ; + RECT 4.3830 0.2380 4.4330 0.4570 ; + RECT 4.8390 0.2910 4.8890 0.4570 ; + RECT 4.5350 0.2380 4.5850 0.4570 ; + RECT 4.8390 0.2410 5.8990 0.2910 ; + RECT 8.3350 0.1980 8.3850 0.3070 ; + RECT 5.8490 0.2910 5.8990 0.3070 ; + RECT 5.8490 0.3070 8.4060 0.3570 ; + RECT 1.4800 0.0300 1.5300 0.3070 ; + RECT 3.7750 0.3570 3.8250 0.3650 ; + RECT 1.4790 0.3070 3.8250 0.3570 ; + RECT 3.7750 0.2380 3.8250 0.3070 ; + RECT 4.8390 0.2380 4.8890 0.2410 ; + RECT 3.7750 0.1880 4.8890 0.2380 ; + END + PORT + LAYER CO ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 12.5950 0.1720 12.6370 0.2140 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 4.3870 0.3030 4.4290 0.3450 ; + RECT 4.3870 0.2110 4.4290 0.2530 ; + RECT 4.3870 0.3950 4.4290 0.4370 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 5.2990 0.2450 5.3410 0.2870 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.5390 0.2110 4.5810 0.2530 ; + RECT 4.8430 0.2110 4.8850 0.2530 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 4.8430 0.3030 4.8850 0.3450 ; + RECT 4.8430 0.3950 4.8850 0.4370 ; + RECT 4.5390 0.3030 4.5810 0.3450 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 9.8590 0.2870 9.9010 0.3290 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.1630 0.2870 10.2050 0.3290 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 14.7230 0.1440 14.7650 0.1860 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 9.5550 0.2870 9.5970 0.3290 ; + RECT 14.1910 -0.0210 14.2330 0.0210 ; + RECT 14.3430 -0.0210 14.3850 0.0210 ; + RECT 14.4950 -0.0210 14.5370 0.0210 ; + RECT 14.6470 -0.0210 14.6890 0.0210 ; + RECT 9.2510 0.2870 9.2930 0.3290 ; + RECT 12.1390 0.1720 12.1810 0.2140 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 1.4990 0.3110 1.5410 0.3530 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 4.5390 0.3950 4.5810 0.4370 ; + RECT 11.3790 0.2870 11.4210 0.3290 ; + RECT 6.8190 0.3120 6.8610 0.3540 ; + RECT 14.4190 0.1360 14.4610 0.1780 ; + RECT 0.7390 0.3110 0.7810 0.3530 ; + RECT 0.7390 0.4950 0.7810 0.5370 ; + RECT 0.7390 0.4030 0.7810 0.4450 ; + RECT 5.9070 0.3120 5.9490 0.3540 ; + RECT 1.0430 0.3110 1.0850 0.3530 ; + RECT 1.0430 0.4030 1.0850 0.4450 ; + RECT 1.0430 0.4950 1.0850 0.5370 ; + RECT 6.3630 0.3120 6.4050 0.3540 ; + RECT 2.1070 0.3110 2.1490 0.3530 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 13.7350 -0.0210 13.7770 0.0210 ; + RECT 13.8870 -0.0210 13.9290 0.0210 ; + RECT 3.7790 0.3000 3.8210 0.3420 ; + RECT 2.4110 0.3110 2.4530 0.3530 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.3110 0.4770 0.3530 ; + RECT 2.8670 0.3110 2.9090 0.3530 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.0830 0.3030 4.1250 0.3450 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.4350 0.4030 0.4770 0.4450 ; + RECT 0.4350 0.4950 0.4770 0.5370 ; + RECT 4.0830 0.2110 4.1250 0.2530 ; + RECT 14.7990 -0.0210 14.8410 0.0210 ; + RECT 10.7710 0.2870 10.8130 0.3290 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 11.6830 0.3710 11.7250 0.4130 ; + RECT 8.3390 0.3110 8.3810 0.3530 ; + RECT 14.9510 -0.0210 14.9930 0.0210 ; + RECT 8.3390 0.2190 8.3810 0.2610 ; + RECT 15.1030 -0.0210 15.1450 0.0210 ; + RECT 7.4270 0.3110 7.4690 0.3530 ; + RECT 15.7110 -0.0210 15.7530 0.0210 ; + RECT 3.3230 0.3110 3.3650 0.3530 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 14.0390 -0.0210 14.0810 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 4.0830 0.3950 4.1250 0.4370 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 10.3150 0.2870 10.3570 0.3290 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 15.5590 -0.0210 15.6010 0.0210 ; + RECT 13.6590 0.1440 13.7010 0.1860 ; + RECT 3.7790 0.2080 3.8210 0.2500 ; + RECT 7.8830 0.3110 7.9250 0.3530 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 15.2550 -0.0210 15.2970 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 15.4070 -0.0210 15.4490 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2500 1.5650 1.1830 1.5840 ; + RECT 0.2490 1.4650 0.4220 1.5340 ; + RECT 0.2490 1.5340 1.1830 1.5650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 0.9670 1.5380 1.0090 1.5800 ; + RECT 0.3590 1.5380 0.4010 1.5800 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + RECT 0.6630 1.5380 0.7050 1.5800 ; + END + ANTENNAGATEAREA 0.2142 ; + END CLK + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.9830 0.4010 13.1420 0.4510 ; + RECT 11.9830 0.8590 13.1390 0.9090 ; + RECT 13.0170 0.4510 13.1420 0.5380 ; + RECT 11.9830 0.1270 12.0330 0.4010 ; + RECT 11.9830 0.9090 12.0330 1.2320 ; + RECT 13.0890 0.5380 13.1390 0.8590 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.8630 12.4850 0.9050 ; + RECT 12.4430 0.8630 12.4850 0.9050 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 12.4430 0.4050 12.4850 0.4470 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 11.9870 0.1770 12.0290 0.2190 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 11.9870 0.2690 12.0290 0.3110 ; + END + ANTENNADIFFAREA 0.255 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 15.8080 1.7020 ; + RECT 2.0480 1.4090 2.0980 1.6420 ; + RECT 0.4120 1.3590 2.0980 1.4090 ; + RECT 2.0480 1.2900 12.6410 1.3400 ; + RECT 12.1350 0.9590 12.1850 1.2900 ; + RECT 12.5910 1.1280 12.6410 1.2900 ; + RECT 2.0480 1.3400 2.0980 1.3590 ; + END + PORT + LAYER CO ; + RECT 12.5950 1.2550 12.6370 1.2970 ; + RECT 12.5950 1.2550 12.6370 1.2970 ; + RECT 5.2990 1.2930 5.3410 1.3350 ; + RECT 4.8430 1.2940 4.8850 1.3360 ; + RECT 3.3230 1.2940 3.3650 1.3360 ; + RECT 12.5950 1.1630 12.6370 1.2050 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 14.4950 1.6510 14.5370 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 12.9750 1.6510 13.0170 1.6930 ; + RECT 13.1270 1.6510 13.1690 1.6930 ; + RECT 13.2790 1.6510 13.3210 1.6930 ; + RECT 13.4310 1.6510 13.4730 1.6930 ; + RECT 13.5830 1.6510 13.6250 1.6930 ; + RECT 13.7350 1.6510 13.7770 1.6930 ; + RECT 13.8870 1.6510 13.9290 1.6930 ; + RECT 14.0390 1.6510 14.0810 1.6930 ; + RECT 14.1910 1.6510 14.2330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.5950 1.1630 12.6370 1.2050 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 9.2510 1.2940 9.2930 1.3360 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 6.8190 1.2920 6.8610 1.3340 ; + RECT 6.3630 1.2920 6.4050 1.3340 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 1.4990 1.3630 1.5410 1.4050 ; + RECT 1.8030 1.3630 1.8450 1.4050 ; + RECT 5.9070 1.2920 5.9490 1.3340 ; + RECT 1.0430 1.3630 1.0850 1.4050 ; + RECT 14.3430 1.6510 14.3850 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 10.1630 1.2940 10.2050 1.3360 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 15.7110 1.6510 15.7530 1.6930 ; + RECT 11.6830 1.2940 11.7250 1.3360 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 12.1390 1.1630 12.1810 1.2050 ; + RECT 4.3870 1.2940 4.4290 1.3360 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 2.8670 1.2940 2.9090 1.3360 ; + RECT 0.4350 1.3630 0.4770 1.4050 ; + RECT 3.7790 1.2940 3.8210 1.3360 ; + RECT 2.1070 1.2940 2.1490 1.3360 ; + RECT 12.1390 0.9790 12.1810 1.0210 ; + RECT 7.8830 1.2940 7.9250 1.3360 ; + RECT 12.1390 1.0710 12.1810 1.1130 ; + RECT 15.5590 1.6510 15.6010 1.6930 ; + RECT 12.1390 1.2550 12.1810 1.2970 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 14.6470 1.6510 14.6890 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 14.7990 1.6510 14.8410 1.6930 ; + RECT 14.9510 1.6510 14.9930 1.6930 ; + RECT 15.1030 1.6510 15.1450 1.6930 ; + RECT 7.4270 1.2940 7.4690 1.3360 ; + RECT 15.2550 1.6510 15.2970 1.6930 ; + RECT 15.4070 1.6510 15.4490 1.6930 ; + RECT 11.3790 1.2940 11.4210 1.3360 ; + RECT 10.3150 1.2940 10.3570 1.3360 ; + RECT 10.7710 1.2940 10.8130 1.3360 ; + RECT 0.7390 1.3630 0.7810 1.4050 ; + RECT 9.5550 1.2940 9.5970 1.3360 ; + RECT 2.4110 1.2940 2.4530 1.3360 ; + RECT 8.3390 1.2940 8.3810 1.3360 ; + END + END VDD + + PIN RETN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.3530 1.4080 14.3580 1.4580 ; + RECT 14.2270 1.3130 14.3580 1.4080 ; + END + PORT + LAYER CO ; + RECT 11.3030 1.4100 11.3450 1.4520 ; + RECT 14.1910 1.4120 14.2330 1.4540 ; + RECT 10.6950 1.4120 10.7370 1.4540 ; + RECT 10.3910 1.4120 10.4330 1.4540 ; + RECT 13.5830 1.4120 13.6250 1.4540 ; + END + ANTENNAGATEAREA 0.09 ; + END RETN + OBS + LAYER CO ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 12.5190 0.6620 12.5610 0.7040 ; + RECT 12.6710 0.6170 12.7130 0.6590 ; + RECT 3.9310 1.3930 3.9730 1.4350 ; + RECT 4.2350 1.3940 4.2770 1.4360 ; + RECT 4.2350 0.3260 4.2770 0.3680 ; + RECT 4.2350 0.4180 4.2770 0.4600 ; + RECT 5.6790 0.6870 5.7210 0.7290 ; + RECT 5.1470 1.0650 5.1890 1.1070 ; + RECT 4.9190 0.7110 4.9610 0.7530 ; + RECT 4.6150 0.7140 4.6570 0.7560 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 4.7670 0.6140 4.8090 0.6560 ; + RECT 4.6910 1.3940 4.7330 1.4360 ; + RECT 4.5390 0.9380 4.5810 0.9800 ; + RECT 4.9190 0.5130 4.9610 0.5550 ; + RECT 4.0070 0.7140 4.0490 0.7560 ; + RECT 4.1590 0.7140 4.2010 0.7560 ; + RECT 4.6910 0.3210 4.7330 0.3630 ; + RECT 4.6910 0.4130 4.7330 0.4550 ; + RECT 7.0470 0.6870 7.0890 0.7290 ; + RECT 7.1230 1.1820 7.1650 1.2240 ; + RECT 6.9710 0.4180 7.0130 0.4600 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.6270 1.1820 3.6690 1.2240 ; + RECT 3.4750 1.4280 3.5170 1.4700 ; + RECT 3.3990 0.8660 3.4410 0.9080 ; + RECT 10.0110 1.1940 10.0530 1.2360 ; + RECT 9.7070 1.1940 9.7490 1.2360 ; + RECT 1.1950 1.0380 1.2370 1.0800 ; + RECT 1.1950 1.1300 1.2370 1.1720 ; + RECT 1.1950 0.4330 1.2370 0.4750 ; + RECT 9.3270 0.8710 9.3690 0.9130 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 1.6510 1.0440 1.6930 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.9550 1.0440 1.9970 1.0860 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 1.3470 0.4590 1.3890 0.5010 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 1.9550 0.4590 1.9970 0.5010 ; + RECT 1.3470 1.0440 1.3890 1.0860 ; + RECT 1.4230 0.7230 1.4650 0.7650 ; + RECT 1.6510 0.4590 1.6930 0.5010 ; + RECT 10.0870 1.4210 10.1290 1.4630 ; + RECT 9.6310 1.4210 9.6730 1.4630 ; + RECT 5.5270 1.4210 5.5690 1.4630 ; + RECT 5.5270 0.9280 5.5690 0.9700 ; + RECT 10.0110 0.4230 10.0530 0.4650 ; + RECT 5.9830 0.0920 6.0250 0.1340 ; + RECT 6.5910 0.6870 6.6330 0.7290 ; + RECT 11.5310 0.5010 11.5730 0.5430 ; + RECT 9.0230 0.6170 9.0650 0.6590 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 14.8750 0.6800 14.9170 0.7220 ; + RECT 6.5150 1.0770 6.5570 1.1190 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 6.1350 0.6870 6.1770 0.7290 ; + RECT 4.3110 0.6140 4.3530 0.6560 ; + RECT 14.8750 0.3290 14.9170 0.3710 ; + RECT 11.8350 1.0640 11.8770 1.1060 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.5310 0.4090 11.5730 0.4510 ; + RECT 11.8350 0.9720 11.8770 1.0140 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 11.8350 1.1560 11.8770 1.1980 ; + RECT 14.4950 0.4660 14.5370 0.5080 ; + RECT 7.5030 0.0920 7.5450 0.1340 ; + RECT 7.1230 0.5250 7.1650 0.5670 ; + RECT 7.6550 0.6710 7.6970 0.7130 ; + RECT 8.6430 0.9710 8.6850 1.0130 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 8.9470 1.1780 8.9890 1.2200 ; + RECT 12.2150 0.6170 12.2570 0.6590 ; + RECT 8.7950 1.0860 8.8370 1.1280 ; + RECT 8.6430 1.0860 8.6850 1.1280 ; + RECT 2.3350 0.7210 2.3770 0.7630 ; + RECT 6.0590 0.4180 6.1010 0.4600 ; + RECT 9.4030 1.1940 9.4450 1.2360 ; + RECT 2.7150 0.5250 2.7570 0.5670 ; + RECT 12.9750 0.7630 13.0170 0.8050 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.5750 0.7230 1.6170 0.7650 ; + RECT 1.7270 0.7230 1.7690 0.7650 ; + RECT 1.8790 0.7230 1.9210 0.7650 ; + RECT 6.2110 1.1820 6.2530 1.2240 ; + RECT 6.9710 1.0770 7.0130 1.1190 ; + RECT 8.0350 0.4160 8.0770 0.4580 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 0.5870 1.1300 0.6290 1.1720 ; + RECT 8.8710 0.8710 8.9130 0.9130 ; + RECT 5.9830 1.5380 6.0250 1.5800 ; + RECT 0.5870 1.0380 0.6290 1.0800 ; + RECT 0.2830 0.5250 0.3250 0.5670 ; + RECT 8.8710 0.6170 8.9130 0.6590 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.8910 1.0380 0.9330 1.0800 ; + RECT 8.7950 0.4810 8.8370 0.5230 ; + RECT 8.9470 0.4810 8.9890 0.5230 ; + RECT 9.7830 0.6310 9.8250 0.6730 ; + RECT 7.5790 0.4160 7.6210 0.4580 ; + RECT 0.8910 0.5250 0.9330 0.5670 ; + RECT 14.2670 0.6230 14.3090 0.6650 ; + RECT 11.7590 0.6170 11.8010 0.6590 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + RECT 8.9470 0.9940 8.9890 1.0360 ; + RECT 3.1710 0.5250 3.2130 0.5670 ; + RECT 14.5710 0.7130 14.6130 0.7550 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + RECT 10.6950 0.6680 10.7370 0.7100 ; + RECT 10.8470 0.8710 10.8890 0.9130 ; + RECT 3.9310 0.4180 3.9730 0.4600 ; + RECT 13.8110 0.3740 13.8530 0.4160 ; + RECT 2.2590 0.4590 2.3010 0.5010 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 0.2830 0.4330 0.3250 0.4750 ; + RECT 9.9350 0.6310 9.9770 0.6730 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 13.5070 0.6650 13.5490 0.7070 ; + RECT 15.1030 1.5320 15.1450 1.5740 ; + RECT 14.7990 0.4660 14.8410 0.5080 ; + RECT 7.3510 0.8710 7.3930 0.9130 ; + RECT 13.9630 0.1360 14.0050 0.1780 ; + RECT 13.5070 0.3740 13.5490 0.4160 ; + RECT 14.1150 0.8400 14.1570 0.8820 ; + RECT 12.9750 1.2040 13.0170 1.2460 ; + RECT 13.9630 0.6390 14.0050 0.6810 ; + RECT 8.4150 0.0920 8.4570 0.1340 ; + RECT 14.5710 0.3500 14.6130 0.3920 ; + RECT 11.4550 0.0980 11.4970 0.1400 ; + RECT 7.5790 1.1380 7.6210 1.1800 ; + RECT 11.0750 0.4020 11.1170 0.4440 ; + RECT 8.4150 1.5380 8.4570 1.5800 ; + RECT 2.1830 0.7230 2.2250 0.7650 ; + RECT 10.6190 0.4020 10.6610 0.4440 ; + RECT 9.4030 0.4590 9.4450 0.5010 ; + RECT 14.1150 0.3680 14.1570 0.4100 ; + RECT 2.0310 0.7230 2.0730 0.7650 ; + RECT 3.8550 0.6140 3.8970 0.6560 ; + RECT 11.2270 0.4880 11.2690 0.5300 ; + RECT 8.6430 0.3890 8.6850 0.4310 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.0350 1.1380 8.0770 1.1800 ; + RECT 2.4870 0.6900 2.5290 0.7320 ; + RECT 3.9310 0.3260 3.9730 0.3680 ; + RECT 6.6670 1.1820 6.7090 1.2240 ; + RECT 4.0830 0.9380 4.1250 0.9800 ; + RECT 15.1030 0.5660 15.1450 0.6080 ; + RECT 9.0990 0.2970 9.1410 0.3390 ; + RECT 2.1830 0.0920 2.2250 0.1340 ; + RECT 10.3910 0.6170 10.4330 0.6590 ; + RECT 2.2590 1.0440 2.3010 1.0860 ; + RECT 2.4870 0.8660 2.5290 0.9080 ; + RECT 0.2830 1.1300 0.3250 1.1720 ; + RECT 6.4390 1.5380 6.4810 1.5800 ; + RECT 10.9990 0.7630 11.0410 0.8050 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 8.1870 0.9710 8.2290 1.0130 ; + RECT 2.7150 1.1820 2.7570 1.2240 ; + RECT 2.3350 1.5380 2.3770 1.5800 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 10.8470 0.6680 10.8890 0.7100 ; + RECT 12.0630 0.6620 12.1050 0.7040 ; + RECT 7.7310 0.9710 7.7730 1.0130 ; + RECT 9.1750 0.8710 9.2170 0.9130 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 0.2830 1.0380 0.3250 1.0800 ; + RECT 11.5310 1.1560 11.5730 1.1980 ; + RECT 8.5670 0.6710 8.6090 0.7130 ; + RECT 8.7950 0.9940 8.8370 1.0360 ; + RECT 7.9590 1.5380 8.0010 1.5800 ; + RECT 3.0190 1.4280 3.0610 1.4700 ; + RECT 11.2270 1.0640 11.2690 1.1060 ; + RECT 8.6430 1.1780 8.6850 1.2200 ; + RECT 6.2110 0.5250 6.2530 0.5670 ; + RECT 8.4910 1.1380 8.5330 1.1800 ; + RECT 11.8350 0.5010 11.8770 0.5430 ; + RECT 6.0590 1.0770 6.1010 1.1190 ; + RECT 10.5430 1.5320 10.5850 1.5740 ; + RECT 10.6190 1.0710 10.6610 1.1130 ; + RECT 14.0390 0.4980 14.0810 0.5400 ; + RECT 3.1710 1.1820 3.2130 1.2240 ; + RECT 8.7190 0.8710 8.7610 0.9130 ; + RECT 13.8870 1.0610 13.9290 1.1030 ; + RECT 11.8350 0.4090 11.8770 0.4510 ; + RECT 6.5150 0.4180 6.5570 0.4600 ; + RECT 3.0190 0.4160 3.0610 0.4580 ; + RECT 8.6430 0.2970 8.6850 0.3390 ; + RECT 8.9470 0.3890 8.9890 0.4310 ; + RECT 8.1110 0.6710 8.1530 0.7130 ; + RECT 11.0750 1.0710 11.1170 1.1130 ; + RECT 8.6430 0.4810 8.6850 0.5230 ; + RECT 9.8590 1.0670 9.9010 1.1090 ; + RECT 14.0390 1.2040 14.0810 1.2460 ; + RECT 8.9470 1.0860 8.9890 1.1280 ; + RECT 2.7910 0.8660 2.8330 0.9080 ; + RECT 14.2670 0.1750 14.3090 0.2170 ; + RECT 5.1470 0.4960 5.1890 0.5380 ; + RECT 1.1950 0.5250 1.2370 0.5670 ; + RECT 8.4910 0.4160 8.5330 0.4580 ; + RECT 9.0230 1.5380 9.0650 1.5800 ; + RECT 6.8950 1.5380 6.9370 1.5800 ; + RECT 8.1870 0.5170 8.2290 0.5590 ; + RECT 11.4550 0.6170 11.4970 0.6590 ; + RECT 2.5630 0.4160 2.6050 0.4580 ; + RECT 8.7190 0.0920 8.7610 0.1340 ; + RECT 8.7950 1.1780 8.8370 1.2200 ; + RECT 0.5870 0.5250 0.6290 0.5670 ; + RECT 8.7950 0.3890 8.8370 0.4310 ; + RECT 7.9590 0.0920 8.0010 0.1340 ; + RECT 6.4390 0.0920 6.4810 0.1340 ; + RECT 6.6670 0.5250 6.7090 0.5670 ; + RECT 7.3510 0.6710 7.3930 0.7130 ; + RECT 2.9430 0.8660 2.9850 0.9080 ; + RECT 13.8110 0.6330 13.8530 0.6750 ; + RECT 9.0990 0.3890 9.1410 0.4310 ; + RECT 9.0990 1.1940 9.1410 1.2360 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 9.7070 0.4230 9.7490 0.4650 ; + RECT 3.4750 0.4160 3.5170 0.4580 ; + RECT 7.7310 0.5170 7.7730 0.5590 ; + RECT 11.5310 1.0640 11.5730 1.1060 ; + RECT 2.5630 1.4280 2.6050 1.4700 ; + RECT 7.5030 1.5380 7.5450 1.5800 ; + RECT 11.5310 0.9720 11.5730 1.0140 ; + LAYER PO ; + RECT 1.7330 0.0660 1.7630 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.7380 ; + RECT 15.2610 0.0660 15.2910 1.6060 ; + RECT 6.1410 0.0660 6.1710 1.6060 ; + RECT 14.8050 0.0660 14.8350 1.6060 ; + RECT 8.8770 0.0660 8.9070 0.6910 ; + RECT 8.4210 0.0660 8.4510 0.6370 ; + RECT 2.9490 0.8560 2.9790 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 10.8530 0.8610 10.8830 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 8.8770 0.8390 8.9070 1.6060 ; + RECT 12.2210 0.0660 12.2510 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 12.0690 0.0660 12.0990 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 13.7410 0.0660 13.7710 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 14.0450 0.0660 14.0750 1.6060 ; + RECT 13.1330 0.0660 13.1630 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 2.4930 0.8560 2.5230 1.6060 ; + RECT 14.6530 0.0660 14.6830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 14.3490 0.0660 14.3790 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.6370 ; + RECT 14.9570 0.0660 14.9870 1.6060 ; + RECT 10.3970 0.8590 10.4270 1.6060 ; + RECT 13.4370 0.0660 13.4670 1.6060 ; + RECT 14.5010 0.0660 14.5310 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 12.9810 0.0670 13.0110 1.6050 ; + RECT 6.4450 0.8430 6.4750 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 7.9650 0.8870 7.9950 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 6.5970 0.0660 6.6270 1.6060 ; + RECT 6.4450 0.0660 6.4750 0.7380 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 7.5090 0.8870 7.5390 1.6060 ; + RECT 5.9890 0.8430 6.0190 1.6060 ; + RECT 3.4050 0.0660 3.4350 0.7350 ; + RECT 2.4930 0.0660 2.5230 0.7350 ; + RECT 15.7170 0.0660 15.7470 1.6060 ; + RECT 8.4210 0.8870 8.4510 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 15.5650 0.0660 15.5950 1.6060 ; + RECT 14.1970 0.0660 14.2270 1.6060 ; + RECT 10.3970 0.0660 10.4270 0.6910 ; + RECT 15.4130 0.0660 15.4430 1.6060 ; + RECT 3.4050 0.8560 3.4350 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.9650 0.0660 7.9950 0.6370 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 13.2850 0.0660 13.3150 1.6060 ; + RECT 13.8930 0.0660 13.9230 1.6060 ; + RECT 15.1090 0.0660 15.1390 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 10.8530 0.0660 10.8830 0.7200 ; + RECT 13.5890 0.0660 13.6190 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.9010 0.0660 6.9310 0.7380 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 7.0530 0.0660 7.0830 1.6060 ; + RECT 6.9010 0.8460 6.9310 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 12.3730 0.0660 12.4030 1.6060 ; + RECT 12.8290 0.0660 12.8590 1.6060 ; + RECT 12.6770 0.0660 12.7070 1.6060 ; + RECT 12.5250 0.0660 12.5550 1.6060 ; + RECT 2.9490 0.0660 2.9790 0.7350 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + LAYER M1 ; + RECT 7.5400 0.4120 8.5530 0.4620 ; + RECT 6.0370 0.4140 7.0340 0.4640 ; + RECT 7.3310 0.6670 8.6290 0.7170 ; + RECT 11.4350 0.6130 12.0090 0.6630 ; + RECT 11.9590 0.5010 12.7170 0.5510 ; + RECT 12.2110 0.5510 12.2610 0.6790 ; + RECT 12.6670 0.5510 12.7170 0.6790 ; + RECT 11.9590 0.5510 12.0090 0.6130 ; + RECT 9.4000 0.7080 9.5610 0.7580 ; + RECT 9.5110 0.7670 9.9050 0.8170 ; + RECT 9.1550 0.8670 9.4500 0.9170 ; + RECT 9.5110 0.5270 10.0570 0.5770 ; + RECT 9.5110 0.5770 9.5610 0.7080 ; + RECT 9.8550 0.8170 9.9050 1.1290 ; + RECT 9.5110 0.7580 9.5610 0.7670 ; + RECT 9.7030 0.4030 9.7530 0.5270 ; + RECT 10.0070 0.4030 10.0570 0.5270 ; + RECT 9.4000 0.7580 9.4500 0.8670 ; + RECT 10.6750 0.6640 10.9090 0.7140 ; + RECT 8.8510 0.6130 9.0850 0.6630 ; + RECT 13.8070 0.4940 14.1610 0.5440 ; + RECT 14.1110 0.3480 14.1610 0.4940 ; + RECT 13.8070 0.5440 13.8570 0.7780 ; + RECT 2.4560 0.8620 3.4640 0.9120 ; + RECT 6.0370 1.0730 7.0360 1.1230 ; + RECT 7.5590 1.1340 8.5530 1.1840 ; + RECT 9.6800 1.1900 10.0760 1.2400 ; + RECT 8.6990 0.8670 8.9530 0.9170 ; + RECT 12.9550 1.2000 14.1010 1.2500 ; + RECT 2.5410 1.4240 3.5410 1.4740 ; + RECT 3.9110 1.3900 4.7570 1.4400 ; + RECT 13.5030 1.0570 13.9490 1.1070 ; + RECT 13.5030 0.1260 13.5530 1.0570 ; + RECT 10.2710 0.3980 11.1370 0.4480 ; + RECT 9.7630 0.6270 10.3210 0.6770 ; + RECT 10.2710 1.0670 11.1370 1.1170 ; + RECT 10.2710 0.4480 10.3210 0.6270 ; + RECT 10.2710 0.6770 10.3210 1.0670 ; + RECT 10.9790 0.7590 13.0370 0.8090 ; + RECT 11.3350 0.5130 11.8840 0.5630 ; + RECT 11.8310 0.8090 11.8810 1.2400 ; + RECT 11.8310 0.3830 11.8810 0.5130 ; + RECT 11.5270 0.8090 11.5770 1.2400 ; + RECT 11.5270 0.3830 11.5770 0.5130 ; + RECT 11.3350 0.5630 11.3850 0.7590 ; + RECT 12.0590 0.6420 12.1090 0.7590 ; + RECT 12.5150 0.6420 12.5650 0.7590 ; + RECT 10.5230 1.5280 15.1670 1.5780 ; + RECT 0.2790 0.7190 2.2450 0.7690 ; + RECT 1.1910 0.7690 1.2410 1.1920 ; + RECT 1.1910 0.4130 1.2410 0.7190 ; + RECT 0.2790 0.7690 0.3290 1.1920 ; + RECT 0.2790 0.4130 0.3290 0.7190 ; + RECT 0.5830 0.7690 0.6330 1.1920 ; + RECT 0.5830 0.4130 0.6330 0.7190 ; + RECT 0.8870 0.7690 0.9370 1.1920 ; + RECT 0.8870 0.4130 0.9370 0.7190 ; + RECT 10.3870 0.5140 11.2730 0.5640 ; + RECT 10.5750 0.8670 11.2730 0.9170 ; + RECT 10.3870 0.5640 10.4370 0.6790 ; + RECT 11.2230 0.3830 11.2730 0.5140 ; + RECT 11.2230 0.9170 11.2730 1.2400 ; + RECT 10.5750 0.9170 10.6250 1.0170 ; + RECT 10.5750 0.5640 10.6250 0.8670 ; + RECT 1.3250 0.4550 2.3810 0.5050 ; + RECT 2.3310 0.5050 2.3810 1.0400 ; + RECT 1.3270 1.0400 2.3810 1.0900 ; + RECT 14.8710 0.5620 15.1650 0.6120 ; + RECT 14.8710 0.3620 15.0560 0.4120 ; + RECT 15.0060 0.4120 15.0560 0.5620 ; + RECT 13.7070 0.8360 14.9210 0.8860 ; + RECT 14.8710 0.6120 14.9210 0.8360 ; + RECT 14.8710 0.1260 14.9210 0.3620 ; + RECT 13.7070 0.3700 13.8750 0.4200 ; + RECT 13.7070 0.4200 13.7570 0.8360 ; + RECT 2.3150 1.5340 9.0850 1.5840 ; + RECT 2.1630 0.0880 8.7810 0.1380 ; + RECT 5.1230 0.4920 5.4630 0.5420 ; + RECT 5.1430 0.9240 5.5890 0.9740 ; + RECT 3.9320 1.0600 5.1930 1.1100 ; + RECT 5.4130 0.5420 5.4630 0.9240 ; + RECT 5.1430 1.1100 5.1930 1.1270 ; + RECT 5.1430 0.9740 5.1930 1.0600 ; + RECT 3.9320 0.7600 3.9820 1.0600 ; + RECT 3.9320 0.7100 4.6770 0.7600 ; + RECT 7.2240 0.7670 7.5130 0.8170 ; + RECT 6.1900 0.5210 7.2740 0.5710 ; + RECT 2.6930 1.1780 7.2330 1.2280 ; + RECT 7.1670 1.0260 7.5130 1.0760 ; + RECT 7.4630 0.8170 7.5130 1.0260 ; + RECT 7.2240 0.5710 7.2740 0.7670 ; + RECT 7.1670 1.0760 7.2170 1.1780 ; + RECT 3.8270 0.6100 4.8290 0.6600 ; + RECT 2.6930 0.5210 3.8770 0.5710 ; + RECT 3.8270 0.5710 3.8770 0.6100 ; + RECT 3.8270 0.6600 3.8770 1.1780 ; + RECT 5.4930 1.4170 10.1490 1.4670 ; + RECT 14.2630 0.4620 14.5570 0.5120 ; + RECT 13.9430 0.1320 14.3130 0.1820 ; + RECT 13.9430 0.6350 14.3130 0.6850 ; + RECT 14.2630 0.1820 14.3130 0.4620 ; + RECT 14.2630 0.5120 14.3130 0.6350 ; + RECT 8.8310 0.0920 11.5180 0.1420 ; + RECT 8.7910 0.1940 8.8810 0.2440 ; + RECT 8.5990 0.7670 8.7290 0.8170 ; + RECT 7.6920 0.9670 8.7050 1.0170 ; + RECT 8.6390 1.1900 8.8410 1.2400 ; + RECT 8.8310 0.1420 8.8810 0.1940 ; + RECT 8.6390 0.1980 8.6890 0.5130 ; + RECT 7.6920 0.5130 8.8410 0.5630 ; + RECT 8.5990 0.8170 8.6490 0.9670 ; + RECT 8.7910 0.9740 8.8410 1.1900 ; + RECT 8.6390 1.0170 8.6890 1.1900 ; + RECT 8.7910 0.2440 8.8410 0.5130 ; + RECT 8.6790 0.5630 8.7290 0.7670 ; + RECT 9.0950 0.4550 9.4650 0.5050 ; + RECT 8.9430 1.1900 9.4650 1.2400 ; + RECT 8.9430 0.2770 9.1450 0.3270 ; + RECT 9.0550 0.7670 9.1850 0.8170 ; + RECT 8.9430 0.9780 9.1050 1.0280 ; + RECT 8.9430 0.3270 8.9930 0.5560 ; + RECT 9.0950 0.3270 9.1450 0.4550 ; + RECT 9.1350 0.5050 9.1850 0.7670 ; + RECT 8.9430 0.9740 8.9930 0.9780 ; + RECT 9.0550 0.8170 9.1050 0.9780 ; + RECT 8.9430 1.0280 8.9930 1.1900 ; + RECT 14.5670 0.3620 14.7600 0.4120 ; + RECT 14.5670 0.5890 14.7600 0.6390 ; + RECT 14.7100 0.4620 14.8610 0.5120 ; + RECT 14.7100 0.5120 14.7600 0.5890 ; + RECT 14.7100 0.4120 14.7600 0.4620 ; + RECT 14.5670 0.1260 14.6170 0.3620 ; + RECT 14.5670 0.6390 14.6170 0.7750 ; + RECT 2.4830 0.7100 2.5330 0.7580 ; + RECT 2.4640 0.6600 3.7650 0.7100 ; + RECT 2.5410 0.4120 3.5390 0.4620 ; + RECT 4.0630 0.9340 4.9650 0.9840 ; + RECT 4.9150 0.6910 4.9650 0.9340 ; + RECT 5.6590 0.6830 7.1090 0.7330 ; + RECT 7.0430 0.7330 7.0930 0.8670 ; + RECT 7.0530 0.9160 7.4130 0.9170 ; + RECT 7.0430 0.8670 7.4130 0.9160 ; + RECT 4.9530 0.3920 5.7250 0.4420 ; + RECT 5.6750 0.4420 5.7250 0.6830 ; + RECT 3.9270 0.3060 3.9770 0.5100 ; + RECT 4.2310 0.3060 4.2810 0.5100 ; + RECT 4.6870 0.3010 4.7370 0.5100 ; + RECT 4.9530 0.4420 5.0030 0.5100 ; + RECT 3.9270 0.5100 5.0030 0.5600 ; + LAYER NWELL ; + RECT -0.1160 1.5430 15.9180 1.7730 ; + RECT -0.1160 0.7480 12.8750 1.5430 ; + RECT 15.6430 0.6790 15.9180 1.5430 ; + RECT -0.1160 0.7440 2.3740 0.7480 ; + RECT 3.7050 0.7120 4.4990 0.7480 ; + RECT 7.2800 0.6790 12.8750 0.7480 ; + RECT -0.1160 0.7430 1.2920 0.7440 ; + RECT -0.1160 0.6790 0.1480 0.7430 ; + RECT 13.3370 0.4910 15.1830 1.0830 ; + END +END RDFFNARX2_HVT + +MACRO RDFFNSRARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.464 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.6790 0.8920 12.2250 0.9420 ; + RECT 12.1050 0.8570 12.2250 0.8920 ; + RECT 12.1050 0.9420 12.2250 0.9670 ; + RECT 11.6790 0.9420 11.7290 1.5640 ; + RECT 11.6790 0.2130 11.7290 0.4520 ; + RECT 12.1750 0.5020 12.2250 0.8570 ; + RECT 11.6790 0.4520 12.2250 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.6830 0.2430 11.7250 0.2850 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 0.3350 11.7250 0.3770 ; + RECT 11.6830 0.3350 11.7250 0.3770 ; + RECT 11.6830 0.4270 11.7250 0.4690 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.2570 1.1610 12.3780 1.2710 ; + RECT 11.9830 0.1160 12.0330 0.2890 ; + RECT 11.9830 0.2890 12.3410 0.3390 ; + RECT 11.9830 1.0420 12.3410 1.0920 ; + RECT 11.9830 1.0920 12.0330 1.5640 ; + RECT 12.2910 1.0920 12.3410 1.1610 ; + RECT 12.2910 0.3390 12.3410 1.0420 ; + END + PORT + LAYER CO ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 11.9870 0.2430 12.0290 0.2850 ; + RECT 11.9870 0.1510 12.0290 0.1930 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.4640 1.7020 ; + RECT 2.9980 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.1850 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.0710 0.9590 11.1210 1.6420 ; + RECT 11.3750 0.9590 11.4250 1.6420 ; + RECT 11.8310 0.9920 11.8810 1.6420 ; + RECT 12.1350 1.1810 12.1850 1.6420 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4680 ; + RECT 1.3430 1.1680 1.3930 1.2130 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 7.7270 0.9530 7.7770 1.4040 ; + RECT 9.1350 1.4540 9.1850 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.3790 1.0040 11.4210 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.3100 1.3890 1.3520 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.3790 1.2800 11.4210 1.3220 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.3790 1.1880 11.4210 1.2300 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 11.3790 1.0040 11.4210 1.0460 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 7.7310 1.1570 7.7730 1.1990 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 7.7310 1.1570 7.7730 1.1990 ; + RECT 1.3470 1.4020 1.3890 1.4440 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.3790 1.0960 11.4210 1.1380 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 7.7310 1.2490 7.7730 1.2910 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 11.3790 1.0960 11.4210 1.1380 ; + RECT 11.3790 1.1880 11.4210 1.2300 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 3.7790 1.2240 3.8210 1.2660 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2180 1.3890 1.2600 ; + RECT 11.3790 1.2800 11.4210 1.3220 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 7.7310 1.2490 7.7730 1.2910 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 7.7310 0.9730 7.7730 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9870 1.3130 1.1190 1.4230 ; + RECT 0.9870 1.4230 1.0370 1.5240 ; + RECT 0.7950 1.5240 1.0370 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.4640 0.0300 ; + RECT 11.3350 0.2030 11.4410 0.2530 ; + RECT 11.8310 0.2030 11.9270 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4700 ; + RECT 9.7030 0.0300 9.7530 0.1980 ; + RECT 8.7910 0.0300 8.8410 0.4260 ; + RECT 10.1590 0.0300 10.2090 0.4260 ; + RECT 11.0710 0.0300 11.1210 0.4230 ; + RECT 12.1350 0.0300 12.1850 0.2260 ; + RECT 7.9030 0.3780 7.9530 0.3850 ; + RECT 6.9510 0.3280 7.9530 0.3780 ; + RECT 7.9030 0.0300 7.9530 0.3280 ; + RECT 11.3350 0.0300 11.3850 0.2030 ; + RECT 11.8310 0.2530 11.8810 0.3950 ; + RECT 11.8770 0.0300 11.9270 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 2.5790 0.2960 6.1210 0.3460 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2960 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3000 4.5810 0.3420 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 10.1630 0.1740 10.2050 0.2160 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 4.2350 0.3000 4.2770 0.3420 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3000 4.7330 0.3420 ; + RECT 4.9950 0.3000 5.0370 0.3420 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.9310 0.2990 3.9730 0.3410 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 11.8350 0.3330 11.8770 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3000 5.1890 0.3420 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 11.3790 0.2070 11.4210 0.2490 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 3.3230 0.3000 3.3650 0.3420 ; + RECT 10.1630 0.2660 10.2050 0.3080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 7.8830 0.3320 7.9250 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 11.8350 0.2410 11.8770 0.2830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 11.3790 0.2070 11.4210 0.2490 ; + RECT 11.8350 0.3330 11.8770 0.3750 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 10.1630 0.1740 10.2050 0.2160 ; + RECT 10.1630 0.3580 10.2050 0.4000 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 3.0190 0.3000 3.0610 0.3420 ; + RECT 9.7070 0.1360 9.7490 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.1390 0.1490 12.1810 0.1910 ; + RECT 10.1630 0.3580 10.2050 0.4000 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.6270 0.2990 3.6690 0.3410 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 6.0590 0.3000 6.1010 0.3420 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8680 0.1380 ; + RECT 7.6350 0.0880 7.7170 0.1380 ; + RECT 2.6790 0.1380 2.8540 0.1880 ; + RECT 7.6510 0.2380 7.7010 0.2420 ; + RECT 2.7870 0.2100 7.7010 0.2380 ; + RECT 7.6510 0.1380 7.7010 0.1880 ; + RECT 2.6790 0.1880 7.7010 0.2100 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + RECT 7.6550 0.0920 7.6970 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.2810 0.2490 10.3930 0.3590 ; + RECT 10.0670 0.5270 10.3420 0.5770 ; + RECT 10.2920 0.3590 10.3420 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.0870 0.5310 10.1290 0.5730 ; + END + ANTENNAGATEAREA 0.0423 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6680 5.5730 0.8150 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6680 5.8930 0.7310 ; + RECT 5.4170 0.6180 5.8930 0.6680 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6220 5.8730 0.6640 ; + RECT 5.6790 0.6220 5.7210 0.6640 ; + RECT 5.5270 0.6220 5.5690 0.6640 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.7750 0.9470 10.3930 1.0070 ; + RECT 10.2810 0.6810 10.3930 0.9470 ; + RECT 10.1590 0.6270 10.2090 0.9470 ; + END + PORT + LAYER CO ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 9.7070 0.9560 9.7490 0.9980 ; + RECT 10.1630 0.9320 10.2050 0.9740 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.1630 0.7430 10.2050 0.7850 ; + RECT 8.7950 0.9560 8.8370 0.9980 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.3150 0.7010 10.3570 0.7430 ; + RECT 10.1630 0.9320 10.2050 0.9740 ; + RECT 10.3150 0.8530 10.3570 0.8950 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 4.0070 0.8580 4.0490 0.9000 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.3610 10.0530 0.4030 ; + RECT 11.4550 0.0970 11.4970 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 1.1950 0.7610 1.2370 0.8030 ; + RECT 12.0630 0.6640 12.1050 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.5310 0.8790 11.5730 0.9210 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5380 1.9210 1.5800 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.0110 0.6800 10.0530 0.7220 ; + RECT 8.9470 0.3460 8.9890 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 11.5310 1.2470 11.5730 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3240 1.9970 0.3660 ; + RECT 10.0110 0.1770 10.0530 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 11.5310 1.2470 11.5730 1.2890 ; + RECT 9.4030 0.7130 9.4450 0.7550 ; + RECT 7.1230 0.4370 7.1650 0.4790 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9450 1.2370 0.9870 ; + RECT 7.2750 0.4370 7.3170 0.4790 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 9.2510 0.3680 9.2930 0.4100 ; + RECT 7.8830 1.2390 7.9250 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 11.5310 1.1550 11.5730 1.1970 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 8.9470 0.6480 8.9890 0.6900 ; + RECT 8.2630 1.2030 8.3050 1.2450 ; + RECT 8.9470 0.6480 8.9890 0.6900 ; + RECT 8.6430 0.6510 8.6850 0.6930 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 9.0990 0.2520 9.1410 0.2940 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 9.0230 1.5240 9.0650 1.5660 ; + RECT 8.6430 0.9270 8.6850 0.9690 ; + RECT 9.6310 1.0750 9.6730 1.1170 ; + RECT 8.9470 0.8320 8.9890 0.8740 ; + RECT 1.6510 0.4170 1.6930 0.4590 ; + RECT 1.8030 0.5350 1.8450 0.5770 ; + RECT 1.8030 0.4430 1.8450 0.4850 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.9470 0.7400 8.9890 0.7820 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.2270 0.2690 11.2690 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 7.5790 0.5720 7.6210 0.6140 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 11.5310 0.9710 11.5730 1.0130 ; + RECT 10.0110 0.2690 10.0530 0.3110 ; + RECT 9.2510 0.6770 9.2930 0.7190 ; + RECT 11.5310 1.1550 11.5730 1.1970 ; + RECT 8.9470 0.3460 8.9890 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.5310 0.2490 11.5730 0.2910 ; + RECT 11.5310 1.0630 11.5730 1.1050 ; + RECT 11.5310 1.0630 11.5730 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7610 1.5410 0.8030 ; + RECT 8.9470 0.2540 8.9890 0.2960 ; + RECT 9.7830 0.4660 9.8250 0.5080 ; + RECT 10.0110 0.3610 10.0530 0.4030 ; + RECT 11.5310 0.9710 11.5730 1.0130 ; + RECT 8.9470 0.1620 8.9890 0.2040 ; + RECT 3.2470 1.5380 3.2890 1.5800 ; + RECT 7.8830 0.9630 7.9250 1.0050 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.5310 0.3410 11.5730 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 9.3270 1.2030 9.3690 1.2450 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 9.0230 1.0640 9.0650 1.1060 ; + RECT 11.4550 0.6060 11.4970 0.6480 ; + RECT 8.6430 0.9270 8.6850 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.2630 0.8050 8.3050 0.8470 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 11.7590 0.0970 11.8010 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 7.5790 0.9630 7.6210 1.0050 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 3.6270 1.1260 3.6690 1.1680 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.7190 0.5310 8.7610 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 3.7790 0.5090 3.8210 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.0470 0.6660 7.0890 0.7080 ; + RECT 7.3510 0.6540 7.3930 0.6960 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 9.0990 0.8240 9.1410 0.8660 ; + RECT 7.4270 0.5460 7.4690 0.5880 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.8070 0.6890 7.8490 0.7310 ; + RECT 1.1950 0.8530 1.2370 0.8950 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7660 6.9370 0.8080 ; + RECT 1.7270 1.5380 1.7690 1.5800 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.7030 0.8580 3.7450 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9450 1.5410 0.9870 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 7.8830 1.2390 7.9250 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.6430 0.6510 8.6850 0.6930 ; + RECT 10.0110 0.1770 10.0530 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 7.8830 1.0550 7.9250 1.0970 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.6430 0.7430 8.6850 0.7850 ; + RECT 9.8590 0.2520 9.9010 0.2940 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 7.8830 1.0550 7.9250 1.0970 ; + RECT 10.0870 1.0750 10.1290 1.1170 ; + RECT 7.8830 1.1470 7.9250 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 7.8830 1.1470 7.9250 1.1890 ; + RECT 8.9470 0.8320 8.9890 0.8740 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 11.5310 0.8790 11.5730 0.9210 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8530 1.5410 0.8950 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.4990 0.9450 1.5410 0.9870 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + LAYER PO ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6960 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.1170 0.0670 8.1470 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7280 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.9170 0.0710 11.9470 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6470 ; + RECT 5.8370 0.0660 5.8670 0.6960 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 11.7650 0.0690 11.7950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.6130 0.0710 11.6430 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6960 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + LAYER M1 ; + RECT 8.6390 0.1260 8.6890 0.4270 ; + RECT 8.5990 0.4770 8.6490 0.6270 ; + RECT 8.6390 0.6770 8.6890 1.0600 ; + RECT 11.5670 0.6600 12.1250 0.7100 ; + RECT 11.5270 0.4010 11.6170 0.4510 ; + RECT 11.5270 0.7780 11.6170 0.8280 ; + RECT 11.5270 0.2250 11.5770 0.4010 ; + RECT 11.5670 0.4510 11.6170 0.6600 ; + RECT 11.5270 0.8280 11.5770 1.3090 ; + RECT 11.5670 0.7100 11.6170 0.7780 ; + RECT 11.2620 0.6020 11.5170 0.6520 ; + RECT 11.2230 0.4010 11.3120 0.4510 ; + RECT 11.2230 0.7780 11.3120 0.8280 ; + RECT 11.2230 0.1570 11.2730 0.4010 ; + RECT 11.2620 0.4510 11.3120 0.6020 ; + RECT 11.2230 0.8280 11.2730 1.3090 ; + RECT 11.2620 0.6520 11.3120 0.7780 ; + RECT 11.4350 0.0930 11.8210 0.1430 ; + RECT 1.0950 1.5340 3.3140 1.5840 ; + RECT 2.9230 0.9680 4.2130 1.0180 ; + RECT 4.1550 0.6690 4.2050 0.9680 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 6.8750 0.7620 7.0240 0.8120 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8120 7.0240 0.9670 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5110 0.7670 6.6530 0.8170 ; + RECT 6.5110 0.6620 7.1090 0.7120 ; + RECT 6.5110 0.7120 6.5610 0.7670 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 9.0790 0.8200 9.6270 0.8700 ; + RECT 7.8790 0.8510 7.9290 1.3010 ; + RECT 7.5750 0.8010 8.3250 0.8510 ; + RECT 7.5750 0.5520 7.6250 0.6500 ; + RECT 7.3310 0.6500 7.6250 0.7000 ; + RECT 7.5750 0.8510 7.6250 1.3010 ; + RECT 7.5750 0.7000 7.6250 0.8010 ; + RECT 9.3830 0.7090 9.9210 0.7590 ; + RECT 9.6110 1.0710 10.1490 1.1210 ; + RECT 10.6690 0.6320 11.1970 0.6820 ; + RECT 11.1470 0.5970 11.1970 0.6320 ; + RECT 8.2430 1.1990 10.7190 1.2490 ; + RECT 10.6690 0.6820 10.7190 1.1990 ; + RECT 6.2650 1.5200 9.0850 1.5700 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 3.2270 0.0880 6.9570 0.1380 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0070 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0070 ; + RECT 1.6310 0.4130 1.7370 0.4630 ; + RECT 1.6870 0.3200 2.0170 0.3700 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3700 1.7370 0.4130 ; + RECT 1.6870 0.4630 1.7370 0.8130 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 1.7990 0.8540 4.0690 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 1.7990 0.4230 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.4790 0.3980 3.2330 0.4480 ; + RECT 2.3880 0.3200 2.5290 0.3700 ; + RECT 2.4790 0.3700 2.5290 0.3980 ; + RECT 2.1580 0.7380 3.5220 0.7880 ; + RECT 3.3030 1.1200 4.3170 1.1700 ; + RECT 3.4710 0.5050 4.3170 0.5550 ; + RECT 3.4710 0.5550 3.5210 0.7380 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 7.4230 0.4390 7.8530 0.4890 ; + RECT 7.8030 0.4890 7.8530 0.7510 ; + RECT 6.6630 0.4640 6.7130 0.5420 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 6.6630 0.5420 7.4890 0.5920 ; + RECT 6.6640 1.1790 7.5250 1.2290 ; + RECT 7.1590 0.5920 7.2090 0.7570 ; + RECT 7.1590 0.7570 7.5250 0.8070 ; + RECT 7.4230 0.4890 7.4730 0.5420 ; + RECT 7.4750 0.8070 7.5250 1.1790 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 7.0960 0.4330 7.3370 0.4830 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 8.6990 0.5270 9.2970 0.5770 ; + RECT 8.9430 0.5770 8.9930 0.8970 ; + RECT 8.9430 0.1260 8.9930 0.5270 ; + RECT 9.2470 0.5770 9.2970 0.7700 ; + RECT 9.2470 0.3480 9.2970 0.5270 ; + RECT 9.0790 0.2480 9.9210 0.2980 ; + RECT 9.9670 0.6270 10.0570 0.6770 ; + RECT 9.9670 0.4270 10.0570 0.4620 ; + RECT 9.7630 0.4770 10.0170 0.5120 ; + RECT 9.7630 0.4620 10.0570 0.4770 ; + RECT 10.0070 0.1260 10.0570 0.4270 ; + RECT 10.0070 0.6770 10.0570 0.7680 ; + RECT 9.9670 0.5120 10.0170 0.6270 ; + RECT 9.3830 0.1320 9.6170 0.1820 ; + RECT 8.6390 1.0600 9.0880 1.1100 ; + RECT 8.5990 0.4270 8.6890 0.4770 ; + RECT 8.5990 0.6270 8.6890 0.6770 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.5790 1.7730 ; + RECT -0.1150 0.7370 8.0100 1.5430 ; + RECT 10.9430 0.6790 12.5790 1.5430 ; + RECT -0.1150 0.6980 7.4840 0.7370 ; + RECT -0.1150 0.6930 6.9070 0.6980 ; + RECT -0.1150 0.6790 1.5960 0.6930 ; + RECT 2.0520 0.6790 6.9070 0.6930 ; + RECT 8.4720 0.4910 10.4830 1.0830 ; + END +END RDFFNSRARX1_HVT + +MACRO RDFFNSRARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.768 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.6790 0.8920 12.5300 0.9420 ; + RECT 12.4090 0.9420 12.5300 0.9670 ; + RECT 12.4090 0.8570 12.5300 0.8920 ; + RECT 11.6790 0.9420 11.7290 1.5640 ; + RECT 11.9830 0.9420 12.0330 1.5640 ; + RECT 11.9830 0.5020 12.0330 0.5970 ; + RECT 11.9830 0.3190 12.0330 0.4520 ; + RECT 11.6790 0.2130 11.7290 0.4520 ; + RECT 12.4790 0.5020 12.5290 0.8570 ; + RECT 11.6790 0.4520 12.5290 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 0.4410 12.0290 0.4830 ; + RECT 11.9870 0.4410 12.0290 0.4830 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.6830 1.0270 11.7250 1.0690 ; + RECT 11.6830 1.3030 11.7250 1.3450 ; + RECT 11.6830 1.1190 11.7250 1.1610 ; + RECT 11.9870 0.3490 12.0290 0.3910 ; + RECT 11.6830 0.2430 11.7250 0.2850 ; + RECT 11.6830 0.3350 11.7250 0.3770 ; + RECT 11.6830 0.3350 11.7250 0.3770 ; + RECT 11.6830 1.4870 11.7250 1.5290 ; + RECT 11.6830 0.4270 11.7250 0.4690 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.6830 1.3950 11.7250 1.4370 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.9870 0.5330 12.0290 0.5750 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 11.6830 1.2110 11.7250 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.5610 1.1610 12.6820 1.2710 ; + RECT 12.1350 0.1160 12.1850 0.2890 ; + RECT 12.4390 0.1160 12.4890 0.2890 ; + RECT 12.1350 0.2890 12.6450 0.3390 ; + RECT 12.1350 1.0420 12.6450 1.0920 ; + RECT 12.1350 1.0920 12.1850 1.5640 ; + RECT 12.4390 1.0920 12.4890 1.5640 ; + RECT 12.5950 1.0920 12.6450 1.1610 ; + RECT 12.5950 0.3390 12.6450 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + RECT 12.4430 0.2430 12.4850 0.2850 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 0.2430 12.1810 0.2850 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.7680 1.7020 ; + RECT 2.9980 1.2200 6.1210 1.2700 ; + RECT 6.9670 1.4040 9.1850 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.0710 0.9590 11.1210 1.6420 ; + RECT 11.3750 0.9590 11.4250 1.6420 ; + RECT 11.8310 0.9920 11.8810 1.6420 ; + RECT 12.2870 1.1810 12.3370 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4660 ; + RECT 1.3430 1.1620 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 6.9670 1.2790 7.0170 1.4040 ; + RECT 7.7270 0.9530 7.7770 1.4040 ; + RECT 9.1350 1.4540 9.1850 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 4.0830 1.2240 4.1250 1.2660 ; + RECT 4.5390 1.2240 4.5810 1.2660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.3790 1.1880 11.4210 1.2300 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 11.3790 1.0040 11.4210 1.0460 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.3790 1.0960 11.4210 1.1380 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.3790 1.0040 11.4210 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 7.7310 1.2490 7.7730 1.2910 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 11.3790 1.0960 11.4210 1.1380 ; + RECT 11.3790 1.1880 11.4210 1.2300 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.3790 1.2800 11.4210 1.3220 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 7.7310 0.9730 7.7730 1.0150 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 3.7790 1.2240 3.8210 1.2660 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 11.3790 1.2800 11.4210 1.3220 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 4.2350 1.2240 4.2770 1.2660 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 6.0590 1.2240 6.1010 1.2660 ; + RECT 6.9710 1.3010 7.0130 1.3430 ; + RECT 11.0750 1.0040 11.1170 1.0460 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.0750 1.2800 11.1170 1.3220 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 7.7310 1.1570 7.7730 1.1990 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 7.7310 1.0650 7.7730 1.1070 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 7.7310 1.1570 7.7730 1.1990 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.7310 1.2490 7.7730 1.2910 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.1880 11.1170 1.2300 ; + RECT 11.0750 1.0960 11.1170 1.1380 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9980 1.3130 1.1190 1.4230 ; + RECT 0.9980 1.4230 1.0480 1.5240 ; + RECT 0.7950 1.5240 1.0480 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.7680 0.0300 ; + RECT 11.3350 0.2030 11.4410 0.2530 ; + RECT 11.8310 0.2030 12.0730 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4500 ; + RECT 9.7030 0.0300 9.7530 0.1980 ; + RECT 8.7910 0.0300 8.8410 0.4260 ; + RECT 10.1590 0.0300 10.2090 0.4260 ; + RECT 11.0710 0.0300 11.1210 0.4230 ; + RECT 12.2870 0.0300 12.3370 0.2260 ; + RECT 7.9030 0.3780 7.9530 0.3850 ; + RECT 6.9510 0.3280 7.9530 0.3780 ; + RECT 7.9030 0.0300 7.9530 0.3280 ; + RECT 11.3350 0.0300 11.3850 0.2030 ; + RECT 11.8310 0.2530 11.8810 0.3950 ; + RECT 12.0230 0.0300 12.0730 0.2030 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 3.3350 0.3380 6.1210 0.3550 ; + RECT 2.5790 0.3050 6.1210 0.3380 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2880 ; + RECT 2.5790 0.2880 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.9310 0.3080 3.9730 0.3500 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.5390 0.3090 4.5810 0.3510 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.2830 0.3790 0.3250 0.4210 ; + RECT 0.2830 0.3790 0.3250 0.4210 ; + RECT 0.2830 0.3790 0.3250 0.4210 ; + RECT 0.2830 0.3790 0.3250 0.4210 ; + RECT 0.2830 0.3790 0.3250 0.4210 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.1630 0.2660 10.2050 0.3080 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 11.8350 0.2410 11.8770 0.2830 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 11.3790 0.2070 11.4210 0.2490 ; + RECT 11.8350 0.3330 11.8770 0.3750 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 10.1630 0.1740 10.2050 0.2160 ; + RECT 10.1630 0.3580 10.2050 0.4000 ; + RECT 10.1630 0.1740 10.2050 0.2160 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 4.2350 0.3090 4.2770 0.3510 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 11.0750 0.3610 11.1170 0.4030 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 9.7070 0.1360 9.7490 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 11.8350 0.3330 11.8770 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 8.7950 0.2540 8.8370 0.2960 ; + RECT 8.7950 0.1620 8.8370 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 11.3790 0.2070 11.4210 0.2490 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 0.2830 0.2870 0.3250 0.3290 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 10.1630 0.3580 10.2050 0.4000 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 6.0590 0.3090 6.1010 0.3510 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 7.8830 0.3320 7.9250 0.3740 ; + RECT 6.9710 0.3320 7.0130 0.3740 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 8.7950 0.3460 8.8370 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.6270 0.3080 3.6690 0.3500 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 11.0750 0.1770 11.1170 0.2190 ; + RECT 11.0750 0.2690 11.1170 0.3110 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + END + END VSS + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8920 0.1380 ; + RECT 7.6350 0.0880 7.7170 0.1380 ; + RECT 2.6810 0.1380 2.8920 0.1880 ; + RECT 2.7870 0.2100 7.7010 0.2380 ; + RECT 7.6510 0.1380 7.7010 0.1880 ; + RECT 2.6810 0.1880 7.7010 0.2100 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 7.6550 0.0920 7.6970 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.2810 0.2490 10.3930 0.3590 ; + RECT 10.0670 0.5270 10.3420 0.5770 ; + RECT 10.2920 0.3590 10.3420 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.0870 0.5310 10.1290 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.4170 0.6630 5.5730 0.8150 ; + RECT 5.5070 0.6130 5.8930 0.6630 ; + RECT 6.1310 0.7810 6.1810 0.9330 ; + RECT 5.8430 0.6630 5.8930 0.7310 ; + RECT 5.8430 0.7310 6.1810 0.7810 ; + END + PORT + LAYER CO ; + RECT 5.8310 0.6170 5.8730 0.6590 ; + RECT 5.5270 0.6170 5.5690 0.6590 ; + RECT 6.1350 0.8710 6.1770 0.9130 ; + RECT 5.6790 0.6170 5.7210 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 8.7750 0.9420 10.3930 1.0020 ; + RECT 10.2810 0.6900 10.3930 0.9420 ; + RECT 10.1590 0.6270 10.2090 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.1630 0.6510 10.2050 0.6930 ; + RECT 10.3150 0.7100 10.3570 0.7520 ; + RECT 10.1630 0.9270 10.2050 0.9690 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + RECT 10.1630 0.7430 10.2050 0.7850 ; + RECT 8.7950 0.9510 8.8370 0.9930 ; + RECT 9.7070 0.9510 9.7490 0.9930 ; + RECT 10.3150 0.8620 10.3570 0.9040 ; + RECT 10.1630 0.8350 10.2050 0.8770 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 12.3670 0.6640 12.4090 0.7060 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 4.0070 0.8580 4.0490 0.9000 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 4.4630 0.6620 4.5050 0.7040 ; + RECT 4.9190 0.6280 4.9610 0.6700 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 7.1230 0.4370 7.1650 0.4790 ; + RECT 6.5150 0.5010 6.5570 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 7.2750 0.4370 7.3170 0.4790 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 9.2510 0.3680 9.2930 0.4100 ; + RECT 7.8830 1.2390 7.9250 1.2810 ; + RECT 6.3630 1.0240 6.4050 1.0660 ; + RECT 10.0110 0.3610 10.0530 0.4030 ; + RECT 11.4550 0.0970 11.4970 0.1390 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 4.7670 0.6280 4.8090 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 10.0110 0.1770 10.0530 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 11.5310 1.2470 11.5730 1.2890 ; + RECT 9.4030 0.7130 9.4450 0.7550 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 11.5310 0.9710 11.5730 1.0130 ; + RECT 10.0110 0.2690 10.0530 0.3110 ; + RECT 9.2510 0.6770 9.2930 0.7190 ; + RECT 11.5310 1.1550 11.5730 1.1970 ; + RECT 8.9470 0.3460 8.9890 0.3880 ; + RECT 5.7550 0.5170 5.7970 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.5310 0.2490 11.5730 0.2910 ; + RECT 11.5310 1.0630 11.5730 1.1050 ; + RECT 11.5310 1.0630 11.5730 1.1050 ; + RECT 5.6790 0.9240 5.7210 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 8.9470 0.2540 8.9890 0.2960 ; + RECT 9.7830 0.4660 9.8250 0.5080 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.4750 0.5250 3.5170 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.5310 0.8790 11.5730 0.9210 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 6.7430 0.0920 6.7850 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.3110 0.6620 4.3530 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 5.9070 0.4170 5.9490 0.4590 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.3870 0.5140 4.4290 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.0110 0.6800 10.0530 0.7220 ; + RECT 11.9110 0.0970 11.9530 0.1390 ; + RECT 8.9470 0.3460 8.9890 0.3880 ; + RECT 5.9070 1.1240 5.9490 1.1660 ; + RECT 11.5310 1.2470 11.5730 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.5270 0.9240 5.5690 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 3.7790 0.5090 3.8210 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.0470 0.6610 7.0890 0.7030 ; + RECT 7.3510 0.6540 7.3930 0.6960 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 6.5910 0.0920 6.6330 0.1340 ; + RECT 6.8950 0.0920 6.9370 0.1340 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 4.1590 0.6890 4.2010 0.7310 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 9.0990 0.8240 9.1410 0.8660 ; + RECT 7.4270 0.5460 7.4690 0.5880 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 7.8070 0.6640 7.8490 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.2270 0.1770 11.2690 0.2190 ; + RECT 11.1510 0.6170 11.1930 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.2270 0.3610 11.2690 0.4030 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 6.8950 0.7610 6.9370 0.8030 ; + RECT 10.0110 0.3610 10.0530 0.4030 ; + RECT 11.5310 0.9710 11.5730 1.0130 ; + RECT 8.9470 0.1620 8.9890 0.2040 ; + RECT 6.5150 0.4090 6.5570 0.4510 ; + RECT 5.2990 0.9720 5.3410 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.4510 1.0640 5.4930 1.1060 ; + RECT 5.6030 1.1240 5.6450 1.1660 ; + RECT 9.5550 0.1360 9.5970 0.1780 ; + RECT 5.6030 0.4170 5.6450 0.4590 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 8.9470 0.6380 8.9890 0.6800 ; + RECT 8.2630 1.2030 8.3050 1.2450 ; + RECT 8.9470 0.6380 8.9890 0.6800 ; + RECT 8.6430 0.6510 8.6850 0.6930 ; + RECT 8.6430 0.1620 8.6850 0.2040 ; + RECT 9.0990 0.2520 9.1410 0.2940 ; + RECT 8.6430 0.2540 8.6850 0.2960 ; + RECT 9.0230 1.5240 9.0650 1.5660 ; + RECT 8.6430 0.9270 8.6850 0.9690 ; + RECT 9.6310 1.0610 9.6730 1.1030 ; + RECT 8.9470 0.8220 8.9890 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 6.8190 0.9710 6.8610 1.0130 ; + RECT 4.3870 1.0620 4.4290 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 7.8830 1.0550 7.9250 1.0970 ; + RECT 10.0870 1.0610 10.1290 1.1030 ; + RECT 7.8830 1.1470 7.9250 1.1890 ; + RECT 6.2870 1.5240 6.3290 1.5660 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 7.8830 1.1470 7.9250 1.1890 ; + RECT 8.9470 0.8220 8.9890 0.8640 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 6.1350 1.5240 6.1770 1.5660 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.1590 1.4240 4.2010 1.4660 ; + RECT 7.0470 0.9710 7.0890 1.0130 ; + RECT 6.5150 0.9710 6.5570 1.0130 ; + RECT 3.7030 0.8580 3.7450 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 7.8830 1.2390 7.9250 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 8.6430 0.6510 8.6850 0.6930 ; + RECT 10.0110 0.1770 10.0530 0.2190 ; + RECT 7.2750 1.1830 7.3170 1.2250 ; + RECT 11.5310 1.1550 11.5730 1.1970 ; + RECT 7.4270 1.2910 7.4690 1.3330 ; + RECT 11.5310 0.8790 11.5730 0.9210 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 6.3630 1.2080 6.4050 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 6.7430 1.4240 6.7850 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 7.8830 0.9630 7.9250 1.0050 ; + RECT 5.4510 0.5170 5.4930 0.5590 ; + RECT 11.5310 0.3410 11.5730 0.3830 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 9.3270 1.2030 9.3690 1.2450 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 9.0230 1.0610 9.0650 1.1030 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 8.9470 0.7300 8.9890 0.7720 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.2270 0.2690 11.2690 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 7.5790 0.5720 7.6210 0.6140 ; + RECT 11.2270 1.1550 11.2690 1.1970 ; + RECT 5.2990 0.5250 5.3410 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 11.2270 0.8790 11.2690 0.9210 ; + RECT 6.5910 1.4240 6.6330 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 5.9830 0.8510 6.0250 0.8930 ; + RECT 5.8310 0.9240 5.8730 0.9660 ; + RECT 8.7190 0.5310 8.7610 0.5730 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 7.5790 1.2390 7.6210 1.2810 ; + RECT 6.6670 0.5010 6.7090 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.3630 1.1160 6.4050 1.1580 ; + RECT 7.5790 1.0550 7.6210 1.0970 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 7.5790 1.1470 7.6210 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 6.8190 0.3340 6.8610 0.3760 ; + RECT 8.6430 0.8350 8.6850 0.8770 ; + RECT 11.4550 0.6060 11.4970 0.6480 ; + RECT 8.6430 0.9270 8.6850 0.9690 ; + RECT 6.5910 0.7710 6.6330 0.8130 ; + RECT 6.6670 1.1990 6.7090 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.2230 1.5240 5.2650 1.5660 ; + RECT 6.6670 1.2910 6.7090 1.3330 ; + RECT 8.2630 0.8050 8.3050 0.8470 ; + RECT 11.2270 1.2470 11.2690 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 11.7590 0.0970 11.8010 0.1390 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 7.5790 0.9630 7.6210 1.0050 ; + RECT 11.2270 1.0630 11.2690 1.1050 ; + RECT 3.6270 1.1260 3.6690 1.1680 ; + RECT 11.2270 0.9710 11.2690 1.0130 ; + RECT 7.8830 1.0550 7.9250 1.0970 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + RECT 9.4030 0.1360 9.4450 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 8.6430 0.7430 8.6850 0.7850 ; + RECT 9.8590 0.2520 9.9010 0.2940 ; + RECT 8.6430 0.3460 8.6850 0.3880 ; + LAYER PO ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 12.6770 0.0710 12.7070 1.6060 ; + RECT 5.6850 0.0660 5.7150 0.6910 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 5.9890 0.0660 6.0190 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 5.5330 0.0660 5.5630 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.2930 0.0660 6.3230 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.1170 0.0670 8.1470 1.6050 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 8.5730 0.0660 8.6030 1.6060 ; + RECT 6.1410 0.8390 6.1710 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.7280 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.2050 0.0660 7.2350 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 7.5090 0.0660 7.5390 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.0530 0.9390 7.0830 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 12.3730 0.0690 12.4030 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 5.5330 0.8920 5.5630 1.6060 ; + RECT 6.7490 0.7710 6.7790 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 5.6850 0.8920 5.7150 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 5.8370 0.8920 5.8670 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 6.7490 0.0660 6.7790 0.6470 ; + RECT 5.8370 0.0660 5.8670 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.5250 0.0690 12.5550 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 6.5970 0.7540 6.6270 1.6060 ; + RECT 11.7650 0.0690 11.7950 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 11.6130 0.0710 11.6430 1.6060 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + LAYER M1 ; + RECT 8.5990 0.4770 8.6490 0.6270 ; + RECT 8.6390 0.6770 8.6890 1.0570 ; + RECT 11.5670 0.6600 12.4290 0.7100 ; + RECT 11.5270 0.4010 11.6170 0.4510 ; + RECT 11.5270 0.7780 11.6170 0.8280 ; + RECT 11.5270 0.2250 11.5770 0.4010 ; + RECT 11.5670 0.4510 11.6170 0.6600 ; + RECT 11.5270 0.8280 11.5770 1.3090 ; + RECT 11.5670 0.7100 11.6170 0.7780 ; + RECT 11.2620 0.6020 11.5170 0.6520 ; + RECT 11.2230 0.4010 11.3120 0.4510 ; + RECT 11.2230 0.7780 11.3120 0.8280 ; + RECT 11.2230 0.1570 11.2730 0.4010 ; + RECT 11.2620 0.4510 11.3120 0.6020 ; + RECT 11.2230 0.8280 11.2730 1.3090 ; + RECT 11.2620 0.6520 11.3120 0.7780 ; + RECT 11.4350 0.0930 11.9730 0.1430 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.9680 4.2130 1.0180 ; + RECT 4.1630 0.7510 4.2130 0.9680 ; + RECT 4.1550 0.6690 4.2050 0.6860 ; + RECT 4.1550 0.6860 4.2130 0.7510 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 6.8750 0.7570 7.0240 0.8070 ; + RECT 6.9740 0.9670 7.1090 1.0170 ; + RECT 6.9740 0.8070 7.0240 0.9670 ; + RECT 7.5750 0.8010 8.3250 0.8510 ; + RECT 7.8790 0.8510 7.9290 1.3010 ; + RECT 7.3310 0.6500 7.6250 0.7000 ; + RECT 7.5750 0.8510 7.6250 1.3010 ; + RECT 7.5750 0.7000 7.6250 0.8010 ; + RECT 7.5750 0.5520 7.6250 0.6500 ; + RECT 5.2030 1.5200 6.1970 1.5700 ; + RECT 5.9620 0.6130 6.1970 0.6630 ; + RECT 6.5870 0.6570 7.1090 0.7070 ; + RECT 6.5870 0.7070 6.6370 0.8380 ; + RECT 7.0960 1.2870 7.4890 1.3370 ; + RECT 9.0790 0.8200 9.6270 0.8700 ; + RECT 9.3830 0.7090 9.9210 0.7590 ; + RECT 9.6110 1.0570 10.1490 1.1070 ; + RECT 10.6690 0.6320 11.1970 0.6820 ; + RECT 11.1470 0.5970 11.1970 0.6320 ; + RECT 8.2430 1.1990 10.7190 1.2490 ; + RECT 10.6690 0.6820 10.7190 1.1990 ; + RECT 6.2650 1.5200 9.0850 1.5700 ; + RECT 4.1390 1.4200 6.8050 1.4700 ; + RECT 3.2270 0.0880 6.9570 0.1380 ; + RECT 1.7990 0.8540 4.0690 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7760 1.5450 1.0050 ; + RECT 1.1910 0.7260 1.6210 0.7760 ; + RECT 1.5710 0.5630 1.6210 0.7260 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7760 1.2410 1.0070 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8250 1.7370 0.8750 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6870 0.4620 1.7370 0.8250 ; + RECT 1.6470 0.8750 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 2.4760 0.3980 3.2330 0.4480 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3980 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.1580 0.7380 3.5220 0.7880 ; + RECT 3.3030 1.1200 4.3170 1.1700 ; + RECT 3.4710 0.5050 4.3170 0.5550 ; + RECT 3.4710 0.5550 3.5210 0.7380 ; + RECT 4.2670 0.6580 4.5320 0.7080 ; + RECT 4.2670 0.7080 4.3170 1.1200 ; + RECT 4.2670 0.5550 4.3170 0.6580 ; + RECT 4.6480 0.6240 4.9810 0.6740 ; + RECT 4.3670 0.5100 4.6980 0.5600 ; + RECT 4.6480 0.5600 4.6980 0.6240 ; + RECT 4.3830 1.1080 4.4330 1.1240 ; + RECT 4.3830 1.0420 4.4330 1.0580 ; + RECT 4.6480 0.6740 4.6980 1.0580 ; + RECT 4.3830 1.0580 4.6980 1.1080 ; + RECT 6.2470 0.5630 6.2970 1.0200 ; + RECT 5.4310 0.5130 6.2960 0.5440 ; + RECT 5.4310 0.5440 6.2970 0.5630 ; + RECT 5.4470 1.0200 6.2970 1.0700 ; + RECT 4.8160 0.5100 5.1130 0.5600 ; + RECT 4.8160 0.9410 5.1130 0.9910 ; + RECT 5.0630 0.5600 5.1130 0.9410 ; + RECT 5.0630 0.9910 5.1130 1.1200 ; + RECT 5.0630 1.1200 5.4970 1.1700 ; + RECT 5.4470 1.0700 5.4970 1.1200 ; + RECT 5.5830 0.4130 6.5610 0.4630 ; + RECT 6.3590 0.9670 6.8820 1.0170 ; + RECT 5.5830 1.1200 6.4090 1.1700 ; + RECT 6.5110 0.3300 6.8870 0.3800 ; + RECT 6.5110 0.3270 6.5610 0.3300 ; + RECT 6.3590 0.3840 6.4090 0.4130 ; + RECT 6.5110 0.4630 6.5610 0.5630 ; + RECT 6.5110 0.3800 6.5610 0.4130 ; + RECT 6.3590 0.4630 6.4090 0.9670 ; + RECT 6.3590 1.1700 6.4090 1.2700 ; + RECT 6.3590 1.0170 6.4090 1.1200 ; + RECT 7.4230 0.4390 7.8530 0.4890 ; + RECT 7.8030 0.4890 7.8530 0.7260 ; + RECT 6.6630 0.4640 6.7130 0.5420 ; + RECT 6.6640 1.2290 6.7140 1.3530 ; + RECT 6.6630 0.5420 7.4890 0.5920 ; + RECT 6.6640 1.1790 7.5250 1.2290 ; + RECT 7.1590 0.5920 7.2090 0.7570 ; + RECT 7.1590 0.7570 7.5250 0.8070 ; + RECT 7.4230 0.4890 7.4730 0.5420 ; + RECT 7.4750 0.8070 7.5250 1.1790 ; + RECT 7.0960 0.4330 7.3370 0.4830 ; + RECT 5.2950 0.9200 6.0290 0.9700 ; + RECT 5.9790 0.8310 6.0290 0.9200 ; + RECT 5.2950 0.9700 5.3450 1.0340 ; + RECT 5.2950 0.5050 5.3450 0.9200 ; + RECT 8.6990 0.5270 9.2970 0.5770 ; + RECT 8.9430 0.5770 8.9930 0.8840 ; + RECT 8.9430 0.1260 8.9930 0.5270 ; + RECT 9.2470 0.5770 9.2970 0.7700 ; + RECT 9.2470 0.3480 9.2970 0.5270 ; + RECT 9.0790 0.2480 9.9210 0.2980 ; + RECT 9.9670 0.6270 10.0570 0.6770 ; + RECT 9.9670 0.4270 10.0570 0.4620 ; + RECT 9.7630 0.4770 10.0170 0.5120 ; + RECT 9.7630 0.4620 10.0570 0.4770 ; + RECT 10.0070 0.1260 10.0570 0.4270 ; + RECT 10.0070 0.6770 10.0570 0.7680 ; + RECT 9.9670 0.5120 10.0170 0.6270 ; + RECT 9.3830 0.1320 9.6170 0.1820 ; + RECT 8.6390 1.0570 9.0880 1.1070 ; + RECT 8.5990 0.4270 8.6890 0.4770 ; + RECT 8.5990 0.6270 8.6890 0.6770 ; + RECT 8.6390 0.1260 8.6890 0.4270 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.8830 1.7730 ; + RECT -0.1150 0.7040 8.0100 1.5430 ; + RECT 10.9430 0.6790 12.8830 1.5430 ; + RECT -0.1150 0.6890 6.9160 0.7040 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.5080 0.6790 6.9160 0.6890 ; + RECT 8.4720 0.4910 10.4830 1.0830 ; + END +END RDFFNSRARX2_HVT + +MACRO RDFFNSRASRNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.312 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.8310 0.8920 12.2260 0.9420 ; + RECT 12.1050 0.9420 12.2260 0.9670 ; + RECT 12.1050 0.8570 12.2260 0.8920 ; + RECT 11.8310 0.9420 11.8810 1.5640 ; + RECT 11.8310 0.2130 11.8810 0.4520 ; + RECT 12.1750 0.5020 12.2250 0.8570 ; + RECT 11.8310 0.4520 12.2250 0.5020 ; + END + PORT + LAYER CO ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 0.2430 11.8770 0.2850 ; + RECT 11.8350 0.3350 11.8770 0.3770 ; + RECT 11.8350 0.3350 11.8770 0.3770 ; + RECT 11.8350 0.4270 11.8770 0.4690 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.3120 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 11.9830 0.9920 12.0330 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.3120 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4350 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3280 8.4090 0.3780 ; + RECT 11.9830 0.0300 12.0330 0.3950 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 2.5760 0.2880 6.5770 0.3380 ; + RECT 0.5830 0.1940 2.6260 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5760 0.2440 2.6260 0.2880 ; + END + PORT + LAYER CO ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.2910 4.2770 0.3330 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.2920 5.0370 0.3340 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 4.6910 0.2920 4.7330 0.3340 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.2920 5.1890 0.3340 ; + RECT 5.4510 0.2920 5.4930 0.3340 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 11.9870 0.2410 12.0290 0.2830 ; + RECT 11.9870 0.3330 12.0290 0.3750 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 11.9870 0.3330 12.0290 0.3750 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.6030 0.2920 5.6450 0.3340 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.2910 3.8210 0.3330 ; + RECT 6.5150 0.2920 6.5570 0.3340 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8370 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.6810 0.1380 2.8370 0.1880 ; + RECT 2.7870 0.2320 8.1460 0.2380 ; + RECT 2.7870 0.2100 8.1570 0.2320 ; + RECT 8.1070 0.1380 8.1570 0.1880 ; + RECT 2.6810 0.1880 8.1570 0.2100 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.0423 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6630 6.0290 0.8150 ; + RECT 5.8730 0.6120 6.0290 0.6130 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + RECT 6.5870 0.7810 6.6370 0.9330 ; + RECT 6.2990 0.6630 6.3490 0.7310 ; + RECT 5.8730 0.6130 6.3490 0.6630 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9470 10.8490 1.0070 ; + RECT 10.7390 0.6900 10.8490 0.9470 ; + RECT 10.6150 0.6270 10.6650 0.9470 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.1630 0.9560 10.2050 0.9980 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9320 10.6610 0.9740 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.9320 10.6610 0.9740 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + RECT 9.2510 0.9560 9.2930 0.9980 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 9.4030 0.6480 9.4450 0.6900 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 9.0990 0.9320 9.1410 0.9740 ; + RECT 10.0870 1.0660 10.1290 1.1080 ; + RECT 9.4030 0.8320 9.4450 0.8740 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.3930 6.4050 0.4350 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 9.4030 0.7400 9.4450 0.7820 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.5030 0.6720 7.5450 0.7140 ; + RECT 7.8070 0.6700 7.8490 0.7120 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7720 7.3930 0.8140 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.4930 6.2530 0.5350 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.3850 7.0130 0.4270 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.3930 6.1010 0.4350 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6480 9.4450 0.6900 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0660 10.5850 1.1080 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8320 9.4450 0.8740 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.4930 5.9490 0.5350 ; + RECT 6.8190 0.3930 6.8610 0.4350 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0660 9.5210 1.1080 ; + RECT 11.9110 0.6060 11.9530 0.6480 ; + RECT 9.0990 0.9320 9.1410 0.9740 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + LAYER PO ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7280 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6940 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6940 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 12.2210 0.0710 12.2510 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + LAYER M1 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.6520 11.7680 0.7780 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 6.4180 0.6130 6.6530 0.6630 ; + RECT 7.0430 0.6680 7.5650 0.7180 ; + RECT 7.0430 0.7180 7.0930 0.8410 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.3310 0.7680 7.4800 0.8180 ; + RECT 7.4300 0.8180 7.4800 0.9670 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 10.0670 1.0620 10.6050 1.1120 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.4760 0.3980 3.2330 0.4480 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3980 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 5.8870 0.4890 6.7530 0.5390 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5390 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 6.0390 0.3890 7.0170 0.4390 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.4390 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.3890 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3650 6.8650 0.3890 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 6.8150 0.4390 6.8650 0.9670 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7700 ; + RECT 7.6150 0.7700 7.9810 0.8200 ; + RECT 7.9310 0.8200 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6660 7.8690 0.7160 ; + RECT 7.8030 0.5800 7.8530 0.6660 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 9.0950 1.0620 9.5440 1.1120 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0620 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.3990 0.5770 9.4490 0.8970 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 11.7180 0.6020 11.9730 0.6520 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.7180 0.4510 11.7680 0.6020 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.4270 1.7730 ; + RECT -0.1150 0.7050 8.4660 1.5430 ; + RECT 11.3990 0.6790 12.4270 1.5430 ; + RECT -0.1150 0.6920 7.9800 0.7050 ; + RECT -0.1150 0.6890 7.3720 0.6920 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3720 0.6890 ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + END +END RDFFNSRASRNX1_HVT + +MACRO RDFFNSRASRNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.464 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.4640 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 11.9830 0.9920 12.0330 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.9870 1.1190 12.0290 1.1610 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + RECT 11.9870 1.2110 12.0290 1.2530 ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 11.9870 1.0270 12.0290 1.0690 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 11.9870 1.3030 12.0290 1.3450 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.9870 1.3950 12.0290 1.4370 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 11.9870 1.4870 12.0290 1.5290 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.4640 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4550 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3280 8.4090 0.3780 ; + RECT 11.9830 0.0300 12.0330 0.3560 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 3.3350 0.3380 6.5770 0.3550 ; + RECT 2.5790 0.3050 6.5770 0.3380 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2880 ; + RECT 2.5790 0.2880 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.4510 0.3090 5.4930 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3080 4.2770 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 11.9870 0.2940 12.0290 0.3360 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 11.9870 0.2940 12.0290 0.3360 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8550 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.7870 0.2100 8.1570 0.2380 ; + RECT 2.6790 0.1880 8.1570 0.2100 ; + RECT 2.6790 0.1380 2.8550 0.1880 ; + RECT 8.1070 0.1380 8.1570 0.1880 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 11.8310 0.8920 12.3780 0.9420 ; + RECT 12.2570 0.9420 12.3780 0.9670 ; + RECT 12.2570 0.8570 12.3780 0.8920 ; + RECT 11.8310 0.9420 11.8810 1.5640 ; + RECT 12.1350 0.9420 12.1850 1.5640 ; + RECT 12.1350 0.1740 12.1850 0.4060 ; + RECT 11.8310 0.1930 11.8810 0.4060 ; + RECT 12.3270 0.4560 12.3770 0.8570 ; + RECT 11.8310 0.4060 12.3770 0.4560 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 11.8350 0.3880 11.8770 0.4300 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + RECT 11.8350 0.2960 11.8770 0.3380 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 11.8350 1.1190 11.8770 1.1610 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 11.8350 1.0270 11.8770 1.0690 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 11.8350 1.3030 11.8770 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 11.8350 1.3950 11.8770 1.4370 ; + RECT 12.1390 0.2960 12.1810 0.3380 ; + RECT 12.1390 0.3880 12.1810 0.4300 ; + RECT 11.8350 1.4870 11.8770 1.5290 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 0.2040 12.1810 0.2460 ; + RECT 11.8350 0.2960 11.8770 0.3380 ; + RECT 12.1390 0.2960 12.1810 0.3380 ; + RECT 11.8350 1.2110 11.8770 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6630 6.0290 0.8150 ; + RECT 5.9630 0.6130 6.3490 0.6630 ; + RECT 6.5870 0.7810 6.6370 0.9330 ; + RECT 6.2990 0.6630 6.3490 0.7310 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9420 10.8490 1.0020 ; + RECT 10.7370 0.6900 10.8490 0.9420 ; + RECT 10.6150 0.6270 10.6650 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 9.2510 0.9510 9.2930 0.9930 ; + RECT 10.1630 0.9510 10.2050 0.9930 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 1.2710 0.6270 1.3130 0.6690 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.4170 6.1010 0.4590 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0610 9.5210 1.1030 ; + RECT 11.9110 0.6640 11.9530 0.7060 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6270 1.4650 0.6690 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 10.0870 1.0620 10.1290 1.1040 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 9.4030 0.7300 9.4450 0.7720 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.3920 3.2130 0.4340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.5030 0.6640 7.5450 0.7060 ; + RECT 7.8070 0.6640 7.8490 0.7060 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 12.0630 0.6640 12.1050 0.7060 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 11.9110 0.6640 11.9530 0.7060 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 1.1190 0.6270 1.1610 0.6690 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0620 10.5850 1.1040 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.3920 2.9090 0.4340 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7750 7.3930 0.8170 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.5170 5.9490 0.5590 ; + RECT 6.8190 0.4170 6.8610 0.4590 ; + LAYER PO ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7280 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 11.9170 0.0690 11.9470 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + LAYER M1 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.7100 11.7680 0.7780 ; + RECT 11.7180 0.4510 11.7680 0.6600 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 7.3310 0.7710 7.4800 0.8210 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.4300 0.8210 7.4800 0.9670 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 6.4180 0.6130 6.6530 0.6630 ; + RECT 7.0430 0.6600 7.5650 0.7100 ; + RECT 7.0430 0.7100 7.0930 0.8370 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 10.0670 1.0580 10.6050 1.1080 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.4760 0.3880 3.2330 0.4380 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3880 ; + RECT 0.7350 0.6230 1.4850 0.6730 ; + RECT 0.7350 0.6730 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6230 ; + RECT 1.0390 0.6730 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6230 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7810 1.5450 1.0050 ; + RECT 1.1910 0.7310 1.6210 0.7810 ; + RECT 1.5710 0.5630 1.6210 0.7310 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7810 1.2410 1.0050 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8260 1.7370 0.8760 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 1.6870 0.4620 1.7370 0.8260 ; + RECT 1.6470 0.8760 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 5.8870 0.5130 6.7520 0.5440 ; + RECT 5.8870 0.5440 6.7530 0.5630 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5630 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 6.0390 0.4130 7.0170 0.4630 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.4630 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.4130 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.9670 0.3270 7.0170 0.3300 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3840 6.8650 0.4130 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 6.8150 0.4630 6.8650 0.9670 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7690 ; + RECT 7.6150 0.7690 7.9810 0.8190 ; + RECT 7.9310 0.8190 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6600 7.8690 0.7100 ; + RECT 7.8030 0.5800 7.8530 0.6600 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 9.0950 1.0570 9.5440 1.1070 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0570 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.3990 0.5770 9.4490 0.8870 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 11.7180 0.6600 12.1250 0.7100 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.5790 1.7730 ; + RECT -0.1150 0.6910 8.4660 1.5430 ; + RECT 11.3990 0.6790 12.5790 1.5430 ; + RECT -0.1150 0.6890 7.3720 0.6910 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3720 0.6890 ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + END +END RDFFNSRASRNX2_HVT + +MACRO RDFFNSRASRQX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.616 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.4090 1.1610 12.5300 1.2710 ; + RECT 12.1350 0.1160 12.1850 0.2890 ; + RECT 12.1350 0.2890 12.4930 0.3390 ; + RECT 12.1350 1.0420 12.4930 1.0920 ; + RECT 12.1350 1.0920 12.1850 1.5640 ; + RECT 12.4430 1.0920 12.4930 1.1610 ; + RECT 12.4430 0.3390 12.4930 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 0.2430 12.1810 0.2850 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.6160 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 11.8310 0.9590 11.8810 1.6420 ; + RECT 12.2870 1.1810 12.3370 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.6160 0.0300 ; + RECT 11.7910 0.2030 11.8970 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4350 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 12.2870 0.0300 12.3370 0.2260 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3750 8.3840 0.3780 ; + RECT 7.4070 0.3280 8.4090 0.3750 ; + RECT 11.7910 0.0300 11.8410 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 3.3350 0.3380 6.5770 0.3550 ; + RECT 2.5790 0.3050 6.5770 0.3380 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2880 ; + RECT 2.5790 0.2880 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.4510 0.3090 5.4930 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3080 4.2770 0.3500 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8760 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.6790 0.1380 2.8760 0.1880 ; + RECT 2.7870 0.2320 8.1460 0.2380 ; + RECT 2.7870 0.2100 8.1570 0.2320 ; + RECT 8.1070 0.1380 8.1570 0.1880 ; + RECT 2.6790 0.1880 8.1570 0.2100 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6630 6.0290 0.8150 ; + RECT 5.9630 0.6130 6.3490 0.6630 ; + RECT 6.5870 0.7810 6.6370 0.9330 ; + RECT 6.2990 0.6630 6.3490 0.7310 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9420 10.8490 1.0020 ; + RECT 10.7370 0.6900 10.8490 0.9420 ; + RECT 10.6150 0.6270 10.6650 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 9.2510 0.9510 9.2930 0.9930 ; + RECT 10.1630 0.9510 10.2050 0.9930 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 1.2710 0.6270 1.3130 0.6690 ; + RECT 11.9870 0.2490 12.0290 0.2910 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.4170 6.1010 0.4590 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 12.2150 0.6640 12.2570 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.5170 5.9490 0.5590 ; + RECT 11.9870 0.3410 12.0290 0.3830 ; + RECT 6.8190 0.4170 6.8610 0.4590 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0630 9.5210 1.1050 ; + RECT 11.9110 0.6060 11.9530 0.6480 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6270 1.4650 0.6690 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 10.0870 1.0630 10.1290 1.1050 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 9.4030 0.7300 9.4450 0.7720 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.3920 3.2130 0.4340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.5030 0.6640 7.5450 0.7060 ; + RECT 7.8070 0.6640 7.8490 0.7060 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 1.1190 0.6270 1.1610 0.6690 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0630 10.5850 1.1050 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.3920 2.9090 0.4340 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7650 7.3930 0.8070 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + LAYER PO ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7380 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0760 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 12.0690 0.0710 12.0990 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0760 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.3730 0.0690 12.4030 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 12.5250 0.0710 12.5550 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + LAYER M1 ; + RECT 12.0230 0.4510 12.0730 0.6600 ; + RECT 11.9830 0.8280 12.0330 1.3090 ; + RECT 12.0230 0.7100 12.0730 0.7780 ; + RECT 11.7180 0.6020 11.9730 0.6520 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.7180 0.4510 11.7680 0.6020 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.6520 11.7680 0.7780 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 6.4180 0.6130 6.6530 0.6630 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 7.3310 0.7610 7.4800 0.8110 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.4300 0.8110 7.4800 0.9670 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 7.0430 0.6600 7.5650 0.7100 ; + RECT 7.0430 0.7100 7.0930 0.8390 ; + RECT 7.0430 0.6500 7.0930 0.6600 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 10.0670 1.0590 10.6050 1.1090 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8290 1.7370 0.8790 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8790 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8290 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.4760 0.3880 3.2330 0.4380 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3880 ; + RECT 0.7350 0.6230 1.4850 0.6730 ; + RECT 0.7350 0.6730 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6230 ; + RECT 1.0390 0.6730 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6230 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7730 1.5450 1.0050 ; + RECT 1.1910 0.7230 1.6210 0.7730 ; + RECT 1.5710 0.5630 1.6210 0.7230 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7730 1.2410 1.0050 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 5.8870 0.5130 6.7520 0.5440 ; + RECT 5.8870 0.5440 6.7530 0.5630 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5630 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 6.0390 0.4130 7.0170 0.4630 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.4630 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.4130 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.9670 0.3270 7.0170 0.3300 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3840 6.8650 0.4130 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 6.8150 0.4630 6.8650 0.9670 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7670 ; + RECT 7.6150 0.7670 7.9810 0.8170 ; + RECT 7.9310 0.8170 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6600 7.8690 0.7100 ; + RECT 7.8030 0.5800 7.8530 0.6600 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 9.0950 1.0590 9.5440 1.1090 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0590 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.3990 0.5770 9.4490 0.8870 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 12.0230 0.6600 12.2770 0.7100 ; + RECT 11.9830 0.4010 12.0730 0.4510 ; + RECT 11.9830 0.7780 12.0730 0.8280 ; + RECT 11.9830 0.2250 12.0330 0.4010 ; + LAYER NWELL ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + RECT -0.1150 1.5430 12.7310 1.7730 ; + RECT -0.1150 0.6890 8.4660 1.5430 ; + RECT 11.3990 0.6790 12.7310 1.5430 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3720 0.6890 ; + END +END RDFFNSRASRQX1_HVT + +MACRO RDFFNSRASRQX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.768 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.5610 1.1610 12.6820 1.2710 ; + RECT 12.4390 0.1160 12.4890 0.2890 ; + RECT 12.1350 0.1160 12.1850 0.2890 ; + RECT 12.1350 0.2890 12.6450 0.3390 ; + RECT 12.1350 1.0920 12.1850 1.5640 ; + RECT 12.4390 1.0920 12.4890 1.5640 ; + RECT 12.5950 1.0920 12.6450 1.1610 ; + RECT 12.1350 1.0420 12.6450 1.0920 ; + RECT 12.5950 0.3390 12.6450 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 0.2430 12.1810 0.2850 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.4430 0.2430 12.4850 0.2850 ; + RECT 12.1390 0.1510 12.1810 0.1930 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.7680 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 12.2870 1.1810 12.3370 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 11.8310 0.9590 11.8810 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.7680 0.0300 ; + RECT 11.7910 0.2030 11.8970 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4550 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 12.2870 0.0300 12.3370 0.2260 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3280 8.4090 0.3780 ; + RECT 11.7910 0.0300 11.8410 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 3.3350 0.3420 6.5770 0.3550 ; + RECT 2.5790 0.3050 6.5770 0.3420 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2920 ; + RECT 2.5790 0.2920 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.3840 0.3250 0.4260 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.4510 0.3090 5.4930 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.2350 0.3080 4.2770 0.3500 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 3.3230 0.2960 3.3650 0.3380 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2960 3.0610 0.3380 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 12.2910 0.1490 12.3330 0.1910 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 0.2830 0.2920 0.3250 0.3340 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8540 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.7870 0.2100 8.1570 0.2420 ; + RECT 2.6790 0.1920 8.1570 0.2100 ; + RECT 2.6790 0.1380 2.8540 0.1920 ; + RECT 8.1070 0.1380 8.1570 0.1920 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6830 6.0290 0.8150 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + RECT 6.5870 0.7810 6.6370 0.9330 ; + RECT 6.2990 0.6830 6.3490 0.7310 ; + RECT 5.8730 0.6330 6.3490 0.6830 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6370 6.3290 0.6790 ; + RECT 5.9830 0.6370 6.0250 0.6790 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + RECT 6.1350 0.6370 6.1770 0.6790 ; + END + ANTENNAGATEAREA 0.0726 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9420 10.8490 1.0020 ; + RECT 10.7390 0.6900 10.8490 0.9420 ; + RECT 10.6150 0.6270 10.6650 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.1630 0.9510 10.2050 0.9930 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + RECT 9.2510 0.9510 9.2930 0.9930 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.4170 6.1010 0.4590 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 12.2150 0.5910 12.2570 0.6330 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 12.3670 0.5910 12.4090 0.6330 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 10.0870 1.0620 10.1290 1.1040 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 9.4030 0.7300 9.4450 0.7720 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 1.2710 0.6170 1.3130 0.6590 ; + RECT 11.9870 0.2490 12.0290 0.2910 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6170 1.4650 0.6590 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 1.1190 0.6170 1.1610 0.6590 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 7.5030 0.6650 7.5450 0.7070 ; + RECT 7.8070 0.6650 7.8490 0.7070 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6250 6.6330 0.6670 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7650 7.3930 0.8070 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0620 10.5850 1.1040 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.3960 2.9090 0.4380 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6250 6.4810 0.6670 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.5170 5.9490 0.5590 ; + RECT 11.9870 0.3410 12.0290 0.3830 ; + RECT 6.8190 0.4170 6.8610 0.4590 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0620 9.5210 1.1040 ; + RECT 11.9110 0.6060 11.9530 0.6480 ; + LAYER PO ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7280 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 12.0690 0.0690 12.0990 1.6060 ; + RECT 12.3730 0.0690 12.4030 1.6060 ; + RECT 12.5250 0.0690 12.5550 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 12.6770 0.0710 12.7070 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6990 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + LAYER M1 ; + RECT 11.9830 0.2250 12.0330 0.4010 ; + RECT 11.9830 0.8280 12.0330 1.3090 ; + RECT 12.0230 0.6370 12.0730 0.7780 ; + RECT 12.0230 0.4510 12.0730 0.5870 ; + RECT 11.7180 0.6020 11.9730 0.6520 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.7180 0.4510 11.7680 0.6020 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.6520 11.7680 0.7780 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 6.4180 0.6210 6.6530 0.6710 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 7.3310 0.7610 7.4800 0.8110 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.4300 0.8110 7.4800 0.9670 ; + RECT 7.0430 0.6610 7.5650 0.7110 ; + RECT 7.0430 0.7110 7.0930 0.8400 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 10.0670 1.0580 10.6050 1.1080 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 0.7350 0.6130 1.4850 0.6630 ; + RECT 0.7350 0.6630 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6130 ; + RECT 1.0390 0.6630 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6130 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8130 1.7370 0.8630 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.8630 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8130 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.4760 0.3920 3.2330 0.4420 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3920 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.4950 0.7630 1.5450 1.0050 ; + RECT 1.1910 0.7130 1.6210 0.7630 ; + RECT 1.5710 0.5630 1.6210 0.7130 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7630 1.2410 1.0050 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 5.8870 0.5130 6.7520 0.5440 ; + RECT 5.8870 0.5440 6.7530 0.5630 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5630 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 6.0390 0.4130 7.0170 0.4630 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.4630 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.4130 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.9670 0.3270 7.0170 0.3300 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3840 6.8650 0.4130 ; + RECT 6.8150 0.4630 6.8650 0.9670 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7670 ; + RECT 7.6150 0.7670 7.9810 0.8170 ; + RECT 7.9310 0.8170 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 9.3990 0.5770 9.4490 0.8870 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6610 7.8690 0.7110 ; + RECT 7.8030 0.5800 7.8530 0.6610 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 9.0950 1.0580 9.5440 1.1080 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0580 ; + RECT 12.0230 0.5870 12.4290 0.6370 ; + RECT 11.9830 0.4010 12.0730 0.4510 ; + RECT 11.9830 0.7780 12.0730 0.8280 ; + LAYER NWELL ; + RECT -0.1150 1.5430 12.8830 1.7730 ; + RECT -0.1150 0.6920 8.4660 1.5430 ; + RECT 11.3990 0.6790 12.8830 1.5430 ; + RECT -0.1150 0.6890 7.3480 0.6920 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3480 0.6890 ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + END +END RDFFNSRASRQX2_HVT + +MACRO RDFFNSRASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 12.92 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.1350 0.8920 12.6820 0.9420 ; + RECT 12.5610 0.9420 12.6820 0.9670 ; + RECT 12.5610 0.8570 12.6820 0.8920 ; + RECT 12.1350 0.9420 12.1850 1.5640 ; + RECT 12.1350 0.2130 12.1850 0.4520 ; + RECT 12.6310 0.5020 12.6810 0.8570 ; + RECT 12.1350 0.4520 12.6810 0.5020 ; + END + PORT + LAYER CO ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + RECT 12.1390 0.2430 12.1810 0.2850 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 0.3350 12.1810 0.3770 ; + RECT 12.1390 0.3350 12.1810 0.3770 ; + RECT 12.1390 0.4270 12.1810 0.4690 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.4870 12.1810 1.5290 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 1.1190 12.1810 1.1610 ; + RECT 12.1390 1.0270 12.1810 1.0690 ; + RECT 12.1390 1.3030 12.1810 1.3450 ; + RECT 12.1390 1.3950 12.1810 1.4370 ; + RECT 12.1390 1.2110 12.1810 1.2530 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 12.7130 1.1610 12.8340 1.2710 ; + RECT 12.4390 0.1160 12.4890 0.2890 ; + RECT 12.4390 0.2890 12.7970 0.3390 ; + RECT 12.4390 1.0420 12.7970 1.0920 ; + RECT 12.4390 1.0920 12.4890 1.5640 ; + RECT 12.7470 1.0920 12.7970 1.1610 ; + RECT 12.7470 0.3390 12.7970 1.0420 ; + END + PORT + LAYER CO ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.2110 12.4850 1.2530 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 1.3030 12.4850 1.3450 ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + RECT 12.4430 0.2430 12.4850 0.2850 ; + RECT 12.4430 0.1510 12.4850 0.1930 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + RECT 12.4430 1.3950 12.4850 1.4370 ; + RECT 12.4430 1.4870 12.4850 1.5290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 12.9200 1.7020 ; + RECT 2.9980 1.2200 6.5770 1.2700 ; + RECT 7.4230 1.4040 9.6410 1.4540 ; + RECT 0.2790 0.7690 0.3290 1.6420 ; + RECT 11.5270 0.9590 11.5770 1.6420 ; + RECT 11.8310 0.9590 11.8810 1.6420 ; + RECT 12.2870 0.9920 12.3370 1.6420 ; + RECT 12.5910 1.1810 12.6410 1.6420 ; + RECT 0.8870 1.2630 0.9370 1.3590 ; + RECT 0.8870 1.1830 0.9370 1.2130 ; + RECT 1.3430 1.2630 1.3930 1.4550 ; + RECT 1.3430 1.1580 1.3930 1.2130 ; + RECT 3.4070 1.2700 3.4570 1.6420 ; + RECT 7.4230 1.2790 7.4730 1.4040 ; + RECT 8.1830 0.9530 8.2330 1.4040 ; + RECT 9.5910 1.4540 9.6410 1.6420 ; + RECT 0.5830 1.2130 1.3930 1.2630 ; + RECT 0.5830 1.2630 0.6330 1.6420 ; + RECT 0.5830 1.1780 0.6330 1.2130 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 9.7830 1.6510 9.8250 1.6930 ; + RECT 4.3870 1.2240 4.4290 1.2660 ; + RECT 4.9950 1.2240 5.0370 1.2660 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 12.2910 1.0270 12.3330 1.0690 ; + RECT 12.5950 1.2110 12.6370 1.2530 ; + RECT 12.5950 1.4870 12.6370 1.5290 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 12.2910 1.1190 12.3330 1.1610 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 11.8350 1.0040 11.8770 1.0460 ; + RECT 3.4750 1.2240 3.5170 1.2660 ; + RECT 8.7190 1.6510 8.7610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 5.4510 1.2240 5.4930 1.2660 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.8320 0.3250 0.8740 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 11.8350 1.1880 11.8770 1.2300 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 12.2910 1.3030 12.3330 1.3450 ; + RECT 12.5950 1.3030 12.6370 1.3450 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 12.5950 1.4870 12.6370 1.5290 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 1.0160 0.3250 1.0580 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 0.9240 0.3250 0.9660 ; + RECT 0.2830 1.1080 0.3250 1.1500 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.2830 1.3840 0.3250 1.4260 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.2830 1.4760 0.3250 1.5180 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 8.8710 1.6510 8.9130 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 10.9990 1.6510 11.0410 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 9.0230 1.6510 9.0650 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 11.1510 1.6510 11.1930 1.6930 ; + RECT 9.1750 1.6510 9.2170 1.6930 ; + RECT 11.3030 1.6510 11.3450 1.6930 ; + RECT 9.3270 1.6510 9.3690 1.6930 ; + RECT 9.4790 1.6510 9.5210 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 7.1990 1.6510 7.2410 1.6930 ; + RECT 9.6310 1.6510 9.6730 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 7.3510 1.6510 7.3930 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 7.5030 1.6510 7.5450 1.6930 ; + RECT 7.6550 1.6510 7.6970 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 7.8070 1.6510 7.8490 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 7.9590 1.6510 8.0010 1.6930 ; + RECT 8.1110 1.6510 8.1530 1.6930 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 12.5950 1.3030 12.6370 1.3450 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 8.2630 1.6510 8.3050 1.6930 ; + RECT 8.4150 1.6510 8.4570 1.6930 ; + RECT 8.1870 1.0650 8.2290 1.1070 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 11.8350 1.0960 11.8770 1.1380 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 10.0870 1.6510 10.1290 1.6930 ; + RECT 10.2390 1.6510 10.2810 1.6930 ; + RECT 4.6910 1.2240 4.7330 1.2660 ; + RECT 12.2910 1.2110 12.3330 1.2530 ; + RECT 6.5150 1.2240 6.5570 1.2660 ; + RECT 7.4270 1.3010 7.4690 1.3430 ; + RECT 11.5310 1.0040 11.5730 1.0460 ; + RECT 12.5950 1.3950 12.6370 1.4370 ; + RECT 12.2910 1.1190 12.3330 1.1610 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 12.2910 1.3950 12.3330 1.4370 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.1880 11.5730 1.2300 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 5.1470 1.2240 5.1890 1.2660 ; + RECT 12.2910 1.0270 12.3330 1.0690 ; + RECT 8.5670 1.6510 8.6090 1.6930 ; + RECT 8.1870 1.1570 8.2290 1.1990 ; + RECT 5.6030 1.2240 5.6450 1.2660 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 9.9350 1.6510 9.9770 1.6930 ; + RECT 12.2910 1.4870 12.3330 1.5290 ; + RECT 11.5310 1.2800 11.5730 1.3220 ; + RECT 3.9310 1.2240 3.9730 1.2660 ; + RECT 11.5310 1.0960 11.5730 1.1380 ; + RECT 12.5950 1.2110 12.6370 1.2530 ; + RECT 11.9110 1.6510 11.9530 1.6930 ; + RECT 12.0630 1.6510 12.1050 1.6930 ; + RECT 12.2150 1.6510 12.2570 1.6930 ; + RECT 12.3670 1.6510 12.4090 1.6930 ; + RECT 12.5190 1.6510 12.5610 1.6930 ; + RECT 12.6710 1.6510 12.7130 1.6930 ; + RECT 10.6950 1.6510 10.7370 1.6930 ; + RECT 10.8470 1.6510 10.8890 1.6930 ; + RECT 12.8230 1.6510 12.8650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 8.1870 1.2490 8.2290 1.2910 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 12.5950 1.3950 12.6370 1.4370 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 8.1870 0.9730 8.2290 1.0150 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 10.3910 1.6510 10.4330 1.6930 ; + RECT 10.5430 1.6510 10.5850 1.6930 ; + RECT 11.4550 1.6510 11.4970 1.6930 ; + RECT 11.6070 1.6510 11.6490 1.6930 ; + RECT 11.7590 1.6510 11.8010 1.6930 ; + RECT 11.8350 1.2800 11.8770 1.3220 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 3.0190 1.2240 3.0610 1.2660 ; + END + END VDD + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9990 1.3130 1.1190 1.4230 ; + RECT 0.9990 1.4230 1.0490 1.5240 ; + RECT 0.7950 1.5240 1.0490 1.5740 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5280 1.0090 1.5700 ; + RECT 0.8150 1.5280 0.8570 1.5700 ; + END + ANTENNAGATEAREA 0.0684 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 12.9200 0.0300 ; + RECT 11.7910 0.2030 11.8970 0.2530 ; + RECT 12.2870 0.2030 12.3830 0.2530 ; + RECT 0.2790 0.0300 0.3290 0.4350 ; + RECT 9.2470 0.0300 9.2970 0.4260 ; + RECT 10.1590 0.0300 10.2090 0.1980 ; + RECT 10.6150 0.0300 10.6650 0.4260 ; + RECT 11.5270 0.0300 11.5770 0.4230 ; + RECT 12.5910 0.0300 12.6410 0.2260 ; + RECT 8.3590 0.0300 8.4090 0.3280 ; + RECT 7.4070 0.3750 8.3840 0.3780 ; + RECT 7.4070 0.3280 8.4090 0.3750 ; + RECT 11.7910 0.0300 11.8410 0.2030 ; + RECT 12.2870 0.2530 12.3370 0.3950 ; + RECT 12.3330 0.0300 12.3830 0.2030 ; + RECT 1.3430 0.2440 1.3930 0.4630 ; + RECT 0.8870 0.2440 0.9370 0.5570 ; + RECT 3.3350 0.3380 6.5770 0.3550 ; + RECT 2.5790 0.3050 6.5770 0.3380 ; + RECT 0.5830 0.1940 2.6290 0.2440 ; + RECT 0.5830 0.2440 0.6330 0.4280 ; + RECT 0.5830 0.0300 0.6330 0.1940 ; + RECT 2.5790 0.2440 2.6290 0.2880 ; + RECT 2.5790 0.2880 3.3850 0.3050 ; + END + PORT + LAYER CO ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 4.2350 0.3080 4.2770 0.3500 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 4.9950 0.3090 5.0370 0.3510 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.1470 0.3090 5.1890 0.3510 ; + RECT 5.4510 0.3090 5.4930 0.3510 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 10.6190 0.1740 10.6610 0.2160 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 12.5950 0.1490 12.6370 0.1910 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 12.2910 0.3330 12.3330 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.6910 0.3090 4.7330 0.3510 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 11.5310 0.3610 11.5730 0.4030 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.6190 0.3580 10.6610 0.4000 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 5.6030 0.3090 5.6450 0.3510 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 9.2510 0.2540 9.2930 0.2960 ; + RECT 9.2510 0.1620 9.2930 0.2040 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.3230 0.2920 3.3650 0.3340 ; + RECT 10.6190 0.2660 10.6610 0.3080 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 12.2910 0.2410 12.3330 0.2830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 11.8350 0.2070 11.8770 0.2490 ; + RECT 12.2910 0.3330 12.3330 0.3750 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5150 0.3090 6.5570 0.3510 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 11.5310 0.1770 11.5730 0.2190 ; + RECT 8.3390 0.3320 8.3810 0.3740 ; + RECT 7.4270 0.3320 7.4690 0.3740 ; + RECT 3.0190 0.2920 3.0610 0.3340 ; + RECT 10.1630 0.1360 10.2050 0.1780 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 12.5950 0.1490 12.6370 0.1910 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 3.7790 0.3080 3.8210 0.3500 ; + RECT 11.5310 0.2690 11.5730 0.3110 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 9.2510 0.3460 9.2930 0.3880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + END + END VSS + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5470 1.3200 7.0170 1.3700 ; + RECT 6.9670 1.0790 7.8070 1.1270 ; + RECT 7.6510 0.9510 7.7010 1.0090 ; + RECT 7.6510 1.0090 7.8070 1.0790 ; + RECT 4.0030 1.3700 4.0530 1.4550 ; + RECT 3.5470 1.3700 3.5970 1.4550 ; + RECT 4.4590 1.3700 4.5090 1.4550 ; + RECT 6.9670 1.1270 7.7010 1.1290 ; + RECT 6.9670 1.1290 7.0170 1.3200 ; + END + PORT + LAYER CO ; + RECT 4.4630 1.3930 4.5050 1.4350 ; + RECT 3.5510 1.3930 3.5930 1.4350 ; + RECT 4.0070 1.3930 4.0490 1.4350 ; + RECT 7.6550 0.9710 7.6970 1.0130 ; + END + ANTENNAGATEAREA 0.0918 ; + END SETB + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3150 0.0880 2.8640 0.1380 ; + RECT 8.0910 0.0880 8.1730 0.1380 ; + RECT 2.6790 0.1380 2.8640 0.1880 ; + RECT 2.7870 0.2320 8.1460 0.2380 ; + RECT 2.7870 0.2100 8.1570 0.2320 ; + RECT 8.1070 0.1380 8.1570 0.1880 ; + RECT 2.6790 0.1880 8.1570 0.2100 ; + END + PORT + LAYER CO ; + RECT 8.1110 0.0920 8.1530 0.1340 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 2.7910 0.0980 2.8330 0.1400 ; + END + ANTENNAGATEAREA 0.0645 ; + END RSTB + + PIN SAVE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 10.7370 0.2490 10.8490 0.3590 ; + RECT 10.5230 0.5270 10.7980 0.5770 ; + RECT 10.7480 0.3590 10.7980 0.5270 ; + END + PORT + LAYER CO ; + RECT 10.5430 0.5310 10.5850 0.5730 ; + END + ANTENNAGATEAREA 0.042 ; + END SAVE + + PIN NRESTORE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.8730 0.6630 6.0290 0.8150 ; + RECT 5.9630 0.6130 6.3490 0.6630 ; + RECT 6.5870 0.7810 6.6370 0.9460 ; + RECT 6.2990 0.6630 6.3490 0.7310 ; + RECT 6.2990 0.7310 6.6370 0.7810 ; + END + PORT + LAYER CO ; + RECT 6.2870 0.6170 6.3290 0.6590 ; + RECT 6.1350 0.6170 6.1770 0.6590 ; + RECT 5.9830 0.6170 6.0250 0.6590 ; + RECT 6.5910 0.8710 6.6330 0.9130 ; + END + ANTENNAGATEAREA 0.0735 ; + END NRESTORE + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 9.2310 0.9420 10.8490 1.0020 ; + RECT 10.7370 0.6900 10.8490 0.9420 ; + RECT 10.6150 0.6270 10.6650 0.9420 ; + END + PORT + LAYER CO ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 10.6190 0.7430 10.6610 0.7850 ; + RECT 10.6190 0.6510 10.6610 0.6930 ; + RECT 10.1630 0.9510 10.2050 0.9930 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + RECT 9.2510 0.9510 9.2930 0.9930 ; + RECT 10.7710 0.7100 10.8130 0.7520 ; + RECT 10.6190 0.9270 10.6610 0.9690 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + RECT 10.6190 0.8350 10.6610 0.8770 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6300 0.5730 0.6800 ; + RECT 0.0970 0.6800 0.2510 0.7080 ; + RECT 0.0970 0.5530 0.2510 0.6300 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6340 0.4010 0.6760 ; + RECT 0.5110 0.6340 0.5530 0.6760 ; + END + ANTENNAGATEAREA 0.072 ; + END D + OBS + LAYER CO ; + RECT 3.2470 0.0920 3.2890 0.1340 ; + RECT 4.3870 0.4090 4.4290 0.4510 ; + RECT 4.5390 0.5090 4.5810 0.5510 ; + RECT 4.3110 0.8580 4.3530 0.9000 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.5390 1.1240 4.5810 1.1660 ; + RECT 4.9190 0.6620 4.9610 0.7040 ; + RECT 5.3750 0.6280 5.4170 0.6700 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 1.0430 0.3410 1.0850 0.3830 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 0.7390 0.3410 0.7810 0.3830 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 0.4350 0.4060 0.4770 0.4480 ; + RECT 10.0110 0.8240 10.0530 0.8660 ; + RECT 9.7070 0.3680 9.7490 0.4100 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 6.8190 1.0240 6.8610 1.0660 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9110 0.0970 11.9530 0.1390 ; + RECT 1.9550 1.1830 1.9970 1.2250 ; + RECT 3.6270 0.5250 3.6690 0.5670 ; + RECT 1.1950 0.7580 1.2370 0.8000 ; + RECT 12.5190 0.6640 12.5610 0.7060 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 3.9310 0.4090 3.9730 0.4510 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 3.6270 1.1240 3.6690 1.1660 ; + RECT 1.0430 0.4330 1.0850 0.4750 ; + RECT 1.0430 0.8500 1.0850 0.8920 ; + RECT 1.0430 0.7580 1.0850 0.8000 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 5.2230 0.6280 5.2650 0.6700 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 1.0910 1.9970 1.1330 ; + RECT 2.7150 1.0860 2.7570 1.1280 ; + RECT 2.7150 1.1780 2.7570 1.2200 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.2590 1.0860 2.3010 1.1280 ; + RECT 2.4110 1.1120 2.4530 1.1540 ; + RECT 2.4110 1.2960 2.4530 1.3380 ; + RECT 2.4110 1.2040 2.4530 1.2460 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 5.2990 0.5140 5.3410 0.5560 ; + RECT 5.2990 0.9450 5.3410 0.9870 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.8320 0.4770 0.8740 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 1.0160 0.4770 1.0580 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 0.9240 0.4770 0.9660 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.4760 0.4770 1.5180 ; + RECT 0.4350 1.3840 0.4770 1.4260 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 11.9870 1.0630 12.0290 1.1050 ; + RECT 6.1350 0.9240 6.1770 0.9660 ; + RECT 1.4990 0.7580 1.5410 0.8000 ; + RECT 9.4030 0.2540 9.4450 0.2960 ; + RECT 10.2390 0.4660 10.2810 0.5080 ; + RECT 10.4670 0.3610 10.5090 0.4030 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 9.4030 0.1620 9.4450 0.2040 ; + RECT 6.9710 0.4090 7.0130 0.4510 ; + RECT 5.7550 0.9720 5.7970 1.0140 ; + RECT 2.1070 1.2960 2.1490 1.3380 ; + RECT 5.9070 1.0640 5.9490 1.1060 ; + RECT 6.0590 1.1240 6.1010 1.1660 ; + RECT 3.1710 1.0720 3.2130 1.1140 ; + RECT 1.8790 1.5280 1.9210 1.5700 ; + RECT 1.0430 0.5250 1.0850 0.5670 ; + RECT 7.1990 0.0920 7.2410 0.1340 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 4.7670 0.6620 4.8090 0.7040 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 6.3630 0.4170 6.4050 0.4590 ; + RECT 3.3230 1.1260 3.3650 1.1680 ; + RECT 2.7150 0.5020 2.7570 0.5440 ; + RECT 2.6390 0.7420 2.6810 0.7840 ; + RECT 4.8430 0.5140 4.8850 0.5560 ; + RECT 2.2590 1.1780 2.3010 1.2200 ; + RECT 2.2590 0.5620 2.3010 0.6040 ; + RECT 10.4670 0.6800 10.5090 0.7220 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.3630 1.1240 6.4050 1.1660 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 2.1070 0.4330 2.1490 0.4750 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 2.1070 0.5250 2.1490 0.5670 ; + RECT 7.2750 0.9710 7.3170 1.0130 ; + RECT 4.8430 1.0620 4.8850 1.1040 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 3.3990 0.8580 3.4410 0.9000 ; + RECT 6.9710 0.5010 7.0130 0.5430 ; + RECT 1.8030 1.1830 1.8450 1.2250 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 3.8550 0.8580 3.8970 0.9000 ; + RECT 0.7390 0.8500 0.7810 0.8920 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 2.9430 1.4280 2.9850 1.4700 ; + RECT 1.1190 1.5280 1.1610 1.5700 ; + RECT 8.3390 1.2390 8.3810 1.2810 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 10.0110 0.1360 10.0530 0.1780 ; + RECT 6.0590 0.4170 6.1010 0.4590 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 8.7190 1.2030 8.7610 1.2450 ; + RECT 9.4030 0.6380 9.4450 0.6800 ; + RECT 9.0990 0.6510 9.1410 0.6930 ; + RECT 9.0990 0.1620 9.1410 0.2040 ; + RECT 9.5550 0.2520 9.5970 0.2940 ; + RECT 9.0990 0.2540 9.1410 0.2960 ; + RECT 9.4790 1.5240 9.5210 1.5660 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 10.0870 1.0610 10.1290 1.1030 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 1.6510 0.4160 1.6930 0.4580 ; + RECT 1.8030 0.5250 1.8450 0.5670 ; + RECT 1.8030 0.4330 1.8450 0.4750 ; + RECT 0.7390 0.4330 0.7810 0.4750 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 9.4030 0.7300 9.4450 0.7720 ; + RECT 7.5790 1.2910 7.6210 1.3330 ; + RECT 2.0310 1.4280 2.0730 1.4700 ; + RECT 11.6830 0.2690 11.7250 0.3110 ; + RECT 3.2470 0.6330 3.2890 0.6750 ; + RECT 8.0350 0.5580 8.0770 0.6000 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 5.7550 0.5250 5.7970 0.5670 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 1.9550 1.2750 1.9970 1.3170 ; + RECT 3.4750 0.4090 3.5170 0.4510 ; + RECT 11.9870 1.2470 12.0290 1.2890 ; + RECT 9.8590 0.7130 9.9010 0.7550 ; + RECT 10.3150 0.7130 10.3570 0.7550 ; + RECT 11.9870 0.9710 12.0290 1.0130 ; + RECT 10.4670 0.2690 10.5090 0.3110 ; + RECT 9.7070 0.6770 9.7490 0.7190 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 9.4030 0.3460 9.4450 0.3880 ; + RECT 6.2110 0.5170 6.2530 0.5590 ; + RECT 1.2710 0.6270 1.3130 0.6690 ; + RECT 11.9870 0.2490 12.0290 0.2910 ; + RECT 7.7310 1.1830 7.7730 1.2250 ; + RECT 11.9870 1.1550 12.0290 1.1970 ; + RECT 7.8830 1.2910 7.9250 1.3330 ; + RECT 4.0830 1.1240 4.1250 1.1660 ; + RECT 11.9870 0.8790 12.0290 0.9210 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 6.8190 1.2080 6.8610 1.2500 ; + RECT 1.4990 0.8500 1.5410 0.8920 ; + RECT 7.1990 1.4240 7.2410 1.4660 ; + RECT 1.4990 0.9430 1.5410 0.9850 ; + RECT 1.6510 1.2750 1.6930 1.3170 ; + RECT 3.2470 1.5280 3.2890 1.5700 ; + RECT 8.3390 0.9630 8.3810 1.0050 ; + RECT 5.9070 0.5170 5.9490 0.5590 ; + RECT 11.9870 0.3410 12.0290 0.3830 ; + RECT 6.8190 0.4170 6.8610 0.4590 ; + RECT 2.9430 0.9710 2.9850 1.0130 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 9.7830 1.2030 9.8250 1.2450 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 9.4790 1.0610 9.5210 1.1030 ; + RECT 11.9110 0.6060 11.9530 0.6480 ; + RECT 9.0990 0.9270 9.1410 0.9690 ; + RECT 7.0470 0.7710 7.0890 0.8130 ; + RECT 7.1230 1.1990 7.1650 1.2410 ; + RECT 1.4230 0.6270 1.4650 0.6690 ; + RECT 5.6790 1.5240 5.7210 1.5660 ; + RECT 7.1230 1.2910 7.1650 1.3330 ; + RECT 8.7190 0.8050 8.7610 0.8470 ; + RECT 11.6830 1.2470 11.7250 1.2890 ; + RECT 3.0950 0.9710 3.1370 1.0130 ; + RECT 2.4110 0.3240 2.4530 0.3660 ; + RECT 12.2150 0.0970 12.2570 0.1390 ; + RECT 1.1190 0.6270 1.1610 0.6690 ; + RECT 3.1710 0.3920 3.2130 0.4340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 1.6510 1.1830 1.6930 1.2250 ; + RECT 6.4390 0.8510 6.4810 0.8930 ; + RECT 6.2870 0.9240 6.3290 0.9660 ; + RECT 9.1750 0.5310 9.2170 0.5730 ; + RECT 1.5750 0.6600 1.6170 0.7020 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 5.9830 0.9240 6.0250 0.9660 ; + RECT 2.8670 1.2960 2.9090 1.3380 ; + RECT 11.6830 1.1550 11.7250 1.1970 ; + RECT 3.0950 0.6330 3.1370 0.6750 ; + RECT 4.0830 0.5090 4.1250 0.5510 ; + RECT 2.1830 0.7420 2.2250 0.7840 ; + RECT 7.5030 0.6640 7.5450 0.7060 ; + RECT 7.8070 0.6640 7.8490 0.7060 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.9430 0.6330 2.9850 0.6750 ; + RECT 7.0470 0.0920 7.0890 0.1340 ; + RECT 7.3510 0.0920 7.3930 0.1340 ; + RECT 11.6830 0.8790 11.7250 0.9210 ; + RECT 4.6150 0.6890 4.6570 0.7310 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 9.5550 0.8240 9.5970 0.8660 ; + RECT 7.8830 0.4340 7.9250 0.4760 ; + RECT 6.5910 0.6170 6.6330 0.6590 ; + RECT 8.2630 0.6640 8.3050 0.7060 ; + RECT 1.1950 0.8500 1.2370 0.8920 ; + RECT 11.6830 0.1770 11.7250 0.2190 ; + RECT 11.6070 0.6170 11.6490 0.6590 ; + RECT 2.5630 1.2960 2.6050 1.3380 ; + RECT 11.6830 0.3610 11.7250 0.4030 ; + RECT 7.0470 1.4240 7.0890 1.4660 ; + RECT 7.3510 0.7650 7.3930 0.8070 ; + RECT 1.7270 1.5280 1.7690 1.5700 ; + RECT 4.6150 1.4240 4.6570 1.4660 ; + RECT 7.5030 0.9710 7.5450 1.0130 ; + RECT 6.9710 0.9710 7.0130 1.0130 ; + RECT 6.8190 1.1160 6.8610 1.1580 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.4390 0.6170 6.4810 0.6590 ; + RECT 8.0350 1.1470 8.0770 1.1890 ; + RECT 0.7390 0.5250 0.7810 0.5670 ; + RECT 7.2750 0.3340 7.3170 0.3760 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 1.6510 1.0910 1.6930 1.1330 ; + RECT 8.0350 0.9630 8.0770 1.0050 ; + RECT 11.6830 1.0630 11.7250 1.1050 ; + RECT 3.7790 1.1260 3.8210 1.1680 ; + RECT 11.6830 0.9710 11.7250 1.0130 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 9.8590 0.1360 9.9010 0.1780 ; + RECT 1.8030 1.0910 1.8450 1.1330 ; + RECT 9.0990 0.7430 9.1410 0.7850 ; + RECT 10.3150 0.2520 10.3570 0.2940 ; + RECT 9.0990 0.3460 9.1410 0.3880 ; + RECT 8.3390 1.0550 8.3810 1.0970 ; + RECT 10.5430 1.0610 10.5850 1.1030 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 6.7430 1.5240 6.7850 1.5660 ; + RECT 9.0990 0.8350 9.1410 0.8770 ; + RECT 8.3390 1.1470 8.3810 1.1890 ; + RECT 9.4030 0.8220 9.4450 0.8640 ; + RECT 8.0350 1.0550 8.0770 1.0970 ; + RECT 6.5910 1.5240 6.6330 1.5660 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.7390 0.7580 0.7810 0.8000 ; + RECT 2.8670 0.3920 2.9090 0.4340 ; + RECT 8.0350 1.2390 8.0770 1.2810 ; + RECT 7.1230 0.5010 7.1650 0.5430 ; + RECT 2.5630 0.5020 2.6050 0.5440 ; + RECT 10.4670 0.1770 10.5090 0.2190 ; + LAYER PO ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 6.4450 0.0660 6.4750 1.6060 ; + RECT 12.5250 0.0690 12.5550 1.6060 ; + RECT 10.0930 0.0660 10.1230 1.6060 ; + RECT 5.9890 0.0660 6.0190 0.6910 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 5.8370 0.0660 5.8670 1.6060 ; + RECT 6.7490 0.0660 6.7790 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.9390 2.9790 1.6060 ; + RECT 8.5730 0.0670 8.6030 1.6050 ; + RECT 6.9010 0.0660 6.9310 1.6060 ; + RECT 10.2450 0.0660 10.2750 1.6060 ; + RECT 9.0290 0.0660 9.0590 1.6060 ; + RECT 6.5970 0.8390 6.6270 1.6060 ; + RECT 10.7010 0.0660 10.7310 1.6060 ; + RECT 3.1010 0.0660 3.1310 0.6950 ; + RECT 2.9490 0.0660 2.9790 0.6950 ; + RECT 9.9410 0.0660 9.9710 1.6060 ; + RECT 10.3970 0.0660 10.4270 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 8.4210 0.0660 8.4510 1.6060 ; + RECT 8.2690 0.0660 8.2990 1.6060 ; + RECT 8.7250 0.0660 8.7550 1.6060 ; + RECT 11.4610 0.0660 11.4910 1.6060 ; + RECT 9.6370 0.0660 9.6670 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 7.5090 0.0660 7.5390 0.7280 ; + RECT 9.3330 0.0660 9.3630 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 11.6130 0.0660 11.6430 1.6060 ; + RECT 8.1170 0.0660 8.1470 1.6060 ; + RECT 7.8130 0.0660 7.8430 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 11.7650 0.0660 11.7950 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 7.3570 0.0660 7.3870 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 7.6610 0.0660 7.6910 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 11.9170 0.0660 11.9470 1.6060 ; + RECT 7.9650 0.0660 7.9950 1.6060 ; + RECT 1.5810 0.0660 1.6110 1.6060 ; + RECT 1.7330 0.8400 1.7630 1.6060 ; + RECT 7.5090 0.9390 7.5390 1.6060 ; + RECT 7.0530 0.0660 7.0830 0.6470 ; + RECT 1.7330 0.0660 1.7630 0.6840 ; + RECT 10.5490 0.0660 10.5790 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 4.3170 0.0660 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 6.5970 0.0660 6.6270 0.6910 ; + RECT 9.1810 0.0660 9.2110 1.6060 ; + RECT 10.8530 0.0660 10.8830 1.6060 ; + RECT 5.9890 0.8920 6.0190 1.6060 ; + RECT 7.2050 0.7710 7.2350 1.6060 ; + RECT 9.4850 0.0660 9.5150 1.6060 ; + RECT 6.1410 0.8920 6.1710 1.6060 ; + RECT 12.3730 0.0710 12.4030 1.6060 ; + RECT 8.8770 0.0660 8.9070 1.6060 ; + RECT 6.2930 0.8920 6.3230 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 7.2050 0.0660 7.2350 0.6470 ; + RECT 6.2930 0.0660 6.3230 0.6910 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 1.8850 0.8400 1.9150 1.6060 ; + RECT 1.8850 0.0660 1.9150 0.6840 ; + RECT 12.6770 0.0690 12.7070 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 3.1010 0.9390 3.1310 1.6060 ; + RECT 7.0530 0.7540 7.0830 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 12.2210 0.0690 12.2510 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 12.0690 0.0710 12.0990 1.6060 ; + RECT 11.0050 0.0660 11.0350 1.6060 ; + RECT 9.7890 0.0660 9.8190 1.6060 ; + RECT 11.1570 0.0660 11.1870 1.6060 ; + RECT 12.8290 0.0710 12.8590 1.6060 ; + RECT 6.1410 0.0660 6.1710 0.6910 ; + RECT 11.3090 0.0660 11.3390 1.6060 ; + LAYER M1 ; + RECT 12.0230 0.4510 12.0730 0.6600 ; + RECT 11.9830 0.8280 12.0330 1.3090 ; + RECT 12.0230 0.7100 12.0730 0.7780 ; + RECT 11.7180 0.6020 11.9730 0.6520 ; + RECT 11.6790 0.4010 11.7680 0.4510 ; + RECT 11.6790 0.7780 11.7680 0.8280 ; + RECT 11.6790 0.1570 11.7290 0.4010 ; + RECT 11.7180 0.4510 11.7680 0.6020 ; + RECT 11.6790 0.8280 11.7290 1.3090 ; + RECT 11.7180 0.6520 11.7680 0.7780 ; + RECT 10.4230 0.6270 10.5130 0.6770 ; + RECT 10.4230 0.4270 10.5130 0.4620 ; + RECT 10.2190 0.4770 10.4730 0.5120 ; + RECT 10.2190 0.4620 10.5130 0.4770 ; + RECT 10.4630 0.1260 10.5130 0.4270 ; + RECT 10.4630 0.6770 10.5130 0.7680 ; + RECT 10.4230 0.5120 10.4730 0.6270 ; + RECT 11.8910 0.0930 12.2770 0.1430 ; + RECT 1.0990 1.5240 3.3090 1.5740 ; + RECT 2.8630 1.0680 3.2330 1.1180 ; + RECT 2.0870 1.2920 2.9290 1.3420 ; + RECT 2.5590 1.0920 2.6090 1.2920 ; + RECT 2.4070 1.0920 2.4570 1.2920 ; + RECT 2.1030 1.0920 2.1530 1.2920 ; + RECT 2.8630 1.1180 2.9130 1.2920 ; + RECT 2.0110 1.4240 3.0050 1.4740 ; + RECT 2.9230 0.6290 3.3090 0.6790 ; + RECT 2.9230 0.9680 4.5170 1.0180 ; + RECT 4.4670 0.6850 4.6610 0.7350 ; + RECT 4.6110 0.7350 4.6610 0.7510 ; + RECT 4.6110 0.6690 4.6610 0.6850 ; + RECT 4.4670 0.7350 4.5170 0.9680 ; + RECT 6.7210 1.5200 9.5410 1.5700 ; + RECT 5.6590 1.5200 6.6530 1.5700 ; + RECT 6.4180 0.6130 6.6530 0.6630 ; + RECT 7.0430 0.6600 7.5650 0.7100 ; + RECT 7.0430 0.7100 7.0930 0.8450 ; + RECT 4.5950 1.4200 7.2610 1.4700 ; + RECT 9.5350 0.8200 10.0830 0.8700 ; + RECT 7.3310 0.7610 7.4800 0.8110 ; + RECT 7.4300 0.9670 7.5650 1.0170 ; + RECT 7.4300 0.8110 7.4800 0.9670 ; + RECT 9.8390 0.7090 10.3770 0.7590 ; + RECT 7.5520 1.2870 7.9450 1.3370 ; + RECT 11.1250 0.6320 11.6530 0.6820 ; + RECT 11.6030 0.5970 11.6530 0.6320 ; + RECT 8.6990 1.1990 11.1750 1.2490 ; + RECT 11.1250 0.6820 11.1750 1.1990 ; + RECT 10.0670 1.0570 10.6050 1.1070 ; + RECT 3.2270 0.0880 7.4130 0.1380 ; + RECT 1.1740 0.5130 1.6210 0.5630 ; + RECT 1.4950 0.3130 1.5450 0.5130 ; + RECT 1.1910 0.7230 1.6210 0.7730 ; + RECT 1.4950 0.7730 1.5450 1.0050 ; + RECT 1.5710 0.5630 1.6210 0.7230 ; + RECT 1.1910 0.3130 1.2410 0.5130 ; + RECT 1.1910 0.7730 1.2410 1.0050 ; + RECT 0.7350 0.6230 1.4850 0.6730 ; + RECT 0.7350 0.6730 0.7850 1.0050 ; + RECT 0.7350 0.2940 0.7850 0.6230 ; + RECT 1.0390 0.6730 1.0890 1.0050 ; + RECT 1.0390 0.2940 1.0890 0.6230 ; + RECT 0.4310 1.0570 1.6970 1.1070 ; + RECT 1.6470 0.8560 1.7370 0.9060 ; + RECT 1.6470 1.2960 2.0010 1.3460 ; + RECT 1.6310 0.4120 1.7370 0.4620 ; + RECT 1.6870 0.3100 2.0170 0.3600 ; + RECT 1.6470 0.9060 1.6970 1.0570 ; + RECT 1.9510 0.9540 2.0010 1.2960 ; + RECT 1.6470 1.1070 1.6970 1.2960 ; + RECT 1.6870 0.4620 1.7370 0.8560 ; + RECT 1.6870 0.3600 1.7370 0.4120 ; + RECT 0.4310 0.3860 0.4810 0.5100 ; + RECT 0.4310 1.1070 0.4810 1.5450 ; + RECT 0.4310 0.8010 0.4810 1.0570 ; + RECT 0.4310 0.5100 0.6850 0.5600 ; + RECT 0.4310 0.7510 0.6850 0.8010 ; + RECT 0.6350 0.5600 0.6850 0.7510 ; + RECT 1.7990 0.8540 4.3730 0.9040 ; + RECT 1.7990 0.5630 2.1530 0.6130 ; + RECT 2.3710 0.5080 2.6250 0.5480 ; + RECT 2.1020 0.4980 2.6250 0.5080 ; + RECT 2.1020 0.4580 2.4210 0.4980 ; + RECT 1.7990 0.4130 1.8490 0.5630 ; + RECT 2.2550 0.9040 2.3050 1.2420 ; + RECT 2.7110 0.9040 2.7610 1.2420 ; + RECT 1.7990 0.9040 1.8490 1.2450 ; + RECT 1.7990 0.6130 1.8490 0.8540 ; + RECT 2.1030 0.5080 2.1530 0.5630 ; + RECT 2.1030 0.4070 2.1530 0.4580 ; + RECT 2.6950 0.4980 2.7770 0.5480 ; + RECT 2.2390 0.5580 2.3220 0.6070 ; + RECT 2.2550 0.6080 2.7610 0.6570 ; + RECT 2.2390 0.6070 2.7610 0.6080 ; + RECT 2.7110 0.5480 2.7610 0.6070 ; + RECT 2.4760 0.3880 3.2330 0.4380 ; + RECT 2.3880 0.3200 2.5260 0.3700 ; + RECT 2.4760 0.3700 2.5260 0.3880 ; + RECT 2.1580 0.7380 3.6740 0.7880 ; + RECT 3.3030 1.1200 4.7730 1.1700 ; + RECT 3.6230 0.5050 4.7730 0.5550 ; + RECT 3.6230 0.5550 3.6730 0.7380 ; + RECT 4.7230 0.6580 4.9880 0.7080 ; + RECT 4.7230 0.7080 4.7730 1.1200 ; + RECT 4.7230 0.5550 4.7730 0.6580 ; + RECT 1.5540 0.0880 2.0990 0.1380 ; + RECT 3.4470 0.4050 4.4520 0.4550 ; + RECT 6.0390 0.4130 7.0170 0.4630 ; + RECT 6.8150 0.9670 7.3380 1.0170 ; + RECT 6.9670 0.3300 7.3430 0.3800 ; + RECT 6.9670 0.4630 7.0170 0.5630 ; + RECT 6.9670 0.3800 7.0170 0.4130 ; + RECT 6.0390 1.1200 6.8650 1.1700 ; + RECT 6.8150 0.3840 6.8650 0.4130 ; + RECT 6.8150 0.4630 6.8650 0.9670 ; + RECT 6.8150 1.1700 6.8650 1.2700 ; + RECT 6.8150 1.0170 6.8650 1.1200 ; + RECT 7.1190 0.4300 8.3090 0.4800 ; + RECT 8.2590 0.4800 8.3090 0.7260 ; + RECT 7.1200 1.1790 7.9810 1.2290 ; + RECT 7.6150 0.4800 7.6650 0.7670 ; + RECT 7.6150 0.7670 7.9810 0.8170 ; + RECT 7.9310 0.8170 7.9810 1.1790 ; + RECT 7.1190 0.4800 7.1690 0.5630 ; + RECT 7.1200 1.2290 7.1700 1.3530 ; + RECT 5.8870 0.5130 6.7520 0.5440 ; + RECT 5.8870 0.5440 6.7530 0.5630 ; + RECT 5.9030 1.0200 6.7530 1.0700 ; + RECT 6.7030 0.5630 6.7530 1.0200 ; + RECT 5.2720 0.5100 5.5690 0.5600 ; + RECT 5.5190 0.9910 5.5690 1.1200 ; + RECT 5.2720 0.9410 5.5690 0.9910 ; + RECT 5.5190 0.5600 5.5690 0.9410 ; + RECT 5.5190 1.1200 5.9530 1.1700 ; + RECT 5.9030 1.0700 5.9530 1.1200 ; + RECT 5.7510 0.9200 6.4850 0.9700 ; + RECT 6.4350 0.8310 6.4850 0.9200 ; + RECT 5.7510 0.9700 5.8010 1.0340 ; + RECT 5.7510 0.5050 5.8010 0.9200 ; + RECT 5.1040 0.6240 5.4370 0.6740 ; + RECT 4.8230 0.5100 5.1540 0.5600 ; + RECT 5.1040 0.5600 5.1540 0.6240 ; + RECT 4.8390 1.1080 4.8890 1.1240 ; + RECT 4.8390 1.0420 4.8890 1.0580 ; + RECT 5.1040 0.6740 5.1540 1.0580 ; + RECT 4.8390 1.0580 5.1540 1.1080 ; + RECT 9.1550 0.5270 9.7530 0.5770 ; + RECT 9.3990 0.5770 9.4490 0.8870 ; + RECT 9.3990 0.1260 9.4490 0.5270 ; + RECT 9.7030 0.5770 9.7530 0.7700 ; + RECT 9.7030 0.3480 9.7530 0.5270 ; + RECT 9.5350 0.2480 10.3770 0.2980 ; + RECT 8.0310 0.8010 8.7810 0.8510 ; + RECT 8.3350 0.8510 8.3850 1.3010 ; + RECT 7.8030 0.5300 8.0810 0.5800 ; + RECT 7.7870 0.6600 7.8690 0.7100 ; + RECT 7.8030 0.5800 7.8530 0.6600 ; + RECT 8.0310 0.8510 8.0810 1.3010 ; + RECT 8.0310 0.5800 8.0810 0.8010 ; + RECT 9.8390 0.1320 10.0730 0.1820 ; + RECT 9.0950 1.0570 9.5440 1.1070 ; + RECT 9.0550 0.4270 9.1450 0.4770 ; + RECT 9.0550 0.6270 9.1450 0.6770 ; + RECT 9.0950 0.1260 9.1450 0.4270 ; + RECT 9.0550 0.4770 9.1050 0.6270 ; + RECT 9.0950 0.6770 9.1450 1.0570 ; + RECT 12.0230 0.6600 12.5810 0.7100 ; + RECT 11.9830 0.4010 12.0730 0.4510 ; + RECT 11.9830 0.7780 12.0730 0.8280 ; + RECT 11.9830 0.2250 12.0330 0.4010 ; + LAYER NWELL ; + RECT -0.1150 1.5430 13.0350 1.7730 ; + RECT -0.1150 0.6890 8.4660 1.5430 ; + RECT 11.3990 0.6790 13.0350 1.5430 ; + RECT -0.1150 0.6790 1.5960 0.6890 ; + RECT 2.0520 0.6790 7.3720 0.6890 ; + RECT 8.9280 0.4910 10.9390 1.0830 ; + END +END RDFFNSRASRX1_HVT + +MACRO OR2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.5110 1.0430 0.5580 ; + RECT 0.9930 0.4010 1.1190 0.5080 ; + RECT 0.8870 0.5080 1.1190 0.5110 ; + RECT 0.8870 0.8660 0.9370 1.5440 ; + RECT 0.8870 0.8160 1.0430 0.8660 ; + RECT 0.9930 0.5580 1.0430 0.8160 ; + RECT 0.8870 0.1990 0.9370 0.5080 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.0200 0.9330 1.0620 ; + RECT 0.8910 0.9280 0.9330 0.9700 ; + RECT 0.8910 0.8360 0.9330 0.8780 ; + RECT 0.8910 0.2190 0.9330 0.2610 ; + RECT 0.8910 0.4950 0.9330 0.5370 ; + RECT 0.8910 0.4030 0.9330 0.4450 ; + RECT 0.8910 1.1120 0.9330 1.1540 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.2960 0.9330 1.3380 ; + RECT 0.8910 1.2040 0.9330 1.2460 ; + RECT 0.8910 0.3110 0.9330 0.3530 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.7350 1.0860 0.7850 1.6420 ; + RECT 0.2790 1.0870 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.7390 1.4780 0.7810 1.5200 ; + RECT 0.7390 1.3860 0.7810 1.4280 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.4780 0.3250 1.5200 ; + RECT 0.2830 1.3860 0.3250 1.4280 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1070 0.3250 1.1490 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3930 ; + RECT 0.4310 0.0300 0.4810 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.1470 0.7810 0.1890 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.4350 0.3340 0.4770 0.3760 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5020 0.6420 0.6630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6620 0.5530 0.7040 ; + END + ANTENNAGATEAREA 0.0303 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.4050 0.9670 ; + RECT 0.3550 0.6420 0.4050 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6620 0.4010 0.7040 ; + END + ANTENNAGATEAREA 0.0303 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER M1 ; + RECT 0.7420 0.6580 0.8890 0.7080 ; + RECT 0.7260 0.4860 0.7760 0.9560 ; + RECT 0.2790 0.2970 0.3290 0.5120 ; + RECT 0.5820 0.9050 0.7320 0.9550 ; + RECT 0.5830 0.8890 0.6330 1.5250 ; + RECT 0.2780 0.4860 0.7330 0.5360 ; + RECT 0.5830 0.2990 0.6330 0.5120 ; + LAYER PO ; + RECT 1.1250 0.0730 1.1550 1.6000 ; + RECT 0.9730 0.0730 1.0030 1.6000 ; + RECT 0.0610 0.0730 0.0910 1.6040 ; + RECT 0.8210 0.0730 0.8510 1.6040 ; + RECT 0.2130 0.0730 0.2430 1.6040 ; + RECT 0.6690 0.0730 0.6990 1.6040 ; + RECT 0.3650 0.0730 0.3950 1.6040 ; + RECT 0.5170 0.0730 0.5470 1.6040 ; + LAYER CO ; + RECT 0.8150 0.6620 0.8570 0.7040 ; + RECT 0.5870 0.9090 0.6290 0.9510 ; + RECT 0.5870 1.0010 0.6290 1.0430 ; + RECT 0.2830 0.4090 0.3250 0.4510 ; + RECT 0.2830 0.3170 0.3250 0.3590 ; + RECT 0.5870 1.4630 0.6290 1.5050 ; + RECT 0.5870 1.3710 0.6290 1.4130 ; + RECT 0.5870 1.2770 0.6290 1.3190 ; + RECT 0.5870 1.1850 0.6290 1.2270 ; + RECT 0.5870 0.3190 0.6290 0.3610 ; + RECT 0.5870 1.0930 0.6290 1.1350 ; + RECT 0.5870 0.4110 0.6290 0.4530 ; + END +END OR2X1_HVT + +MACRO OR2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.5450 1.2710 0.5950 ; + RECT 0.8870 0.8400 1.1570 0.8900 ; + RECT 1.1070 0.5950 1.2710 0.6630 ; + RECT 0.8870 0.2080 0.9370 0.5450 ; + RECT 0.8870 0.8900 0.9370 1.5250 ; + RECT 0.8870 0.7970 0.9370 0.8400 ; + RECT 1.1070 0.6630 1.1570 0.8400 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.0930 0.9330 1.1350 ; + RECT 0.8910 0.8170 0.9330 0.8590 ; + RECT 0.8910 0.5060 0.9330 0.5480 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + RECT 0.8910 1.0010 0.9330 1.0430 ; + RECT 0.8910 1.4630 0.9330 1.5050 ; + RECT 0.8910 1.3710 0.9330 1.4130 ; + RECT 0.8910 1.2770 0.9330 1.3190 ; + RECT 0.8910 1.1850 0.9330 1.2270 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 0.8910 0.9090 0.9330 0.9510 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5050 0.6420 0.6630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + END + ANTENNAGATEAREA 0.0306 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.4050 0.9670 ; + RECT 0.3550 0.6440 0.4050 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0306 ; + END A1 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 1.0390 0.9960 1.0890 1.6420 ; + RECT 0.7350 1.0870 0.7850 1.6420 ; + RECT 0.2790 1.0870 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1080 1.0850 1.1500 ; + RECT 1.0430 1.0160 1.0850 1.0580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.4780 1.0850 1.5200 ; + RECT 1.0430 1.3860 1.0850 1.4280 ; + RECT 1.0430 1.2920 1.0850 1.3340 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.7390 1.4780 0.7810 1.5200 ; + RECT 0.7390 1.3860 0.7810 1.4280 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.7390 1.1070 0.7810 1.1490 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.0430 1.2000 1.0850 1.2420 ; + RECT 0.2830 1.4780 0.3250 1.5200 ; + RECT 0.2830 1.3860 0.3250 1.4280 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1070 0.3250 1.1490 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3820 ; + RECT 1.0390 0.0300 1.0890 0.4740 ; + RECT 0.4310 0.0300 0.4810 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.2280 0.7810 0.2700 ; + RECT 0.7390 0.3200 0.7810 0.3620 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.0430 0.4120 1.0850 0.4540 ; + RECT 0.4350 0.3370 0.4770 0.3790 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.0430 0.3200 1.0850 0.3620 ; + RECT 1.0430 0.2280 1.0850 0.2700 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4830 1.7730 ; + LAYER M1 ; + RECT 0.7810 0.6600 1.0290 0.7100 ; + RECT 0.5830 0.9330 0.8060 0.9830 ; + RECT 0.7560 0.5110 0.8060 0.9610 ; + RECT 0.2780 0.4860 0.8060 0.5360 ; + RECT 0.2790 0.3190 0.3290 0.5340 ; + RECT 0.5830 0.9330 0.6330 1.5250 ; + RECT 0.5830 0.3210 0.6330 0.5340 ; + LAYER PO ; + RECT 1.1250 0.0930 1.1550 1.6060 ; + RECT 0.9730 0.0930 1.0030 1.6060 ; + RECT 0.8210 0.0930 0.8510 1.6060 ; + RECT 1.2770 0.0930 1.3070 1.6060 ; + RECT 0.0610 0.0930 0.0910 1.6060 ; + RECT 0.2130 0.0930 0.2430 1.6060 ; + RECT 0.6690 0.0930 0.6990 1.6060 ; + RECT 0.3650 0.0930 0.3950 1.6060 ; + RECT 0.5170 0.0930 0.5470 1.6060 ; + LAYER CO ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.2830 0.4310 0.3250 0.4730 ; + RECT 0.2830 0.3390 0.3250 0.3810 ; + RECT 0.5870 1.4630 0.6290 1.5050 ; + RECT 0.5870 1.3710 0.6290 1.4130 ; + RECT 0.5870 1.2770 0.6290 1.3190 ; + RECT 0.5870 1.1850 0.6290 1.2270 ; + RECT 0.5870 0.3410 0.6290 0.3830 ; + RECT 0.5870 1.0930 0.6290 1.1350 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + END +END OR2X2_HVT + +MACRO OR2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.8210 1.4530 0.8710 ; + RECT 0.8870 0.5450 1.4610 0.5530 ; + RECT 1.4030 0.5950 1.5750 0.6630 ; + RECT 0.8870 0.5530 1.5750 0.5950 ; + RECT 0.8870 0.2080 0.9370 0.5450 ; + RECT 1.1910 0.2080 1.2410 0.5450 ; + RECT 0.8870 0.8710 0.9370 1.5400 ; + RECT 0.8870 0.7970 0.9370 0.8210 ; + RECT 1.1910 0.8710 1.2410 1.5400 ; + RECT 1.1910 0.7970 1.2410 0.8210 ; + RECT 1.4030 0.6630 1.4530 0.8210 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.9090 1.2370 0.9510 ; + RECT 1.1950 0.8170 1.2370 0.8590 ; + RECT 0.8910 0.8170 0.9330 0.8590 ; + RECT 0.8910 1.0930 0.9330 1.1350 ; + RECT 0.8910 0.9090 0.9330 0.9510 ; + RECT 1.1950 1.1850 1.2370 1.2270 ; + RECT 1.1950 1.2770 1.2370 1.3190 ; + RECT 0.8910 0.5060 0.9330 0.5480 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + RECT 1.1950 1.3710 1.2370 1.4130 ; + RECT 0.8910 1.0010 0.9330 1.0430 ; + RECT 0.8910 1.4630 0.9330 1.5050 ; + RECT 0.8910 1.3710 0.9330 1.4130 ; + RECT 0.8910 1.2770 0.9330 1.3190 ; + RECT 0.8910 1.1850 0.9330 1.2270 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 1.1950 1.4630 1.2370 1.5050 ; + RECT 1.1950 1.0010 1.2370 1.0430 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 1.1950 0.2280 1.2370 0.2700 ; + RECT 1.1950 0.3200 1.2370 0.3620 ; + RECT 1.1950 0.4120 1.2370 0.4540 ; + END + ANTENNADIFFAREA 0.2976 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5030 0.6310 0.6640 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6620 0.5530 0.7040 ; + END + ANTENNAGATEAREA 0.0306 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2340 0.8570 0.4060 0.9670 ; + RECT 0.3550 0.6220 0.4050 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6620 0.4010 0.7040 ; + END + ANTENNAGATEAREA 0.0306 ; + END A1 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 1.3430 0.9680 1.3930 1.6420 ; + RECT 1.0390 0.9680 1.0890 1.6420 ; + RECT 0.7350 1.0490 0.7850 1.6420 ; + RECT 0.2790 1.0700 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1720 1.0850 1.2140 ; + RECT 0.2830 1.4780 0.3250 1.5200 ; + RECT 0.2830 1.3860 0.3250 1.4280 ; + RECT 0.2830 1.2920 0.3250 1.3340 ; + RECT 0.2830 1.2000 0.3250 1.2420 ; + RECT 0.2830 1.1070 0.3250 1.1490 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.0800 1.3890 1.1220 ; + RECT 1.3470 0.9880 1.3890 1.0300 ; + RECT 1.0430 1.0800 1.0850 1.1220 ; + RECT 1.0430 1.4500 1.0850 1.4920 ; + RECT 1.0430 1.3580 1.0850 1.4000 ; + RECT 1.0430 1.2640 1.0850 1.3060 ; + RECT 1.3470 1.1720 1.3890 1.2140 ; + RECT 1.3470 1.2640 1.3890 1.3060 ; + RECT 1.3470 1.3580 1.3890 1.4000 ; + RECT 1.3470 1.4500 1.3890 1.4920 ; + RECT 1.0430 0.9880 1.0850 1.0300 ; + RECT 0.7390 1.4550 0.7810 1.4970 ; + RECT 0.7390 1.3630 0.7810 1.4050 ; + RECT 0.7390 1.2690 0.7810 1.3110 ; + RECT 0.7390 1.1770 0.7810 1.2190 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3820 ; + RECT 1.3430 0.0300 1.3930 0.4740 ; + RECT 1.0390 0.0300 1.0890 0.4740 ; + RECT 0.4310 0.0300 0.4810 0.3990 ; + END + PORT + LAYER CO ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.0430 0.4120 1.0850 0.4540 ; + RECT 0.4350 0.3370 0.4770 0.3790 ; + RECT 1.0430 0.3200 1.0850 0.3620 ; + RECT 1.0430 0.2280 1.0850 0.2700 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.3470 0.2280 1.3890 0.2700 ; + RECT 0.7390 0.2280 0.7810 0.2700 ; + RECT 0.7390 0.3200 0.7810 0.3620 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.3470 0.3200 1.3890 0.3620 ; + RECT 1.3470 0.4120 1.3890 0.4540 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.7500 0.6580 1.3330 0.7080 ; + RECT 0.2790 0.3190 0.3290 0.5340 ; + RECT 0.5830 0.9330 0.6330 1.5250 ; + RECT 0.5830 0.3210 0.6330 0.5340 ; + RECT 0.5830 0.9330 0.7750 0.9830 ; + RECT 0.7250 0.5110 0.7750 0.9610 ; + RECT 0.2780 0.4860 0.7750 0.5360 ; + LAYER PO ; + RECT 1.2770 0.0930 1.3070 1.6040 ; + RECT 1.4290 0.0930 1.4590 1.6040 ; + RECT 1.1250 0.0930 1.1550 1.6040 ; + RECT 0.9730 0.0930 1.0030 1.6040 ; + RECT 0.8210 0.0930 0.8510 1.6040 ; + RECT 0.0610 0.0930 0.0910 1.6040 ; + RECT 1.5810 0.0930 1.6110 1.6040 ; + RECT 0.2130 0.0930 0.2430 1.6040 ; + RECT 0.6690 0.0930 0.6990 1.6040 ; + RECT 0.3650 0.0930 0.3950 1.6040 ; + RECT 0.5170 0.0930 0.5470 1.6040 ; + LAYER CO ; + RECT 0.2830 0.4310 0.3250 0.4730 ; + RECT 0.2830 0.3390 0.3250 0.3810 ; + RECT 0.5870 1.4630 0.6290 1.5050 ; + RECT 0.5870 1.3710 0.6290 1.4130 ; + RECT 0.5870 1.2770 0.6290 1.3190 ; + RECT 0.5870 1.1850 0.6290 1.2270 ; + RECT 0.5870 0.3410 0.6290 0.3830 ; + RECT 0.5870 1.0930 0.6290 1.1350 ; + RECT 0.5870 0.4330 0.6290 0.4750 ; + RECT 1.1190 0.6620 1.1610 0.7040 ; + RECT 0.9670 0.6620 1.0090 0.7040 ; + RECT 0.8150 0.6620 0.8570 0.7040 ; + RECT 1.2710 0.6620 1.3130 0.7040 ; + END +END OR2X4_HVT + +MACRO OR3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.2490 1.2710 0.3590 ; + RECT 1.0390 0.4260 1.2440 0.4760 ; + RECT 1.1940 0.3590 1.2440 0.4260 ; + RECT 1.0390 0.4760 1.0890 0.4920 ; + RECT 1.0390 0.1310 1.0890 0.4260 ; + RECT 1.0390 0.8530 1.0890 1.5340 ; + RECT 1.0390 0.8030 1.2440 0.8530 ; + RECT 1.1940 0.4760 1.2440 0.8030 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.9150 1.0850 0.9570 ; + RECT 1.0430 1.0090 1.0850 1.0510 ; + RECT 1.0430 1.2860 1.0850 1.3280 ; + RECT 1.0430 1.3800 1.0850 1.4220 ; + RECT 1.0430 1.4720 1.0850 1.5140 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.2450 1.0850 0.2870 ; + RECT 1.0430 0.8230 1.0850 0.8650 ; + RECT 1.0430 1.1010 1.0850 1.1430 ; + RECT 1.0430 0.3370 1.0850 0.3790 ; + RECT 1.0430 0.4300 1.0850 0.4720 ; + RECT 1.0430 1.1940 1.0850 1.2360 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5480 0.8150 0.7240 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6620 0.7050 0.7040 ; + END + ANTENNAGATEAREA 0.0285 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4050 0.8150 ; + RECT 0.3550 0.6420 0.4050 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6620 0.4010 0.7040 ; + END + ANTENNAGATEAREA 0.0285 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8570 0.6630 0.9670 ; + RECT 0.5070 0.6420 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6620 0.5530 0.7040 ; + END + ANTENNAGATEAREA 0.0285 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 0.8870 0.9120 0.9370 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.8910 1.2090 0.9330 1.2510 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.9320 0.9330 0.9740 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.2950 ; + RECT 0.7350 0.0300 0.7850 0.3240 ; + RECT 0.4310 0.0300 0.4810 0.3240 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.2620 0.4770 0.3040 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.7390 0.2620 0.7810 0.3040 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4830 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3740 0.9310 0.4240 ; + RECT 0.8810 0.3990 0.9310 0.8520 ; + RECT 0.7350 0.8020 0.9060 0.8520 ; + RECT 0.9010 0.6580 1.0290 0.7080 ; + RECT 0.7350 0.8180 0.7850 1.5490 ; + RECT 0.2790 0.3170 0.3290 0.3990 ; + RECT 0.5830 0.3170 0.6330 0.3990 ; + LAYER PO ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + LAYER CO ; + RECT 0.9670 0.6620 1.0090 0.7040 ; + RECT 0.7390 0.8380 0.7810 0.8800 ; + RECT 0.2830 0.3370 0.3250 0.3790 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.5870 0.3370 0.6290 0.3790 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + END +END OR3X1_HVT + +MACRO OR3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 1.1910 1.0040 1.2410 1.6420 ; + RECT 0.8870 1.0040 0.9370 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 1.1950 1.2090 1.2370 1.2510 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.8910 1.2090 0.9330 1.2510 ; + RECT 0.8910 1.3010 0.9330 1.3430 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.3870 ; + RECT 0.8870 0.0300 0.9370 0.2950 ; + RECT 0.7350 0.0300 0.7850 0.3340 ; + RECT 0.4310 0.0300 0.4810 0.3340 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1950 0.1410 1.2370 0.1830 ; + RECT 1.1950 0.2330 1.2370 0.2750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.2720 0.4770 0.3140 ; + RECT 1.1950 0.3250 1.2370 0.3670 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.7390 0.2720 0.7810 0.3140 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6790 0.4050 0.8150 ; + RECT 0.3550 0.6200 0.4050 0.6790 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6400 0.4010 0.6820 ; + END + ANTENNAGATEAREA 0.0285 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5370 0.8150 0.7130 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6510 0.7050 0.6930 ; + END + ANTENNAGATEAREA 0.0285 ; + END A3 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 0.4010 1.4230 0.4020 ; + RECT 1.3030 0.4020 1.4230 0.5110 ; + RECT 1.0390 0.1310 1.0890 0.5200 ; + RECT 1.0390 0.5200 1.3530 0.5700 ; + RECT 1.3030 0.5110 1.3530 0.5200 ; + RECT 1.0390 0.8650 1.0890 1.5340 ; + RECT 1.0390 0.8030 1.0890 0.8150 ; + RECT 1.3030 0.5700 1.3530 0.8150 ; + RECT 1.0390 0.8150 1.3530 0.8650 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8230 1.0850 0.8650 ; + RECT 1.0430 0.9150 1.0850 0.9570 ; + RECT 1.0430 1.0090 1.0850 1.0510 ; + RECT 1.0430 1.2860 1.0850 1.3280 ; + RECT 1.0430 1.3800 1.0850 1.4220 ; + RECT 1.0430 1.4720 1.0850 1.5140 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.2450 1.0850 0.2870 ; + RECT 1.0430 1.1010 1.0850 1.1430 ; + RECT 1.0430 0.3370 1.0850 0.3790 ; + RECT 1.0430 0.4300 1.0850 0.4720 ; + RECT 1.0430 1.1940 1.0850 1.2360 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8570 0.6630 0.9670 ; + RECT 0.5070 0.6300 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6500 0.5530 0.6920 ; + END + ANTENNAGATEAREA 0.0285 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7810 ; + LAYER M1 ; + RECT 0.8930 0.6560 1.1810 0.7060 ; + RECT 0.2790 0.3860 0.9360 0.4360 ; + RECT 0.8860 0.4110 0.9360 0.8800 ; + RECT 0.7350 0.8300 0.9110 0.8800 ; + RECT 0.7350 0.8170 0.7850 1.5480 ; + RECT 0.2790 0.3290 0.3290 0.4110 ; + RECT 0.5830 0.3290 0.6330 0.4110 ; + LAYER PO ; + RECT 1.4290 0.0920 1.4590 1.6210 ; + RECT 0.9730 0.0710 1.0030 1.6210 ; + RECT 1.1250 0.0710 1.1550 1.6210 ; + RECT 1.2770 0.0920 1.3070 1.6210 ; + RECT 0.0610 0.0920 0.0910 1.6210 ; + RECT 0.8210 0.0920 0.8510 1.6210 ; + RECT 0.2130 0.0920 0.2430 1.6210 ; + RECT 0.6690 0.0920 0.6990 1.6210 ; + RECT 0.3650 0.0920 0.3950 1.6210 ; + RECT 0.5170 0.0920 0.5470 1.6210 ; + LAYER CO ; + RECT 0.7390 1.1150 0.7810 1.1570 ; + RECT 0.5870 0.3490 0.6290 0.3910 ; + RECT 0.7390 1.0230 0.7810 1.0650 ; + RECT 0.7390 0.9290 0.7810 0.9710 ; + RECT 0.7390 0.8370 0.7810 0.8790 ; + RECT 1.1190 0.6600 1.1610 0.7020 ; + RECT 0.9670 0.6600 1.0090 0.7020 ; + RECT 0.2830 0.3490 0.3250 0.3910 ; + RECT 0.7390 1.4860 0.7810 1.5280 ; + RECT 0.7390 1.3940 0.7810 1.4360 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + END +END OR3X2_HVT + +MACRO OR3X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4050 0.8150 ; + RECT 0.3550 0.6450 0.4050 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8570 0.6630 0.9670 ; + RECT 0.5070 0.6450 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 0.8870 0.9880 0.9370 1.6420 ; + RECT 1.1910 0.9110 1.2410 1.6420 ; + RECT 2.1030 1.0960 2.1530 1.6420 ; + RECT 1.7990 1.0960 1.8490 1.6420 ; + RECT 1.4950 1.0040 1.5450 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4990 1.0240 1.5410 1.0660 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 1.1950 0.9310 1.2370 0.9730 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.8910 1.1000 0.9330 1.1420 ; + RECT 2.1070 1.1160 2.1490 1.1580 ; + RECT 2.1070 1.2090 2.1490 1.2510 ; + RECT 2.1070 1.3010 2.1490 1.3430 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.2090 1.2370 1.2510 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.3010 1.8450 1.3430 ; + RECT 1.8030 1.2090 1.8450 1.2510 ; + RECT 1.4990 1.1160 1.5410 1.1580 ; + RECT 0.8910 1.0080 0.9330 1.0500 ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + RECT 1.4990 1.2090 1.5410 1.2510 ; + RECT 1.4990 1.3010 1.5410 1.3430 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.8030 1.1160 1.8450 1.1580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 2.1030 0.0300 2.1530 0.3870 ; + RECT 1.4950 0.0300 1.5450 0.3870 ; + RECT 0.8870 0.0300 0.9370 0.2030 ; + RECT 1.1910 0.0300 1.2410 0.3870 ; + RECT 1.7990 0.0300 1.8490 0.3870 ; + RECT 0.7350 0.0300 0.7850 0.2440 ; + RECT 0.4310 0.0300 0.4810 0.2440 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.1070 0.2330 2.1490 0.2750 ; + RECT 2.1070 0.3250 2.1490 0.3670 ; + RECT 1.4990 0.3250 1.5410 0.3670 ; + RECT 1.4990 0.2330 1.5410 0.2750 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1950 0.3250 1.2370 0.3670 ; + RECT 1.1950 0.2330 1.2370 0.2750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.1950 0.1410 1.2370 0.1830 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8030 0.1410 1.8450 0.1830 ; + RECT 1.8030 0.2330 1.8450 0.2750 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8030 0.3250 1.8450 0.3670 ; + RECT 2.1070 0.1410 2.1490 0.1830 ; + RECT 0.4350 0.1820 0.4770 0.2240 ; + RECT 1.4990 0.1410 1.5410 0.1830 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.7390 0.1820 0.7810 0.2240 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5480 0.8150 0.7270 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A3 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6310 0.9110 2.2850 0.9610 ; + RECT 1.6470 0.5450 2.2840 0.5700 ; + RECT 2.2250 0.4010 2.3350 0.5200 ; + RECT 1.6470 0.5200 2.3350 0.5450 ; + RECT 1.9510 0.9610 2.0010 1.5320 ; + RECT 1.9510 0.8930 2.0010 0.9110 ; + RECT 1.6470 0.9610 1.6970 1.5340 ; + RECT 1.6470 0.8950 1.6970 0.9110 ; + RECT 1.9510 0.1310 2.0010 0.5200 ; + RECT 1.6470 0.1310 1.6970 0.5200 ; + RECT 2.2340 0.5700 2.2840 0.9110 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 1.9550 0.2450 1.9970 0.2870 ; + RECT 1.9550 0.3370 1.9970 0.3790 ; + RECT 1.9550 0.4300 1.9970 0.4720 ; + RECT 1.9550 0.9130 1.9970 0.9550 ; + RECT 1.9550 1.0070 1.9970 1.0490 ; + RECT 1.9550 1.4700 1.9970 1.5120 ; + RECT 1.9550 1.0990 1.9970 1.1410 ; + RECT 1.9550 1.2840 1.9970 1.3260 ; + RECT 1.9550 1.3780 1.9970 1.4200 ; + RECT 1.6510 0.9150 1.6930 0.9570 ; + RECT 1.6510 1.0090 1.6930 1.0510 ; + RECT 1.6510 1.2860 1.6930 1.3280 ; + RECT 1.6510 1.3800 1.6930 1.4220 ; + RECT 1.6510 1.4720 1.6930 1.5140 ; + RECT 1.9550 1.1920 1.9970 1.2340 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.6510 0.2450 1.6930 0.2870 ; + RECT 1.6510 1.1010 1.6930 1.1430 ; + RECT 1.6510 0.3370 1.6930 0.3790 ; + RECT 1.6510 0.4300 1.6930 0.4720 ; + RECT 1.6510 1.1940 1.6930 1.2360 ; + END + ANTENNADIFFAREA 0.2976 ; + END Y + OBS + LAYER CO ; + RECT 1.3470 0.8410 1.3890 0.8830 ; + RECT 1.0430 1.0810 1.0850 1.1230 ; + RECT 0.7390 0.8230 0.7810 0.8650 ; + RECT 0.9670 0.6700 1.0090 0.7120 ; + RECT 1.0430 0.2450 1.0850 0.2870 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 0.2450 1.3890 0.2870 ; + RECT 1.3470 0.3370 1.3890 0.3790 ; + RECT 1.3470 0.4300 1.3890 0.4720 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.0430 0.9890 1.0850 1.0310 ; + RECT 1.0430 0.8970 1.0850 0.9390 ; + RECT 1.0430 0.8030 1.0850 0.8450 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 0.7390 0.9150 0.7810 0.9570 ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 0.2830 0.2270 0.3250 0.2690 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 0.5870 0.2270 0.6290 0.2690 ; + RECT 1.3470 0.9330 1.3890 0.9750 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 0.7390 1.4720 0.7810 1.5140 ; + RECT 0.7390 1.3800 0.7810 1.4220 ; + RECT 0.7390 1.2860 0.7810 1.3280 ; + RECT 0.7390 1.1940 0.7810 1.2360 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 0.7390 1.1010 0.7810 1.1430 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 0.7390 1.0090 0.7810 1.0510 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.5470 1.7730 ; + LAYER M1 ; + RECT 1.4970 0.6610 2.0930 0.7110 ; + RECT 1.3430 0.8710 1.3930 1.5520 ; + RECT 1.3430 0.1310 1.3930 0.5010 ; + RECT 1.3430 0.5010 1.5470 0.5510 ; + RECT 1.4970 0.5510 1.5470 0.6610 ; + RECT 1.4970 0.7110 1.5470 0.8210 ; + RECT 1.3430 0.8210 1.5470 0.8710 ; + RECT 0.8770 0.6660 1.0290 0.7160 ; + RECT 0.8770 0.3650 0.9270 0.6660 ; + RECT 0.2790 0.3150 0.9270 0.3650 ; + RECT 0.8770 0.7160 0.9270 0.8450 ; + RECT 0.7350 0.8450 0.9270 0.8950 ; + RECT 0.7350 0.8950 0.7850 1.5340 ; + RECT 0.7350 0.8030 0.7850 0.8450 ; + RECT 0.2790 0.2070 0.3290 0.3150 ; + RECT 0.5830 0.2070 0.6330 0.3150 ; + RECT 1.0390 0.5010 1.2120 0.5510 ; + RECT 1.0390 0.7830 1.2120 0.8330 ; + RECT 1.1620 0.6610 1.3330 0.7110 ; + RECT 1.1620 0.5510 1.2120 0.6610 ; + RECT 1.1620 0.7110 1.2120 0.7830 ; + RECT 1.0390 0.1310 1.0890 0.5010 ; + RECT 1.0390 0.8330 1.0890 1.1430 ; + LAYER PO ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 0.9730 0.0710 1.0030 1.6120 ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 0.8210 0.0710 0.8510 1.6120 ; + RECT 2.1890 0.0920 2.2190 1.6120 ; + RECT 2.0370 0.0710 2.0670 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.7330 0.0710 1.7630 1.6120 ; + RECT 1.8850 0.0710 1.9150 1.6120 ; + RECT 2.3410 0.0920 2.3710 1.6120 ; + RECT 0.0610 0.0920 0.0910 1.6120 ; + RECT 0.2130 0.0920 0.2430 1.6120 ; + RECT 0.6690 0.0920 0.6990 1.6120 ; + RECT 0.3650 0.0920 0.3950 1.6120 ; + RECT 0.5170 0.0920 0.5470 1.6120 ; + END +END OR3X4_HVT + +MACRO OR4X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7050 0.9670 0.8150 ; + RECT 0.8110 0.6450 0.8610 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.4010 0.8150 0.5110 ; + RECT 0.6590 0.5110 0.7090 0.7270 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.0390 1.0650 1.0890 1.6420 ; + RECT 1.3430 0.9110 1.3930 1.6420 ; + RECT 1.6470 1.0960 1.6970 1.6420 ; + RECT 0.2790 0.8130 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.6510 1.2090 1.6930 1.2510 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.6510 1.1160 1.6930 1.1580 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 0.2830 0.8330 0.3250 0.8750 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.6510 1.3010 1.6930 1.3430 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 0.9310 1.3890 0.9730 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.0430 1.0850 1.0850 1.1270 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.3870 ; + RECT 1.0390 0.0300 1.0890 0.2030 ; + RECT 1.3430 0.0300 1.3930 0.3870 ; + RECT 0.7350 0.0300 0.7850 0.2410 ; + RECT 0.4310 0.0300 0.4810 0.2410 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1410 1.3890 0.1830 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.1790 0.4770 0.2210 ; + RECT 0.7390 0.1790 0.7810 0.2210 ; + RECT 1.3470 0.2330 1.3890 0.2750 ; + RECT 1.3470 0.3250 1.3890 0.3670 ; + RECT 1.6510 0.1410 1.6930 0.1830 ; + RECT 1.6510 0.2330 1.6930 0.2750 ; + RECT 1.6510 0.3250 1.6930 0.3670 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6610 0.4210 0.7110 ; + RECT 0.2490 0.5530 0.3590 0.6610 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9190 0.2490 2.0310 0.3590 ; + RECT 1.7990 0.8120 1.8490 1.5490 ; + RECT 1.7990 0.7620 1.9690 0.8120 ; + RECT 1.7990 0.1280 1.8490 0.4390 ; + RECT 1.9190 0.4890 1.9690 0.7620 ; + RECT 1.7990 0.4390 1.9690 0.4890 ; + RECT 1.9190 0.3590 1.9690 0.4390 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.3340 1.8450 0.3760 ; + RECT 1.8030 0.2420 1.8450 0.2840 ; + RECT 1.8030 0.1480 1.8450 0.1900 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 1.4720 1.8450 1.5140 ; + RECT 1.8030 1.3800 1.8450 1.4220 ; + RECT 1.8030 1.2860 1.8450 1.3280 ; + RECT 1.8030 1.1940 1.8450 1.2360 ; + RECT 1.8030 1.1010 1.8450 1.1430 ; + RECT 1.8030 1.0090 1.8450 1.0510 ; + RECT 1.8030 0.9150 1.8450 0.9570 ; + RECT 1.8030 0.8230 1.8450 0.8650 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8570 0.6630 0.9670 ; + RECT 0.5070 0.6450 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A2 + OBS + LAYER CO ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 1.4580 1.5410 1.5000 ; + RECT 1.4990 1.3660 1.5410 1.4080 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.4990 1.2720 1.5410 1.3140 ; + RECT 1.4990 1.1800 1.5410 1.2220 ; + RECT 1.4990 1.0870 1.5410 1.1290 ; + RECT 1.4990 0.9950 1.5410 1.0370 ; + RECT 1.4990 0.9010 1.5410 0.9430 ; + RECT 0.8910 0.2300 0.9330 0.2720 ; + RECT 0.2830 0.2300 0.3250 0.2720 ; + RECT 0.8910 1.4730 0.9330 1.5150 ; + RECT 0.8910 1.3810 0.9330 1.4230 ; + RECT 0.8910 1.2870 0.9330 1.3290 ; + RECT 0.8910 1.1950 0.9330 1.2370 ; + RECT 0.8910 1.1020 0.9330 1.1440 ; + RECT 0.5870 0.2300 0.6290 0.2720 ; + RECT 0.8910 1.0100 0.9330 1.0520 ; + RECT 1.1950 0.8120 1.2370 0.8540 ; + RECT 0.8910 0.9180 0.9330 0.9600 ; + RECT 1.1190 0.6700 1.1610 0.7120 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.0900 1.2370 1.1320 ; + RECT 1.1950 0.9980 1.2370 1.0400 ; + RECT 1.1950 0.9040 1.2370 0.9460 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7730 ; + LAYER M1 ; + RECT 1.0290 0.6660 1.1810 0.7160 ; + RECT 1.0290 0.3410 1.0790 0.6660 ; + RECT 0.2790 0.2910 1.0790 0.3410 ; + RECT 1.0290 0.7160 1.0790 0.8980 ; + RECT 0.8870 0.8980 1.0790 0.9480 ; + RECT 0.8870 0.2090 0.9370 0.2910 ; + RECT 0.8870 0.9480 0.9370 1.5500 ; + RECT 0.2790 0.2100 0.3290 0.2910 ; + RECT 0.5830 0.2100 0.6330 0.2910 ; + RECT 1.3400 0.6610 1.4850 0.7110 ; + RECT 1.3400 0.5520 1.3900 0.6610 ; + RECT 1.1920 0.5270 1.3900 0.5520 ; + RECT 1.1910 0.5020 1.3900 0.5270 ; + RECT 1.3400 0.7110 1.3900 0.8030 ; + RECT 1.1910 0.8030 1.3900 0.8530 ; + RECT 1.1910 0.8530 1.2410 1.1520 ; + RECT 1.1910 0.7920 1.2410 0.8030 ; + RECT 1.1910 0.1310 1.2410 0.5020 ; + RECT 1.4950 0.8970 1.6650 0.9470 ; + RECT 1.6150 0.6610 1.7890 0.7110 ; + RECT 1.6150 0.5520 1.6650 0.6610 ; + RECT 1.4950 0.5020 1.6650 0.5520 ; + RECT 1.6150 0.7110 1.6650 0.8970 ; + RECT 1.4950 0.9470 1.5450 1.5350 ; + RECT 1.4950 0.8810 1.5450 0.8970 ; + RECT 1.4950 0.1280 1.5450 0.5020 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6100 ; + RECT 1.5810 0.0720 1.6110 1.6100 ; + RECT 0.9730 0.0720 1.0030 1.6100 ; + RECT 0.0610 0.0720 0.0910 1.6100 ; + RECT 2.0370 0.0720 2.0670 1.6100 ; + RECT 0.8210 0.0720 0.8510 1.6100 ; + RECT 1.8850 0.0720 1.9150 1.6100 ; + RECT 1.7330 0.0710 1.7630 1.6100 ; + RECT 0.2130 0.0720 0.2430 1.6100 ; + RECT 0.6690 0.0720 0.6990 1.6100 ; + RECT 0.3650 0.0720 0.3950 1.6100 ; + RECT 0.5170 0.0720 0.5470 1.6100 ; + END +END OR4X1_HVT + +MACRO OR4X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0730 0.2490 2.1830 0.3590 ; + RECT 1.7990 0.8380 1.8490 1.5520 ; + RECT 1.7990 0.7880 2.1590 0.8380 ; + RECT 1.7990 0.1310 1.8490 0.5030 ; + RECT 1.7990 0.5030 2.1590 0.5530 ; + RECT 2.1090 0.5530 2.1590 0.7880 ; + RECT 2.1090 0.3590 2.1590 0.5030 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.3370 1.8450 0.3790 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 1.2120 1.8450 1.2540 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 0.4300 1.8450 0.4720 ; + RECT 1.8030 1.3040 1.8450 1.3460 ; + RECT 1.8030 1.3980 1.8450 1.4400 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.4900 1.8450 1.5320 ; + RECT 1.8030 0.9330 1.8450 0.9750 ; + RECT 1.8030 0.2450 1.8450 0.2870 ; + RECT 1.8030 0.8410 1.8450 0.8830 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8090 0.7050 0.9670 0.8150 ; + RECT 0.8110 0.6430 0.8610 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6630 0.8570 0.7050 ; + END + ANTENNAGATEAREA 0.0279 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.4010 0.8150 0.5110 ; + RECT 0.6590 0.5110 0.7090 0.7280 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6630 0.7050 0.7050 ; + END + ANTENNAGATEAREA 0.0279 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.9510 0.9110 2.0010 1.6420 ; + RECT 1.6470 0.9120 1.6970 1.6420 ; + RECT 1.0390 1.0650 1.0890 1.6420 ; + RECT 1.3430 0.9120 1.3930 1.6420 ; + RECT 0.2790 0.8180 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.0240 1.6930 1.0660 ; + RECT 1.0430 1.0850 1.0850 1.1270 ; + RECT 1.6510 0.9320 1.6930 0.9740 ; + RECT 1.9550 1.2090 1.9970 1.2510 ; + RECT 1.9550 1.1160 1.9970 1.1580 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.6510 1.2090 1.6930 1.2510 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.6510 1.1160 1.6930 1.1580 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.6510 1.3010 1.6930 1.3430 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.9550 1.3010 1.9970 1.3430 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 0.9320 1.3890 0.9740 ; + RECT 0.2830 0.8380 0.3250 0.8800 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.9550 1.0240 1.9970 1.0660 ; + RECT 1.9550 0.9310 1.9970 0.9730 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.3960 ; + RECT 1.6470 0.0300 1.6970 0.3960 ; + RECT 1.0390 0.0300 1.0890 0.2030 ; + RECT 1.3430 0.0300 1.3930 0.3940 ; + RECT 0.7350 0.0300 0.7850 0.2410 ; + RECT 0.4310 0.0300 0.4810 0.2410 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3320 1.3890 0.3740 ; + RECT 1.3470 0.2400 1.3890 0.2820 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 1.6510 0.1480 1.6930 0.1900 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.6510 0.2420 1.6930 0.2840 ; + RECT 1.9550 0.1480 1.9970 0.1900 ; + RECT 0.4350 0.1790 0.4770 0.2210 ; + RECT 1.9550 0.2420 1.9970 0.2840 ; + RECT 0.7390 0.1790 0.7810 0.2210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.6510 0.3340 1.6930 0.3760 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6590 0.4210 0.7090 ; + RECT 0.2490 0.5530 0.3590 0.6590 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6630 0.4010 0.7050 ; + END + ANTENNAGATEAREA 0.0279 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8570 0.6630 0.9670 ; + RECT 0.5070 0.6430 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6630 0.5530 0.7050 ; + END + ANTENNAGATEAREA 0.0279 ; + END A2 + OBS + LAYER CO ; + RECT 1.4990 0.8090 1.5410 0.8510 ; + RECT 1.1190 0.6700 1.1610 0.7120 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.9890 1.2370 1.0310 ; + RECT 1.1950 0.8970 1.2370 0.9390 ; + RECT 1.1950 0.8030 1.2370 0.8450 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 1.4580 1.5410 1.5000 ; + RECT 1.4990 1.3660 1.5410 1.4080 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.4990 1.2720 1.5410 1.3140 ; + RECT 1.4990 1.1800 1.5410 1.2220 ; + RECT 1.4990 1.0870 1.5410 1.1290 ; + RECT 1.4990 0.9950 1.5410 1.0370 ; + RECT 1.4990 0.9010 1.5410 0.9430 ; + RECT 0.8910 0.2300 0.9330 0.2720 ; + RECT 0.2830 0.2300 0.3250 0.2720 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 0.8910 1.4580 0.9330 1.5000 ; + RECT 0.8910 1.3660 0.9330 1.4080 ; + RECT 0.8910 1.2720 0.9330 1.3140 ; + RECT 0.8910 1.1800 0.9330 1.2220 ; + RECT 0.8910 1.0870 0.9330 1.1290 ; + RECT 0.5870 0.2300 0.6290 0.2720 ; + RECT 0.8910 0.9950 0.9330 1.0370 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.3950 1.7730 ; + LAYER M1 ; + RECT 1.0290 0.6660 1.1810 0.7160 ; + RECT 1.0290 0.3410 1.0790 0.6660 ; + RECT 0.2790 0.2910 1.0790 0.3410 ; + RECT 1.0290 0.7160 1.0790 0.9270 ; + RECT 0.8870 0.9270 1.0790 0.9770 ; + RECT 0.8870 0.2090 0.9370 0.2910 ; + RECT 0.8870 0.9770 0.9370 1.5350 ; + RECT 0.2790 0.2100 0.3290 0.2910 ; + RECT 0.5830 0.2100 0.6330 0.2910 ; + RECT 1.3400 0.6610 1.4850 0.7110 ; + RECT 1.3400 0.5520 1.3900 0.6610 ; + RECT 1.1910 0.5020 1.3900 0.5520 ; + RECT 1.3400 0.7110 1.3900 0.8030 ; + RECT 1.1910 0.8030 1.3900 0.8530 ; + RECT 1.1910 0.8530 1.2410 1.0510 ; + RECT 1.1910 0.7830 1.2410 0.8030 ; + RECT 1.1910 0.1310 1.2410 0.5020 ; + RECT 1.6180 0.6610 1.9410 0.7110 ; + RECT 1.6180 0.5530 1.6680 0.6610 ; + RECT 1.4950 0.5030 1.6680 0.5530 ; + RECT 1.6180 0.7110 1.6680 0.8030 ; + RECT 1.4950 0.8030 1.6680 0.8530 ; + RECT 1.4950 0.8530 1.5450 1.5350 ; + RECT 1.4950 0.7890 1.5450 0.8030 ; + RECT 1.4950 0.1280 1.5450 0.5030 ; + LAYER PO ; + RECT 1.7330 0.0710 1.7630 1.6120 ; + RECT 1.8850 0.0710 1.9150 1.6120 ; + RECT 2.0370 0.0710 2.0670 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6100 ; + RECT 0.9730 0.0720 1.0030 1.6100 ; + RECT 0.0610 0.0720 0.0910 1.6100 ; + RECT 2.1890 0.0720 2.2190 1.6100 ; + RECT 0.8210 0.0720 0.8510 1.6100 ; + RECT 0.2130 0.0720 0.2430 1.6100 ; + RECT 0.6690 0.0720 0.6990 1.6100 ; + RECT 0.3650 0.0720 0.3950 1.6100 ; + RECT 0.5170 0.0720 0.5470 1.6100 ; + END +END OR4X2_HVT + +MACRO OR4X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3770 0.2490 2.4870 0.3590 ; + RECT 2.1030 0.9450 2.1530 1.5340 ; + RECT 1.7990 0.9450 1.8490 1.5340 ; + RECT 2.1030 0.4900 2.1530 0.4920 ; + RECT 2.1030 0.1310 2.1530 0.4400 ; + RECT 1.7990 0.4400 2.4390 0.4900 ; + RECT 1.7990 0.4900 1.8490 0.4920 ; + RECT 1.7990 0.1310 1.8490 0.4400 ; + RECT 1.7990 0.8950 2.4390 0.9450 ; + RECT 2.3890 0.4900 2.4390 0.8950 ; + RECT 2.3890 0.3590 2.4390 0.4400 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.2860 2.1490 1.3280 ; + RECT 1.8030 1.1010 1.8450 1.1430 ; + RECT 1.8030 1.1940 1.8450 1.2360 ; + RECT 1.8030 1.0090 1.8450 1.0510 ; + RECT 1.8030 0.9150 1.8450 0.9570 ; + RECT 1.8030 1.3800 1.8450 1.4220 ; + RECT 1.8030 1.4720 1.8450 1.5140 ; + RECT 1.8030 1.2860 1.8450 1.3280 ; + RECT 1.8030 0.2450 1.8450 0.2870 ; + RECT 2.1070 1.1940 2.1490 1.2360 ; + RECT 2.1070 1.0090 2.1490 1.0510 ; + RECT 2.1070 0.9150 2.1490 0.9570 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 2.1070 0.4300 2.1490 0.4720 ; + RECT 2.1070 0.3370 2.1490 0.3790 ; + RECT 1.8030 0.4300 1.8450 0.4720 ; + RECT 1.8030 0.3370 1.8450 0.3790 ; + RECT 2.1070 0.2450 2.1490 0.2870 ; + RECT 2.1070 1.1010 2.1490 1.1430 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 1.3800 2.1490 1.4220 ; + RECT 2.1070 1.4720 2.1490 1.5140 ; + END + ANTENNADIFFAREA 0.2976 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8070 0.4000 0.9670 0.5110 ; + RECT 0.8110 0.5110 0.8610 0.6070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.5450 0.8570 0.5870 ; + END + ANTENNAGATEAREA 0.0315 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6570 0.7050 0.8150 0.8150 ; + RECT 0.6590 0.5250 0.7090 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.5450 0.7050 0.5870 ; + END + ANTENNAGATEAREA 0.0315 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 2.2550 1.0950 2.3050 1.6420 ; + RECT 1.9510 1.0960 2.0010 1.6420 ; + RECT 1.6470 1.0960 1.6970 1.6420 ; + RECT 1.0390 0.8810 1.0890 1.6420 ; + RECT 1.3430 1.0050 1.3930 1.6420 ; + RECT 0.2790 0.7240 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.9010 1.0850 0.9430 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.9550 1.2090 1.9970 1.2510 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.0430 1.0850 1.0850 1.1270 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 2.2590 1.2080 2.3010 1.2500 ; + RECT 2.2590 1.1150 2.3010 1.1570 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 2.2590 1.3000 2.3010 1.3420 ; + RECT 2.2590 1.3940 2.3010 1.4360 ; + RECT 1.3470 1.1170 1.3890 1.1590 ; + RECT 1.9550 1.1160 1.9970 1.1580 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 2.2590 1.4860 2.3010 1.5280 ; + RECT 1.6510 1.2090 1.6930 1.2510 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.6510 1.1160 1.6930 1.1580 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.3010 1.9970 1.3430 ; + RECT 1.6510 1.3010 1.6930 1.3430 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 0.7440 0.3250 0.7860 ; + RECT 0.2830 0.8380 0.3250 0.8800 ; + RECT 1.0430 0.9930 1.0850 1.0350 ; + RECT 1.3470 1.0250 1.3890 1.0670 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.3190 ; + RECT 2.2550 0.0300 2.3050 0.3180 ; + RECT 1.6470 0.0300 1.6970 0.3190 ; + RECT 1.9510 0.0300 2.0010 0.3190 ; + RECT 1.0390 0.0300 1.0890 0.2030 ; + RECT 0.7350 0.0300 0.7850 0.2000 ; + RECT 0.4310 0.0300 0.4810 0.2000 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.2590 0.1640 2.3010 0.2060 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 1.3470 0.2570 1.3890 0.2990 ; + RECT 1.6510 0.1650 1.6930 0.2070 ; + RECT 1.3470 0.1650 1.3890 0.2070 ; + RECT 1.6510 0.2570 1.6930 0.2990 ; + RECT 1.9550 0.2570 1.9970 0.2990 ; + RECT 1.9550 0.1650 1.9970 0.2070 ; + RECT 2.2590 0.2560 2.3010 0.2980 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.1380 0.4770 0.1800 ; + RECT 0.7390 0.1380 0.7810 0.1800 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5250 0.4200 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5450 0.4010 0.5870 ; + END + ANTENNAGATEAREA 0.0315 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.8570 0.5570 0.9670 ; + RECT 0.5070 0.5250 0.5570 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5450 0.5530 0.5870 ; + END + ANTENNAGATEAREA 0.0315 ; + END A2 + OBS + LAYER CO ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 1.1190 0.6700 1.1610 0.7120 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.9890 1.2370 1.0310 ; + RECT 1.1950 0.8970 1.2370 0.9390 ; + RECT 1.1950 0.8030 1.2370 0.8450 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 2.1830 0.6650 2.2250 0.7070 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 1.4580 1.5410 1.5000 ; + RECT 1.4990 1.3660 1.5410 1.4080 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.4990 1.2720 1.5410 1.3140 ; + RECT 1.4990 1.1800 1.5410 1.2220 ; + RECT 1.4990 1.0870 1.5410 1.1290 ; + RECT 1.4990 0.9950 1.5410 1.0370 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.4990 0.9010 1.5410 0.9430 ; + RECT 0.8910 0.1890 0.9330 0.2310 ; + RECT 0.2830 0.1890 0.3250 0.2310 ; + RECT 0.8910 1.4580 0.9330 1.5000 ; + RECT 0.8910 1.3660 0.9330 1.4080 ; + RECT 0.8910 1.2720 0.9330 1.3140 ; + RECT 0.8910 1.1800 0.9330 1.2220 ; + RECT 0.8910 1.0870 0.9330 1.1290 ; + RECT 0.5870 0.1890 0.6290 0.2310 ; + RECT 0.8910 0.9950 0.9330 1.0370 ; + RECT 0.8910 0.9030 0.9330 0.9450 ; + RECT 0.8910 0.8110 0.9330 0.8530 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7730 ; + RECT 0.1980 0.5620 1.0180 0.6790 ; + LAYER M1 ; + RECT 1.6180 0.6610 2.2450 0.7110 ; + RECT 1.4950 0.5560 1.5450 0.5570 ; + RECT 1.4950 0.1280 1.5450 0.5060 ; + RECT 1.4950 0.9470 1.5450 1.5350 ; + RECT 1.4950 0.8810 1.5450 0.8970 ; + RECT 1.4950 0.8970 1.6680 0.9470 ; + RECT 1.6180 0.7110 1.6680 0.8970 ; + RECT 1.4950 0.5060 1.6680 0.5560 ; + RECT 1.6180 0.5560 1.6680 0.6610 ; + RECT 1.0290 0.6660 1.1810 0.7160 ; + RECT 1.0290 0.3030 1.0790 0.6660 ; + RECT 0.2790 0.2530 1.0790 0.3030 ; + RECT 1.0290 0.7160 1.0790 0.7310 ; + RECT 0.8870 0.7310 1.0790 0.7810 ; + RECT 0.2790 0.1690 0.3290 0.2530 ; + RECT 0.5830 0.1690 0.6330 0.2530 ; + RECT 0.8870 0.1680 0.9370 0.2530 ; + RECT 0.8870 0.7810 0.9370 1.5350 ; + RECT 1.3400 0.6610 1.4850 0.7110 ; + RECT 1.3400 0.4770 1.3900 0.6610 ; + RECT 1.1920 0.4520 1.3900 0.4770 ; + RECT 1.1910 0.4270 1.3900 0.4520 ; + RECT 1.3400 0.7110 1.3900 0.8030 ; + RECT 1.1910 0.8030 1.3900 0.8530 ; + RECT 1.1910 0.8530 1.2410 1.0510 ; + RECT 1.1910 0.7830 1.2410 0.8030 ; + RECT 1.1910 0.1310 1.2410 0.4270 ; + LAYER PO ; + RECT 2.0370 0.0710 2.0670 1.6120 ; + RECT 1.8850 0.0710 1.9150 1.6120 ; + RECT 1.7330 0.0710 1.7630 1.6120 ; + RECT 2.1890 0.0710 2.2190 1.6120 ; + RECT 2.3410 0.0710 2.3710 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6100 ; + RECT 0.9730 0.0610 1.0030 1.6100 ; + RECT 0.0610 0.0610 0.0910 1.6100 ; + RECT 2.4930 0.0720 2.5230 1.6100 ; + RECT 0.8210 0.0610 0.8510 1.6100 ; + RECT 0.2130 0.0610 0.2430 1.6100 ; + RECT 0.6690 0.0610 0.6990 1.6100 ; + RECT 0.3650 0.0610 0.3950 1.6100 ; + RECT 0.5170 0.0610 0.5470 1.6100 ; + END +END OR4X4_HVT + +MACRO PGX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + END + END VSS + + PIN AN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.0920 0.4360 0.1420 ; + RECT 0.0970 0.1420 0.2070 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + END + ANTENNAGATEAREA 0.01125 ; + END AN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.5530 0.3290 0.6630 ; + RECT 0.2790 0.6630 0.3290 1.4720 ; + RECT 0.2790 0.1920 0.3290 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.2680 0.3250 0.3100 ; + RECT 0.2830 0.4520 0.3250 0.4940 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + END + ANTENNADIFFAREA 0.1111 ; + ANTENNAGATEAREA 0.1111 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4310 0.7050 0.6630 0.8150 ; + RECT 0.4310 0.8150 0.4810 1.4720 ; + RECT 0.4310 0.1920 0.4810 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 0.4520 0.4770 0.4940 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.2680 0.4770 0.3100 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + END + ANTENNADIFFAREA 0.1111 ; + ANTENNAGATEAREA 0.1111 ; + END INOUT2 + + PIN AP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.5280 0.6630 1.5780 ; + RECT 0.5530 1.4650 0.6630 1.5280 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + END + ANTENNAGATEAREA 0.02145 ; + END AP + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 0.8720 1.7730 ; + LAYER PO ; + RECT 0.3650 0.7170 0.3950 1.6060 ; + RECT 0.3650 0.0640 0.3950 0.6150 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + END +END PGX1_HVT + +MACRO PGX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.912 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.9120 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.9120 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + + PIN AN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.0920 0.5880 0.1420 ; + RECT 0.0970 0.1420 0.2070 0.2050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + RECT 0.5110 0.0960 0.5530 0.1380 ; + END + ANTENNAGATEAREA 0.0225 ; + END AN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.5530 0.3290 0.6630 ; + RECT 0.2790 1.4280 0.6330 1.4780 ; + RECT 0.2790 0.6630 0.3290 1.4280 ; + RECT 0.2790 0.1920 0.3290 0.5530 ; + RECT 0.5830 0.3080 0.6330 1.4280 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.5870 0.4520 0.6290 0.4940 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.2680 0.3250 0.3100 ; + RECT 0.2830 0.4520 0.3250 0.4940 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + END + ANTENNADIFFAREA 0.2222 ; + ANTENNAGATEAREA 0.2222 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4310 0.1920 0.7330 0.2420 ; + RECT 0.6830 0.2420 0.7330 0.2490 ; + RECT 0.6830 0.2490 0.8150 0.3590 ; + RECT 0.4310 0.2420 0.4810 1.3780 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.9330 0.4770 0.9750 ; + RECT 0.4350 1.0250 0.4770 1.0670 ; + RECT 0.4350 0.9330 0.4770 0.9750 ; + RECT 0.4350 1.3010 0.4770 1.3430 ; + RECT 0.4350 0.4520 0.4770 0.4940 ; + RECT 0.4350 1.3010 0.4770 1.3430 ; + RECT 0.4350 1.2090 0.4770 1.2510 ; + RECT 0.4350 1.0250 0.4770 1.0670 ; + RECT 0.4350 1.2090 0.4770 1.2510 ; + RECT 0.4350 1.1170 0.4770 1.1590 ; + RECT 0.4350 0.8410 0.4770 0.8830 ; + RECT 0.4350 0.2680 0.4770 0.3100 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + RECT 0.4350 0.8410 0.4770 0.8830 ; + RECT 0.4350 1.1170 0.4770 1.1590 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + END + ANTENNADIFFAREA 0.133 ; + ANTENNAGATEAREA 0.133 ; + END INOUT2 + + PIN AP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.5280 0.8150 1.5780 ; + RECT 0.7050 1.4650 0.8150 1.5280 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.5320 0.5530 1.5740 ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + RECT 0.5110 1.5320 0.5530 1.5740 ; + END + ANTENNAGATEAREA 0.0429 ; + END AP + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 1.0240 1.7730 ; + LAYER PO ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + RECT 0.5170 0.0660 0.5470 0.6150 ; + RECT 0.5170 0.7170 0.5470 1.6060 ; + RECT 0.3650 0.7170 0.3950 1.6060 ; + RECT 0.3650 0.0640 0.3950 0.6150 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + END +END PGX2_HVT + +MACRO PGX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN AN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.0920 0.8920 0.1420 ; + RECT 0.0970 0.1420 0.2070 0.2050 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.0960 0.8570 0.1380 ; + RECT 0.5110 0.0960 0.5530 0.1380 ; + RECT 0.6630 0.0960 0.7050 0.1380 ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + END + ANTENNAGATEAREA 0.045 ; + END AN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.5530 0.3290 0.6630 ; + RECT 0.2790 1.4280 0.9370 1.4780 ; + RECT 0.2790 0.6630 0.3290 1.4280 ; + RECT 0.2790 0.1920 0.3290 0.5530 ; + RECT 0.8870 0.3080 0.9370 1.4280 ; + RECT 0.5830 0.3080 0.6330 1.4280 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.2680 0.3250 0.3100 ; + RECT 0.2830 0.4520 0.3250 0.4940 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 0.3600 0.3250 0.4020 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.8910 0.4520 0.9330 0.4940 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 0.4520 0.6290 0.4940 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + END + ANTENNADIFFAREA 0.3552 ; + ANTENNAGATEAREA 0.3552 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4310 0.1920 1.0370 0.2420 ; + RECT 0.9870 0.2420 1.0370 0.2490 ; + RECT 0.9870 0.2490 1.1190 0.3590 ; + RECT 0.7350 0.2420 0.7850 1.3780 ; + RECT 0.4310 0.2420 0.4810 1.3780 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.9330 0.4770 0.9750 ; + RECT 0.4350 1.3010 0.4770 1.3430 ; + RECT 0.4350 0.4520 0.4770 0.4940 ; + RECT 0.4350 1.3010 0.4770 1.3430 ; + RECT 0.4350 1.2090 0.4770 1.2510 ; + RECT 0.4350 1.0250 0.4770 1.0670 ; + RECT 0.4350 1.2090 0.4770 1.2510 ; + RECT 0.4350 1.1170 0.4770 1.1590 ; + RECT 0.4350 0.8410 0.4770 0.8830 ; + RECT 0.4350 0.2680 0.4770 0.3100 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + RECT 0.4350 0.8410 0.4770 0.8830 ; + RECT 0.4350 1.1170 0.4770 1.1590 ; + RECT 0.4350 0.3600 0.4770 0.4020 ; + RECT 0.4350 0.9330 0.4770 0.9750 ; + RECT 0.7390 0.4520 0.7810 0.4940 ; + RECT 0.7390 0.8410 0.7810 0.8830 ; + RECT 0.7390 0.2680 0.7810 0.3100 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.8410 0.7810 0.8830 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 1.0250 0.7810 1.0670 ; + RECT 0.7390 0.9330 0.7810 0.9750 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.7390 1.0250 0.7810 1.0670 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.7390 1.1170 0.7810 1.1590 ; + RECT 0.7390 1.1170 0.7810 1.1590 ; + RECT 0.7390 0.9330 0.7810 0.9750 ; + RECT 0.4350 1.0250 0.4770 1.0670 ; + END + ANTENNADIFFAREA 0.266 ; + ANTENNAGATEAREA 0.266 ; + END INOUT2 + + PIN AP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 1.5280 1.1190 1.5780 ; + RECT 1.0090 1.4650 1.1190 1.5280 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.5110 1.5320 0.5530 1.5740 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.5110 1.5320 0.5530 1.5740 ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + RECT 0.3590 1.5320 0.4010 1.5740 ; + END + ANTENNAGATEAREA 0.0858 ; + END AP + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 1.3280 1.7730 ; + LAYER PO ; + RECT 0.6690 0.0660 0.6990 0.6150 ; + RECT 0.6690 0.7170 0.6990 1.6060 ; + RECT 0.8210 0.0660 0.8510 0.6150 ; + RECT 0.8210 0.7170 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.5170 0.0660 0.5470 0.6150 ; + RECT 0.5170 0.7170 0.5470 1.6060 ; + RECT 0.3650 0.7170 0.3950 1.6060 ; + RECT 0.3650 0.0640 0.3950 0.6150 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + END +END PGX4_HVT + +MACRO PMT1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.3590 0.9670 ; + RECT 0.2790 0.9670 0.3290 1.5550 ; + RECT 0.2790 0.8290 0.3290 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1250 0.3250 1.1670 ; + RECT 0.2830 1.0330 0.3250 1.0750 ; + RECT 0.2830 1.4930 0.3250 1.5350 ; + RECT 0.2830 1.4010 0.3250 1.4430 ; + RECT 0.2830 1.3090 0.3250 1.3510 ; + RECT 0.2830 1.2170 0.3250 1.2590 ; + RECT 0.2830 0.8490 0.3250 0.8910 ; + RECT 0.2830 0.9410 0.3250 0.9830 ; + END + ANTENNADIFFAREA 0.0816 ; + ANTENNAGATEAREA 0.0816 ; + END S + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4590 0.5110 1.5750 ; + RECT 0.4310 0.8290 0.4810 1.4590 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.0330 0.4770 1.0750 ; + RECT 0.4350 0.9410 0.4770 0.9830 ; + RECT 0.4350 0.8490 0.4770 0.8910 ; + RECT 0.4350 1.2170 0.4770 1.2590 ; + RECT 0.4350 1.3090 0.4770 1.3510 ; + RECT 0.4350 1.4010 0.4770 1.4430 ; + RECT 0.4350 1.4930 0.4770 1.5350 ; + RECT 0.4350 1.1250 0.4770 1.1670 ; + END + ANTENNADIFFAREA 0.0816 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6590 0.5110 0.7090 ; + RECT 0.4010 0.5530 0.5110 0.6590 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6630 0.4010 0.7050 ; + END + ANTENNAGATEAREA 0.024 ; + END G + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1250 0.6790 0.8650 1.7730 ; + LAYER PO ; + RECT 0.3650 0.6430 0.3950 1.6050 ; + RECT 0.5170 0.6430 0.5470 1.6010 ; + RECT 0.6690 0.6430 0.6990 1.6010 ; + RECT 0.0610 0.6430 0.0910 1.6050 ; + RECT 0.2130 0.6430 0.2430 1.6050 ; + END +END PMT1_HVT + +MACRO OA221X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.3990 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 1.4990 0.2030 1.5410 0.2450 ; + RECT 1.4990 0.2950 1.5410 0.3370 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0090 0.5490 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0177 ; + END A5 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 1.4950 1.1330 1.5450 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7860 0.5420 1.8360 0.9560 ; + RECT 1.7510 0.3920 1.9110 0.4920 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.3430 0.1880 1.3930 0.4920 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.3430 0.9560 1.8360 1.0060 ; + RECT 1.3430 1.0060 1.3930 1.4260 ; + RECT 1.3430 0.5300 1.8360 0.5420 ; + RECT 1.3430 0.4920 1.9110 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.6510 0.9870 1.6930 1.0290 ; + RECT 1.3470 0.9870 1.3890 1.0290 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.3470 0.2390 1.3890 0.2810 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 0.4230 1.6930 0.4650 ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 1.3470 1.0790 1.3890 1.1210 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4780 0.5730 1.5280 ; + RECT 0.4010 1.5280 0.5110 1.5750 ; + RECT 0.4010 1.4650 0.5110 1.4780 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.024 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9860 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A4 + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 2.0920 1.7870 ; + LAYER M1 ; + RECT 1.5350 0.6810 1.6210 0.6990 ; + RECT 1.5350 0.6130 1.6210 0.6310 ; + RECT 1.2270 0.6310 1.6210 0.6810 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.3830 0.6810 1.4690 0.6990 ; + RECT 1.3830 0.6130 1.4690 0.6310 ; + RECT 1.1910 0.4830 1.2770 0.5330 ; + RECT 1.0390 0.9030 1.2770 0.9530 ; + RECT 1.2270 0.5330 1.2770 0.6310 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.1910 0.9530 1.2410 1.4270 ; + RECT 1.2270 0.6810 1.2770 0.9030 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.7350 0.0980 1.0890 0.1480 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.5810 0.0690 1.6110 1.6080 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + LAYER CO ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.5750 0.6350 1.6170 0.6770 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + END +END OA221X2_HVT + +MACRO OA222X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.0231 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4780 0.5730 1.5280 ; + RECT 0.4010 1.5280 0.5110 1.5750 ; + RECT 0.4010 1.4650 0.5110 1.4780 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0231 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.4950 0.0300 1.5450 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.4990 0.2950 1.5410 0.3370 ; + RECT 1.4990 0.2030 1.5410 0.2450 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.4950 1.1330 1.5450 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + END + END VDD + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1600 1.1570 1.2800 1.2710 ; + RECT 1.1710 1.2710 1.2800 1.2810 ; + RECT 1.1710 1.1460 1.2800 1.1570 ; + RECT 1.1710 1.4850 1.3390 1.5350 ; + RECT 1.1710 1.2810 1.2210 1.4850 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + END + ANTENNAGATEAREA 0.0231 ; + END A6 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7860 0.5420 1.8360 0.9560 ; + RECT 1.6470 0.9560 1.8360 1.0060 ; + RECT 1.6470 0.5300 1.8360 0.5420 ; + RECT 1.7510 0.3920 1.9110 0.4920 ; + RECT 1.6470 0.4920 1.9110 0.5300 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 0.9870 1.6930 1.0290 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.6510 0.4230 1.6930 0.4650 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0090 0.5490 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A5 + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 2.0920 1.7870 ; + LAYER M1 ; + RECT 1.3430 0.6310 1.6210 0.6810 ; + RECT 1.5350 0.6810 1.6210 0.6990 ; + RECT 1.5350 0.6130 1.6210 0.6310 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.0390 0.9030 1.3930 0.9530 ; + RECT 1.1910 0.4830 1.3930 0.5330 ; + RECT 1.3430 0.5330 1.3930 0.6310 ; + RECT 1.3430 0.9530 1.3930 1.3800 ; + RECT 1.3430 0.6810 1.3930 0.9030 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + RECT 1.3430 0.1480 1.3930 0.4320 ; + RECT 0.7350 0.0980 1.3930 0.1480 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + LAYER PO ; + RECT 1.2770 0.1010 1.3070 1.5670 ; + RECT 1.5810 0.0690 1.6110 1.6080 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + LAYER CO ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 1.5750 0.6350 1.6170 0.6770 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.3470 0.1780 1.3890 0.2200 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 1.3470 0.2700 1.3890 0.3120 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 1.3470 1.1970 1.3890 1.2390 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 1.3470 0.3620 1.3890 0.4040 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + END +END OA222X1_HVT + +MACRO OA222X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.6470 0.0300 1.6970 0.3990 ; + END + PORT + LAYER CO ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 1.6510 0.2030 1.6930 0.2450 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.6510 0.2950 1.6930 0.3370 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4610 0.5730 1.5710 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0231 ; + END A2 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7720 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8070 0.8570 0.8490 ; + END + ANTENNAGATEAREA 0.0231 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A4 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0090 0.5490 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0231 ; + END A5 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9380 0.5420 1.9880 0.9560 ; + RECT 1.9030 0.3920 2.0630 0.4920 ; + RECT 1.7990 0.1880 1.8490 0.4920 ; + RECT 1.7990 1.0060 1.8490 1.4260 ; + RECT 1.4950 0.9560 1.9880 1.0060 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + RECT 1.4950 0.5300 1.9880 0.5420 ; + RECT 1.4950 0.4920 2.0630 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.2630 1.8450 1.3050 ; + RECT 1.8030 1.1710 1.8450 1.2130 ; + RECT 1.8030 1.3550 1.8450 1.3970 ; + RECT 1.8030 0.4230 1.8450 0.4650 ; + RECT 1.8030 0.2390 1.8450 0.2810 ; + RECT 1.8030 0.3310 1.8450 0.3730 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.4990 0.9870 1.5410 1.0290 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + RECT 1.8030 0.9870 1.8450 1.0290 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.8030 1.0790 1.8450 1.1210 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1600 1.1570 1.2800 1.2710 ; + RECT 1.1710 1.1460 1.2800 1.1570 ; + RECT 1.1710 1.4850 1.3390 1.5350 ; + RECT 1.1710 1.2710 1.2800 1.2810 ; + RECT 1.1710 1.2810 1.2210 1.4850 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + END + ANTENNAGATEAREA 0.0231 ; + END A6 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.6470 1.1330 1.6970 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 2.2440 1.7870 ; + LAYER M1 ; + RECT 1.6870 0.6810 1.7730 0.6990 ; + RECT 1.6870 0.6130 1.7730 0.6310 ; + RECT 1.3430 0.6310 1.7730 0.6810 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.5260 0.6810 1.6120 0.6990 ; + RECT 1.5260 0.6130 1.6120 0.6310 ; + RECT 1.0390 0.9030 1.3930 0.9530 ; + RECT 1.1910 0.4830 1.3930 0.5330 ; + RECT 1.3430 0.9530 1.3930 1.3800 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.3430 0.6810 1.3930 0.9030 ; + RECT 1.3430 0.5330 1.3930 0.6310 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.7350 0.0980 1.3930 0.1480 ; + RECT 1.3430 0.1480 1.3930 0.4320 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 1.5810 0.0690 1.6110 1.6080 ; + RECT 1.7330 0.0690 1.7630 1.6080 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.5670 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 2.0370 0.1010 2.0670 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + LAYER CO ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.5750 0.6350 1.6170 0.6770 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 1.3470 1.1970 1.3890 1.2390 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.3470 0.2700 1.3890 0.3120 ; + RECT 1.3470 0.3620 1.3890 0.4040 ; + RECT 1.3470 0.1780 1.3890 0.2200 ; + RECT 1.7270 0.6350 1.7690 0.6770 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + END +END OA222X2_HVT + +MACRO OA22X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3300 0.5420 1.3800 0.9560 ; + RECT 1.1910 0.9560 1.3800 1.0060 ; + RECT 1.1910 0.5300 1.3800 0.5420 ; + RECT 1.2950 0.3920 1.4550 0.4920 ; + RECT 1.1910 0.4920 1.4550 0.5300 ; + RECT 1.1910 0.1880 1.2410 0.4920 ; + RECT 1.1910 1.0060 1.2410 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 1.1950 0.4230 1.2370 0.4650 ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.1950 0.9870 1.2370 1.0290 ; + RECT 1.1950 1.0790 1.2370 1.1210 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 0.2390 1.2370 0.2810 ; + RECT 1.1950 0.3310 1.2370 0.3730 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7230 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7470 0.7050 0.7890 ; + END + ANTENNAGATEAREA 0.0216 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7150 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7440 0.8570 0.7860 ; + END + ANTENNAGATEAREA 0.0216 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0216 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0216 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.0390 0.0300 1.0890 0.3990 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2030 1.0850 0.2450 ; + RECT 1.0430 0.2950 1.0850 0.3370 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 1.6360 1.7870 ; + LAYER M1 ; + RECT 1.0790 0.6990 1.1290 1.2260 ; + RECT 0.7350 0.6130 1.1650 0.6630 ; + RECT 1.0790 0.6630 1.1650 0.6990 ; + RECT 0.5830 1.2260 1.1290 1.2760 ; + RECT 0.7350 0.2120 0.7850 0.6130 ; + RECT 0.5830 1.2760 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2260 ; + RECT 0.5830 0.0950 0.9370 0.1450 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.5830 0.1450 0.6330 0.5980 ; + RECT 0.8870 0.1450 0.9370 0.5040 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 1.1250 0.0690 1.1550 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + LAYER CO ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 1.1190 0.6350 1.1610 0.6770 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + END +END OA22X1_HVT + +MACRO OA22X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.1910 1.4610 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.1950 1.4890 1.2370 1.5310 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4820 0.5420 1.5320 0.9560 ; + RECT 1.3430 0.9560 1.5320 1.0060 ; + RECT 1.4470 0.3920 1.6070 0.4920 ; + RECT 1.3430 0.1880 1.3930 0.4920 ; + RECT 1.0390 0.1880 1.0890 0.4920 ; + RECT 1.0390 1.3890 1.0890 1.5580 ; + RECT 1.3430 1.3890 1.3930 1.4260 ; + RECT 1.0390 1.3390 1.3930 1.3890 ; + RECT 1.3430 1.0060 1.3930 1.3390 ; + RECT 1.0390 0.5300 1.5320 0.5420 ; + RECT 1.0390 0.4920 1.6070 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2390 1.0850 0.2810 ; + RECT 1.0430 0.3310 1.0850 0.3730 ; + RECT 1.0430 0.4230 1.0850 0.4650 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.3470 0.9870 1.3890 1.0290 ; + RECT 1.3470 1.0790 1.3890 1.1210 ; + RECT 1.3470 0.2390 1.3890 0.2810 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7230 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7470 0.7050 0.7890 ; + END + ANTENNAGATEAREA 0.0216 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7150 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7440 0.8570 0.7860 ; + END + ANTENNAGATEAREA 0.0216 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4610 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0216 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0216 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.1910 0.0300 1.2410 0.3990 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 1.1950 0.2950 1.2370 0.3370 ; + RECT 1.1950 0.2030 1.2370 0.2450 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 1.7880 1.7870 ; + LAYER M1 ; + RECT 1.2310 0.6810 1.3170 0.6990 ; + RECT 1.2310 0.6130 1.3170 0.6310 ; + RECT 0.7350 0.6310 1.3170 0.6630 ; + RECT 1.0700 0.6630 1.3170 0.6810 ; + RECT 1.0700 0.6810 1.1560 0.6990 ; + RECT 1.0790 0.6990 1.1290 1.2260 ; + RECT 0.5830 1.2260 1.1290 1.2760 ; + RECT 0.7350 0.6130 1.1560 0.6310 ; + RECT 0.7350 0.2120 0.7850 0.6130 ; + RECT 0.5830 1.2760 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2260 ; + RECT 0.5830 0.0950 0.9370 0.1450 ; + RECT 0.8870 0.1450 0.9370 0.5040 ; + RECT 0.5830 0.1450 0.6330 0.5980 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 1.1250 0.0690 1.1550 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.2770 0.0690 1.3070 1.6080 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + LAYER CO ; + RECT 1.2710 0.6350 1.3130 0.6770 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.1190 0.6350 1.1610 0.6770 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + END +END OA22X2_HVT + +MACRO OAI21X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.0390 1.4140 1.0890 1.6420 ; + RECT 0.8870 1.2430 0.9370 1.6420 ; + RECT 1.3430 1.1330 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.0430 1.4610 1.0850 1.5030 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8910 1.2630 0.9330 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 0.9870 0.3250 1.0290 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6340 0.5420 1.6840 0.9560 ; + RECT 1.4950 0.9560 1.6840 1.0060 ; + RECT 1.4950 0.5300 1.6840 0.5420 ; + RECT 1.6070 0.3920 1.7430 0.4920 ; + RECT 1.4950 0.4920 1.7430 0.5300 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.9870 1.5410 1.0290 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7120 0.9670 0.8610 0.9860 ; + RECT 0.8110 0.8070 0.8610 0.8530 ; + RECT 0.7050 0.8530 0.8610 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8270 0.8570 0.8690 ; + END + ANTENNAGATEAREA 0.0138 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4000 1.4610 0.5730 1.5710 ; + RECT 0.4000 1.5710 0.5100 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0246 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7170 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7170 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0246 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.0390 0.0300 1.0890 0.2340 ; + RECT 1.3430 0.0300 1.3930 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 1.0430 0.1590 1.0850 0.2010 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.3470 0.2030 1.3890 0.2450 ; + RECT 1.3470 0.2950 1.3890 0.3370 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1140 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 1.0790 0.7850 1.1650 0.8030 ; + RECT 1.0790 0.7170 1.1650 0.7350 ; + RECT 0.9130 0.7550 1.1650 0.7850 ; + RECT 0.8870 0.7350 1.1650 0.7550 ; + RECT 0.8870 0.7050 0.9630 0.7350 ; + RECT 0.5830 1.1300 0.9630 1.1800 ; + RECT 0.8870 0.1810 0.9370 0.7050 ; + RECT 0.9130 0.7850 0.9630 1.1300 ; + RECT 0.5830 1.1800 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.1300 ; + RECT 0.7350 1.1800 0.7850 1.3930 ; + RECT 0.7350 1.1060 0.7850 1.1300 ; + RECT 1.2310 0.6310 1.4800 0.6810 ; + RECT 1.3830 0.6810 1.4690 0.6990 ; + RECT 1.3830 0.6130 1.4690 0.6310 ; + RECT 1.2310 0.6810 1.2810 0.9560 ; + RECT 1.2310 0.5420 1.2810 0.6310 ; + RECT 1.1910 0.4920 1.2810 0.5420 ; + RECT 1.1910 0.0880 1.2410 0.4920 ; + RECT 1.1910 0.9560 1.2810 1.0060 ; + RECT 1.1910 1.0060 1.2410 1.5550 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.7350 0.1810 0.7850 0.4120 ; + RECT 0.5830 0.4120 0.7850 0.4620 ; + RECT 0.5830 0.4620 0.6330 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.4120 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 1.1250 0.0540 1.1550 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + LAYER CO ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.8910 0.2110 0.9330 0.2530 ; + RECT 0.8910 0.3030 0.9330 0.3450 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + RECT 0.7390 1.2300 0.7810 1.2720 ; + RECT 0.7390 1.3220 0.7810 1.3640 ; + RECT 1.1950 1.4540 1.2370 1.4960 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.3030 0.7810 0.3450 ; + RECT 0.7390 0.2110 0.7810 0.2530 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.1950 0.1580 1.2370 0.2000 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + END +END OAI21X1_HVT + +MACRO OAI21X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.0390 1.4140 1.0890 1.6420 ; + RECT 0.8870 1.2430 0.9370 1.6420 ; + RECT 1.4950 1.1330 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.0430 1.4610 1.0850 1.5030 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8910 1.2630 0.9330 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 0.9870 0.3250 1.0290 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7860 0.5420 1.8360 0.9560 ; + RECT 1.7590 0.3920 1.8950 0.4920 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.3430 0.1880 1.3930 0.4920 ; + RECT 1.3430 0.9560 1.8360 1.0060 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.3430 1.0060 1.3930 1.4260 ; + RECT 1.3430 0.5300 1.8360 0.5420 ; + RECT 1.3430 0.4920 1.8950 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.3470 0.2390 1.3890 0.2810 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.6510 0.9870 1.6930 1.0290 ; + RECT 1.3470 0.9870 1.3890 1.0290 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.3470 1.0790 1.3890 1.1210 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 0.4230 1.6930 0.4650 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7120 0.9670 0.8610 0.9860 ; + RECT 0.8110 0.8070 0.8610 0.8530 ; + RECT 0.7050 0.8530 0.8610 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8270 0.8570 0.8690 ; + END + ANTENNAGATEAREA 0.0156 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4000 1.4610 0.5730 1.5710 ; + RECT 0.4000 1.5710 0.5100 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0258 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7170 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7170 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0258 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.0390 0.0300 1.0890 0.2340 ; + RECT 1.4950 0.0300 1.5450 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 1.0430 0.1630 1.0850 0.2050 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4990 0.2030 1.5410 0.2450 ; + RECT 1.4990 0.2950 1.5410 0.3370 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7870 ; + LAYER M1 ; + RECT 1.0790 0.7850 1.1650 0.8030 ; + RECT 1.0790 0.7170 1.1650 0.7350 ; + RECT 0.9130 0.7550 1.1650 0.7850 ; + RECT 0.8870 0.7350 1.1650 0.7550 ; + RECT 0.8870 0.7050 0.9630 0.7350 ; + RECT 0.5830 1.1300 0.9630 1.1800 ; + RECT 0.8870 0.1810 0.9370 0.7050 ; + RECT 0.9130 0.7850 0.9630 1.1300 ; + RECT 0.5830 1.1800 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.1300 ; + RECT 0.7350 1.1800 0.7850 1.3930 ; + RECT 0.7350 1.1060 0.7850 1.1300 ; + RECT 1.5350 0.6810 1.6210 0.6990 ; + RECT 1.5350 0.6130 1.6210 0.6310 ; + RECT 1.2310 0.6310 1.6210 0.6810 ; + RECT 1.3740 0.6810 1.4600 0.6990 ; + RECT 1.3740 0.6130 1.4600 0.6310 ; + RECT 1.2310 0.6810 1.2810 0.9560 ; + RECT 1.2310 0.5420 1.2810 0.6310 ; + RECT 1.1910 0.4920 1.2810 0.5420 ; + RECT 1.1910 0.0880 1.2410 0.4920 ; + RECT 1.1910 0.9560 1.2810 1.0060 ; + RECT 1.1910 1.0060 1.2410 1.5550 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.7350 0.1810 0.7850 0.4120 ; + RECT 0.5830 0.4120 0.7850 0.4620 ; + RECT 0.5830 0.4620 0.6330 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.4120 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 1.1250 0.0540 1.1550 1.6080 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.5810 0.0690 1.6110 1.6080 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + LAYER CO ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.1950 0.1620 1.2370 0.2040 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.5750 0.6350 1.6170 0.6770 ; + RECT 0.8910 0.2110 0.9330 0.2530 ; + RECT 0.8910 0.3030 0.9330 0.3450 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + RECT 0.7390 1.2300 0.7810 1.2720 ; + RECT 0.7390 1.3220 0.7810 1.3640 ; + RECT 1.1950 1.4540 1.2370 1.4960 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.3030 0.7810 0.3450 ; + RECT 0.7390 0.2110 0.7810 0.2530 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + END +END OAI21X2_HVT + +MACRO OAI221X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9380 0.5420 1.9880 0.9560 ; + RECT 1.7990 0.9560 1.9880 1.0060 ; + RECT 1.7990 0.5300 1.9880 0.5420 ; + RECT 1.9030 0.3920 2.0630 0.4920 ; + RECT 1.7990 0.4920 2.0630 0.5300 ; + RECT 1.7990 0.1880 1.8490 0.4920 ; + RECT 1.7990 1.0060 1.8490 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.2390 1.8450 0.2810 ; + RECT 1.8030 0.4230 1.8450 0.4650 ; + RECT 1.8030 1.3550 1.8450 1.3970 ; + RECT 1.8030 0.9870 1.8450 1.0290 ; + RECT 1.8030 1.2630 1.8450 1.3050 ; + RECT 1.8030 1.0790 1.8450 1.1210 ; + RECT 1.8030 1.1710 1.8450 1.2130 ; + RECT 1.8030 0.3310 1.8450 0.3730 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5530 ; + RECT 1.0090 0.5530 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0162 ; + END A5 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0222 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.3990 ; + RECT 1.3430 0.0300 1.3930 0.5320 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.3470 0.1860 1.3890 0.2280 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 1.3470 0.3700 1.3890 0.4120 ; + RECT 1.6510 0.2030 1.6930 0.2450 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.6510 0.2950 1.6930 0.3370 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 1.3430 1.2340 1.3930 1.6420 ; + RECT 1.6470 1.1330 1.6970 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0222 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.0222 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4610 0.5730 1.5710 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0222 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1140 0.6790 2.2440 1.7870 ; + LAYER M1 ; + RECT 1.6870 0.6810 1.7730 0.6990 ; + RECT 1.6870 0.6130 1.7730 0.6310 ; + RECT 1.5350 0.6310 1.7730 0.6810 ; + RECT 1.5350 0.6810 1.5850 0.9560 ; + RECT 1.5350 0.5420 1.5850 0.6310 ; + RECT 1.4950 0.9560 1.5850 1.0060 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 0.4920 1.5850 0.5420 ; + RECT 1.0390 0.9030 1.4320 0.9530 ; + RECT 1.3820 0.8030 1.4320 0.9030 ; + RECT 1.3820 0.7170 1.4690 0.8030 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.1910 0.4830 1.2770 0.5330 ; + RECT 1.1910 0.9530 1.2410 1.4270 ; + RECT 1.2270 0.5330 1.2770 0.9030 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + RECT 0.7350 0.0980 1.0890 0.1480 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + LAYER PO ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 2.0370 0.1010 2.0670 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 1.7330 0.0690 1.7630 1.6080 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.4290 0.0540 1.4590 1.6080 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + LAYER CO ; + RECT 1.7270 0.6350 1.7690 0.6770 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.4230 0.7390 1.4650 0.7810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + END +END OAI221X1_HVT + +MACRO OAI221X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.7990 1.1330 1.8490 1.6420 ; + RECT 1.3430 1.2340 1.3930 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8030 1.3550 1.8450 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.8030 1.1710 1.8450 1.2130 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 1.8030 1.2630 1.8450 1.3050 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.7990 0.0300 1.8490 0.3990 ; + RECT 1.3430 0.0300 1.3930 0.5320 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8030 0.2030 1.8450 0.2450 ; + RECT 1.8030 0.2950 1.8450 0.3370 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.3470 0.3700 1.3890 0.4120 ; + RECT 1.3470 0.1860 1.3890 0.2280 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.3470 0.2780 1.3890 0.3200 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0090 0.5410 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0162 ; + END A5 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0900 0.5420 2.1400 0.9560 ; + RECT 2.0550 0.3920 2.2150 0.4920 ; + RECT 1.9510 0.1880 2.0010 0.4920 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.9510 1.0060 2.0010 1.4260 ; + RECT 1.6470 0.9560 2.1400 1.0060 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.6470 0.5300 2.1400 0.5420 ; + RECT 1.6470 0.4920 2.2150 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.4230 1.9970 0.4650 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 0.4230 1.6930 0.4650 ; + RECT 1.6510 0.9870 1.6930 1.0290 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.9550 1.1710 1.9970 1.2130 ; + RECT 1.9550 0.2390 1.9970 0.2810 ; + RECT 1.9550 1.0790 1.9970 1.1210 ; + RECT 1.9550 1.3550 1.9970 1.3970 ; + RECT 1.9550 0.9870 1.9970 1.0290 ; + RECT 1.9550 0.3310 1.9970 0.3730 ; + RECT 1.9550 1.2630 1.9970 1.3050 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.024 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9860 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A4 + OBS + LAYER CO ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.7270 0.6350 1.7690 0.6770 ; + RECT 1.8790 0.6350 1.9210 0.6770 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.4230 0.7390 1.4650 0.7810 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + LAYER NWELL ; + RECT -0.1140 0.6790 2.3960 1.7870 ; + LAYER M1 ; + RECT 1.8390 0.6810 1.9250 0.6990 ; + RECT 1.8390 0.6130 1.9250 0.6310 ; + RECT 1.5350 0.6310 1.9250 0.6810 ; + RECT 1.6870 0.6810 1.7730 0.6990 ; + RECT 1.6870 0.6130 1.7730 0.6310 ; + RECT 1.5350 0.6810 1.5850 0.9560 ; + RECT 1.5350 0.5420 1.5850 0.6310 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + RECT 1.4950 0.9560 1.5850 1.0060 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 0.4920 1.5850 0.5420 ; + RECT 1.0390 0.9030 1.4320 0.9530 ; + RECT 1.3820 0.8030 1.4320 0.9030 ; + RECT 1.3820 0.7170 1.4690 0.8030 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.1910 0.4830 1.2770 0.5330 ; + RECT 1.1910 0.9530 1.2410 1.4270 ; + RECT 1.2270 0.5330 1.2770 0.9030 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + RECT 0.7350 0.0980 1.0890 0.1480 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + LAYER PO ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 2.0370 0.1010 2.0670 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 2.1890 0.1010 2.2190 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.8850 0.0690 1.9150 1.6080 ; + RECT 1.4290 0.0540 1.4590 1.6080 ; + RECT 1.7330 0.0690 1.7630 1.6080 ; + END +END OAI221X2_HVT + +MACRO OAI222X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.4950 1.2340 1.5450 1.6420 ; + RECT 1.7990 1.1330 1.8490 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.8030 1.3550 1.8450 1.3970 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.8030 1.1710 1.8450 1.2130 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.8030 1.2630 1.8450 1.3050 ; + END + END VDD + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1600 1.1570 1.2800 1.2710 ; + RECT 1.1710 1.2710 1.2800 1.2810 ; + RECT 1.1710 1.1460 1.2800 1.1570 ; + RECT 1.1710 1.4850 1.3390 1.5350 ; + RECT 1.1710 1.2810 1.2210 1.4850 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + END + ANTENNAGATEAREA 0.0225 ; + END A6 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0900 0.5420 2.1400 0.9560 ; + RECT 1.9510 0.9560 2.1400 1.0060 ; + RECT 1.9510 0.5300 2.1400 0.5420 ; + RECT 2.0550 0.3920 2.2150 0.4920 ; + RECT 1.9510 0.4920 2.2150 0.5300 ; + RECT 1.9510 0.1880 2.0010 0.4920 ; + RECT 1.9510 1.0060 2.0010 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.3310 1.9970 0.3730 ; + RECT 1.9550 0.2390 1.9970 0.2810 ; + RECT 1.9550 1.1710 1.9970 1.2130 ; + RECT 1.9550 0.9870 1.9970 1.0290 ; + RECT 1.9550 1.0790 1.9970 1.1210 ; + RECT 1.9550 1.3550 1.9970 1.3970 ; + RECT 1.9550 1.2630 1.9970 1.3050 ; + RECT 1.9550 0.4230 1.9970 0.4650 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0080 0.5490 1.1230 0.6010 ; + RECT 1.0080 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0225 ; + END A5 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0225 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.0225 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4610 0.5730 1.5710 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0225 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0225 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.4950 0.0300 1.5450 0.5320 ; + RECT 1.7990 0.0300 1.8490 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.4990 0.1860 1.5410 0.2280 ; + RECT 1.4990 0.2780 1.5410 0.3200 ; + RECT 1.4990 0.3700 1.5410 0.4120 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8030 0.2030 1.8450 0.2450 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 1.8030 0.2950 1.8450 0.3370 ; + END + END VSS + OBS + LAYER CO ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.5750 0.7390 1.6170 0.7810 ; + RECT 1.8790 0.6350 1.9210 0.6770 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 1.3470 0.1780 1.3890 0.2200 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.3470 1.1970 1.3890 1.2390 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 1.3470 0.2700 1.3890 0.3120 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 1.3470 0.3620 1.3890 0.4040 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + LAYER NWELL ; + RECT -0.1370 0.6790 2.3940 1.7870 ; + LAYER M1 ; + RECT 1.8390 0.6810 1.9250 0.6990 ; + RECT 1.8390 0.6130 1.9250 0.6310 ; + RECT 1.6870 0.6310 1.9250 0.6810 ; + RECT 1.6870 0.6810 1.7370 0.9560 ; + RECT 1.6870 0.5420 1.7370 0.6310 ; + RECT 1.6470 0.4920 1.7370 0.5420 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.6470 0.9560 1.7370 1.0060 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.5350 0.7170 1.6210 0.8030 ; + RECT 1.0390 0.9030 1.5860 0.9530 ; + RECT 1.5360 0.8030 1.5860 0.9030 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.1910 0.4830 1.3930 0.5330 ; + RECT 1.3430 0.5330 1.3930 0.9030 ; + RECT 1.3430 0.9530 1.3930 1.3800 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.7350 0.0980 1.3930 0.1480 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 1.3430 0.1480 1.3930 0.4320 ; + LAYER PO ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 2.0370 0.1010 2.0670 1.4690 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 2.1890 0.1010 2.2190 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.2770 0.1010 1.3070 1.5670 ; + RECT 1.8850 0.0690 1.9150 1.6080 ; + RECT 1.5810 0.0540 1.6110 1.6080 ; + END +END OAI222X1_HVT + +MACRO OAI222X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 1.9510 1.1330 2.0010 1.6420 ; + RECT 1.4950 1.2340 1.5450 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.9550 1.3550 1.9970 1.3970 ; + RECT 1.9550 1.2630 1.9970 1.3050 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.9550 1.1710 1.9970 1.2130 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1600 1.1570 1.2800 1.2710 ; + RECT 1.1710 1.1460 1.2800 1.1570 ; + RECT 1.1710 1.4850 1.3390 1.5350 ; + RECT 1.1710 1.2710 1.2800 1.2810 ; + RECT 1.1710 1.2810 1.2210 1.4850 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + END + ANTENNAGATEAREA 0.0255 ; + END A6 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2420 0.5420 2.2920 0.9560 ; + RECT 2.2070 0.3920 2.3670 0.4920 ; + RECT 2.1030 0.1880 2.1530 0.4920 ; + RECT 1.7990 0.1880 1.8490 0.4920 ; + RECT 1.7990 0.9560 2.2920 1.0060 ; + RECT 2.1030 1.0060 2.1530 1.4260 ; + RECT 1.7990 1.0060 1.8490 1.4260 ; + RECT 1.7990 0.5300 2.2920 0.5420 ; + RECT 1.7990 0.4920 2.3670 0.5300 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.3550 2.1490 1.3970 ; + RECT 2.1070 1.2630 2.1490 1.3050 ; + RECT 2.1070 1.1710 2.1490 1.2130 ; + RECT 2.1070 0.9870 2.1490 1.0290 ; + RECT 2.1070 1.0790 2.1490 1.1210 ; + RECT 2.1070 0.2390 2.1490 0.2810 ; + RECT 2.1070 0.3310 2.1490 0.3730 ; + RECT 2.1070 0.4230 2.1490 0.4650 ; + RECT 1.8030 0.2390 1.8450 0.2810 ; + RECT 1.8030 0.3310 1.8450 0.3730 ; + RECT 1.8030 0.4230 1.8450 0.4650 ; + RECT 1.8030 1.3550 1.8450 1.3970 ; + RECT 1.8030 1.2630 1.8450 1.3050 ; + RECT 1.8030 1.1710 1.8450 1.2130 ; + RECT 1.8030 1.0790 1.8450 1.1210 ; + RECT 1.8030 0.9870 1.8450 1.0290 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0090 0.5490 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6630 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6630 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0255 ; + END A5 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0255 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7720 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8070 0.8570 0.8490 ; + END + ANTENNAGATEAREA 0.0255 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4600 0.5730 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0255 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0255 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.3990 ; + RECT 1.4950 0.0300 1.5450 0.4040 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.2030 1.9970 0.2450 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4990 0.3270 1.5410 0.3690 ; + RECT 1.4990 0.2350 1.5410 0.2770 ; + RECT 1.4990 0.1430 1.5410 0.1850 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.9550 0.2950 1.9970 0.3370 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.3470 0.1780 1.3890 0.2200 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.3470 0.2700 1.3890 0.3120 ; + RECT 1.3470 0.3620 1.3890 0.4040 ; + RECT 1.5750 0.6860 1.6170 0.7280 ; + RECT 1.8790 0.6350 1.9210 0.6770 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 1.3470 1.1970 1.3890 1.2390 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 2.0310 0.6350 2.0730 0.6770 ; + LAYER NWELL ; + RECT -0.1110 0.6790 2.5480 1.7870 ; + LAYER M1 ; + RECT 1.9910 0.6810 2.0770 0.6990 ; + RECT 1.9910 0.6130 2.0770 0.6310 ; + RECT 1.6870 0.6310 2.0770 0.6810 ; + RECT 1.8300 0.6810 1.9160 0.6990 ; + RECT 1.8300 0.6130 1.9160 0.6310 ; + RECT 1.6870 0.6810 1.7370 0.9560 ; + RECT 1.6870 0.5420 1.7370 0.6310 ; + RECT 1.6470 0.4920 1.7370 0.5420 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.6470 0.9560 1.7370 1.0060 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.5350 0.6640 1.6210 0.7500 ; + RECT 1.0390 0.9030 1.5860 0.9530 ; + RECT 1.5360 0.7500 1.5860 0.9030 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.1910 0.4830 1.3930 0.5330 ; + RECT 1.3430 0.9530 1.3930 1.3800 ; + RECT 1.3430 0.5330 1.3930 0.9030 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + RECT 0.7350 0.0980 1.3930 0.1480 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 1.3430 0.1480 1.3930 0.4320 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 1.8850 0.0690 1.9150 1.6080 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 2.3410 0.1010 2.3710 1.4690 ; + RECT 1.5810 0.0540 1.6110 1.6080 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 2.0370 0.0690 2.0670 1.6080 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 2.1890 0.1010 2.2190 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.5670 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + END +END OAI222X2_HVT + +MACRO OAI22X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.3430 1.1330 1.3930 1.6420 ; + RECT 1.0390 1.3630 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6340 0.5420 1.6840 0.9560 ; + RECT 1.4950 0.9560 1.6840 1.0060 ; + RECT 1.4950 0.5300 1.6840 0.5420 ; + RECT 1.5990 0.3920 1.7590 0.4920 ; + RECT 1.4950 0.4920 1.7590 0.5300 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 1.4990 0.9870 1.5410 1.0290 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7230 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7470 0.7050 0.7890 ; + END + ANTENNAGATEAREA 0.0276 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7150 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7440 0.8570 0.7860 ; + END + ANTENNAGATEAREA 0.0276 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5770 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0276 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0276 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.0390 0.0300 1.0890 0.4040 ; + RECT 1.3430 0.0300 1.3930 0.3990 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.3270 1.0850 0.3690 ; + RECT 1.0430 0.2350 1.0850 0.2770 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 1.0430 0.1430 1.0850 0.1850 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 1.3470 0.2030 1.3890 0.2450 ; + RECT 1.3470 0.2950 1.3890 0.3370 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1140 0.6790 1.9390 1.7870 ; + LAYER M1 ; + RECT 1.3830 0.6810 1.4690 0.6990 ; + RECT 1.3830 0.6130 1.4690 0.6310 ; + RECT 1.2310 0.6310 1.4690 0.6810 ; + RECT 1.2310 0.6810 1.2810 0.9560 ; + RECT 1.2310 0.5420 1.2810 0.6310 ; + RECT 1.1910 1.0060 1.2410 1.4260 ; + RECT 1.1910 0.9560 1.2810 1.0060 ; + RECT 1.1910 0.1880 1.2410 0.4920 ; + RECT 1.1910 0.4920 1.2810 0.5420 ; + RECT 1.0790 0.6640 1.1650 0.7500 ; + RECT 1.0790 0.7500 1.1290 1.2260 ; + RECT 0.5830 1.2260 1.1290 1.2760 ; + RECT 1.0790 0.6160 1.1290 0.6640 ; + RECT 0.7350 0.5660 1.1290 0.6160 ; + RECT 0.7350 0.2120 0.7850 0.5660 ; + RECT 0.5830 1.2760 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2260 ; + RECT 0.5830 0.0950 0.9370 0.1450 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.5830 0.1450 0.6330 0.5980 ; + RECT 0.8870 0.1450 0.9370 0.5040 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 1.1250 0.0540 1.1550 1.6080 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + LAYER CO ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.1950 0.2390 1.2370 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 1.1950 0.3310 1.2370 0.3730 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 1.1190 0.6860 1.1610 0.7280 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.1950 1.0790 1.2370 1.1210 ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + END +END OAI22X1_HVT + +MACRO OAI22X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.0390 1.3630 1.0890 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + RECT 1.4950 1.1330 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7860 0.5420 1.8360 0.9560 ; + RECT 1.7510 0.3920 1.9110 0.4920 ; + RECT 1.6470 0.1880 1.6970 0.4920 ; + RECT 1.6470 1.0060 1.6970 1.4260 ; + RECT 1.3430 0.9560 1.8360 1.0060 ; + RECT 1.3430 0.1880 1.3930 0.4920 ; + RECT 1.3430 1.0060 1.3930 1.4260 ; + RECT 1.3430 0.5300 1.8360 0.5420 ; + RECT 1.3430 0.4920 1.9110 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3550 1.6930 1.3970 ; + RECT 1.3470 0.9870 1.3890 1.0290 ; + RECT 1.3470 1.0790 1.3890 1.1210 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.3470 0.2390 1.3890 0.2810 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.6510 1.2630 1.6930 1.3050 ; + RECT 1.6510 1.1710 1.6930 1.2130 ; + RECT 1.6510 0.9870 1.6930 1.0290 ; + RECT 1.6510 1.0790 1.6930 1.1210 ; + RECT 1.6510 0.2390 1.6930 0.2810 ; + RECT 1.6510 0.3310 1.6930 0.3730 ; + RECT 1.6510 0.4230 1.6930 0.4650 ; + END + ANTENNADIFFAREA 0.2464 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7230 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7470 0.7050 0.7890 ; + END + ANTENNAGATEAREA 0.0276 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.7150 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7440 0.8570 0.7860 ; + END + ANTENNAGATEAREA 0.0276 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0276 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0276 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.4950 0.0300 1.5450 0.3990 ; + RECT 1.0390 0.0300 1.0890 0.4040 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.2030 1.5410 0.2450 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.0430 0.3270 1.0850 0.3690 ; + RECT 1.0430 0.2350 1.0850 0.2770 ; + RECT 1.0430 0.1430 1.0850 0.1850 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 1.4990 0.2950 1.5410 0.3370 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1130 0.6790 2.0920 1.7870 ; + LAYER M1 ; + RECT 1.2310 0.6310 1.6210 0.6810 ; + RECT 1.5350 0.6810 1.6210 0.6990 ; + RECT 1.5350 0.6130 1.6210 0.6310 ; + RECT 1.3740 0.6810 1.4600 0.6990 ; + RECT 1.3740 0.6130 1.4600 0.6310 ; + RECT 1.2310 0.6810 1.2810 0.9560 ; + RECT 1.2310 0.5420 1.2810 0.6310 ; + RECT 1.1910 0.4920 1.2810 0.5420 ; + RECT 1.1910 0.1880 1.2410 0.4920 ; + RECT 1.1910 0.9560 1.2810 1.0060 ; + RECT 1.1910 1.0060 1.2410 1.4260 ; + RECT 1.0790 0.6640 1.1650 0.7500 ; + RECT 1.0790 0.7500 1.1290 1.2260 ; + RECT 0.5830 1.2260 1.1290 1.2760 ; + RECT 1.0790 0.6160 1.1290 0.6640 ; + RECT 0.7350 0.5660 1.1290 0.6160 ; + RECT 0.7350 0.2120 0.7850 0.5660 ; + RECT 0.5830 1.2760 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2260 ; + RECT 0.5830 0.0950 0.9370 0.1450 ; + RECT 0.8870 0.1450 0.9370 0.5040 ; + RECT 0.5830 0.1450 0.6330 0.5980 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.8850 0.1010 1.9150 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 1.5810 0.0690 1.6110 1.6080 ; + RECT 1.1250 0.0540 1.1550 1.6080 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + LAYER CO ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 1.1190 0.6860 1.1610 0.7280 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 1.1950 1.0790 1.2370 1.1210 ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 1.5750 0.6350 1.6170 0.6770 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 1.1950 0.2390 1.2370 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 1.1950 0.3310 1.2370 0.3730 ; + END +END OAI22X2_HVT + +MACRO NOR2X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 0.4900 1.4230 0.5110 ; + RECT 1.3130 0.4010 1.4230 0.4400 ; + RECT 1.1910 0.4900 1.2410 0.4920 ; + RECT 1.1910 0.4400 1.4230 0.4900 ; + RECT 1.1910 0.1310 1.2410 0.4400 ; + RECT 1.1910 0.7740 1.3950 0.8240 ; + RECT 1.3450 0.5110 1.3950 0.7740 ; + RECT 1.1910 0.8240 1.2410 1.5520 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.1950 0.4300 1.2370 0.4720 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 1.1950 0.8410 1.2370 0.8830 ; + RECT 1.1950 0.9330 1.2370 0.9750 ; + RECT 1.1950 1.3980 1.2370 1.4400 ; + RECT 1.1950 1.4900 1.2370 1.5320 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.7350 0.9880 0.7850 1.6420 ; + RECT 1.0390 0.9110 1.0890 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 1.0430 1.2090 1.0850 1.2510 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.7390 1.1000 0.7810 1.1420 ; + RECT 1.0430 0.9310 1.0850 0.9730 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.7390 1.0080 0.7810 1.0500 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 1.0430 1.3010 1.0850 1.3430 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.2950 ; + RECT 1.0390 0.0300 1.0890 0.3870 ; + RECT 0.4310 0.0300 0.4810 0.3300 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2680 0.4770 0.3100 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 0.4350 0.1760 0.4770 0.2180 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4890 0.5530 0.6630 0.7330 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0303 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6310 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0303 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3830 0.7750 0.4330 ; + RECT 0.5830 0.8130 0.7750 0.8630 ; + RECT 0.7250 0.6660 0.8770 0.7160 ; + RECT 0.7250 0.4330 0.7750 0.6660 ; + RECT 0.7250 0.7160 0.7750 0.8130 ; + RECT 0.2790 0.1740 0.3290 0.3830 ; + RECT 0.5830 0.1740 0.6330 0.3830 ; + RECT 0.5830 0.8630 0.6330 1.5520 ; + RECT 0.8870 0.7730 1.0600 0.8230 ; + RECT 0.8870 0.5020 1.0610 0.5520 ; + RECT 1.0100 0.7110 1.0600 0.7730 ; + RECT 1.0100 0.6610 1.1810 0.7110 ; + RECT 1.0100 0.5520 1.0600 0.6610 ; + RECT 0.8870 0.8230 0.9370 1.1520 ; + RECT 0.8870 0.1310 0.9370 0.5020 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 0.8210 0.0710 0.8510 1.6120 ; + RECT 0.9730 0.0710 1.0030 1.6120 ; + RECT 0.0610 0.0710 0.0910 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 0.2130 0.0710 0.2430 1.6120 ; + RECT 0.6690 0.0710 0.6990 1.6120 ; + RECT 0.3650 0.0710 0.3950 1.6120 ; + RECT 0.5170 0.0710 0.5470 1.6120 ; + LAYER CO ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.5870 1.3960 0.6290 1.4380 ; + RECT 0.5870 1.3040 0.6290 1.3460 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.1940 0.6290 0.2360 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 0.8910 0.8120 0.9330 0.8540 ; + RECT 0.5870 0.8420 0.6290 0.8840 ; + RECT 0.5870 0.9340 0.6290 0.9760 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.8150 0.6700 0.8570 0.7120 ; + RECT 0.8910 0.2450 0.9330 0.2870 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.8910 1.0900 0.9330 1.1320 ; + RECT 0.8910 0.9980 0.9330 1.0400 ; + RECT 0.8910 0.9040 0.9330 0.9460 ; + RECT 0.5870 0.2880 0.6290 0.3300 ; + RECT 0.2830 0.2880 0.3250 0.3300 ; + END +END NOR2X0_HVT + +MACRO NOR2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 0.4900 1.4230 0.5110 ; + RECT 1.3130 0.4010 1.4230 0.4400 ; + RECT 1.1910 0.4900 1.2410 0.4920 ; + RECT 1.1910 0.4400 1.4230 0.4900 ; + RECT 1.1910 0.1310 1.2410 0.4400 ; + RECT 1.1910 0.7740 1.3950 0.8240 ; + RECT 1.3450 0.5110 1.3950 0.7740 ; + RECT 1.1910 0.8240 1.2410 1.5520 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.1950 0.4300 1.2370 0.4720 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 1.1950 0.8410 1.2370 0.8830 ; + RECT 1.1950 0.9330 1.2370 0.9750 ; + RECT 1.1950 1.3980 1.2370 1.4400 ; + RECT 1.1950 1.4900 1.2370 1.5320 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.7350 0.9880 0.7850 1.6420 ; + RECT 1.0390 0.9110 1.0890 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 1.0430 1.2090 1.0850 1.2510 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.7390 1.1000 0.7810 1.1420 ; + RECT 1.0430 0.9310 1.0850 0.9730 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.7390 1.0080 0.7810 1.0500 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 1.0430 1.3010 1.0850 1.3430 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.2950 ; + RECT 1.0390 0.0300 1.0890 0.3870 ; + RECT 0.4310 0.0300 0.4810 0.3300 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2680 0.4770 0.3100 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 0.4350 0.1760 0.4770 0.2180 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4890 0.5530 0.6630 0.7330 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0303 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6310 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0303 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3830 0.7750 0.4330 ; + RECT 0.5830 0.8130 0.7750 0.8630 ; + RECT 0.7250 0.6660 0.8770 0.7160 ; + RECT 0.7250 0.4330 0.7750 0.6660 ; + RECT 0.7250 0.7160 0.7750 0.8130 ; + RECT 0.2790 0.1740 0.3290 0.3830 ; + RECT 0.5830 0.1740 0.6330 0.3830 ; + RECT 0.5830 0.8630 0.6330 1.5520 ; + RECT 0.8870 0.7730 1.0600 0.8230 ; + RECT 0.8870 0.5020 1.0610 0.5520 ; + RECT 1.0100 0.7110 1.0600 0.7730 ; + RECT 1.0100 0.6610 1.1810 0.7110 ; + RECT 1.0100 0.5520 1.0600 0.6610 ; + RECT 0.8870 0.8230 0.9370 1.1520 ; + RECT 0.8870 0.1310 0.9370 0.5020 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 0.8210 0.0710 0.8510 1.6120 ; + RECT 0.9730 0.0710 1.0030 1.6120 ; + RECT 0.0610 0.0710 0.0910 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 0.2130 0.0710 0.2430 1.6120 ; + RECT 0.6690 0.0710 0.6990 1.6120 ; + RECT 0.3650 0.0710 0.3950 1.6120 ; + RECT 0.5170 0.0710 0.5470 1.6120 ; + LAYER CO ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.5870 1.3960 0.6290 1.4380 ; + RECT 0.5870 1.3040 0.6290 1.3460 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.1940 0.6290 0.2360 ; + RECT 0.2830 0.1940 0.3250 0.2360 ; + RECT 0.8910 0.8120 0.9330 0.8540 ; + RECT 0.5870 0.8420 0.6290 0.8840 ; + RECT 0.5870 0.9340 0.6290 0.9760 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.8150 0.6700 0.8570 0.7120 ; + RECT 0.8910 0.2450 0.9330 0.2870 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.8910 1.0900 0.9330 1.1320 ; + RECT 0.8910 0.9980 0.9330 1.0400 ; + RECT 0.8910 0.9040 0.9330 0.9460 ; + RECT 0.5870 0.2880 0.6290 0.3300 ; + RECT 0.2830 0.2880 0.3250 0.3300 ; + END +END NOR2X1_HVT + +MACRO NOR2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.4010 1.5750 0.4940 ; + RECT 1.1910 0.8530 1.2410 1.5520 ; + RECT 1.1910 0.8030 1.5510 0.8530 ; + RECT 1.1910 0.5440 1.2410 0.5460 ; + RECT 1.1910 0.1310 1.2410 0.4940 ; + RECT 1.1910 0.4940 1.5750 0.5110 ; + RECT 1.1910 0.5110 1.5510 0.5440 ; + RECT 1.5010 0.5440 1.5510 0.8030 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.8410 1.2370 0.8830 ; + RECT 1.1950 0.9330 1.2370 0.9750 ; + RECT 1.1950 1.4900 1.2370 1.5320 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3980 1.2370 1.4400 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 1.1950 0.4300 1.2370 0.4720 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.7350 0.9730 0.7850 1.6420 ; + RECT 1.3430 0.9110 1.3930 1.6420 ; + RECT 1.0390 1.0040 1.0890 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 1.0430 1.2090 1.0850 1.2510 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.7390 0.9930 0.7810 1.0350 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.3010 1.0850 1.3430 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 0.7390 1.0850 0.7810 1.1270 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + RECT 1.3470 0.9310 1.3890 0.9730 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.3190 ; + RECT 0.7350 0.0300 0.7850 0.3190 ; + RECT 1.3430 0.0300 1.3930 0.3870 ; + RECT 1.0390 0.0300 1.0890 0.3870 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 0.4350 0.2570 0.4770 0.2990 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 1.3470 0.2330 1.3890 0.2750 ; + RECT 1.3470 0.3250 1.3890 0.3670 ; + RECT 0.4350 0.1650 0.4770 0.2070 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.7390 0.2570 0.7810 0.2990 ; + RECT 0.7390 0.1650 0.7810 0.2070 ; + RECT 1.3470 0.1410 1.3890 0.1830 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4890 0.5530 0.6630 0.7330 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.03 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6310 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.03 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3830 0.7750 0.4330 ; + RECT 0.5830 0.8020 0.7750 0.8520 ; + RECT 0.7250 0.6660 0.8770 0.7160 ; + RECT 0.7250 0.4330 0.7750 0.6660 ; + RECT 0.7250 0.7160 0.7750 0.8020 ; + RECT 0.2790 0.1310 0.3290 0.3830 ; + RECT 0.5830 0.1310 0.6330 0.3830 ; + RECT 0.5830 0.8520 0.6330 1.5520 ; + RECT 1.0100 0.6610 1.3330 0.7110 ; + RECT 0.8870 0.5010 1.0600 0.5510 ; + RECT 0.8870 0.8030 1.0610 0.8530 ; + RECT 1.0100 0.5510 1.0600 0.6610 ; + RECT 1.0100 0.7110 1.0600 0.8030 ; + RECT 0.8870 0.8530 0.9370 1.1520 ; + RECT 0.8870 0.7920 0.9370 0.8030 ; + RECT 0.8870 0.1310 0.9370 0.5010 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 0.8210 0.0710 0.8510 1.6120 ; + RECT 0.9730 0.0710 1.0030 1.6120 ; + RECT 0.0610 0.0710 0.0910 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 0.2130 0.0710 0.2430 1.6120 ; + RECT 0.6690 0.0710 0.6990 1.6120 ; + RECT 0.3650 0.0710 0.3950 1.6120 ; + RECT 0.5170 0.0710 0.5470 1.6120 ; + LAYER CO ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 0.2450 0.6290 0.2870 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.5870 1.3960 0.6290 1.4380 ; + RECT 0.5870 1.3040 0.6290 1.3460 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.9340 0.6290 0.9760 ; + RECT 0.2830 0.2450 0.3250 0.2870 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.5870 1.0260 0.6290 1.0680 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.8420 0.6290 0.8840 ; + RECT 0.8150 0.6700 0.8570 0.7120 ; + RECT 0.8910 0.2450 0.9330 0.2870 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.9980 0.9330 1.0400 ; + RECT 0.8910 0.9060 0.9330 0.9480 ; + RECT 0.8910 0.8120 0.9330 0.8540 ; + RECT 0.8910 1.0900 0.9330 1.1320 ; + END +END NOR2X2_HVT + +MACRO NOR2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 0.4010 1.8790 0.5010 ; + RECT 1.4950 0.5510 1.5450 0.5530 ; + RECT 1.1910 0.5510 1.2410 0.5530 ; + RECT 1.4950 0.8260 1.5450 1.5340 ; + RECT 1.1910 0.7760 1.8310 0.8260 ; + RECT 1.1910 0.8260 1.2410 1.5340 ; + RECT 1.4950 0.1310 1.5450 0.5010 ; + RECT 1.1910 0.1310 1.2410 0.5010 ; + RECT 1.1910 0.5010 1.8790 0.5110 ; + RECT 1.7810 0.5510 1.8310 0.7760 ; + RECT 1.1910 0.5110 1.8310 0.5510 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 0.2450 1.5410 0.2870 ; + RECT 1.4990 0.3370 1.5410 0.3790 ; + RECT 1.4990 0.4300 1.5410 0.4720 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.4990 0.9150 1.5410 0.9570 ; + RECT 1.4990 1.4720 1.5410 1.5140 ; + RECT 1.4990 1.1010 1.5410 1.1430 ; + RECT 1.4990 1.0090 1.5410 1.0510 ; + RECT 1.4990 1.1940 1.5410 1.2360 ; + RECT 1.4990 1.3800 1.5410 1.4220 ; + RECT 1.4990 1.2860 1.5410 1.3280 ; + RECT 1.1950 1.2860 1.2370 1.3280 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 1.1950 1.4720 1.2370 1.5140 ; + RECT 1.1950 1.3800 1.2370 1.4220 ; + RECT 1.1950 0.9150 1.2370 0.9570 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.1950 1.0090 1.2370 1.0510 ; + RECT 1.1950 0.4300 1.2370 0.4720 ; + RECT 1.1950 1.1940 1.2370 1.2360 ; + RECT 1.1950 1.1010 1.2370 1.1430 ; + RECT 1.1950 0.8230 1.2370 0.8650 ; + RECT 1.4990 0.8230 1.5410 0.8650 ; + END + ANTENNADIFFAREA 0.2976 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.6470 0.9110 1.6970 1.6420 ; + RECT 1.0390 0.9110 1.0890 1.6420 ; + RECT 1.3430 0.9110 1.3930 1.6420 ; + RECT 0.7350 0.9730 0.7850 1.6420 ; + RECT 0.2790 0.9110 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 1.3470 0.9310 1.3890 0.9730 ; + RECT 1.6510 1.1160 1.6930 1.1580 ; + RECT 1.6510 1.2090 1.6930 1.2510 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 0.7390 1.0850 0.7810 1.1270 ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 1.0430 1.2090 1.0850 1.2510 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 0.7390 0.9930 0.7810 1.0350 ; + RECT 1.0430 1.3010 1.0850 1.3430 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.6510 1.0240 1.6930 1.0660 ; + RECT 1.6510 0.9310 1.6930 0.9730 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.3010 1.6930 1.3430 ; + RECT 1.0430 0.9310 1.0850 0.9730 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 0.2830 0.9310 0.3250 0.9730 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3190 ; + RECT 0.4310 0.0300 0.4810 0.3460 ; + RECT 1.6470 0.0300 1.6970 0.4110 ; + RECT 1.0390 0.0300 1.0890 0.4110 ; + RECT 1.3430 0.0300 1.3930 0.4110 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1650 1.3890 0.2070 ; + RECT 1.3470 0.2570 1.3890 0.2990 ; + RECT 1.3470 0.3490 1.3890 0.3910 ; + RECT 0.7390 0.1650 0.7810 0.2070 ; + RECT 0.7390 0.2570 0.7810 0.2990 ; + RECT 1.6510 0.2570 1.6930 0.2990 ; + RECT 1.6510 0.1650 1.6930 0.2070 ; + RECT 1.0430 0.2570 1.0850 0.2990 ; + RECT 1.0430 0.1650 1.0850 0.2070 ; + RECT 0.4350 0.1920 0.4770 0.2340 ; + RECT 0.4350 0.2840 0.4770 0.3260 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.6510 0.3490 1.6930 0.3910 ; + RECT 1.0430 0.3490 1.0850 0.3910 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4890 0.5530 0.6630 0.7330 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6310 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A1 + OBS + LAYER CO ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.8150 0.6700 0.8570 0.7120 ; + RECT 0.8910 0.2450 0.9330 0.2870 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 0.8910 1.0900 0.9330 1.1320 ; + RECT 0.8910 0.9980 0.9330 1.0400 ; + RECT 0.8910 0.9040 0.9330 0.9460 ; + RECT 0.5870 0.2890 0.6290 0.3310 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.5870 1.3960 0.6290 1.4380 ; + RECT 0.5870 1.3040 0.6290 1.3460 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.1950 0.6290 0.2370 ; + RECT 0.2830 0.1950 0.3250 0.2370 ; + RECT 0.2830 0.2890 0.3250 0.3310 ; + RECT 0.8910 0.8120 0.9330 0.8540 ; + RECT 0.5870 0.8420 0.6290 0.8840 ; + RECT 0.5870 0.9340 0.6290 0.9760 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3970 0.7750 0.4470 ; + RECT 0.5830 0.8010 0.7750 0.8510 ; + RECT 0.7250 0.6660 0.8770 0.7160 ; + RECT 0.7250 0.4470 0.7750 0.6660 ; + RECT 0.7250 0.7160 0.7750 0.8010 ; + RECT 0.2790 0.1750 0.3290 0.3970 ; + RECT 0.5830 0.1750 0.6330 0.3970 ; + RECT 0.5830 0.8510 0.6330 1.5520 ; + RECT 1.0100 0.6610 1.6370 0.7110 ; + RECT 0.8870 0.1310 0.9370 0.5010 ; + RECT 0.8870 0.8250 0.9370 1.1520 ; + RECT 0.8870 0.5010 1.0600 0.5510 ; + RECT 0.8870 0.7750 1.0610 0.8250 ; + RECT 1.0100 0.5510 1.0600 0.6610 ; + RECT 1.0100 0.8250 1.0600 0.8280 ; + RECT 1.0100 0.7110 1.0600 0.7750 ; + LAYER PO ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.7330 0.0710 1.7630 1.6120 ; + RECT 0.8210 0.0710 0.8510 1.6120 ; + RECT 0.9730 0.0710 1.0030 1.6120 ; + RECT 0.0610 0.0710 0.0910 1.6120 ; + RECT 1.8850 0.0710 1.9150 1.6120 ; + RECT 0.2130 0.0710 0.2430 1.6120 ; + RECT 0.6690 0.0710 0.6990 1.6120 ; + RECT 0.3650 0.0710 0.3950 1.6120 ; + RECT 0.5170 0.0710 0.5470 1.6120 ; + END +END NOR2X4_HVT + +MACRO NOR3X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.4010 1.5750 0.4370 ; + RECT 1.4650 0.4870 1.5750 0.5110 ; + RECT 1.3430 0.8130 1.3930 1.5490 ; + RECT 1.4970 0.5110 1.5470 0.7630 ; + RECT 1.3430 0.7630 1.5470 0.8130 ; + RECT 1.3430 0.4870 1.3930 0.4890 ; + RECT 1.3430 0.4370 1.5750 0.4870 ; + RECT 1.3430 0.1280 1.3930 0.4370 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6630 0.4170 0.8150 ; + RECT 0.3500 0.6450 0.4100 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5530 0.8150 0.7470 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 1.1910 1.0010 1.2410 1.6420 ; + RECT 0.8870 0.9620 0.9370 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.8910 1.1660 0.9330 1.2080 ; + RECT 0.8910 0.9820 0.9330 1.0240 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1950 1.0210 1.2370 1.0630 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 1.1130 1.2370 1.1550 ; + RECT 0.8910 1.0740 0.9330 1.1160 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.2000 ; + RECT 0.7350 0.0300 0.7850 0.2240 ; + RECT 0.4310 0.0300 0.4810 0.2240 ; + RECT 1.1910 0.0300 1.2410 0.3840 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.1380 0.9330 0.1800 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.1620 0.4770 0.2040 ; + RECT 1.1950 0.1380 1.2370 0.1800 ; + RECT 0.7390 0.1620 0.7810 0.2040 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.5110 0.5570 0.7470 ; + RECT 0.4010 0.4010 0.5370 0.4420 ; + RECT 0.4010 0.4420 0.5570 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.7350 0.8200 0.9310 0.8700 ; + RECT 0.8810 0.7970 0.9310 0.8200 ; + RECT 0.8810 0.7470 1.0290 0.7970 ; + RECT 0.8810 0.3250 0.9310 0.7470 ; + RECT 0.2630 0.2750 0.9310 0.3250 ; + RECT 0.8810 0.2720 0.9310 0.2750 ; + RECT 0.2790 0.1670 0.3290 0.2750 ; + RECT 0.5830 0.1670 0.6330 0.2750 ; + RECT 0.7350 0.8700 0.7850 1.5490 ; + RECT 1.0390 0.8470 1.2120 0.8970 ; + RECT 1.0390 0.4980 1.2130 0.5480 ; + RECT 1.1620 0.7110 1.2120 0.8470 ; + RECT 1.1620 0.6610 1.3330 0.7110 ; + RECT 1.1620 0.5480 1.2120 0.6610 ; + RECT 1.0390 0.1280 1.0890 0.4980 ; + RECT 1.0390 0.8970 1.0890 1.2330 ; + LAYER PO ; + RECT 1.2770 0.0680 1.3070 1.6090 ; + RECT 1.4290 0.0680 1.4590 1.6090 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 1.1250 0.0680 1.1550 1.6090 ; + RECT 1.5810 0.0700 1.6110 1.6110 ; + RECT 0.8210 0.0680 0.8510 1.6090 ; + RECT 0.0610 0.0680 0.0910 1.6090 ; + RECT 0.2130 0.0680 0.2430 1.6090 ; + RECT 0.6690 0.0680 0.6990 1.6090 ; + RECT 0.3650 0.0680 0.3950 1.6090 ; + RECT 0.5170 0.0680 0.5470 1.6090 ; + LAYER CO ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.9670 0.7510 1.0090 0.7930 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 1.0430 0.8930 1.0850 0.9350 ; + RECT 0.2830 0.1870 0.3250 0.2290 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 1.0430 1.0790 1.0850 1.1210 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.5870 0.1870 0.6290 0.2290 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.7390 0.8400 0.7810 0.8820 ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + END +END NOR3X0_HVT + +MACRO NOR3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.4010 1.5750 0.4370 ; + RECT 1.4650 0.4870 1.5750 0.5110 ; + RECT 1.3430 0.8130 1.3930 1.5490 ; + RECT 1.4970 0.5110 1.5470 0.7630 ; + RECT 1.3430 0.7630 1.5470 0.8130 ; + RECT 1.3430 0.4870 1.3930 0.4890 ; + RECT 1.3430 0.4370 1.5750 0.4870 ; + RECT 1.3430 0.1280 1.3930 0.4370 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.3470 1.3010 1.3890 1.3430 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.3470 1.2090 1.3890 1.2510 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6630 0.4170 0.8150 ; + RECT 0.3500 0.6450 0.4100 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5530 0.8150 0.7470 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 1.1910 1.0010 1.2410 1.6420 ; + RECT 0.8870 0.9620 0.9370 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 0.8910 1.1660 0.9330 1.2080 ; + RECT 0.8910 0.9820 0.9330 1.0240 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1950 1.0210 1.2370 1.0630 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 1.1130 1.2370 1.1550 ; + RECT 0.8910 1.0740 0.9330 1.1160 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.2000 ; + RECT 0.7350 0.0300 0.7850 0.2240 ; + RECT 0.4310 0.0300 0.4810 0.2240 ; + RECT 1.1910 0.0300 1.2410 0.3840 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.1380 0.9330 0.1800 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.1620 0.4770 0.2040 ; + RECT 1.1950 0.1380 1.2370 0.1800 ; + RECT 0.7390 0.1620 0.7810 0.2040 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.5110 0.5570 0.7470 ; + RECT 0.4010 0.4010 0.5370 0.4420 ; + RECT 0.4010 0.4420 0.5570 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0288 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.7350 0.8200 0.9310 0.8700 ; + RECT 0.8810 0.7970 0.9310 0.8200 ; + RECT 0.8810 0.7470 1.0290 0.7970 ; + RECT 0.8810 0.3250 0.9310 0.7470 ; + RECT 0.2630 0.2750 0.9310 0.3250 ; + RECT 0.8810 0.2720 0.9310 0.2750 ; + RECT 0.2790 0.1670 0.3290 0.2750 ; + RECT 0.5830 0.1670 0.6330 0.2750 ; + RECT 0.7350 0.8700 0.7850 1.5490 ; + RECT 1.0390 0.8470 1.2120 0.8970 ; + RECT 1.0390 0.4980 1.2130 0.5480 ; + RECT 1.1620 0.7110 1.2120 0.8470 ; + RECT 1.1620 0.6610 1.3330 0.7110 ; + RECT 1.1620 0.5480 1.2120 0.6610 ; + RECT 1.0390 0.1280 1.0890 0.4980 ; + RECT 1.0390 0.8970 1.0890 1.2330 ; + LAYER PO ; + RECT 1.2770 0.0680 1.3070 1.6090 ; + RECT 1.4290 0.0680 1.4590 1.6090 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 1.1250 0.0680 1.1550 1.6090 ; + RECT 1.5810 0.0700 1.6110 1.6110 ; + RECT 0.8210 0.0680 0.8510 1.6090 ; + RECT 0.0610 0.0680 0.0910 1.6090 ; + RECT 0.2130 0.0680 0.2430 1.6090 ; + RECT 0.6690 0.0680 0.6990 1.6090 ; + RECT 0.3650 0.0680 0.3950 1.6090 ; + RECT 0.5170 0.0680 0.5470 1.6090 ; + LAYER CO ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.9670 0.7510 1.0090 0.7930 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 1.0430 0.8930 1.0850 0.9350 ; + RECT 0.2830 0.1870 0.3250 0.2290 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 1.0430 1.0790 1.0850 1.1210 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.5870 0.1870 0.6290 0.2290 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.7390 0.8400 0.7810 0.8820 ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + END +END NOR3X1_HVT + +MACRO NOR3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.2490 1.7270 0.3590 ; + RECT 1.3430 0.1310 1.3930 0.5000 ; + RECT 1.3430 0.8040 1.7030 0.8540 ; + RECT 1.3430 0.8540 1.3930 1.5340 ; + RECT 1.3430 0.8030 1.3930 0.8040 ; + RECT 1.3430 0.5000 1.7030 0.5500 ; + RECT 1.6530 0.3590 1.7030 0.5000 ; + RECT 1.6530 0.5500 1.7030 0.8040 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.2860 1.3890 1.3280 ; + RECT 1.3470 0.9150 1.3890 0.9570 ; + RECT 1.3470 1.4720 1.3890 1.5140 ; + RECT 1.3470 1.1940 1.3890 1.2360 ; + RECT 1.3470 1.0090 1.3890 1.0510 ; + RECT 1.3470 1.1010 1.3890 1.1430 ; + RECT 1.3470 1.3800 1.3890 1.4220 ; + RECT 1.3470 0.2450 1.3890 0.2870 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 0.3370 1.3890 0.3790 ; + RECT 1.3470 0.8230 1.3890 0.8650 ; + RECT 1.3470 0.4300 1.3890 0.4720 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6630 0.4170 0.8150 ; + RECT 0.3500 0.6450 0.4100 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5510 0.8150 0.7270 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.1910 1.0040 1.2410 1.6420 ; + RECT 1.4950 0.9110 1.5450 1.6420 ; + RECT 0.8870 0.9620 0.9370 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.1950 1.2090 1.2370 1.2510 ; + RECT 0.8910 1.1660 0.9330 1.2080 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 0.8910 1.0740 0.9330 1.1160 ; + RECT 0.8910 0.9820 0.9330 1.0240 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4990 1.0240 1.5410 1.0660 ; + RECT 1.4990 0.9310 1.5410 0.9730 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 1.4990 1.1160 1.5410 1.1580 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.4990 1.2090 1.5410 1.2510 ; + RECT 1.4990 1.3010 1.5410 1.3430 ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.4110 ; + RECT 1.1910 0.0300 1.2410 0.4110 ; + RECT 0.8870 0.0300 0.9370 0.2000 ; + RECT 0.7350 0.0300 0.7850 0.2240 ; + RECT 0.4310 0.0300 0.4810 0.2240 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.1380 0.9330 0.1800 ; + RECT 1.4990 0.3490 1.5410 0.3910 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1950 0.3490 1.2370 0.3910 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4990 0.1650 1.5410 0.2070 ; + RECT 0.4350 0.1620 0.4770 0.2040 ; + RECT 1.1950 0.2570 1.2370 0.2990 ; + RECT 1.1950 0.1650 1.2370 0.2070 ; + RECT 1.4990 0.2570 1.5410 0.2990 ; + RECT 0.7390 0.1620 0.7810 0.2040 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.5110 0.5570 0.7470 ; + RECT 0.4010 0.4010 0.5370 0.4420 ; + RECT 0.4010 0.4420 0.5570 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0285 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.7350 0.8320 0.9310 0.8820 ; + RECT 0.8810 0.7970 0.9310 0.8320 ; + RECT 0.8810 0.7470 1.0290 0.7970 ; + RECT 0.8810 0.3250 0.9310 0.7470 ; + RECT 0.2630 0.2750 0.9310 0.3250 ; + RECT 0.8810 0.2720 0.9310 0.2750 ; + RECT 0.2790 0.1670 0.3290 0.2750 ; + RECT 0.5830 0.1670 0.6330 0.2750 ; + RECT 0.7350 0.8820 0.7850 1.5340 ; + RECT 1.1620 0.6610 1.4850 0.7110 ; + RECT 1.0390 0.8480 1.2120 0.8980 ; + RECT 1.0390 0.4990 1.2130 0.5490 ; + RECT 1.1620 0.7110 1.2120 0.8480 ; + RECT 1.1620 0.5490 1.2120 0.6610 ; + RECT 1.0390 0.8980 1.0890 1.2330 ; + RECT 1.0390 0.1280 1.0890 0.4990 ; + LAYER PO ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 1.1250 0.0680 1.1550 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6110 ; + RECT 0.8210 0.0680 0.8510 1.6090 ; + RECT 0.0610 0.0680 0.0910 1.6090 ; + RECT 0.2130 0.0680 0.2430 1.6090 ; + RECT 0.6690 0.0680 0.6990 1.6090 ; + RECT 0.3650 0.0680 0.3950 1.6090 ; + RECT 0.5170 0.0680 0.5470 1.6090 ; + LAYER CO ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 0.7390 1.4720 0.7810 1.5140 ; + RECT 0.7390 1.3800 0.7810 1.4220 ; + RECT 0.7390 1.2860 0.7810 1.3280 ; + RECT 0.7390 1.1940 0.7810 1.2360 ; + RECT 0.9670 0.7510 1.0090 0.7930 ; + RECT 0.7390 1.1010 0.7810 1.1430 ; + RECT 0.7390 1.0090 0.7810 1.0510 ; + RECT 1.0430 0.8930 1.0850 0.9350 ; + RECT 0.7390 0.9170 0.7810 0.9590 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.2830 0.1870 0.3250 0.2290 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 1.0430 1.0790 1.0850 1.1210 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 0.5870 0.1870 0.6290 0.2290 ; + END +END NOR3X2_HVT + +MACRO NOR3X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 0.4010 2.0310 0.5070 ; + RECT 1.3430 0.7770 1.9830 0.8270 ; + RECT 1.6470 0.5570 1.6970 0.5590 ; + RECT 1.3430 0.5570 1.3930 0.5590 ; + RECT 1.6470 0.8270 1.6970 1.5340 ; + RECT 1.3430 0.8270 1.3930 1.5340 ; + RECT 1.6470 0.1310 1.6970 0.5070 ; + RECT 1.3430 0.1310 1.3930 0.5070 ; + RECT 1.3430 0.5070 2.0310 0.5110 ; + RECT 1.9330 0.5570 1.9830 0.7770 ; + RECT 1.3430 0.5110 1.9830 0.5570 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.6510 0.2450 1.6930 0.2870 ; + RECT 1.6510 0.3370 1.6930 0.3790 ; + RECT 1.6510 1.1010 1.6930 1.1430 ; + RECT 1.6510 0.9150 1.6930 0.9570 ; + RECT 1.6510 1.4720 1.6930 1.5140 ; + RECT 1.6510 1.0090 1.6930 1.0510 ; + RECT 1.6510 1.2860 1.6930 1.3280 ; + RECT 1.3470 1.1010 1.3890 1.1430 ; + RECT 1.6510 1.3800 1.6930 1.4220 ; + RECT 1.3470 0.2450 1.3890 0.2870 ; + RECT 1.3470 1.1940 1.3890 1.2360 ; + RECT 1.3470 1.0090 1.3890 1.0510 ; + RECT 1.3470 0.9150 1.3890 0.9570 ; + RECT 1.3470 1.3800 1.3890 1.4220 ; + RECT 1.3470 1.4720 1.3890 1.5140 ; + RECT 1.3470 1.2860 1.3890 1.3280 ; + RECT 1.6510 1.1940 1.6930 1.2360 ; + RECT 1.3470 0.3370 1.3890 0.3790 ; + RECT 1.6510 0.4300 1.6930 0.4720 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 0.4300 1.3890 0.4720 ; + END + ANTENNADIFFAREA 0.2976 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6630 0.4170 0.8150 ; + RECT 0.3500 0.6450 0.4100 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0282 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6600 0.5530 0.8150 0.7470 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0282 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.7990 0.9110 1.8490 1.6420 ; + RECT 1.1910 1.0040 1.2410 1.6420 ; + RECT 0.8870 0.9620 0.9370 1.6420 ; + RECT 1.4950 0.9110 1.5450 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.3010 1.5410 1.3430 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 0.9310 1.5410 0.9730 ; + RECT 1.8030 1.1160 1.8450 1.1580 ; + RECT 1.4990 1.2090 1.5410 1.2510 ; + RECT 1.4990 1.1160 1.5410 1.1580 ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + RECT 1.1950 1.2090 1.2370 1.2510 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.3010 1.2370 1.3430 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 0.8910 1.0740 0.9330 1.1160 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.3010 1.8450 1.3430 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.4990 1.0240 1.5410 1.0660 ; + RECT 0.8910 1.1660 0.9330 1.2080 ; + RECT 1.8030 1.2090 1.8450 1.2510 ; + RECT 1.8030 1.0240 1.8450 1.0660 ; + RECT 1.8030 0.9310 1.8450 0.9730 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.8910 0.9820 0.9330 1.0240 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.7990 0.0300 1.8490 0.4110 ; + RECT 1.1910 0.0300 1.2410 0.4110 ; + RECT 1.4950 0.0300 1.5450 0.4110 ; + RECT 0.8870 0.0300 0.9370 0.2000 ; + RECT 0.7350 0.0300 0.7850 0.2240 ; + RECT 0.4310 0.0300 0.4810 0.2240 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1650 1.5410 0.2070 ; + RECT 1.4990 0.2570 1.5410 0.2990 ; + RECT 0.4350 0.1620 0.4770 0.2040 ; + RECT 0.7390 0.1620 0.7810 0.2040 ; + RECT 0.8910 0.1380 0.9330 0.1800 ; + RECT 1.8030 0.1650 1.8450 0.2070 ; + RECT 1.8030 0.2570 1.8450 0.2990 ; + RECT 1.8030 0.3490 1.8450 0.3910 ; + RECT 1.1950 0.3490 1.2370 0.3910 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4990 0.3490 1.5410 0.3910 ; + RECT 1.1950 0.2570 1.2370 0.2990 ; + RECT 1.1950 0.1650 1.2370 0.2070 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.5110 0.5570 0.7470 ; + RECT 0.4010 0.4010 0.5370 0.4420 ; + RECT 0.4010 0.4420 0.5570 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0282 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7730 ; + LAYER M1 ; + RECT 1.1620 0.6610 1.7890 0.7110 ; + RECT 1.0390 0.1280 1.0890 0.5010 ; + RECT 1.0390 0.8970 1.0890 1.2330 ; + RECT 1.0390 0.5010 1.2120 0.5510 ; + RECT 1.1620 0.5510 1.2120 0.6610 ; + RECT 1.0400 0.8470 1.2130 0.8720 ; + RECT 1.0390 0.8720 1.2130 0.8970 ; + RECT 1.1620 0.7110 1.2120 0.8470 ; + RECT 0.7350 0.8330 0.9310 0.8830 ; + RECT 0.8810 0.7970 0.9310 0.8330 ; + RECT 0.8810 0.7470 1.0290 0.7970 ; + RECT 0.8810 0.3250 0.9310 0.7470 ; + RECT 0.2630 0.2750 0.9310 0.3250 ; + RECT 0.8810 0.2720 0.9310 0.2750 ; + RECT 0.2790 0.1670 0.3290 0.2750 ; + RECT 0.5830 0.1670 0.6330 0.2750 ; + RECT 0.7350 0.8830 0.7850 1.5340 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6120 ; + RECT 1.5810 0.0710 1.6110 1.6120 ; + RECT 1.4290 0.0710 1.4590 1.6120 ; + RECT 1.2770 0.0710 1.3070 1.6120 ; + RECT 1.7330 0.0710 1.7630 1.6120 ; + RECT 1.8850 0.0710 1.9150 1.6120 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 2.0370 0.0710 2.0670 1.6120 ; + RECT 0.8210 0.0680 0.8510 1.6090 ; + RECT 0.0610 0.0680 0.0910 1.6090 ; + RECT 0.2130 0.0680 0.2430 1.6090 ; + RECT 0.6690 0.0680 0.6990 1.6090 ; + RECT 0.3650 0.0680 0.3950 1.6090 ; + RECT 0.5170 0.0680 0.5470 1.6090 ; + LAYER CO ; + RECT 0.2830 0.1870 0.3250 0.2290 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 1.0430 1.0790 1.0850 1.1210 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 0.5870 0.1870 0.6290 0.2290 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.7390 0.9170 0.7810 0.9590 ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 0.7390 1.4720 0.7810 1.5140 ; + RECT 0.7390 1.3800 0.7810 1.4220 ; + RECT 0.7390 1.2860 0.7810 1.3280 ; + RECT 0.7390 1.1940 0.7810 1.2360 ; + RECT 0.9670 0.7510 1.0090 0.7930 ; + RECT 0.7390 1.1010 0.7810 1.1430 ; + RECT 0.7390 1.0090 0.7810 1.0510 ; + RECT 1.0430 0.8930 1.0850 0.9350 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + END +END NOR3X4_HVT + +MACRO NOR4X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.4010 1.7270 0.4370 ; + RECT 1.6170 0.4870 1.7270 0.5110 ; + RECT 1.4950 0.9420 1.5450 1.5310 ; + RECT 1.4950 0.4870 1.5450 0.4890 ; + RECT 1.4950 0.4370 1.7270 0.4870 ; + RECT 1.4950 0.1280 1.5450 0.4370 ; + RECT 1.4950 0.8920 1.6990 0.9420 ; + RECT 1.6490 0.5110 1.6990 0.8920 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.0980 1.5410 1.1400 ; + RECT 1.4990 1.2830 1.5410 1.3250 ; + RECT 1.4990 0.9120 1.5410 0.9540 ; + RECT 1.4990 1.3770 1.5410 1.4190 ; + RECT 1.4990 1.4690 1.5410 1.5110 ; + RECT 1.4990 1.0060 1.5410 1.0480 ; + RECT 1.4990 1.1910 1.5410 1.2330 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8090 0.6450 0.9670 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A4 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.6450 0.5570 0.8570 ; + RECT 0.4010 0.9500 0.5110 0.9670 ; + RECT 0.4010 0.8570 0.5570 0.9500 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.3430 1.0010 1.3930 1.6420 ; + RECT 1.0390 1.0540 1.0890 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.3470 1.1130 1.3890 1.1550 ; + RECT 1.0430 1.0740 1.0850 1.1160 ; + RECT 1.3470 1.0210 1.3890 1.0630 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.4840 1.3890 1.5260 ; + RECT 1.0430 1.1660 1.0850 1.2080 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3420 ; + RECT 0.4310 0.0300 0.4810 0.3310 ; + RECT 1.3430 0.0300 1.3930 0.3840 ; + RECT 1.0390 0.0300 1.0890 0.2920 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3220 1.3890 0.3640 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.2690 0.4770 0.3110 ; + RECT 0.7390 0.2800 0.7810 0.3220 ; + RECT 1.0430 0.1380 1.0850 0.1800 ; + RECT 1.3470 0.1380 1.3890 0.1800 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0070 0.7190 1.1190 ; + RECT 0.6590 0.6450 0.7090 1.0070 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3550 0.6870 0.4050 0.7470 ; + RECT 0.2490 0.5530 0.4050 0.6870 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3970 1.0830 0.4470 ; + RECT 0.8870 0.9030 1.0830 0.9530 ; + RECT 1.0330 0.7470 1.1810 0.7970 ; + RECT 1.0330 0.4470 1.0830 0.7470 ; + RECT 1.0330 0.7970 1.0830 0.9030 ; + RECT 0.2790 0.2610 0.3290 0.3970 ; + RECT 0.5830 0.2610 0.6330 0.3970 ; + RECT 0.8870 0.2600 0.9370 0.3970 ; + RECT 0.8870 0.9530 0.9370 1.5350 ; + RECT 0.8870 0.8830 0.9370 0.9030 ; + RECT 1.1910 0.4980 1.3650 0.5480 ; + RECT 1.1920 0.8500 1.3650 0.8750 ; + RECT 1.1910 0.8750 1.3650 0.9000 ; + RECT 1.3140 0.6610 1.4850 0.7110 ; + RECT 1.3140 0.5480 1.3640 0.6610 ; + RECT 1.3140 0.7110 1.3640 0.8500 ; + RECT 1.1910 0.1280 1.2410 0.4980 ; + RECT 1.1910 0.9000 1.2410 1.2240 ; + LAYER PO ; + RECT 1.4290 0.0680 1.4590 1.6090 ; + RECT 1.5810 0.0680 1.6110 1.6090 ; + RECT 1.1250 0.0680 1.1550 1.6090 ; + RECT 1.2770 0.0680 1.3070 1.6090 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 1.7330 0.0720 1.7630 1.6090 ; + RECT 0.0610 0.0720 0.0910 1.6090 ; + RECT 0.8210 0.0720 0.8510 1.6090 ; + RECT 0.2130 0.0720 0.2430 1.6090 ; + RECT 0.6690 0.0720 0.6990 1.6090 ; + RECT 0.3650 0.0720 0.3950 1.6090 ; + RECT 0.5170 0.0720 0.5470 1.6090 ; + LAYER CO ; + RECT 0.8910 1.4580 0.9330 1.5000 ; + RECT 0.8910 1.3660 0.9330 1.4080 ; + RECT 0.8910 1.2720 0.9330 1.3140 ; + RECT 0.8910 1.1800 0.9330 1.2220 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.1950 1.1620 1.2370 1.2040 ; + RECT 1.1950 0.9780 1.2370 1.0200 ; + RECT 0.8910 1.0870 0.9330 1.1290 ; + RECT 0.5870 0.2810 0.6290 0.3230 ; + RECT 0.8910 0.9030 0.9330 0.9450 ; + RECT 1.1950 0.8840 1.2370 0.9260 ; + RECT 1.1950 1.0700 1.2370 1.1120 ; + RECT 0.8910 0.9950 0.9330 1.0370 ; + RECT 1.1190 0.7510 1.1610 0.7930 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.1950 0.1480 1.2370 0.1900 ; + RECT 0.8910 0.2800 0.9330 0.3220 ; + RECT 0.2830 0.2810 0.3250 0.3230 ; + END +END NOR4X0_HVT + +MACRO NOR4X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.4010 1.7270 0.4370 ; + RECT 1.6170 0.4870 1.7270 0.5110 ; + RECT 1.4950 0.9420 1.5450 1.5310 ; + RECT 1.4950 0.4870 1.5450 0.4890 ; + RECT 1.4950 0.4370 1.7270 0.4870 ; + RECT 1.4950 0.1280 1.5450 0.4370 ; + RECT 1.4950 0.8920 1.6990 0.9420 ; + RECT 1.6490 0.5110 1.6990 0.8920 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.0980 1.5410 1.1400 ; + RECT 1.4990 1.2830 1.5410 1.3250 ; + RECT 1.4990 0.9120 1.5410 0.9540 ; + RECT 1.4990 1.3770 1.5410 1.4190 ; + RECT 1.4990 1.4690 1.5410 1.5110 ; + RECT 1.4990 1.0060 1.5410 1.0480 ; + RECT 1.4990 1.1910 1.5410 1.2330 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8090 0.6450 0.9670 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A4 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.6450 0.5570 0.8570 ; + RECT 0.4010 0.9500 0.5110 0.9670 ; + RECT 0.4010 0.8570 0.5570 0.9500 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.3430 1.0930 1.3930 1.6420 ; + RECT 1.0390 0.9770 1.0890 1.6420 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1160 0.3250 1.1580 ; + RECT 1.3470 1.1130 1.3890 1.1550 ; + RECT 1.0430 1.0890 1.0850 1.1310 ; + RECT 1.0430 1.1810 1.0850 1.2230 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.4840 1.3890 1.5260 ; + RECT 1.0430 0.9970 1.0850 1.0390 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3010 0.3250 1.3430 ; + RECT 0.2830 1.2090 0.3250 1.2510 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.2830 1.0240 0.3250 1.0660 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.3960 ; + RECT 0.7350 0.0300 0.7850 0.3420 ; + RECT 0.4310 0.0300 0.4810 0.3310 ; + RECT 1.0390 0.0300 1.0890 0.3030 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.2690 0.4770 0.3110 ; + RECT 0.7390 0.2800 0.7810 0.3220 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.7190 1.1190 ; + RECT 0.6590 0.6450 0.7090 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3550 0.6630 0.4050 0.7470 ; + RECT 0.2490 0.5530 0.4050 0.6630 ; + RECT 0.3550 0.5510 0.4050 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6650 0.4010 0.7070 ; + END + ANTENNAGATEAREA 0.0279 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.2790 0.3970 1.0830 0.4470 ; + RECT 0.8870 0.8720 1.0830 0.9220 ; + RECT 1.0330 0.7470 1.1810 0.7970 ; + RECT 1.0330 0.4470 1.0830 0.7470 ; + RECT 1.0330 0.7970 1.0830 0.8720 ; + RECT 0.2790 0.2610 0.3290 0.3970 ; + RECT 0.5830 0.2610 0.6330 0.3970 ; + RECT 0.8870 0.2600 0.9370 0.3970 ; + RECT 0.8870 0.9220 0.9370 1.5350 ; + RECT 1.1910 0.4980 1.3650 0.5480 ; + RECT 1.1920 0.8510 1.3650 0.8760 ; + RECT 1.1910 0.8760 1.3650 0.9010 ; + RECT 1.3140 0.6610 1.4850 0.7110 ; + RECT 1.3140 0.5480 1.3640 0.6610 ; + RECT 1.3140 0.7110 1.3640 0.8510 ; + RECT 1.1910 0.1280 1.2410 0.4980 ; + RECT 1.1910 0.9010 1.2410 1.2240 ; + LAYER PO ; + RECT 1.4290 0.0680 1.4590 1.6090 ; + RECT 1.5810 0.0680 1.6110 1.6090 ; + RECT 1.1250 0.0680 1.1550 1.6090 ; + RECT 1.2770 0.0680 1.3070 1.6090 ; + RECT 0.9730 0.0680 1.0030 1.6090 ; + RECT 1.7330 0.0720 1.7630 1.6090 ; + RECT 0.0610 0.0720 0.0910 1.6090 ; + RECT 0.8210 0.0720 0.8510 1.6090 ; + RECT 0.2130 0.0720 0.2430 1.6090 ; + RECT 0.6690 0.0720 0.6990 1.6090 ; + RECT 0.3650 0.0720 0.3950 1.6090 ; + RECT 0.5170 0.0720 0.5470 1.6090 ; + LAYER CO ; + RECT 0.8910 1.4580 0.9330 1.5000 ; + RECT 0.8910 1.3660 0.9330 1.4080 ; + RECT 0.8910 1.2720 0.9330 1.3140 ; + RECT 0.8910 1.1800 0.9330 1.2220 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.1950 1.0700 1.2370 1.1120 ; + RECT 1.1950 0.9780 1.2370 1.0200 ; + RECT 0.8910 1.0870 0.9330 1.1290 ; + RECT 0.5870 0.2810 0.6290 0.3230 ; + RECT 0.8910 0.9950 0.9330 1.0370 ; + RECT 1.1950 0.8840 1.2370 0.9260 ; + RECT 1.1950 1.1620 1.2370 1.2040 ; + RECT 1.1190 0.7510 1.1610 0.7930 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.1950 0.1480 1.2370 0.1900 ; + RECT 0.8910 0.2800 0.9330 0.3220 ; + RECT 0.2830 0.2810 0.3250 0.3230 ; + RECT 0.8910 0.9030 0.9330 0.9450 ; + END +END NOR4X1_HVT + +MACRO OA21X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.2430 0.9370 1.6420 ; + RECT 1.0390 1.1330 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 1.0430 1.2630 1.0850 1.3050 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8910 1.2630 0.9330 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 0.9870 0.3250 1.0290 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3300 0.5420 1.3800 0.9560 ; + RECT 1.1910 0.9560 1.3800 1.0060 ; + RECT 1.1910 0.5300 1.3800 0.5420 ; + RECT 1.3030 0.3920 1.4390 0.4920 ; + RECT 1.1910 0.4920 1.4390 0.5300 ; + RECT 1.1910 0.1880 1.2410 0.4920 ; + RECT 1.1910 1.0060 1.2410 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.1950 1.0790 1.2370 1.1210 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 0.4230 1.2370 0.4650 ; + RECT 1.1950 0.3310 1.2370 0.3730 ; + RECT 1.1950 0.2390 1.2370 0.2810 ; + RECT 1.1950 0.9870 1.2370 1.0290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7120 0.9670 0.8610 0.9860 ; + RECT 0.8110 0.8070 0.8610 0.8530 ; + RECT 0.7050 0.8530 0.8610 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8270 0.8570 0.8690 ; + END + ANTENNAGATEAREA 0.0135 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5760 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.027 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7170 0.4210 0.8150 ; + RECT 0.2490 0.7010 0.3590 0.7170 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.027 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.0390 0.0300 1.0890 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.0430 0.2030 1.0850 0.2450 ; + RECT 1.0430 0.2950 1.0850 0.3370 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7870 ; + LAYER M1 ; + RECT 1.0790 0.6810 1.1650 0.6990 ; + RECT 1.0790 0.6130 1.1650 0.6310 ; + RECT 0.8870 0.6310 1.1760 0.6810 ; + RECT 0.5830 1.1800 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.1300 ; + RECT 0.7350 1.1800 0.7850 1.3930 ; + RECT 0.7350 1.1060 0.7850 1.1300 ; + RECT 0.9130 0.6810 0.9630 1.1300 ; + RECT 0.8870 0.1810 0.9370 0.6310 ; + RECT 0.5830 1.1300 0.9630 1.1800 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.7350 0.1810 0.7850 0.4120 ; + RECT 0.5830 0.4120 0.7850 0.4620 ; + RECT 0.5830 0.4620 0.6330 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.4120 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.1250 0.0690 1.1550 1.6080 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + LAYER CO ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 1.2300 0.7810 1.2720 ; + RECT 0.7390 1.3220 0.7810 1.3640 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.3030 0.7810 0.3450 ; + RECT 0.7390 0.2110 0.7810 0.2530 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.1190 0.6350 1.1610 0.6770 ; + RECT 0.8910 0.2110 0.9330 0.2530 ; + RECT 0.8910 0.3030 0.9330 0.3450 ; + END +END OA21X1_HVT + +MACRO OA21X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 0.8870 1.2430 0.9370 1.6420 ; + RECT 1.1910 1.1330 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1950 1.1710 1.2370 1.2130 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8910 1.2630 0.9330 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2830 0.9870 0.3250 1.0290 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4820 0.5420 1.5320 0.9560 ; + RECT 1.4550 0.3920 1.5910 0.4920 ; + RECT 1.3430 0.1880 1.3930 0.4920 ; + RECT 1.0390 0.1880 1.0890 0.4920 ; + RECT 1.0390 0.9560 1.5320 1.0060 ; + RECT 1.3430 1.0060 1.3930 1.4260 ; + RECT 1.0390 1.0060 1.0890 1.4260 ; + RECT 1.0390 0.5300 1.5320 0.5420 ; + RECT 1.0390 0.4920 1.5910 0.5300 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2390 1.0850 0.2810 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 1.3470 0.9870 1.3890 1.0290 ; + RECT 1.0430 0.9870 1.0850 1.0290 ; + RECT 1.0430 1.2630 1.0850 1.3050 ; + RECT 1.0430 1.1710 1.0850 1.2130 ; + RECT 1.0430 1.0790 1.0850 1.1210 ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 1.3470 1.0790 1.3890 1.1210 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.3470 0.2390 1.3890 0.2810 ; + RECT 1.0430 0.4230 1.0850 0.4650 ; + RECT 1.0430 0.3310 1.0850 0.3730 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7120 0.9670 0.8610 0.9860 ; + RECT 0.8110 0.8070 0.8610 0.8530 ; + RECT 0.7050 0.8530 0.8610 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8270 0.8570 0.8690 ; + END + ANTENNAGATEAREA 0.0132 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4000 1.4610 0.5730 1.5710 ; + RECT 0.4000 1.5710 0.5100 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4610 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.027 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7170 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7170 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.027 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + RECT 1.1910 0.0300 1.2410 0.3990 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1950 0.2030 1.2370 0.2450 ; + RECT 1.1950 0.2950 1.2370 0.3370 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7870 ; + LAYER M1 ; + RECT 1.2310 0.6810 1.3170 0.6990 ; + RECT 1.2310 0.6130 1.3170 0.6310 ; + RECT 0.8870 0.6310 1.3170 0.6810 ; + RECT 0.5830 1.1800 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.1300 ; + RECT 0.7350 1.1800 0.7850 1.3930 ; + RECT 0.7350 1.1060 0.7850 1.1300 ; + RECT 1.0700 0.6810 1.1560 0.6990 ; + RECT 1.0700 0.6130 1.1560 0.6310 ; + RECT 0.9130 0.6810 0.9630 1.1300 ; + RECT 0.8870 0.1810 0.9370 0.6310 ; + RECT 0.5830 1.1300 0.9630 1.1800 ; + RECT 0.2790 0.5980 0.6330 0.6480 ; + RECT 0.7350 0.1810 0.7850 0.4120 ; + RECT 0.5830 0.4120 0.7850 0.4620 ; + RECT 0.5830 0.4620 0.6330 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.4120 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + LAYER PO ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 1.1250 0.0690 1.1550 1.6080 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + RECT 1.4290 0.1010 1.4590 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.2770 0.0690 1.3070 1.6080 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + LAYER CO ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 1.2710 0.6350 1.3130 0.6770 ; + RECT 0.8910 0.2110 0.9330 0.2530 ; + RECT 0.8910 0.3030 0.9330 0.3450 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 1.2300 0.7810 1.2720 ; + RECT 0.7390 1.3220 0.7810 1.3640 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 0.7390 0.3030 0.7810 0.3450 ; + RECT 0.7390 0.2110 0.7810 0.2530 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.1190 0.6350 1.1610 0.6770 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + END +END OA21X2_HVT + +MACRO OA221X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7100 0.4210 0.8150 ; + RECT 0.2710 0.8150 0.4210 0.8170 ; + RECT 0.2490 0.7010 0.3590 0.7100 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0228 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.3990 ; + RECT 0.4310 0.0300 0.4810 0.5120 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.2950 1.3890 0.3370 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.4230 0.4770 0.4650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.3470 0.2030 1.3890 0.2450 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.4350 0.3310 0.4770 0.3730 ; + RECT 0.4350 0.2390 0.4770 0.2810 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.2790 0.9580 0.3290 1.6420 ; + RECT 1.3430 1.1330 1.3930 1.6420 ; + RECT 1.0390 1.3330 1.0890 1.6420 ; + RECT 0.8870 1.3350 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.1710 1.3890 1.2130 ; + RECT 0.2830 1.2630 0.3250 1.3050 ; + RECT 0.2830 1.0790 0.3250 1.1210 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.3470 1.3550 1.3890 1.3970 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.3550 0.3250 1.3970 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.1710 0.3250 1.2130 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.3470 1.2630 1.3890 1.3050 ; + RECT 0.8910 1.3550 0.9330 1.3970 ; + RECT 1.0430 1.3550 1.0850 1.3970 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5600 0.9670 0.7090 0.9860 ; + RECT 0.6590 0.7130 0.7090 0.8540 ; + RECT 0.5530 0.8540 0.7090 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0228 ; + END A4 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.4650 0.5730 1.5710 ; + RECT 0.4010 1.5710 0.5110 1.5750 ; + RECT 0.4260 1.4600 0.5730 1.4650 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.4820 0.5530 1.5240 ; + END + ANTENNAGATEAREA 0.0228 ; + END A2 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0230 0.5410 1.1230 0.5490 ; + RECT 1.0090 0.5490 1.1230 0.6010 ; + RECT 1.0090 0.6010 1.1650 0.6580 ; + RECT 1.1150 0.6750 1.1650 0.8080 ; + RECT 1.0230 0.6580 1.1650 0.6750 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7390 1.1610 0.7810 ; + END + ANTENNAGATEAREA 0.0174 ; + END A5 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6340 0.5420 1.6840 0.9560 ; + RECT 1.4950 0.9560 1.6840 1.0060 ; + RECT 1.4950 0.5300 1.6840 0.5420 ; + RECT 1.5990 0.3920 1.7590 0.4920 ; + RECT 1.4950 0.4920 1.7590 0.5300 ; + RECT 1.4950 0.1880 1.5450 0.4920 ; + RECT 1.4950 1.0060 1.5450 1.4260 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.3550 1.5410 1.3970 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 1.4990 1.2630 1.5410 1.3050 ; + RECT 1.4990 0.3310 1.5410 0.3730 ; + RECT 1.4990 0.2390 1.5410 0.2810 ; + RECT 1.4990 0.9870 1.5410 1.0290 ; + RECT 1.4990 1.0790 1.5410 1.1210 ; + RECT 1.4990 1.1710 1.5410 1.2130 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8110 0.8420 0.8610 1.0020 ; + RECT 0.8110 1.0020 0.9680 1.1390 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8660 0.8570 0.9080 ; + END + ANTENNAGATEAREA 0.0228 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1350 0.6790 1.9400 1.7870 ; + LAYER M1 ; + RECT 1.3830 0.6810 1.4690 0.6990 ; + RECT 1.3830 0.6130 1.4690 0.6310 ; + RECT 1.2270 0.6310 1.4690 0.6810 ; + RECT 0.5830 1.2630 0.6330 1.3930 ; + RECT 0.5830 1.1060 0.6330 1.2130 ; + RECT 1.0390 0.9030 1.2770 0.9530 ; + RECT 1.1910 0.4830 1.2770 0.5330 ; + RECT 1.2270 0.5330 1.2770 0.6310 ; + RECT 1.1910 0.9530 1.2410 1.4270 ; + RECT 1.2270 0.6810 1.2770 0.9030 ; + RECT 1.1910 0.2110 1.2410 0.4830 ; + RECT 1.0390 0.9530 1.0890 1.2130 ; + RECT 0.5830 1.2130 1.0890 1.2630 ; + RECT 0.2790 0.5980 0.9370 0.6480 ; + RECT 0.8870 0.2080 0.9370 0.5980 ; + RECT 0.5830 0.1810 0.6330 0.5980 ; + RECT 0.2790 0.1780 0.3290 0.5980 ; + RECT 0.7350 0.0980 1.0890 0.1480 ; + RECT 0.7350 0.1480 0.7850 0.5010 ; + RECT 1.0390 0.1480 1.0890 0.4310 ; + LAYER PO ; + RECT 1.2770 0.1010 1.3070 1.4690 ; + RECT 0.3650 0.1010 0.3950 1.4690 ; + RECT 0.9730 0.1010 1.0030 1.4690 ; + RECT 0.0610 0.1010 0.0910 1.4690 ; + RECT 1.7330 0.1010 1.7630 1.4690 ; + RECT 1.5810 0.1010 1.6110 1.4690 ; + RECT 1.1250 0.1010 1.1550 1.4690 ; + RECT 1.4290 0.0690 1.4590 1.6080 ; + RECT 0.2130 0.1010 0.2430 1.4690 ; + RECT 0.5170 0.1010 0.5470 1.5670 ; + RECT 0.6690 0.1010 0.6990 1.4690 ; + RECT 0.8210 0.1010 0.8510 1.4690 ; + LAYER CO ; + RECT 1.1950 0.3620 1.2370 0.4040 ; + RECT 0.2830 0.3310 0.3250 0.3730 ; + RECT 1.1950 0.2700 1.2370 0.3120 ; + RECT 0.5870 0.4230 0.6290 0.4650 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + RECT 0.2830 0.4230 0.3250 0.4650 ; + RECT 1.1950 1.3550 1.2370 1.3970 ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 1.0430 0.3620 1.0850 0.4040 ; + RECT 1.4230 0.6350 1.4650 0.6770 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 1.0430 0.2700 1.0850 0.3120 ; + RECT 1.0430 0.1780 1.0850 0.2200 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.5870 1.1380 0.6290 1.1800 ; + RECT 0.7390 0.3310 0.7810 0.3730 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.7390 0.4230 0.7810 0.4650 ; + RECT 0.5870 0.3310 0.6290 0.3730 ; + RECT 0.5870 1.2300 0.6290 1.2720 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.1950 1.2630 1.2370 1.3050 ; + END +END OA221X1_HVT + +MACRO NAND3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.3070 ; + RECT 1.1910 0.0300 1.2410 0.4910 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1950 0.1530 1.2370 0.1950 ; + RECT 1.1950 0.1530 1.2370 0.1950 ; + RECT 1.1950 0.2450 1.2370 0.2870 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.1530 0.9330 0.1950 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8910 0.1530 0.9330 0.1950 ; + RECT 1.1950 0.4290 1.2370 0.4710 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.8910 0.2450 0.9330 0.2870 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 1.1910 0.8150 1.2410 1.6420 ; + RECT 0.2790 1.2850 0.3290 1.6420 ; + RECT 0.5830 1.2880 0.6330 1.6420 ; + RECT 0.8870 1.1830 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3430 0.8070 1.5740 0.8540 ; + RECT 1.4640 0.8570 1.5750 0.9670 ; + RECT 1.3430 0.8540 1.5750 0.8570 ; + RECT 1.3430 0.1250 1.3930 0.4550 ; + RECT 1.3430 0.8570 1.3930 1.5590 ; + RECT 1.3830 0.5160 1.4330 0.8070 ; + RECT 1.3430 0.4550 1.4330 0.5160 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1530 1.3890 0.1950 ; + RECT 1.3470 0.1530 1.3890 0.1950 ; + RECT 1.3470 0.2450 1.3890 0.2870 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.4290 1.3890 0.4710 ; + RECT 1.3470 0.3370 1.3890 0.3790 ; + RECT 1.3470 0.3370 1.3890 0.3790 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7040 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7370 0.4010 0.7790 ; + END + ANTENNAGATEAREA 0.0201 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0201 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0201 ; + END A2 + OBS + LAYER CO ; + RECT 1.2710 0.6460 1.3130 0.6880 ; + RECT 0.9670 0.7350 1.0090 0.7770 ; + RECT 1.0430 0.1530 1.0850 0.1950 ; + RECT 1.0430 0.1530 1.0850 0.1950 ; + RECT 1.0430 0.2450 1.0850 0.2870 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 0.7390 1.3080 0.7810 1.3500 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 1.0790 0.6420 1.3330 0.6920 ; + RECT 1.0390 0.8590 1.1290 0.9090 ; + RECT 1.0390 0.9090 1.0890 1.5590 ; + RECT 1.0390 0.1250 1.0890 0.4660 ; + RECT 1.0390 0.4660 1.1290 0.5160 ; + RECT 1.0790 0.5160 1.1290 0.6420 ; + RECT 1.0790 0.6920 1.1290 0.8590 ; + RECT 0.7770 0.7310 1.0290 0.7810 ; + RECT 0.4310 1.2380 0.4810 1.5540 ; + RECT 0.7350 0.5710 0.8270 0.6210 ; + RECT 0.7350 0.1210 0.7850 0.5710 ; + RECT 0.7770 0.6210 0.8270 0.7310 ; + RECT 0.7350 1.2380 0.7850 1.5540 ; + RECT 0.4310 1.1880 0.8270 1.2380 ; + RECT 0.7770 0.7810 0.8270 1.1880 ; + LAYER PO ; + RECT 1.5810 0.0640 1.6110 1.6000 ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + RECT 0.8210 0.0820 0.8510 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6100 ; + RECT 0.3650 0.0710 0.3950 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6100 ; + RECT 0.9730 0.0640 1.0030 1.6100 ; + RECT 1.1250 0.0640 1.1550 1.6000 ; + RECT 1.4290 0.0640 1.4590 1.6000 ; + RECT 1.2770 0.0640 1.3070 1.6040 ; + END +END NAND3X1_HVT + +MACRO NAND3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7040 0.3590 0.7350 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7370 0.4010 0.7790 ; + END + ANTENNAGATEAREA 0.0198 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.2790 1.3800 0.3290 1.6420 ; + RECT 0.5830 1.3800 0.6330 1.6420 ; + RECT 0.8870 1.1860 0.9370 1.6420 ; + RECT 1.1910 0.8180 1.2410 1.6420 ; + RECT 1.4950 0.8180 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + RECT 1.4950 0.0300 1.5450 0.3900 ; + RECT 1.1910 0.0300 1.2410 0.4820 ; + RECT 0.8870 0.0300 0.9370 0.3180 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4990 0.2360 1.5410 0.2780 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4990 0.1440 1.5410 0.1860 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1950 0.3280 1.2370 0.3700 ; + RECT 1.1950 0.3280 1.2370 0.3700 ; + RECT 1.1950 0.2360 1.2370 0.2780 ; + RECT 1.4990 0.1440 1.5410 0.1860 ; + RECT 1.1950 0.1440 1.2370 0.1860 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 1.1950 0.1440 1.2370 0.1860 ; + RECT 0.8910 0.2560 0.9330 0.2980 ; + RECT 0.8910 0.1640 0.9330 0.2060 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.8910 0.1640 0.9330 0.2060 ; + RECT 1.1950 0.4200 1.2370 0.4620 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.3280 1.5410 0.3700 ; + RECT 1.4990 0.3280 1.5410 0.3700 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0198 ; + END A2 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5830 0.5530 1.7270 0.6630 ; + RECT 1.3430 0.7420 1.3930 1.5590 ; + RECT 1.3430 0.6920 1.6330 0.7420 ; + RECT 1.3430 0.1240 1.3930 0.4560 ; + RECT 1.5830 0.6630 1.6330 0.6920 ; + RECT 1.3430 0.4560 1.6330 0.5060 ; + RECT 1.5830 0.5060 1.6330 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 0.2360 1.3890 0.2780 ; + RECT 1.3470 0.1440 1.3890 0.1860 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 0.3280 1.3890 0.3700 ; + RECT 1.3470 0.3280 1.3890 0.3700 ; + RECT 1.3470 0.4200 1.3890 0.4620 ; + RECT 1.3470 0.1440 1.3890 0.1860 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0198 ; + END A1 + OBS + LAYER CO ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 1.4230 0.5950 1.4650 0.6370 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 0.9670 0.7350 1.0090 0.7770 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 1.0430 0.1640 1.0850 0.2060 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 1.0430 0.2560 1.0850 0.2980 ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 1.2710 0.5950 1.3130 0.6370 ; + RECT 1.0430 0.1640 1.0850 0.2060 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 1.0790 0.5910 1.4850 0.6410 ; + RECT 1.0390 0.1360 1.0890 0.4550 ; + RECT 1.0390 0.4550 1.1290 0.5050 ; + RECT 1.0390 0.9090 1.0890 1.5590 ; + RECT 1.0390 0.8590 1.1290 0.9090 ; + RECT 1.0790 0.6410 1.1290 0.8590 ; + RECT 1.0790 0.5050 1.1290 0.5910 ; + RECT 0.7770 0.7310 1.0290 0.7810 ; + RECT 0.4310 1.2990 0.4810 1.5540 ; + RECT 0.7350 0.1210 0.7850 0.5710 ; + RECT 0.7350 0.5710 0.8270 0.6210 ; + RECT 0.7350 1.2990 0.7850 1.5540 ; + RECT 0.4310 1.2490 0.8270 1.2990 ; + RECT 0.7770 0.6210 0.8270 0.7310 ; + RECT 0.7770 0.7810 0.8270 1.2490 ; + LAYER PO ; + RECT 1.5810 0.0640 1.6110 1.6040 ; + RECT 1.7330 0.0640 1.7630 1.6040 ; + RECT 1.2770 0.0640 1.3070 1.6040 ; + RECT 1.1250 0.0640 1.1550 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.9730 0.0640 1.0030 1.6040 ; + RECT 1.4290 0.0640 1.4590 1.6040 ; + END +END NAND3X2_HVT + +MACRO NAND3X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7040 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7370 0.4010 0.7790 ; + END + ANTENNAGATEAREA 0.0198 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0198 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7990 0.1150 1.8490 0.5140 ; + RECT 1.4950 0.1150 1.5450 0.5140 ; + RECT 1.1910 0.5140 1.9540 0.5530 ; + RECT 1.1910 0.1150 1.2410 0.5140 ; + RECT 1.4950 0.7930 1.5450 1.5650 ; + RECT 1.1910 0.7430 1.9540 0.7930 ; + RECT 1.1910 0.7930 1.2410 1.5650 ; + RECT 1.7990 0.7930 1.8490 1.5650 ; + RECT 1.9040 0.6630 1.9540 0.7430 ; + RECT 1.9040 0.5640 2.0410 0.6630 ; + RECT 1.1910 0.5530 2.0410 0.5640 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.2120 1.8450 1.2540 ; + RECT 1.8030 0.8440 1.8450 0.8860 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.1950 1.1200 1.2370 1.1620 ; + RECT 1.1950 1.1200 1.2370 1.1620 ; + RECT 1.1950 1.0280 1.2370 1.0700 ; + RECT 1.8030 0.9360 1.8450 0.9780 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 1.8030 0.2440 1.8450 0.2860 ; + RECT 1.1950 1.3960 1.2370 1.4380 ; + RECT 1.8030 0.4280 1.8450 0.4700 ; + RECT 1.1950 1.4880 1.2370 1.5300 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.4990 0.1500 1.5410 0.1920 ; + RECT 1.1950 0.8440 1.2370 0.8860 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.1950 0.4260 1.2370 0.4680 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.8030 0.9360 1.8450 0.9780 ; + RECT 1.4990 0.9360 1.5410 0.9780 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 0.8440 1.5410 0.8860 ; + RECT 1.8030 1.3040 1.8450 1.3460 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 0.2420 1.5410 0.2840 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 1.1200 1.8450 1.1620 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.3960 1.2370 1.4380 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 1.4990 0.8440 1.5410 0.8860 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.2120 1.8450 1.2540 ; + RECT 1.8030 1.3040 1.8450 1.3460 ; + RECT 1.1950 1.0280 1.2370 1.0700 ; + RECT 1.1950 0.9360 1.2370 0.9780 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.1950 0.9360 1.2370 0.9780 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 1.4990 0.1500 1.5410 0.1920 ; + RECT 1.4990 0.4260 1.5410 0.4680 ; + RECT 1.8030 1.1200 1.8450 1.1620 ; + RECT 1.1950 0.8440 1.2370 0.8860 ; + RECT 1.4990 0.3340 1.5410 0.3760 ; + RECT 1.4990 0.9360 1.5410 0.9780 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.8030 1.0280 1.8450 1.0700 ; + RECT 1.8030 0.8440 1.8450 0.8860 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.8030 1.0280 1.8450 1.0700 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + END + ANTENNADIFFAREA 0.3972 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.3310 ; + RECT 1.6470 0.0300 1.6970 0.4130 ; + RECT 1.3430 0.0300 1.3930 0.4130 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.3470 0.1520 1.3890 0.1940 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.6510 0.2440 1.6930 0.2860 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.8910 0.2690 0.9330 0.3110 ; + RECT 0.8910 0.1770 0.9330 0.2190 ; + RECT 0.8910 0.1770 0.9330 0.2190 ; + RECT 1.3470 0.2440 1.3890 0.2860 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 1.3470 0.1520 1.3890 0.1940 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0198 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.3430 0.8470 1.3930 1.6420 ; + RECT 1.6470 0.8470 1.6970 1.6420 ; + RECT 0.8870 1.1830 0.9370 1.6420 ; + RECT 0.2790 1.3770 0.3290 1.6420 ; + RECT 0.5830 1.3770 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 1.3470 1.4880 1.3890 1.5300 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.3470 1.1200 1.3890 1.1620 ; + RECT 1.3470 1.1200 1.3890 1.1620 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.3470 0.9360 1.3890 0.9780 ; + RECT 1.3470 0.9360 1.3890 0.9780 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 1.3470 1.0280 1.3890 1.0700 ; + RECT 1.3470 1.0280 1.3890 1.0700 ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.3470 1.4880 1.3890 1.5300 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + END + END VDD + OBS + LAYER CO ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 1.2710 0.6460 1.3130 0.6880 ; + RECT 1.7270 0.6460 1.7690 0.6880 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 0.9670 0.7350 1.0090 0.7770 ; + RECT 1.5750 0.6460 1.6170 0.6880 ; + RECT 1.4230 0.6460 1.4650 0.6880 ; + RECT 1.0430 0.2690 1.0850 0.3110 ; + RECT 1.0430 0.1770 1.0850 0.2190 ; + RECT 1.0430 0.1770 1.0850 0.2190 ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7730 ; + LAYER M1 ; + RECT 1.0790 0.6420 1.7890 0.6920 ; + RECT 1.0390 0.9060 1.0890 1.5560 ; + RECT 1.0390 0.8560 1.1290 0.9060 ; + RECT 1.0390 0.1490 1.0890 0.4550 ; + RECT 1.0390 0.4550 1.1290 0.5050 ; + RECT 1.0790 0.5050 1.1290 0.6420 ; + RECT 1.0790 0.6920 1.1290 0.8560 ; + RECT 0.7770 0.7310 1.0290 0.7810 ; + RECT 0.4310 1.2350 0.4810 1.5510 ; + RECT 0.7350 0.5710 0.8270 0.6210 ; + RECT 0.7350 0.1210 0.7850 0.5710 ; + RECT 0.7350 1.2350 0.7850 1.5510 ; + RECT 0.4310 1.1850 0.8270 1.2350 ; + RECT 0.7770 0.6210 0.8270 0.7310 ; + RECT 0.7770 0.7810 0.8270 1.1850 ; + LAYER PO ; + RECT 0.6690 0.0710 0.6990 1.6100 ; + RECT 0.9730 0.0640 1.0030 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6100 ; + RECT 0.3650 0.0710 0.3950 1.6100 ; + RECT 1.1250 0.0640 1.1550 1.6000 ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + RECT 0.8210 0.0710 0.8510 1.6100 ; + RECT 1.4290 0.0720 1.4590 1.6100 ; + RECT 1.5810 0.0720 1.6110 1.6100 ; + RECT 1.7330 0.0720 1.7630 1.6100 ; + RECT 2.0370 0.0720 2.0670 1.6100 ; + RECT 1.8850 0.0720 1.9150 1.6100 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + END +END NAND3X4_HVT + +MACRO NAND4X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7380 0.7050 0.7800 ; + END + ANTENNAGATEAREA 0.0276 ; + END A2 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7380 0.4010 0.7800 ; + END + ANTENNAGATEAREA 0.0276 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.7350 1.1930 0.7850 1.6420 ; + RECT 0.4310 1.1930 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.3050 0.7810 1.3470 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 0.7390 1.2130 0.7810 1.2550 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.2130 0.4770 1.2550 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5710 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.5090 0.3250 0.5510 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.8870 0.5730 0.9370 ; + RECT 0.4010 0.9370 0.5110 0.9670 ; + RECT 0.4010 0.8570 0.5110 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.0276 ; + END A3 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 1.0530 0.9770 1.1030 ; + RECT 0.8870 0.6010 0.9770 0.6510 ; + RECT 0.8570 0.7050 0.9770 0.8150 ; + RECT 0.8870 0.1210 0.9370 0.6010 ; + RECT 0.8870 1.1030 0.9370 1.5510 ; + RECT 0.5830 1.1030 0.6330 1.5510 ; + RECT 0.2790 1.1030 0.3290 1.5510 ; + RECT 0.9270 0.8150 0.9770 1.0530 ; + RECT 0.9270 0.6510 0.9770 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.5090 0.9330 0.5510 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 0.8910 1.3050 0.9330 1.3470 ; + RECT 0.5870 1.2130 0.6290 1.2550 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.8910 1.3970 0.9330 1.4390 ; + RECT 0.8910 1.4890 0.9330 1.5310 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.2830 1.2130 0.3250 1.2550 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 1.2130 0.9330 1.2550 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + END + ANTENNADIFFAREA 0.1834 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.8870 0.8770 0.9370 ; + RECT 0.7050 0.9370 0.8150 0.9670 ; + RECT 0.7050 0.8570 0.8150 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8910 0.8570 0.9330 ; + END + ANTENNAGATEAREA 0.0276 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.7160 1.3310 1.7730 ; + RECT -0.1150 0.6790 0.1910 0.7160 ; + RECT 1.0180 0.6790 1.3310 0.7160 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6100 ; + RECT 0.9730 0.0710 1.0030 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6100 ; + RECT 0.3650 0.0710 0.3950 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 0.8210 0.0710 0.8510 1.6100 ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + END +END NAND4X0_HVT + +MACRO NAND4X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5900 0.7050 1.7270 0.8150 ; + RECT 1.3430 0.1150 1.3930 0.5140 ; + RECT 1.3430 0.5140 1.6400 0.5640 ; + RECT 1.3430 0.8760 1.3930 1.5610 ; + RECT 1.3430 0.8260 1.6400 0.8760 ; + RECT 1.5900 0.8150 1.6400 0.8260 ; + RECT 1.5900 0.5640 1.6400 0.7050 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.4260 1.3890 0.4680 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 0.9320 1.3890 0.9740 ; + RECT 1.3470 0.9320 1.3890 0.9740 ; + RECT 1.3470 1.0240 1.3890 1.0660 ; + RECT 1.3470 1.1160 1.3890 1.1580 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 1.3470 1.2080 1.3890 1.2500 ; + RECT 1.3470 1.4840 1.3890 1.5260 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.3220 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + RECT 1.4950 0.0300 1.5450 0.4130 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.0430 0.1680 1.0850 0.2100 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.0430 0.2600 1.0850 0.3020 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.1680 1.0850 0.2100 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.4950 1.0040 1.5450 1.6420 ; + RECT 0.7350 1.3770 0.7850 1.6420 ; + RECT 0.4310 1.3770 0.4810 1.6420 ; + RECT 1.0390 1.1830 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4990 1.0240 1.5410 1.0660 ; + RECT 1.4990 1.1160 1.5410 1.1580 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.4990 1.2080 1.5410 1.2500 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 1.4990 1.3920 1.5410 1.4340 ; + RECT 1.4990 1.3000 1.5410 1.3420 ; + RECT 1.4990 1.3920 1.5410 1.4340 ; + RECT 1.4990 1.4840 1.5410 1.5260 ; + RECT 1.4990 1.1160 1.5410 1.1580 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4990 1.3000 1.5410 1.3420 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 1.4990 1.4840 1.5410 1.5260 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + RECT 1.4990 1.0240 1.5410 1.0660 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 1.4990 1.2080 1.5410 1.2500 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7380 0.7050 0.7800 ; + END + ANTENNAGATEAREA 0.0183 ; + END A2 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7380 0.4010 0.7800 ; + END + ANTENNAGATEAREA 0.0183 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0183 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.0390 0.8770 1.0890 ; + RECT 0.7050 1.0090 0.8150 1.0390 ; + RECT 0.7050 1.0890 0.8150 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.0430 0.8570 1.0850 ; + END + ANTENNAGATEAREA 0.0183 ; + END A1 + OBS + LAYER CO ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.8910 1.4890 0.9330 1.5310 ; + RECT 1.4230 0.6180 1.4650 0.6600 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.1190 0.7410 1.1610 0.7830 ; + RECT 1.1950 1.2950 1.2370 1.3370 ; + RECT 1.1950 1.4790 1.2370 1.5210 ; + RECT 1.1950 1.2030 1.2370 1.2450 ; + RECT 1.1950 1.2950 1.2370 1.3370 ; + RECT 1.1950 1.3870 1.2370 1.4290 ; + RECT 1.1950 1.2030 1.2370 1.2450 ; + RECT 1.1950 1.3870 1.2370 1.4290 ; + RECT 1.1950 1.4790 1.2370 1.5210 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 0.8910 1.3970 0.9330 1.4390 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 1.1950 0.1680 1.2370 0.2100 ; + RECT 1.1950 0.2600 1.2370 0.3020 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 1.1950 0.1680 1.2370 0.2100 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.9270 0.7370 1.1810 0.7870 ; + RECT 0.2790 1.3270 0.3290 1.5510 ; + RECT 0.5830 1.3270 0.6330 1.5510 ; + RECT 0.2790 1.2770 0.9770 1.3270 ; + RECT 0.8870 0.5870 0.9770 0.6370 ; + RECT 0.8870 1.3270 0.9370 1.5510 ; + RECT 0.8870 0.1210 0.9370 0.5870 ; + RECT 0.9270 0.7870 0.9770 1.2770 ; + RECT 0.9270 0.6370 0.9770 0.7370 ; + RECT 1.2310 0.6140 1.4850 0.6640 ; + RECT 1.1910 0.9060 1.2410 1.5560 ; + RECT 1.1910 0.8560 1.2810 0.9060 ; + RECT 1.1910 0.1400 1.2410 0.4550 ; + RECT 1.1910 0.4550 1.2810 0.5050 ; + RECT 1.2310 0.6640 1.2810 0.8560 ; + RECT 1.2310 0.5050 1.2810 0.6140 ; + LAYER PO ; + RECT 0.3650 0.0710 0.3950 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 1.2770 0.0640 1.3070 1.6000 ; + RECT 1.1250 0.0640 1.1550 1.6100 ; + RECT 0.8210 0.0710 0.8510 1.6100 ; + RECT 1.5810 0.0710 1.6110 1.6100 ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + RECT 1.4290 0.0720 1.4590 1.6100 ; + RECT 0.9730 0.0710 1.0030 1.6100 ; + RECT 1.7330 0.0640 1.7630 1.6000 ; + END +END NAND4X1_HVT + +MACRO NBUFFX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.7400 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + END + ANTENNAGATEAREA 0.1098 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 3.1670 0.9920 3.2170 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 2.8630 0.9920 2.9130 1.6420 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 3.1670 0.0300 3.2170 0.4100 ; + RECT 2.8630 0.0300 2.9130 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.1710 0.2410 3.2130 0.2830 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.2410 2.9090 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.3780 0.5870 3.5510 0.6630 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 3.0150 0.9420 3.0650 1.5640 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5650 ; + RECT 2.4070 0.9420 2.4570 1.5640 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 3.3780 0.6630 3.4280 0.8920 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 3.0150 0.1160 3.0650 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 2.1030 0.1170 2.1530 0.5370 ; + RECT 2.4070 0.1160 2.4570 0.5370 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 1.4950 0.1160 1.5450 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5640 ; + RECT 0.8870 0.8920 3.4280 0.9420 ; + RECT 0.8870 0.5370 3.5510 0.5870 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.2430 3.0610 0.2850 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.4270 3.0610 0.4690 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.4270 2.4530 0.4690 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.2430 2.4530 0.2850 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.4280 2.1490 0.4700 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 2.1070 1.3040 2.1490 1.3460 ; + RECT 2.1070 1.3040 2.1490 1.3460 ; + RECT 2.1070 1.2120 2.1490 1.2540 ; + RECT 2.1070 1.2120 2.1490 1.2540 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.1070 1.1200 2.1490 1.1620 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.0280 2.1490 1.0700 ; + RECT 2.1070 1.1200 2.1490 1.1620 ; + RECT 2.1070 1.0280 2.1490 1.0700 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + END + ANTENNADIFFAREA 1.2904 ; + END Y + OBS + LAYER CO ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 2.7910 0.6640 2.8330 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.7630 1.7730 ; + LAYER M1 ; + RECT 0.7900 0.6600 3.3240 0.7100 ; + RECT 0.2790 0.8920 0.3290 1.5640 ; + RECT 0.2790 0.5020 0.3290 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5760 ; + RECT 0.5830 0.8920 0.6330 1.5640 ; + RECT 0.5830 0.1160 0.6330 0.5560 ; + RECT 0.3290 0.9310 0.8360 0.9420 ; + RECT 0.2790 0.8920 0.8360 0.9310 ; + RECT 0.7860 0.8420 0.8360 0.9420 ; + RECT 0.7900 0.6050 0.8400 0.8740 ; + RECT 0.7870 0.5370 0.8370 0.6330 ; + RECT 0.2790 0.5370 0.8370 0.5870 ; + LAYER PO ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 3.4050 0.0690 3.4350 1.6060 ; + RECT 3.5570 0.0690 3.5870 1.6060 ; + RECT 3.2530 0.0690 3.2830 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 3.1010 0.0690 3.1310 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + END +END NBUFFX16_HVT + +MACRO NBUFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6750 0.4210 0.7250 ; + RECT 0.2490 0.7250 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.0237 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.2790 0.9100 0.3290 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.2830 1.1140 0.3250 1.1560 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 0.9300 0.3250 0.9720 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.0220 0.3250 1.0640 ; + RECT 0.2830 1.0220 0.3250 1.0640 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.1140 0.3250 1.1560 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5670 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.3200 0.3250 0.3620 ; + RECT 0.2830 0.5040 0.3250 0.5460 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.4120 0.3250 0.4540 ; + RECT 0.2830 0.4120 0.3250 0.4540 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.5370 1.1190 0.5870 ; + RECT 0.9430 0.5870 1.1190 0.6630 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.9430 0.6630 0.9930 0.8920 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.5830 0.8920 0.9930 0.9420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER M1 ; + RECT 0.4710 0.6600 0.8920 0.7100 ; + RECT 0.4560 0.8870 0.5210 0.9370 ; + RECT 0.4710 0.5320 0.5210 0.9370 ; + RECT 0.4310 0.8870 0.4810 1.2680 ; + RECT 0.4310 0.8870 0.4740 0.9370 ; + RECT 0.4310 0.3000 0.4810 0.5710 ; + RECT 0.4310 0.5320 0.5090 0.5820 ; + RECT 0.4310 0.4970 0.4810 0.5320 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 1.1250 0.0710 1.1550 1.6060 ; + RECT 0.9730 0.0710 1.0030 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + LAYER CO ; + RECT 0.4350 1.1140 0.4770 1.1560 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 0.3200 0.4770 0.3620 ; + RECT 0.4350 0.9300 0.4770 0.9720 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.4350 1.1140 0.4770 1.1560 ; + RECT 0.4350 1.0220 0.4770 1.0640 ; + RECT 0.4350 0.9300 0.4770 0.9720 ; + RECT 0.4350 0.5040 0.4770 0.5460 ; + RECT 0.4350 0.4120 0.4770 0.4540 ; + RECT 0.4350 0.4120 0.4770 0.4540 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.4350 1.0220 0.4770 1.0640 ; + END +END NBUFFX2_HVT + +MACRO NBUFFX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.384 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 1.0440 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + END + ANTENNAGATEAREA 0.183 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.3840 1.7020 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 5.9030 0.9920 5.9530 1.6420 ; + RECT 5.5990 0.9920 5.6490 1.6420 ; + RECT 5.2950 0.9920 5.3450 1.6420 ; + RECT 4.9910 0.9920 5.0410 1.6420 ; + RECT 4.6870 0.9920 4.7370 1.6420 ; + RECT 4.3830 0.9920 4.4330 1.6420 ; + RECT 4.0790 0.9920 4.1290 1.6420 ; + RECT 3.7750 0.9920 3.8250 1.6420 ; + RECT 3.4710 0.9920 3.5210 1.6420 ; + RECT 3.1670 0.9920 3.2170 1.6420 ; + RECT 2.8630 0.9920 2.9130 1.6420 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9070 1.3030 5.9490 1.3450 ; + RECT 5.9070 1.3030 5.9490 1.3450 ; + RECT 5.9070 1.1190 5.9490 1.1610 ; + RECT 5.9070 1.0270 5.9490 1.0690 ; + RECT 5.9070 1.0270 5.9490 1.0690 ; + RECT 5.9070 1.1190 5.9490 1.1610 ; + RECT 5.9070 1.2110 5.9490 1.2530 ; + RECT 5.9070 1.2110 5.9490 1.2530 ; + RECT 5.9070 1.3950 5.9490 1.4370 ; + RECT 5.9070 1.4870 5.9490 1.5290 ; + RECT 5.9070 1.4870 5.9490 1.5290 ; + RECT 5.9070 1.3950 5.9490 1.4370 ; + RECT 5.6030 1.0270 5.6450 1.0690 ; + RECT 5.6030 1.1190 5.6450 1.1610 ; + RECT 5.6030 1.3030 5.6450 1.3450 ; + RECT 5.6030 1.3030 5.6450 1.3450 ; + RECT 5.6030 1.3950 5.6450 1.4370 ; + RECT 5.6030 1.4870 5.6450 1.5290 ; + RECT 5.6030 1.4870 5.6450 1.5290 ; + RECT 5.6030 1.3950 5.6450 1.4370 ; + RECT 5.6030 1.2110 5.6450 1.2530 ; + RECT 5.6030 1.2110 5.6450 1.2530 ; + RECT 5.6030 1.1190 5.6450 1.1610 ; + RECT 5.6030 1.0270 5.6450 1.0690 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.3840 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 5.9030 0.0300 5.9530 0.4100 ; + RECT 5.5990 0.0300 5.6490 0.4100 ; + RECT 5.2950 0.0300 5.3450 0.4100 ; + RECT 4.9910 0.0300 5.0410 0.4100 ; + RECT 4.6870 0.0300 4.7370 0.4100 ; + RECT 4.3830 0.0300 4.4330 0.4100 ; + RECT 4.0790 0.0300 4.1290 0.4100 ; + RECT 3.7750 0.0300 3.8250 0.4100 ; + RECT 3.4710 0.0300 3.5210 0.4100 ; + RECT 3.1670 0.0300 3.2170 0.4100 ; + RECT 2.8630 0.0300 2.9130 0.4100 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.0830 0.2410 4.1250 0.2830 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.7790 0.2410 3.8210 0.2830 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 5.9070 0.3330 5.9490 0.3750 ; + RECT 5.9070 0.1490 5.9490 0.1910 ; + RECT 5.9070 0.2410 5.9490 0.2830 ; + RECT 5.9070 0.3330 5.9490 0.3750 ; + RECT 5.9070 0.1490 5.9490 0.1910 ; + RECT 5.6030 0.2410 5.6450 0.2830 ; + RECT 5.6030 0.1490 5.6450 0.1910 ; + RECT 5.6030 0.3330 5.6450 0.3750 ; + RECT 5.6030 0.1490 5.6450 0.1910 ; + RECT 5.6030 0.3330 5.6450 0.3750 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 5.2990 0.2410 5.3410 0.2830 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.9950 0.2410 5.0370 0.2830 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.6910 0.2410 4.7330 0.2830 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.3870 0.2410 4.4290 0.2830 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 3.4750 0.2410 3.5170 0.2830 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.2410 3.2130 0.2830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.2410 2.9090 0.2830 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.8920 6.1640 0.9420 ; + RECT 6.1140 0.5870 6.2870 0.6630 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.1160 1.5450 0.5370 ; + RECT 6.0550 0.1160 6.1050 0.5370 ; + RECT 5.7510 0.1160 5.8010 0.5370 ; + RECT 5.4470 0.1160 5.4970 0.5370 ; + RECT 5.1430 0.1160 5.1930 0.5370 ; + RECT 4.8390 0.1160 4.8890 0.5370 ; + RECT 4.5350 0.1160 4.5850 0.5370 ; + RECT 4.2310 0.1160 4.2810 0.5370 ; + RECT 3.9270 0.1160 3.9770 0.5370 ; + RECT 3.6230 0.1160 3.6730 0.5370 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 2.1030 0.1160 2.1530 0.5370 ; + RECT 2.4070 0.1170 2.4570 0.5370 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 3.0150 0.1160 3.0650 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5640 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 6.0550 0.9420 6.1050 1.5640 ; + RECT 5.7510 0.9420 5.8010 1.5640 ; + RECT 5.4470 0.9420 5.4970 1.5640 ; + RECT 5.1430 0.9420 5.1930 1.5640 ; + RECT 4.8390 0.9420 4.8890 1.5640 ; + RECT 4.5350 0.9420 4.5850 1.5640 ; + RECT 4.2310 0.9420 4.2810 1.5640 ; + RECT 3.9270 0.9420 3.9770 1.5640 ; + RECT 3.6230 0.9420 3.6730 1.5640 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 3.0150 0.9420 3.0650 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5640 ; + RECT 2.4070 0.9420 2.4570 1.5650 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 6.1140 0.6630 6.1640 0.8920 ; + RECT 1.1910 0.5370 6.2870 0.5870 ; + END + PORT + LAYER CO ; + RECT 6.0590 0.1510 6.1010 0.1930 ; + RECT 6.0590 0.2430 6.1010 0.2850 ; + RECT 6.0590 0.3350 6.1010 0.3770 ; + RECT 6.0590 0.3350 6.1010 0.3770 ; + RECT 6.0590 0.4270 6.1010 0.4690 ; + RECT 6.0590 0.1510 6.1010 0.1930 ; + RECT 6.0590 1.3950 6.1010 1.4370 ; + RECT 6.0590 1.0270 6.1010 1.0690 ; + RECT 6.0590 1.0270 6.1010 1.0690 ; + RECT 6.0590 1.4870 6.1010 1.5290 ; + RECT 6.0590 1.3030 6.1010 1.3450 ; + RECT 6.0590 1.3030 6.1010 1.3450 ; + RECT 6.0590 1.4870 6.1010 1.5290 ; + RECT 6.0590 1.2110 6.1010 1.2530 ; + RECT 6.0590 1.2110 6.1010 1.2530 ; + RECT 6.0590 1.3950 6.1010 1.4370 ; + RECT 6.0590 1.1190 6.1010 1.1610 ; + RECT 6.0590 1.1190 6.1010 1.1610 ; + RECT 5.7550 1.3030 5.7970 1.3450 ; + RECT 5.7550 1.0270 5.7970 1.0690 ; + RECT 5.7550 1.0270 5.7970 1.0690 ; + RECT 5.7550 1.3950 5.7970 1.4370 ; + RECT 5.7550 1.4870 5.7970 1.5290 ; + RECT 5.7550 1.4870 5.7970 1.5290 ; + RECT 5.7550 1.3950 5.7970 1.4370 ; + RECT 5.7550 0.1510 5.7970 0.1930 ; + RECT 5.7550 0.3350 5.7970 0.3770 ; + RECT 5.7550 0.3350 5.7970 0.3770 ; + RECT 5.7550 0.2430 5.7970 0.2850 ; + RECT 5.7550 0.1510 5.7970 0.1930 ; + RECT 5.7550 0.4270 5.7970 0.4690 ; + RECT 5.7550 1.1190 5.7970 1.1610 ; + RECT 5.7550 1.1190 5.7970 1.1610 ; + RECT 5.7550 1.2110 5.7970 1.2530 ; + RECT 5.7550 1.2110 5.7970 1.2530 ; + RECT 5.7550 1.3030 5.7970 1.3450 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.4510 0.4270 5.4930 0.4690 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + RECT 5.4510 0.2430 5.4930 0.2850 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 0.4270 5.1890 0.4690 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 0.2430 5.1890 0.2850 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 0.4270 3.6690 0.4690 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 0.2430 3.6690 0.2850 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.8430 0.2430 4.8850 0.2850 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.4270 4.8850 0.4690 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.2430 4.5810 0.2850 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 4.5390 0.4270 4.5810 0.4690 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 4.2350 0.4270 4.2770 0.4690 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 0.2430 4.2770 0.2850 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 3.9310 0.2430 3.9730 0.2850 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 2.4110 1.0280 2.4530 1.0700 ; + RECT 2.4110 1.1200 2.4530 1.1620 ; + RECT 2.4110 1.0280 2.4530 1.0700 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.9310 0.4270 3.9730 0.4690 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.2430 3.0610 0.2850 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.4270 3.0610 0.4690 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 0.4270 2.1490 0.4690 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.2430 2.1490 0.2850 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.4110 0.1520 2.4530 0.1940 ; + RECT 2.4110 0.2440 2.4530 0.2860 ; + RECT 2.4110 0.3360 2.4530 0.3780 ; + RECT 2.4110 0.3360 2.4530 0.3780 ; + RECT 2.4110 0.4280 2.4530 0.4700 ; + RECT 2.4110 0.1520 2.4530 0.1940 ; + RECT 2.4110 1.3040 2.4530 1.3460 ; + RECT 2.4110 1.3040 2.4530 1.3460 ; + RECT 2.4110 1.2120 2.4530 1.2540 ; + RECT 2.4110 1.2120 2.4530 1.2540 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 2.4110 1.1200 2.4530 1.1620 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + END + ANTENNADIFFAREA 2.4808 ; + END Y + OBS + LAYER CO ; + RECT 5.9830 0.6640 6.0250 0.7060 ; + RECT 4.6150 0.6640 4.6570 0.7060 ; + RECT 4.3110 0.6640 4.3530 0.7060 ; + RECT 4.4630 0.6640 4.5050 0.7060 ; + RECT 4.1590 0.6640 4.2010 0.7060 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 3.8550 0.6640 3.8970 0.7060 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 5.3750 0.6640 5.4170 0.7060 ; + RECT 5.8310 0.6640 5.8730 0.7060 ; + RECT 5.5270 0.6640 5.5690 0.7060 ; + RECT 5.6790 0.6640 5.7210 0.7060 ; + RECT 4.7670 0.6640 4.8090 0.7060 ; + RECT 5.2230 0.6640 5.2650 0.7060 ; + RECT 4.9190 0.6640 4.9610 0.7060 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.7910 0.6640 2.8330 0.7060 ; + RECT 5.0710 0.6640 5.1130 0.7060 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 6.4990 1.7730 ; + LAYER M1 ; + RECT 1.0940 0.6600 6.0600 0.7100 ; + RECT 0.2790 0.8920 0.3290 1.5640 ; + RECT 0.2790 0.5020 0.3290 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5760 ; + RECT 0.5830 0.8920 0.6330 1.5640 ; + RECT 0.5830 0.1160 0.6330 0.5760 ; + RECT 0.8870 0.8920 0.9370 1.5640 ; + RECT 0.8870 0.1160 0.9370 0.5760 ; + RECT 0.3290 0.9310 1.1410 0.9420 ; + RECT 0.2790 0.8920 1.1410 0.9310 ; + RECT 1.0910 0.8180 1.1410 0.9420 ; + RECT 1.0940 0.6050 1.1440 0.8740 ; + RECT 1.0910 0.5380 1.1410 0.6310 ; + RECT 0.2790 0.5370 1.1410 0.5870 ; + LAYER PO ; + RECT 0.0610 0.0690 0.0910 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0690 0.2430 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 6.2930 0.0690 6.3230 1.6060 ; + RECT 6.1410 0.0690 6.1710 1.6060 ; + RECT 5.9890 0.0690 6.0190 1.6060 ; + RECT 5.8370 0.0690 5.8670 1.6060 ; + RECT 5.5330 0.0690 5.5630 1.6060 ; + RECT 5.6850 0.0690 5.7150 1.6060 ; + RECT 5.2290 0.0690 5.2590 1.6060 ; + RECT 5.3810 0.0690 5.4110 1.6060 ; + RECT 5.0770 0.0690 5.1070 1.6060 ; + RECT 4.9250 0.0690 4.9550 1.6060 ; + RECT 4.7730 0.0690 4.8030 1.6060 ; + RECT 4.6210 0.0690 4.6510 1.6060 ; + RECT 4.3170 0.0690 4.3470 1.6060 ; + RECT 4.4690 0.0690 4.4990 1.6060 ; + RECT 3.7090 0.0690 3.7390 1.6060 ; + RECT 3.8610 0.0690 3.8910 1.6060 ; + RECT 3.5570 0.0690 3.5870 1.6060 ; + RECT 4.0130 0.0690 4.0430 1.6060 ; + RECT 4.1650 0.0690 4.1950 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 3.2530 0.0690 3.2830 1.6060 ; + RECT 3.1010 0.0690 3.1310 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 3.4050 0.0690 3.4350 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + END +END NBUFFX32_HVT + +MACRO NBUFFX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6550 0.4360 0.7050 ; + RECT 0.2490 0.7050 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.2790 0.9870 0.3290 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.1140 0.3250 1.1560 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.0220 0.3250 1.0640 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.0220 0.3250 1.0640 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.1140 0.3250 1.1560 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4050 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.3280 0.3250 0.3700 ; + RECT 0.2830 0.1440 0.3250 0.1860 ; + RECT 0.2830 0.3280 0.3250 0.3700 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.1440 0.3250 0.1860 ; + RECT 0.2830 0.2360 0.3250 0.2780 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2460 0.5870 1.4230 0.6630 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 1.2460 0.6630 1.2960 0.8920 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 0.5830 0.5370 1.4230 0.5870 ; + RECT 0.5830 0.8920 1.2960 0.9420 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + OBS + LAYER CO ; + RECT 0.4350 1.0220 0.4770 1.0640 ; + RECT 0.4350 1.1140 0.4770 1.1560 ; + RECT 0.4350 1.0220 0.4770 1.0640 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 0.1460 0.4770 0.1880 ; + RECT 0.4350 0.2380 0.4770 0.2800 ; + RECT 0.4350 0.3300 0.4770 0.3720 ; + RECT 0.4350 0.3300 0.4770 0.3720 ; + RECT 0.4350 0.4220 0.4770 0.4640 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.4350 0.1460 0.4770 0.1880 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.1140 0.4770 1.1560 ; + LAYER NWELL ; + RECT -0.1120 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.4870 0.6600 1.1960 0.7100 ; + RECT 0.4560 0.8220 0.5370 0.8720 ; + RECT 0.4870 0.6090 0.5370 0.8720 ; + RECT 0.4310 0.8220 0.4810 1.5590 ; + RECT 0.4830 0.5320 0.5330 0.6370 ; + RECT 0.4310 0.8870 0.4740 0.9370 ; + RECT 0.4310 0.5320 0.5210 0.5820 ; + RECT 0.4310 0.4970 0.4810 0.5320 ; + RECT 0.4310 0.1110 0.4810 0.5710 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 1.4290 0.0650 1.4590 1.6000 ; + RECT 1.2770 0.0650 1.3070 1.6000 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + END +END NBUFFX4_HVT + +MACRO NBUFFX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.5880 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + END + ANTENNAGATEAREA 0.0732 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 1.4950 0.9920 1.5450 1.6420 ; + RECT 1.7990 0.9920 1.8490 1.6420 ; + RECT 1.1910 0.9920 1.2410 1.6420 ; + RECT 0.8870 0.9920 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 1.4950 0.0300 1.5450 0.4100 ; + RECT 1.7990 0.0300 1.8490 0.4100 ; + RECT 1.1910 0.0300 1.2410 0.4100 ; + RECT 0.8870 0.0300 0.9370 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.2410 0.9330 0.2830 ; + RECT 0.8910 0.1490 0.9330 0.1910 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.1490 1.5410 0.1910 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.4990 0.1490 1.5410 0.1910 ; + RECT 1.4990 0.2410 1.5410 0.2830 ; + RECT 1.8030 0.2410 1.8450 0.2830 ; + RECT 1.8030 0.1490 1.8450 0.1910 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 1.8030 0.1490 1.8450 0.1910 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8910 0.3330 0.9330 0.3750 ; + RECT 0.8910 0.1490 0.9330 0.1910 ; + RECT 0.8910 0.3330 0.9330 0.3750 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1950 0.2410 1.2370 0.2830 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0070 0.5870 2.1830 0.6630 ; + RECT 1.6470 0.9420 1.6970 1.5640 ; + RECT 1.9510 0.9420 2.0010 1.5650 ; + RECT 0.7350 0.9420 0.7850 1.5640 ; + RECT 2.0070 0.6630 2.0570 0.8920 ; + RECT 1.6470 0.1160 1.6970 0.5370 ; + RECT 1.9510 0.1170 2.0010 0.5370 ; + RECT 1.3430 0.1160 1.3930 0.5370 ; + RECT 0.7350 0.1160 0.7850 0.5370 ; + RECT 1.0390 0.1160 1.0890 0.5370 ; + RECT 1.0390 0.9420 1.0890 1.5640 ; + RECT 1.3430 0.9420 1.3930 1.5640 ; + RECT 0.7350 0.8920 2.0570 0.9420 ; + RECT 0.7350 0.5370 2.1830 0.5870 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.6510 0.4270 1.6930 0.4690 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.2430 1.6930 0.2850 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.9550 0.1520 1.9970 0.1940 ; + RECT 1.9550 0.2440 1.9970 0.2860 ; + RECT 1.9550 0.3360 1.9970 0.3780 ; + RECT 1.9550 0.3360 1.9970 0.3780 ; + RECT 1.9550 0.4280 1.9970 0.4700 ; + RECT 1.9550 0.1520 1.9970 0.1940 ; + RECT 1.9550 1.3040 1.9970 1.3460 ; + RECT 1.9550 1.3040 1.9970 1.3460 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.9550 1.2120 1.9970 1.2540 ; + RECT 1.9550 1.2120 1.9970 1.2540 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.9550 1.1200 1.9970 1.1620 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 1.0280 1.9970 1.0700 ; + RECT 1.9550 1.1200 1.9970 1.1620 ; + RECT 1.9550 1.0280 1.9970 1.0700 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.0430 0.2430 1.0850 0.2850 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.4270 1.0850 0.4690 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 0.2430 1.3890 0.2850 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 0.7390 0.1510 0.7810 0.1930 ; + RECT 0.7390 0.2430 0.7810 0.2850 ; + RECT 0.7390 0.3350 0.7810 0.3770 ; + RECT 0.7390 0.3350 0.7810 0.3770 ; + RECT 0.7390 0.4270 0.7810 0.4690 ; + RECT 0.7390 0.1510 0.7810 0.1930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + OBS + LAYER CO ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.3950 1.7730 ; + LAYER M1 ; + RECT 0.6390 0.6600 1.9560 0.7100 ; + RECT 0.2790 0.8920 0.3290 1.5640 ; + RECT 0.2790 0.5020 0.3290 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5760 ; + RECT 0.3290 0.9390 0.5830 0.9420 ; + RECT 0.3290 0.9310 0.6330 0.9390 ; + RECT 0.5830 0.8920 0.6330 1.5640 ; + RECT 0.2790 0.8920 0.6330 0.9310 ; + RECT 0.6080 0.8920 0.6850 0.9420 ; + RECT 0.6350 0.8500 0.6850 0.9420 ; + RECT 0.6390 0.6090 0.6890 0.8700 ; + RECT 0.6350 0.5370 0.6850 0.6420 ; + RECT 0.2790 0.5370 0.6730 0.5870 ; + RECT 0.5830 0.1160 0.6330 0.5760 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + END +END NBUFFX8_HVT + +MACRO NMT1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.0970 0.3590 0.2070 ; + RECT 0.2790 0.2070 0.3290 0.4750 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.2290 0.3250 0.2710 ; + RECT 0.2830 0.1370 0.3250 0.1790 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + END + ANTENNADIFFAREA 0.0428 ; + ANTENNAGATEAREA 0.0428 ; + END S + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.4010 0.5110 0.5110 ; + RECT 0.4310 0.1170 0.4810 0.4010 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.4130 0.4770 0.4550 ; + RECT 0.4350 0.1370 0.4770 0.1790 ; + RECT 0.4350 0.2290 0.4770 0.2710 ; + RECT 0.4350 0.3210 0.4770 0.3630 ; + END + ANTENNADIFFAREA 0.0428 ; + END D + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0126 ; + END G + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1250 0.6790 0.8650 1.7730 ; + LAYER PO ; + RECT 0.6690 0.0670 0.6990 0.6490 ; + RECT 0.2130 0.0670 0.2430 0.6490 ; + RECT 0.0610 0.0670 0.0910 0.6490 ; + RECT 0.5170 0.0670 0.5470 0.6490 ; + RECT 0.3650 0.0670 0.3950 0.6490 ; + END +END NMT1_HVT + +MACRO NMT2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.912 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.1510 0.6330 0.4010 ; + RECT 0.2790 0.4600 0.6630 0.5100 ; + RECT 0.5530 0.5100 0.6630 0.5110 ; + RECT 0.5530 0.4010 0.6630 0.4600 ; + RECT 0.2790 0.1510 0.3290 0.4600 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4470 0.3250 0.4890 ; + RECT 0.2830 0.3550 0.3250 0.3970 ; + RECT 0.2830 0.2630 0.3250 0.3050 ; + RECT 0.2830 0.1710 0.3250 0.2130 ; + RECT 0.5870 0.2630 0.6290 0.3050 ; + RECT 0.5870 0.1710 0.6290 0.2130 ; + RECT 0.5870 0.4470 0.6290 0.4890 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + END + ANTENNADIFFAREA 0.0856 ; + ANTENNAGATEAREA 0.0856 ; + END S + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.9120 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0970 0.5110 0.2070 ; + RECT 0.4310 0.2070 0.4810 0.3250 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.1710 0.4770 0.2130 ; + RECT 0.4350 0.2630 0.4770 0.3050 ; + END + ANTENNADIFFAREA 0.0512 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.9120 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.7050 0.5110 0.8150 ; + RECT 0.3390 0.5900 0.5730 0.6400 ; + RECT 0.4310 0.6400 0.4810 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5940 0.4010 0.6360 ; + RECT 0.5110 0.5940 0.5530 0.6360 ; + END + ANTENNAGATEAREA 0.0252 ; + END G + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.0270 1.7730 ; + LAYER PO ; + RECT 0.5170 0.0740 0.5470 0.6560 ; + RECT 0.3650 0.0740 0.3950 0.6560 ; + RECT 0.6690 0.0740 0.6990 0.6560 ; + RECT 0.0610 0.0740 0.0910 0.6560 ; + RECT 0.2130 0.0780 0.2430 0.6560 ; + RECT 0.8210 0.0740 0.8510 0.6560 ; + END +END NMT2_HVT + +MACRO NMT3_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN G + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.5900 0.8770 0.6400 ; + RECT 0.7050 0.6400 0.8150 0.6630 ; + RECT 0.7050 0.5530 0.8150 0.5900 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5940 0.4010 0.6360 ; + RECT 0.8150 0.5940 0.8570 0.6360 ; + RECT 0.6630 0.5940 0.7050 0.6360 ; + RECT 0.5110 0.5940 0.5530 0.6360 ; + END + ANTENNAGATEAREA 0.0504 ; + END G + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + + PIN D + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4310 0.1390 0.8150 0.1890 ; + RECT 0.7050 0.0970 0.8150 0.1390 ; + RECT 0.7350 0.2210 0.7850 0.3130 ; + RECT 0.7050 0.1890 0.8150 0.2210 ; + RECT 0.4310 0.1890 0.4810 0.3130 ; + RECT 0.4310 0.1240 0.4810 0.1390 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.1590 0.7810 0.2010 ; + RECT 0.4350 0.1590 0.4770 0.2010 ; + RECT 0.7390 0.2510 0.7810 0.2930 ; + RECT 0.4350 0.2510 0.4770 0.2930 ; + END + ANTENNADIFFAREA 0.1024 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + END + END VDD + + PIN S + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.4530 0.9670 0.5030 ; + RECT 0.8570 0.4010 0.9670 0.4530 ; + RECT 0.8870 0.1240 0.9370 0.4010 ; + RECT 0.8570 0.5030 0.9670 0.5110 ; + RECT 0.5830 0.3010 0.6330 0.4530 ; + RECT 0.2790 0.1240 0.3290 0.4530 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.2510 0.3250 0.2930 ; + RECT 0.5870 0.4130 0.6290 0.4550 ; + RECT 0.5870 0.3210 0.6290 0.3630 ; + RECT 0.2830 0.4350 0.3250 0.4770 ; + RECT 0.2830 0.3430 0.3250 0.3850 ; + RECT 0.8910 0.4350 0.9330 0.4770 ; + RECT 0.8910 0.3430 0.9330 0.3850 ; + RECT 0.8910 0.2510 0.9330 0.2930 ; + RECT 0.8910 0.1590 0.9330 0.2010 ; + RECT 0.2830 0.1590 0.3250 0.2010 ; + END + ANTENNADIFFAREA 0.1368 ; + ANTENNAGATEAREA 0.1368 ; + END S + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER PO ; + RECT 0.9730 0.0740 1.0030 0.6560 ; + RECT 0.5170 0.0740 0.5470 0.6560 ; + RECT 0.8210 0.0740 0.8510 0.6560 ; + RECT 0.0610 0.0740 0.0910 0.6560 ; + RECT 0.2130 0.0740 0.2430 0.6560 ; + RECT 1.1250 0.0740 1.1550 0.6560 ; + RECT 0.3650 0.0740 0.3950 0.6560 ; + RECT 0.6690 0.0740 0.6990 0.6560 ; + END +END NMT3_HVT + +MACRO LSUPX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6310 2.2130 1.1810 2.2630 ; + RECT 1.0090 2.2630 1.1190 2.3350 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.6630 2.2170 0.7050 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0444 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.2700 1.2710 1.2710 ; + RECT 1.0390 1.2700 1.0890 1.5670 ; + RECT 1.0390 0.1260 1.0890 0.9830 ; + RECT 1.0390 0.9830 1.1710 1.0330 ; + RECT 1.1210 1.0330 1.1710 1.1610 ; + RECT 1.0390 1.2200 1.2710 1.2700 ; + RECT 1.1210 1.1610 1.2710 1.2200 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.5140 1.0850 0.5560 ; + RECT 1.0430 1.2800 1.0850 1.3220 ; + RECT 1.0430 0.3300 1.0850 0.3720 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 1.0430 0.6980 1.0850 0.7400 ; + RECT 1.0430 0.2380 1.0850 0.2800 ; + RECT 1.0430 0.1460 1.0850 0.1880 ; + RECT 1.0430 0.7900 1.0850 0.8320 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + RECT 1.0430 0.4220 1.0850 0.4640 ; + RECT 1.0430 0.6060 1.0850 0.6480 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.7690 1.7020 1.8790 1.7720 ; + RECT 1.7690 1.5720 1.8790 1.6420 ; + RECT 0.4310 1.3380 0.4810 1.6420 ; + RECT 1.4950 1.3030 1.5450 1.6420 ; + RECT 0.5820 1.3520 0.6320 1.6420 ; + RECT 0.8870 1.2000 0.9370 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0230 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.2200 0.9330 1.2620 ; + RECT 0.8910 1.4040 0.9330 1.4460 ; + RECT 0.8910 1.3120 0.9330 1.3540 ; + RECT 0.8910 1.4960 0.9330 1.5380 ; + RECT 0.4350 1.5420 0.4770 1.5840 ; + RECT 1.0430 1.9510 1.0850 1.9930 ; + RECT 1.4990 1.4310 1.5410 1.4730 ; + RECT 1.4990 1.3390 1.5410 1.3810 ; + RECT 0.5870 1.3720 0.6290 1.4140 ; + RECT 0.4350 1.3580 0.4770 1.4000 ; + RECT 0.4350 1.4500 0.4770 1.4920 ; + RECT 0.5870 1.5560 0.6290 1.5980 ; + RECT 0.5870 1.4640 0.6290 1.5060 ; + END + END VSS + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.9440 ; + RECT 1.4950 0.0300 1.5450 0.5260 ; + RECT 0.4310 0.0300 0.4810 0.8240 ; + RECT 0.5830 0.0300 0.6330 0.8240 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8910 0.4220 0.9330 0.4640 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.8910 0.6060 0.9330 0.6480 ; + RECT 0.8910 0.3300 0.9330 0.3720 ; + RECT 0.8910 0.1460 0.9330 0.1880 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.4990 0.4640 1.5410 0.5060 ; + RECT 0.8910 0.5140 0.9330 0.5560 ; + RECT 0.8910 0.2380 0.9330 0.2800 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8910 0.6980 0.9330 0.7400 ; + RECT 0.8910 0.7900 0.9330 0.8320 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.4350 0.7620 0.4770 0.8040 ; + RECT 0.4350 0.6700 0.4770 0.7120 ; + RECT 0.4350 0.5780 0.4770 0.6200 ; + RECT 1.4990 0.2800 1.5410 0.3220 ; + RECT 1.4990 0.3720 1.5410 0.4140 ; + RECT 0.5870 0.7620 0.6290 0.8040 ; + RECT 0.5870 0.5780 0.6290 0.6200 ; + RECT 0.5870 0.6700 0.6290 0.7120 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.0390 2.5350 1.0890 3.0100 ; + RECT 1.3430 2.4280 1.3930 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.4480 1.3890 2.4900 ; + RECT 1.0430 2.6470 1.0850 2.6890 ; + RECT 1.0430 2.5550 1.0850 2.5970 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + END + END VDDL + OBS + LAYER CO ; + RECT 1.1950 2.6470 1.2370 2.6890 ; + RECT 0.8910 2.4630 0.9330 2.5050 ; + RECT 0.9670 1.0870 1.0090 1.1290 ; + RECT 1.1950 2.0990 1.2370 2.1410 ; + RECT 1.1950 2.5550 1.2370 2.5970 ; + RECT 0.3590 2.1140 0.4010 2.1560 ; + RECT 1.1950 2.4630 1.2370 2.5050 ; + RECT 1.1950 2.0070 1.2370 2.0490 ; + RECT 0.8910 2.0070 0.9330 2.0490 ; + RECT 0.3590 0.8880 0.4010 0.9300 ; + RECT 0.8910 2.5550 0.9330 2.5970 ; + RECT 0.8910 2.6470 0.9330 2.6890 ; + RECT 0.8910 2.0990 0.9330 2.1410 ; + RECT 0.2830 0.6700 0.3250 0.7120 ; + RECT 0.2830 0.5780 0.3250 0.6200 ; + RECT 0.7390 0.7620 0.7810 0.8040 ; + RECT 0.7390 0.6700 0.7810 0.7120 ; + RECT 0.2830 0.7620 0.3250 0.8040 ; + RECT 0.2830 1.4640 0.3250 1.5060 ; + RECT 0.2830 1.3720 0.3250 1.4140 ; + RECT 0.6630 0.9880 0.7050 1.0300 ; + RECT 0.7390 0.5780 0.7810 0.6200 ; + RECT 0.7390 1.3770 0.7810 1.4190 ; + RECT 0.7390 1.4690 0.7810 1.5110 ; + LAYER NWELL ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT 2.0080 2.3510 2.2430 3.2240 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 0.5750 2.2340 1.4790 2.7640 ; + LAYER M1 ; + RECT 0.7660 1.0830 1.0310 1.0840 ; + RECT 0.7340 1.0840 1.0310 1.1330 ; + RECT 0.3390 0.8840 0.8250 0.9340 ; + RECT 0.7350 0.5580 0.7850 0.8840 ; + RECT 0.7350 1.1340 0.7850 1.5550 ; + RECT 0.7340 1.1330 0.8250 1.1340 ; + RECT 0.7750 0.9340 0.8250 1.0830 ; + RECT 0.2390 0.9840 0.7250 1.0340 ; + RECT 0.2390 0.7810 0.3290 0.8310 ; + RECT 0.2790 0.5580 0.3290 0.7810 ; + RECT 0.2800 1.0340 0.3300 1.5350 ; + RECT 0.2390 0.8310 0.2890 0.9840 ; + RECT 0.3330 2.1110 1.2810 2.1610 ; + RECT 0.8870 2.4230 1.2810 2.4730 ; + RECT 1.1920 1.9870 1.2420 2.1110 ; + RECT 1.2310 2.1610 1.2810 2.4230 ; + RECT 1.1910 2.4730 1.2410 2.7090 ; + RECT 0.8870 2.4730 0.9370 2.7090 ; + RECT 0.8870 1.9870 0.9370 2.1110 ; + LAYER PO ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.6690 1.1880 0.6990 2.7810 ; + RECT 1.5810 0.0740 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 0.6690 0.0890 0.6990 1.0450 ; + RECT 0.3650 0.0890 0.3950 0.9380 ; + RECT 1.4290 0.0690 1.4590 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.3650 1.1680 0.3950 2.7800 ; + RECT 1.8850 0.0740 1.9150 2.7800 ; + RECT 0.9730 0.0560 1.0030 1.7660 ; + RECT 1.7330 0.0740 1.7630 2.7800 ; + RECT 0.9730 1.8810 1.0030 2.7810 ; + END +END LSUPX1_HVT + +MACRO LSUPX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.2800 3.0700 ; + RECT 1.7690 3.0700 1.8790 3.1400 ; + RECT 1.7690 2.9400 1.8790 3.0100 ; + RECT 1.3430 2.4280 1.3930 3.0100 ; + RECT 1.0390 2.5350 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.4480 1.3890 2.4900 ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5550 1.0850 2.5970 ; + RECT 1.0430 2.6470 1.0850 2.6890 ; + END + END VDDL + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 0.5830 0.4230 0.9370 0.4730 ; + RECT 0.4310 0.0300 0.4810 0.7910 ; + RECT 1.9510 0.0300 2.0010 0.5260 ; + RECT 1.1910 0.0300 1.2410 0.9360 ; + RECT 1.6470 0.0300 1.6970 0.8440 ; + RECT 0.8870 0.4730 0.9370 0.8020 ; + RECT 0.5830 0.4730 0.6330 0.7910 ; + RECT 0.5830 0.0300 0.6330 0.4230 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.2800 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.5060 1.6930 0.5480 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 1.6510 0.5980 1.6930 0.6400 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 1.6510 0.1380 1.6930 0.1800 ; + RECT 1.6510 0.2300 1.6930 0.2720 ; + RECT 1.6510 0.3220 1.6930 0.3640 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.7820 1.2370 0.8240 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.9550 0.4640 1.9970 0.5060 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1950 0.1380 1.2370 0.1800 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5870 0.6370 0.6290 0.6790 ; + RECT 0.5870 0.5450 0.6290 0.5870 ; + RECT 0.5870 0.7290 0.6290 0.7710 ; + RECT 1.1950 0.5980 1.2370 0.6400 ; + RECT 1.1950 0.6900 1.2370 0.7320 ; + RECT 1.9550 0.3720 1.9970 0.4140 ; + RECT 1.9550 0.2800 1.9970 0.3220 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.4350 0.5450 0.4770 0.5870 ; + RECT 0.4350 0.6370 0.4770 0.6790 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.7290 0.4770 0.7710 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.6510 0.4140 1.6930 0.4560 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 0.8910 0.7400 0.9330 0.7820 ; + RECT 0.8910 0.6480 0.9330 0.6900 ; + END + END VDDH + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.9210 1.7020 2.0310 1.7720 ; + RECT 1.9210 1.5720 2.0310 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0230 ; + RECT 0.8870 1.2600 0.9370 1.6420 ; + RECT 1.6470 1.2780 1.6970 1.6420 ; + RECT 0.5820 1.2600 0.6320 1.6420 ; + RECT 0.4310 1.2460 0.4810 1.6420 ; + RECT 1.1910 1.2980 1.2410 1.6420 ; + RECT 1.9510 1.3030 2.0010 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.4100 1.2370 1.4520 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.1950 1.3180 1.2370 1.3600 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 0.5870 1.4640 0.6290 1.5060 ; + RECT 0.5870 1.5560 0.6290 1.5980 ; + RECT 0.4350 1.2660 0.4770 1.3080 ; + RECT 1.1950 1.5020 1.2370 1.5440 ; + RECT 0.4350 1.4500 0.4770 1.4920 ; + RECT 0.4350 1.3580 0.4770 1.4000 ; + RECT 0.8910 1.3120 0.9330 1.3540 ; + RECT 0.8910 1.4040 0.9330 1.4460 ; + RECT 0.5870 1.3720 0.6290 1.4140 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 0.5870 1.2800 0.6290 1.3220 ; + RECT 1.0430 1.9510 1.0850 1.9930 ; + RECT 0.4350 1.5420 0.4770 1.5840 ; + RECT 0.8910 1.4960 0.9330 1.5380 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4940 1.1780 2.0400 1.2280 ; + RECT 1.4950 0.9010 2.0400 0.9510 ; + RECT 1.9900 0.9670 2.0400 1.1780 ; + RECT 1.9200 0.9510 2.0400 0.9670 ; + RECT 1.9200 0.8570 2.0400 0.9010 ; + RECT 1.4950 0.1180 1.5450 0.9010 ; + RECT 1.7990 0.1180 1.8490 0.9010 ; + RECT 1.4950 1.2280 1.5450 1.5360 ; + RECT 1.7990 1.2280 1.8490 1.5360 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4140 1.5410 0.4560 ; + RECT 1.8030 1.4740 1.8450 1.5160 ; + RECT 1.8030 1.1980 1.8450 1.2400 ; + RECT 1.8030 1.2900 1.8450 1.3320 ; + RECT 1.4990 0.2300 1.5410 0.2720 ; + RECT 1.4990 1.2900 1.5410 1.3320 ; + RECT 1.4990 1.1980 1.5410 1.2400 ; + RECT 1.4990 0.1380 1.5410 0.1800 ; + RECT 1.4990 1.4740 1.5410 1.5160 ; + RECT 1.4990 0.5980 1.5410 0.6400 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 1.8030 1.3820 1.8450 1.4240 ; + RECT 1.4990 0.5060 1.5410 0.5480 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.4990 0.6900 1.5410 0.7320 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 1.8030 0.5060 1.8450 0.5480 ; + RECT 1.8030 0.1380 1.8450 0.1800 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 1.8030 0.5980 1.8450 0.6400 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.4990 1.3820 1.5410 1.4240 ; + RECT 1.8030 0.2300 1.8450 0.2720 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 1.8030 0.4140 1.8450 0.4560 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6310 2.2130 1.1810 2.2630 ; + RECT 1.0090 2.2630 1.1190 2.3350 ; + END + PORT + LAYER CO ; + RECT 0.6630 2.2170 0.7050 2.2590 ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0474 ; + END A + OBS + LAYER CO ; + RECT 1.3470 0.5980 1.3890 0.6400 ; + RECT 1.3470 1.4100 1.3890 1.4520 ; + RECT 1.3470 1.5020 1.3890 1.5440 ; + RECT 1.1950 2.0070 1.2370 2.0490 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.0430 1.2800 1.0850 1.3220 ; + RECT 1.1950 2.4630 1.2370 2.5050 ; + RECT 1.7270 1.0050 1.7690 1.0470 ; + RECT 0.3590 2.1140 0.4010 2.1560 ; + RECT 1.1950 2.5550 1.2370 2.5970 ; + RECT 1.1950 2.0990 1.2370 2.1410 ; + RECT 0.9670 1.0870 1.0090 1.1290 ; + RECT 0.8910 2.4630 0.9330 2.5050 ; + RECT 1.1950 2.6470 1.2370 2.6890 ; + RECT 0.2830 1.2460 0.3250 1.2880 ; + RECT 1.3470 1.3180 1.3890 1.3600 ; + RECT 0.7390 1.5220 0.7810 1.5640 ; + RECT 0.7390 1.4300 0.7810 1.4720 ; + RECT 0.2830 1.3380 0.3250 1.3800 ; + RECT 0.7390 0.5450 0.7810 0.5870 ; + RECT 0.6630 0.9880 0.7050 1.0300 ; + RECT 0.3590 0.8550 0.4010 0.8970 ; + RECT 0.8910 2.0070 0.9330 2.0490 ; + RECT 1.0430 0.6480 1.0850 0.6900 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 0.2830 1.4300 0.3250 1.4720 ; + RECT 0.2830 1.5220 0.3250 1.5640 ; + RECT 0.2830 0.7290 0.3250 0.7710 ; + RECT 0.7390 0.6370 0.7810 0.6790 ; + RECT 0.7390 0.7290 0.7810 0.7710 ; + RECT 0.2830 0.5450 0.3250 0.5870 ; + RECT 0.2830 0.6370 0.3250 0.6790 ; + RECT 0.8910 2.0990 0.9330 2.1410 ; + RECT 0.8910 2.6470 0.9330 2.6890 ; + RECT 0.8910 2.5550 0.9330 2.5970 ; + RECT 1.3470 0.1380 1.3890 0.1800 ; + RECT 0.7390 1.3380 0.7810 1.3800 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 1.3470 0.6900 1.3890 0.7320 ; + RECT 1.0430 0.7400 1.0850 0.7820 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.2710 1.1860 1.3130 1.2280 ; + RECT 1.3470 0.3220 1.3890 0.3640 ; + RECT 1.3470 0.4140 1.3890 0.4560 ; + RECT 1.3470 0.5060 1.3890 0.5480 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.3950 3.4160 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.1570 2.3510 2.3950 3.2240 ; + RECT 0.5900 2.2340 1.4790 2.7640 ; + RECT -0.1150 -0.1510 2.3950 0.9930 ; + LAYER M1 ; + RECT 0.7660 1.0830 1.0310 1.0840 ; + RECT 0.7340 1.0840 1.0310 1.1330 ; + RECT 0.3390 0.8510 0.8250 0.9010 ; + RECT 0.7350 1.1340 0.7850 1.5840 ; + RECT 0.7350 0.5250 0.7850 0.8510 ; + RECT 0.7340 1.1330 0.8250 1.1340 ; + RECT 0.7750 0.9010 0.8250 1.0830 ; + RECT 1.0810 1.1820 1.3330 1.1830 ; + RECT 1.0390 1.1830 1.3330 1.2320 ; + RECT 1.0390 0.9830 1.1310 1.0330 ; + RECT 1.0390 0.6280 1.0890 0.9830 ; + RECT 1.0390 1.2320 1.1310 1.2330 ; + RECT 1.0390 1.2330 1.0890 1.5670 ; + RECT 1.0810 1.0330 1.1310 1.1820 ; + RECT 0.3330 2.1110 1.2830 2.1610 ; + RECT 1.1920 1.9870 1.2420 2.1110 ; + RECT 1.1910 2.4730 1.2410 2.7090 ; + RECT 0.8870 2.4230 1.2830 2.4730 ; + RECT 1.2330 2.1610 1.2830 2.4230 ; + RECT 0.8870 1.9870 0.9370 2.1110 ; + RECT 0.8870 2.4730 0.9370 2.7090 ; + RECT 0.2390 0.9840 0.7250 1.0340 ; + RECT 0.2390 0.7480 0.3290 0.7980 ; + RECT 0.2800 1.0340 0.3300 1.5840 ; + RECT 0.2790 0.5250 0.3290 0.7480 ; + RECT 0.2390 0.7980 0.2890 0.9840 ; + RECT 1.3440 1.0370 1.7890 1.0510 ; + RECT 1.3430 1.0010 1.7890 1.0370 ; + RECT 1.3430 1.2810 1.4330 1.3310 ; + RECT 1.3430 0.1180 1.3930 1.0010 ; + RECT 1.3430 1.3310 1.3930 1.5640 ; + RECT 1.3830 1.0510 1.4330 1.2810 ; + LAYER PO ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.9730 1.8810 1.0030 2.7810 ; + RECT 0.9730 0.0790 1.0030 1.7660 ; + RECT 2.1890 0.0740 2.2190 2.7800 ; + RECT 0.3650 1.1680 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 1.7330 0.0620 1.7630 2.7730 ; + RECT 0.3650 0.0900 0.3950 0.9050 ; + RECT 0.6690 0.0890 0.6990 1.0450 ; + RECT 1.4290 0.0690 1.4590 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7730 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 1.5810 0.0620 1.6110 2.7730 ; + RECT 0.6690 1.1880 0.6990 2.7810 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPX2_HVT + +MACRO LSUPX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6310 2.2130 1.1810 2.2630 ; + RECT 1.0090 2.2630 1.1190 2.3350 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.6630 2.2170 0.7050 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.051 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4950 0.8940 2.3420 0.9440 ; + RECT 1.4940 1.1760 2.3420 1.2260 ; + RECT 2.1030 0.1180 2.1530 0.8940 ; + RECT 1.7990 0.1180 1.8490 0.8940 ; + RECT 1.4950 0.1180 1.5450 0.8940 ; + RECT 2.2920 0.9670 2.3420 1.1760 ; + RECT 2.2240 0.9440 2.3420 0.9670 ; + RECT 2.2920 0.8550 2.3420 0.8570 ; + RECT 2.2240 0.8570 2.3420 0.8940 ; + RECT 2.1030 1.2260 2.1530 1.5360 ; + RECT 1.7990 1.2260 1.8490 1.5360 ; + RECT 1.4950 1.2260 1.5450 1.5360 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1380 1.5410 0.1800 ; + RECT 1.4990 1.1980 1.5410 1.2400 ; + RECT 1.4990 1.2900 1.5410 1.3320 ; + RECT 1.4990 0.2300 1.5410 0.2720 ; + RECT 2.1070 0.4140 2.1490 0.4560 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 2.1070 0.2300 2.1490 0.2720 ; + RECT 2.1070 0.8740 2.1490 0.9160 ; + RECT 2.1070 0.5980 2.1490 0.6400 ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 2.1070 0.1380 2.1490 0.1800 ; + RECT 2.1070 0.5060 2.1490 0.5480 ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 2.1070 1.1980 2.1490 1.2400 ; + RECT 2.1070 1.2900 2.1490 1.3320 ; + RECT 2.1070 1.4740 2.1490 1.5160 ; + RECT 1.4990 0.5060 1.5410 0.5480 ; + RECT 1.8030 1.3820 1.8450 1.4240 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 1.4990 0.5980 1.5410 0.6400 ; + RECT 1.4990 1.4740 1.5410 1.5160 ; + RECT 1.8030 1.4740 1.8450 1.5160 ; + RECT 1.4990 0.4140 1.5410 0.4560 ; + RECT 1.8030 0.4140 1.8450 0.4560 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 1.8030 0.2300 1.8450 0.2720 ; + RECT 1.4990 1.3820 1.5410 1.4240 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.8030 0.5980 1.8450 0.6400 ; + RECT 2.1070 1.3820 2.1490 1.4240 ; + RECT 1.8030 1.2900 1.8450 1.3320 ; + RECT 1.8030 1.1980 1.8450 1.2400 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 1.8030 0.1380 1.8450 0.1800 ; + RECT 1.8030 0.5060 1.8450 0.5480 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 1.4990 0.6900 1.5410 0.7320 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 2.2250 1.7020 2.3350 1.7720 ; + RECT 2.2250 1.5720 2.3350 1.6420 ; + RECT 0.8870 1.2600 0.9370 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0230 ; + RECT 1.9510 1.2780 2.0010 1.6420 ; + RECT 1.1910 1.2980 1.2410 1.6420 ; + RECT 0.4310 1.1540 0.4810 1.6420 ; + RECT 0.5820 1.1680 0.6320 1.6420 ; + RECT 1.6470 1.2770 1.6970 1.6420 ; + RECT 2.2550 1.3030 2.3050 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.9510 1.0850 1.9930 ; + RECT 0.5870 1.2800 0.6290 1.3220 ; + RECT 2.2590 1.4310 2.3010 1.4730 ; + RECT 2.2590 1.3390 2.3010 1.3810 ; + RECT 0.5870 1.3720 0.6290 1.4140 ; + RECT 0.4350 1.3580 0.4770 1.4000 ; + RECT 0.4350 1.4500 0.4770 1.4920 ; + RECT 1.1950 1.5020 1.2370 1.5440 ; + RECT 0.4350 1.2660 0.4770 1.3080 ; + RECT 0.5870 1.5560 0.6290 1.5980 ; + RECT 0.5870 1.4640 0.6290 1.5060 ; + RECT 1.6510 1.2970 1.6930 1.3390 ; + RECT 1.1950 1.3180 1.2370 1.3600 ; + RECT 0.4350 1.1740 0.4770 1.2160 ; + RECT 0.5870 1.1880 0.6290 1.2300 ; + RECT 0.8910 1.2800 0.9330 1.3220 ; + RECT 0.8910 1.4640 0.9330 1.5060 ; + RECT 0.8910 1.3720 0.9330 1.4140 ; + RECT 1.6510 1.4810 1.6930 1.5230 ; + RECT 1.1950 1.4100 1.2370 1.4520 ; + RECT 1.6510 1.3890 1.6930 1.4310 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 1.9550 1.2980 1.9970 1.3400 ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 0.8910 1.5560 0.9330 1.5980 ; + RECT 0.4350 1.5420 0.4770 1.5840 ; + END + END VSS + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.8440 ; + RECT 1.6470 0.0300 1.6970 0.8440 ; + RECT 1.1910 0.0300 1.2410 0.9360 ; + RECT 0.8870 0.0300 0.9370 0.7190 ; + RECT 2.2540 0.0300 2.3040 0.5260 ; + RECT 0.4310 0.0300 0.4810 0.6780 ; + RECT 0.5830 0.0300 0.6330 0.6780 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.6160 0.4770 0.6580 ; + RECT 0.4350 0.5240 0.4770 0.5660 ; + RECT 0.4350 0.4320 0.4770 0.4740 ; + RECT 2.2590 0.2800 2.3010 0.3220 ; + RECT 2.2590 0.3720 2.3010 0.4140 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.5870 0.6160 0.6290 0.6580 ; + RECT 0.5870 0.4320 0.6290 0.4740 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.5870 0.5240 0.6290 0.5660 ; + RECT 1.1950 0.1380 1.2370 0.1800 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.9550 0.3220 1.9970 0.3640 ; + RECT 1.9550 0.2300 1.9970 0.2720 ; + RECT 1.9550 0.1380 1.9970 0.1800 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 1.9550 0.5980 1.9970 0.6400 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 1.9550 0.5060 1.9970 0.5480 ; + RECT 1.9550 0.4140 1.9970 0.4560 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.1950 0.6900 1.2370 0.7320 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1950 0.5980 1.2370 0.6400 ; + RECT 1.6510 0.3220 1.6930 0.3640 ; + RECT 1.6510 0.2300 1.6930 0.2720 ; + RECT 1.6510 0.1380 1.6930 0.1800 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 1.6510 0.5980 1.6930 0.6400 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 1.6510 0.5060 1.6930 0.5480 ; + RECT 0.8910 0.5650 0.9330 0.6070 ; + RECT 0.8910 0.6570 0.9330 0.6990 ; + RECT 2.2590 0.4640 2.3010 0.5060 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.1950 0.7820 1.2370 0.8240 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 1.6510 0.4140 1.6930 0.4560 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.5840 3.0700 ; + RECT 1.9210 3.0700 2.0310 3.1400 ; + RECT 1.9210 2.9400 2.0310 3.0100 ; + RECT 1.0390 2.5350 1.0890 3.0100 ; + RECT 1.3430 2.4280 1.3930 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.0430 2.5550 1.0850 2.5970 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.4480 1.3890 2.4900 ; + RECT 1.0430 2.6470 1.0850 2.6890 ; + END + END VDDL + OBS + LAYER CO ; + RECT 0.8910 2.5550 0.9330 2.5970 ; + RECT 0.8910 2.6470 0.9330 2.6890 ; + RECT 0.8910 2.0990 0.9330 2.1410 ; + RECT 0.2830 0.5240 0.3250 0.5660 ; + RECT 0.2830 0.4320 0.3250 0.4740 ; + RECT 0.7390 0.6160 0.7810 0.6580 ; + RECT 0.7390 0.5240 0.7810 0.5660 ; + RECT 0.2830 0.6160 0.3250 0.6580 ; + RECT 0.2830 1.5220 0.3250 1.5640 ; + RECT 0.2830 1.4300 0.3250 1.4720 ; + RECT 0.6630 0.8420 0.7050 0.8840 ; + RECT 0.7390 0.4320 0.7810 0.4740 ; + RECT 0.2830 1.3380 0.3250 1.3800 ; + RECT 0.7390 1.4300 0.7810 1.4720 ; + RECT 0.7390 1.5220 0.7810 1.5640 ; + RECT 1.3470 1.3180 1.3890 1.3600 ; + RECT 0.2830 1.2460 0.3250 1.2880 ; + RECT 1.1950 2.6470 1.2370 2.6890 ; + RECT 0.8910 2.4630 0.9330 2.5050 ; + RECT 0.9670 1.0870 1.0090 1.1290 ; + RECT 1.8790 1.0050 1.9210 1.0470 ; + RECT 2.0310 1.0050 2.0730 1.0470 ; + RECT 1.0430 1.2440 1.0850 1.2860 ; + RECT 0.7390 1.2460 0.7810 1.2880 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 1.0430 1.5200 1.0850 1.5620 ; + RECT 1.0430 0.5680 1.0850 0.6100 ; + RECT 0.8910 2.0070 0.9330 2.0490 ; + RECT 0.3590 0.7420 0.4010 0.7840 ; + RECT 1.3470 1.5020 1.3890 1.5440 ; + RECT 1.3470 1.4100 1.3890 1.4520 ; + RECT 1.3470 0.5980 1.3890 0.6400 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 1.3470 0.5060 1.3890 0.5480 ; + RECT 1.3470 0.4140 1.3890 0.4560 ; + RECT 1.3470 0.3220 1.3890 0.3640 ; + RECT 1.1950 2.0990 1.2370 2.1410 ; + RECT 1.1950 2.5550 1.2370 2.5970 ; + RECT 0.3590 2.1140 0.4010 2.1560 ; + RECT 1.7270 1.0050 1.7690 1.0470 ; + RECT 1.1950 2.4630 1.2370 2.5050 ; + RECT 1.0430 1.3360 1.0850 1.3780 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.1950 2.0070 1.2370 2.0490 ; + RECT 1.2710 1.1860 1.3130 1.2280 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.0430 0.6600 1.0850 0.7020 ; + RECT 1.3470 0.6900 1.3890 0.7320 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 1.0430 1.4280 1.0850 1.4700 ; + RECT 0.7390 1.3380 0.7810 1.3800 ; + RECT 1.3470 0.1380 1.3890 0.1800 ; + LAYER NWELL ; + RECT -0.1150 -0.1150 2.6990 0.9930 ; + RECT -0.1150 3.2240 2.6990 3.4590 ; + RECT 2.4690 2.3510 2.6990 3.2240 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 0.5750 2.2340 1.4800 2.7640 ; + LAYER M1 ; + RECT 1.0810 1.1820 1.3330 1.1830 ; + RECT 1.0390 1.1830 1.3330 1.2320 ; + RECT 1.0390 1.2320 1.1310 1.2330 ; + RECT 1.0390 0.9830 1.1310 1.0330 ; + RECT 1.0390 0.5480 1.0890 0.9830 ; + RECT 1.0390 1.2330 1.0890 1.5820 ; + RECT 1.0810 1.0330 1.1310 1.1820 ; + RECT 0.7660 1.0830 1.0290 1.0840 ; + RECT 0.7340 1.0840 1.0290 1.1330 ; + RECT 0.3390 0.7380 0.8250 0.7880 ; + RECT 0.7340 1.1330 0.8250 1.1340 ; + RECT 0.7350 1.1340 0.7850 1.5840 ; + RECT 0.7350 0.4120 0.7850 0.7380 ; + RECT 0.7750 0.7880 0.8250 1.0830 ; + RECT 1.3430 1.0010 2.0930 1.0510 ; + RECT 1.3430 1.2810 1.4330 1.3310 ; + RECT 1.3430 1.3310 1.3930 1.5640 ; + RECT 1.3430 0.1180 1.3930 1.0010 ; + RECT 1.3830 1.0510 1.4330 1.2810 ; + RECT 0.2390 0.8380 0.7250 0.8880 ; + RECT 0.2390 0.6350 0.3290 0.6850 ; + RECT 0.2790 0.4120 0.3290 0.6350 ; + RECT 0.2800 0.8880 0.3300 1.5840 ; + RECT 0.2390 0.6850 0.2890 0.8380 ; + RECT 0.3330 2.1110 1.2830 2.1610 ; + RECT 0.8870 2.4230 1.2830 2.4730 ; + RECT 1.1920 1.9870 1.2420 2.1110 ; + RECT 1.2330 2.1610 1.2830 2.4230 ; + RECT 1.1910 2.4730 1.2410 2.7090 ; + RECT 0.8870 2.4730 0.9370 2.7090 ; + RECT 0.8870 1.9870 0.9370 2.1110 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.6690 1.0680 0.6990 2.7810 ; + RECT 1.5810 0.0680 1.6110 2.7800 ; + RECT 2.3410 0.0740 2.3710 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 0.6690 0.0910 0.6990 0.9280 ; + RECT 0.3650 0.0880 0.3950 0.9010 ; + RECT 1.7330 0.0680 1.7630 2.7800 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.3650 1.0680 0.3950 2.7800 ; + RECT 2.4930 0.0740 2.5230 2.7800 ; + RECT 0.9730 0.0790 1.0030 1.7660 ; + RECT 0.9730 1.8810 1.0030 2.7810 ; + END +END LSUPX4_HVT + +MACRO LSUPX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6310 2.2130 1.1810 2.2630 ; + RECT 1.0090 2.2630 1.1190 2.3350 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.6630 2.2170 0.7050 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.051 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 1.1780 3.0220 1.2280 ; + RECT 2.8630 0.1180 2.9130 0.8940 ; + RECT 2.5590 0.1180 2.6090 0.8940 ; + RECT 2.2550 0.1180 2.3050 0.8940 ; + RECT 1.9510 0.1180 2.0010 0.8940 ; + RECT 1.6480 0.9190 3.0950 0.9440 ; + RECT 1.6470 0.1180 1.6970 0.8940 ; + RECT 1.6470 0.8940 3.0950 0.9190 ; + RECT 1.9510 1.2280 2.0010 1.5360 ; + RECT 1.6470 1.2280 1.6970 1.5360 ; + RECT 2.2550 1.2280 2.3050 1.5360 ; + RECT 2.8630 1.2280 2.9130 1.5360 ; + RECT 2.5590 1.2280 2.6090 1.5360 ; + RECT 2.9720 0.9680 3.0220 1.1780 ; + RECT 2.9720 0.9440 3.0950 0.9680 ; + RECT 2.9720 0.8570 3.0950 0.8940 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.5060 1.6930 0.5480 ; + RECT 1.9550 1.3820 1.9970 1.4240 ; + RECT 1.6510 0.3220 1.6930 0.3640 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 1.6510 0.5980 1.6930 0.6400 ; + RECT 1.6510 1.4740 1.6930 1.5160 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.8670 1.3820 2.9090 1.4240 ; + RECT 1.9550 1.4740 1.9970 1.5160 ; + RECT 2.5630 1.4740 2.6050 1.5160 ; + RECT 2.8670 0.8740 2.9090 0.9160 ; + RECT 2.5630 1.3820 2.6050 1.4240 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 2.8670 1.4740 2.9090 1.5160 ; + RECT 2.5630 0.1380 2.6050 0.1800 ; + RECT 1.6510 0.4140 1.6930 0.4560 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 2.2590 0.5980 2.3010 0.6400 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 2.5630 0.5060 2.6050 0.5480 ; + RECT 2.2590 0.3220 2.3010 0.3640 ; + RECT 2.2590 0.5060 2.3010 0.5480 ; + RECT 1.9550 0.4140 1.9970 0.4560 ; + RECT 2.5630 0.6900 2.6050 0.7320 ; + RECT 1.9550 0.3220 1.9970 0.3640 ; + RECT 1.9550 0.2300 1.9970 0.2720 ; + RECT 2.8670 0.1380 2.9090 0.1800 ; + RECT 2.5630 0.5980 2.6050 0.6400 ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 1.6510 0.1380 1.6930 0.1800 ; + RECT 1.6510 1.1980 1.6930 1.2400 ; + RECT 1.6510 1.2900 1.6930 1.3320 ; + RECT 2.2590 1.1980 2.3010 1.2400 ; + RECT 2.2590 1.2900 2.3010 1.3320 ; + RECT 2.8670 0.5980 2.9090 0.6400 ; + RECT 1.6510 0.2300 1.6930 0.2720 ; + RECT 2.8670 0.3220 2.9090 0.3640 ; + RECT 2.8670 0.6900 2.9090 0.7320 ; + RECT 1.9550 1.2900 1.9970 1.3320 ; + RECT 1.9550 1.1980 1.9970 1.2400 ; + RECT 1.6510 1.3820 1.6930 1.4240 ; + RECT 1.9550 0.8740 1.9970 0.9160 ; + RECT 1.9550 0.5980 1.9970 0.6400 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 1.9550 0.1380 1.9970 0.1800 ; + RECT 2.8670 0.7820 2.9090 0.8240 ; + RECT 1.9550 0.5060 1.9970 0.5480 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 2.5630 0.2300 2.6050 0.2720 ; + RECT 2.5630 0.3220 2.6050 0.3640 ; + RECT 2.5630 0.4140 2.6050 0.4560 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 2.2590 0.2300 2.3010 0.2720 ; + RECT 2.2590 1.4740 2.3010 1.5160 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 2.8670 1.1980 2.9090 1.2400 ; + RECT 2.8670 1.2900 2.9090 1.3320 ; + RECT 2.8670 0.2300 2.9090 0.2720 ; + RECT 2.5630 1.1980 2.6050 1.2400 ; + RECT 2.5630 1.2900 2.6050 1.3320 ; + RECT 2.2590 0.1380 2.3010 0.1800 ; + RECT 2.2590 1.3820 2.3010 1.4240 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 2.9850 1.7020 3.0950 1.7720 ; + RECT 2.9850 1.5720 3.0950 1.6420 ; + RECT 1.4950 1.2980 1.5450 1.6420 ; + RECT 1.1910 1.2980 1.2410 1.6420 ; + RECT 0.4310 1.1540 0.4810 1.6420 ; + RECT 0.8870 1.2000 0.9370 1.6420 ; + RECT 0.5820 1.1680 0.6320 1.6420 ; + RECT 2.1030 1.2790 2.1530 1.6420 ; + RECT 2.4070 1.2790 2.4570 1.6420 ; + RECT 1.7990 1.2780 1.8490 1.6420 ; + RECT 2.7110 1.2990 2.7610 1.6420 ; + RECT 1.0390 1.7020 1.0890 1.9950 ; + RECT 3.0150 1.3030 3.0650 1.5720 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.4830 2.1490 1.5250 ; + RECT 0.8910 1.4040 0.9330 1.4460 ; + RECT 0.8910 1.3120 0.9330 1.3540 ; + RECT 1.8030 1.4820 1.8450 1.5240 ; + RECT 1.4990 1.3180 1.5410 1.3600 ; + RECT 1.1950 1.4100 1.2370 1.4520 ; + RECT 0.5870 1.4640 0.6290 1.5060 ; + RECT 1.8030 1.2980 1.8450 1.3400 ; + RECT 0.8910 1.2200 0.9330 1.2620 ; + RECT 1.1950 1.3180 1.2370 1.3600 ; + RECT 2.4110 1.4830 2.4530 1.5250 ; + RECT 2.4110 1.2990 2.4530 1.3410 ; + RECT 2.1070 1.2990 2.1490 1.3410 ; + RECT 2.1070 1.3910 2.1490 1.4330 ; + RECT 1.4990 1.4100 1.5410 1.4520 ; + RECT 1.8030 1.3900 1.8450 1.4320 ; + RECT 2.4110 1.3910 2.4530 1.4330 ; + RECT 0.8910 1.4960 0.9330 1.5380 ; + RECT 2.7150 1.5030 2.7570 1.5450 ; + RECT 0.5870 1.1880 0.6290 1.2300 ; + RECT 0.4350 1.5420 0.4770 1.5840 ; + RECT 1.0430 1.9230 1.0850 1.9650 ; + RECT 0.5870 1.2800 0.6290 1.3220 ; + RECT 1.4990 1.5020 1.5410 1.5440 ; + RECT 3.0190 1.4310 3.0610 1.4730 ; + RECT 3.0190 1.3390 3.0610 1.3810 ; + RECT 2.7150 1.3190 2.7570 1.3610 ; + RECT 2.7150 1.4110 2.7570 1.4530 ; + RECT 0.4350 1.1740 0.4770 1.2160 ; + RECT 0.5870 1.3720 0.6290 1.4140 ; + RECT 0.4350 1.3580 0.4770 1.4000 ; + RECT 0.4350 1.4500 0.4770 1.4920 ; + RECT 1.1950 1.5020 1.2370 1.5440 ; + RECT 0.4350 1.2660 0.4770 1.3080 ; + RECT 0.5870 1.5560 0.6290 1.5980 ; + END + END VSS + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 2.4070 0.0300 2.4570 0.8440 ; + RECT 1.7990 0.0300 1.8490 0.8440 ; + RECT 0.8870 0.0300 0.9370 0.7370 ; + RECT 2.1030 0.0300 2.1530 0.8440 ; + RECT 2.7110 0.0300 2.7610 0.8440 ; + RECT 1.1910 0.0300 1.2410 0.9360 ; + RECT 3.0150 0.0300 3.0650 0.5260 ; + RECT 0.4310 0.0300 0.4810 0.6820 ; + RECT 0.5830 0.0300 0.6330 0.6820 ; + RECT 1.4950 0.0300 1.5450 0.9360 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.3440 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 2.7150 0.3220 2.7570 0.3640 ; + RECT 1.1950 0.6900 1.2370 0.7320 ; + RECT 2.7150 0.4140 2.7570 0.4560 ; + RECT 2.7150 0.1380 2.7570 0.1800 ; + RECT 1.4990 0.6900 1.5410 0.7320 ; + RECT 1.4990 0.5060 1.5410 0.5480 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.4990 0.1380 1.5410 0.1800 ; + RECT 1.4990 0.2300 1.5410 0.2720 ; + RECT 1.1950 0.5980 1.2370 0.6400 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 1.8030 0.2300 1.8450 0.2720 ; + RECT 1.8030 0.1380 1.8450 0.1800 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 1.8030 0.5980 1.8450 0.6400 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 2.7150 0.5980 2.7570 0.6400 ; + RECT 1.8030 0.5060 1.8450 0.5480 ; + RECT 2.4110 0.1380 2.4530 0.1800 ; + RECT 1.4990 0.4140 1.5410 0.4560 ; + RECT 2.1070 0.4140 2.1490 0.4560 ; + RECT 0.8910 0.5830 0.9330 0.6250 ; + RECT 0.8910 0.6750 0.9330 0.7170 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.1950 0.1380 1.2370 0.1800 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 2.1070 0.1380 2.1490 0.1800 ; + RECT 2.1070 0.2300 2.1490 0.2720 ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.7150 0.5060 2.7570 0.5480 ; + RECT 2.4110 0.7820 2.4530 0.8240 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.1950 0.2300 1.2370 0.2720 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.7150 0.6900 2.7570 0.7320 ; + RECT 2.4110 0.6900 2.4530 0.7320 ; + RECT 2.7150 0.7820 2.7570 0.8240 ; + RECT 2.7150 0.2300 2.7570 0.2720 ; + RECT 2.4110 0.5980 2.4530 0.6400 ; + RECT 2.4110 0.2300 2.4530 0.2720 ; + RECT 2.4110 0.3220 2.4530 0.3640 ; + RECT 1.4990 0.5980 1.5410 0.6400 ; + RECT 1.8030 0.4140 1.8450 0.4560 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.4350 0.6200 0.4770 0.6620 ; + RECT 0.4350 0.5280 0.4770 0.5700 ; + RECT 0.4350 0.4360 0.4770 0.4780 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 3.0190 0.2800 3.0610 0.3220 ; + RECT 3.0190 0.3720 3.0610 0.4140 ; + RECT 3.0190 0.4640 3.0610 0.5060 ; + RECT 2.1070 0.5060 2.1490 0.5480 ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 2.1070 0.5980 2.1490 0.6400 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.1950 0.7820 1.2370 0.8240 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5870 0.6200 0.6290 0.6620 ; + RECT 0.5870 0.4360 0.6290 0.4780 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.5870 0.5280 0.6290 0.5700 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.3440 3.0700 ; + RECT 2.0730 3.0700 2.1830 3.1400 ; + RECT 2.0730 2.9400 2.1830 3.0100 ; + RECT 1.0390 2.5350 1.0890 3.0100 ; + RECT 1.3430 2.4280 1.3930 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.4480 1.3890 2.4900 ; + RECT 1.0430 2.6470 1.0850 2.6890 ; + RECT 1.0430 2.5550 1.0850 2.5970 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + END + END VDDL + OBS + LAYER CO ; + RECT 0.2830 1.4300 0.3250 1.4720 ; + RECT 1.0430 1.4860 1.0850 1.5280 ; + RECT 1.0430 0.5850 1.0850 0.6270 ; + RECT 0.8910 1.9790 0.9330 2.0210 ; + RECT 0.3590 0.7460 0.4010 0.7880 ; + RECT 0.9670 1.0880 1.0090 1.1300 ; + RECT 1.3470 1.5020 1.3890 1.5440 ; + RECT 1.3470 1.4100 1.3890 1.4520 ; + RECT 1.3470 0.5980 1.3890 0.6400 ; + RECT 0.7390 1.4300 0.7810 1.4720 ; + RECT 0.7390 1.5220 0.7810 1.5640 ; + RECT 1.0430 1.2100 1.0850 1.2520 ; + RECT 1.4230 1.7850 1.4650 1.8270 ; + RECT 1.3470 1.3180 1.3890 1.3600 ; + RECT 1.2710 1.7850 1.3130 1.8270 ; + RECT 0.2830 1.2460 0.3250 1.2880 ; + RECT 0.7390 1.1540 0.7810 1.1960 ; + RECT 0.7390 1.2460 0.7810 1.2880 ; + RECT 1.1950 2.6470 1.2370 2.6890 ; + RECT 0.8910 2.4630 0.9330 2.5050 ; + RECT 2.3350 1.0370 2.3770 1.0790 ; + RECT 2.1830 1.0370 2.2250 1.0790 ; + RECT 2.0310 1.0370 2.0730 1.0790 ; + RECT 2.6390 1.0370 2.6810 1.0790 ; + RECT 1.1950 2.0710 1.2370 2.1130 ; + RECT 1.1950 2.5550 1.2370 2.5970 ; + RECT 0.3590 2.0870 0.4010 2.1290 ; + RECT 1.8790 1.0370 1.9210 1.0790 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + RECT 1.1950 2.4630 1.2370 2.5050 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 1.3470 0.5060 1.3890 0.5480 ; + RECT 1.3470 0.4140 1.3890 0.4560 ; + RECT 1.3470 0.3220 1.3890 0.3640 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.0430 0.6770 1.0850 0.7190 ; + RECT 1.3470 0.6900 1.3890 0.7320 ; + RECT 1.3470 0.2300 1.3890 0.2720 ; + RECT 1.0430 1.3940 1.0850 1.4360 ; + RECT 0.7390 1.3380 0.7810 1.3800 ; + RECT 1.3470 0.1380 1.3890 0.1800 ; + RECT 0.8910 2.5550 0.9330 2.5970 ; + RECT 0.8910 2.6470 0.9330 2.6890 ; + RECT 0.8910 2.0710 0.9330 2.1130 ; + RECT 0.2830 0.5280 0.3250 0.5700 ; + RECT 0.2830 0.4360 0.3250 0.4780 ; + RECT 0.7390 0.6200 0.7810 0.6620 ; + RECT 0.7390 0.5280 0.7810 0.5700 ; + RECT 0.2830 0.6200 0.3250 0.6620 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.5220 0.3250 1.5640 ; + RECT 2.7910 1.0370 2.8330 1.0790 ; + RECT 2.4870 1.0370 2.5290 1.0790 ; + RECT 1.2710 1.1900 1.3130 1.2320 ; + RECT 1.0430 1.3020 1.0850 1.3440 ; + RECT 1.1950 1.9790 1.2370 2.0210 ; + RECT 0.6630 0.8460 0.7050 0.8880 ; + RECT 0.7390 0.4360 0.7810 0.4780 ; + RECT 0.2830 1.3380 0.3250 1.3800 ; + LAYER NWELL ; + RECT -0.1150 -0.1150 3.4590 0.9930 ; + RECT -0.1150 3.2240 3.4590 3.4590 ; + RECT 3.2290 2.3510 3.4590 3.2240 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + LAYER M1 ; + RECT 0.7340 1.0840 1.0290 1.1340 ; + RECT 0.3390 0.7420 0.8250 0.7920 ; + RECT 0.7350 0.4160 0.7850 0.7420 ; + RECT 0.7350 1.1340 0.7850 1.5840 ; + RECT 0.7750 0.7920 0.8250 1.0840 ; + RECT 1.0390 1.1860 1.3330 1.2360 ; + RECT 1.0390 1.2360 1.0890 1.5480 ; + RECT 1.0390 0.5650 1.0890 0.9830 ; + RECT 1.0390 1.1850 1.1290 1.1860 ; + RECT 1.0790 1.0340 1.1290 1.1850 ; + RECT 1.0390 0.9830 1.1290 1.0340 ; + RECT 0.2390 0.8420 0.7250 0.8920 ; + RECT 0.2390 0.6390 0.3290 0.6890 ; + RECT 0.2790 0.4160 0.3290 0.6390 ; + RECT 0.2800 0.8920 0.3300 1.5840 ; + RECT 0.2390 0.6890 0.2890 0.8420 ; + RECT 0.3330 2.0830 1.2830 2.1330 ; + RECT 0.8870 2.4230 1.2830 2.4730 ; + RECT 1.1920 1.9590 1.2420 2.0830 ; + RECT 1.2330 2.1330 1.2830 2.4230 ; + RECT 1.1910 2.4730 1.2410 2.7090 ; + RECT 0.8870 2.4730 0.9370 2.7090 ; + RECT 0.8870 1.9590 0.9370 2.0830 ; + RECT 1.3430 1.0330 2.8530 1.0830 ; + RECT 1.3430 1.2870 1.4330 1.3370 ; + RECT 1.3430 1.3370 1.3930 1.5640 ; + RECT 1.3430 0.1180 1.3930 1.0330 ; + RECT 1.3830 1.0830 1.4330 1.2870 ; + RECT 1.2510 1.7810 1.4850 1.8310 ; + LAYER PO ; + RECT 0.6690 1.0680 0.6990 2.7810 ; + RECT 1.7330 0.0680 1.7630 2.7800 ; + RECT 3.1010 0.0740 3.1310 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 0.3650 0.1150 0.3950 0.9130 ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 0.9730 0.0790 1.0030 1.7380 ; + RECT 2.9490 0.0690 2.9790 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.3650 1.0680 0.3950 2.7800 ; + RECT 2.7970 0.0680 2.8270 2.7800 ; + RECT 2.6450 0.0680 2.6750 2.7800 ; + RECT 2.4930 0.0680 2.5230 2.7800 ; + RECT 2.3410 0.0680 2.3710 2.7800 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 0.9730 1.8530 1.0030 2.7810 ; + RECT 3.2530 0.0740 3.2830 2.7800 ; + RECT 0.6690 0.1020 0.6990 0.9030 ; + RECT 0.2130 0.1140 0.2430 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.0610 0.1140 0.0910 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + END +END LSUPX8_HVT + +MACRO MUX21X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.5580 ; + RECT 0.5830 0.0300 0.6330 0.2950 ; + RECT 0.8870 0.0300 0.9370 0.2960 ; + RECT 1.0390 0.0300 1.0890 0.2960 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1740 1.5410 0.2160 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4990 0.2660 1.5410 0.3080 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 1.0430 0.1420 1.0850 0.1840 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.0430 0.2340 1.0850 0.2760 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.8910 0.2340 0.9330 0.2760 ; + RECT 1.0430 0.1420 1.0850 0.1840 ; + RECT 1.4990 0.3580 1.5410 0.4000 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.8870 1.3850 0.9370 1.6420 ; + RECT 1.0390 1.3850 1.0890 1.6420 ; + RECT 1.4950 1.3850 1.5450 1.6420 ; + RECT 0.5830 1.3850 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4050 1.0850 1.4470 ; + RECT 1.0430 1.4050 1.0850 1.4470 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8910 1.4050 0.9330 1.4470 ; + RECT 0.5870 1.4050 0.6290 1.4470 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4990 1.4050 1.5410 1.4470 ; + RECT 1.4990 1.4050 1.5410 1.4470 ; + END + END VDD + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5530 0.4150 0.6630 ; + RECT 0.3550 0.6630 0.4050 0.6920 ; + RECT 0.3550 0.4990 0.4050 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6150 0.4010 0.6570 ; + END + ANTENNAGATEAREA 0.0189 ; + END A1 + + PIN S0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.7290 0.9670 0.7790 ; + RECT 0.8110 0.7790 0.9670 0.8150 ; + RECT 0.8110 0.7050 0.9670 0.7290 ; + RECT 0.5070 0.7790 0.5570 1.1540 ; + RECT 0.9170 0.6640 0.9670 0.7050 ; + RECT 0.9170 0.6140 1.1650 0.6640 ; + RECT 1.1150 0.6640 1.1650 0.6960 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6340 1.1610 0.6760 ; + RECT 0.8150 0.7330 0.8570 0.7750 ; + RECT 0.5110 1.0370 0.5530 1.0790 ; + END + ANTENNAGATEAREA 0.0378 ; + END S0 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.5080 1.8010 0.5580 ; + RECT 1.6470 0.7650 1.8800 0.8150 ; + RECT 1.6470 0.8150 1.6970 1.5500 ; + RECT 1.6470 0.1520 1.6970 0.5080 ; + RECT 1.7510 0.5580 1.8010 0.7050 ; + RECT 1.7510 0.7050 1.8800 0.7650 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.8730 1.6930 0.9150 ; + RECT 1.6510 1.4450 1.6930 1.4870 ; + RECT 1.6510 1.1690 1.6930 1.2110 ; + RECT 1.6510 0.1870 1.6930 0.2290 ; + RECT 1.6510 1.4450 1.6930 1.4870 ; + RECT 1.6510 0.9650 1.6930 1.0070 ; + RECT 1.6510 0.2790 1.6930 0.3210 ; + RECT 1.6510 0.3710 1.6930 0.4130 ; + RECT 1.6510 1.0770 1.6930 1.1190 ; + RECT 1.6510 1.1690 1.6930 1.2110 ; + RECT 1.6510 0.3710 1.6930 0.4130 ; + RECT 1.6510 0.4630 1.6930 0.5050 ; + RECT 1.6510 0.9650 1.6930 1.0070 ; + RECT 1.6510 1.0770 1.6930 1.1190 ; + RECT 1.6510 0.1870 1.6930 0.2290 ; + RECT 1.6510 0.8730 1.6930 0.9150 ; + RECT 1.6510 1.3530 1.6930 1.3950 ; + RECT 1.6510 1.3530 1.6930 1.3950 ; + RECT 1.6510 1.2610 1.6930 1.3030 ; + RECT 1.6510 1.2610 1.6930 1.3030 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2510 1.0090 1.4230 1.1190 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.0420 1.3130 1.0840 ; + END + ANTENNAGATEAREA 0.0189 ; + END A2 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7730 ; + LAYER M1 ; + RECT 1.1150 0.7520 1.2930 0.8020 ; + RECT 0.5070 0.5140 1.2930 0.5640 ; + RECT 1.2430 0.5640 1.2930 0.7520 ; + RECT 0.7350 1.0740 1.1650 1.1240 ; + RECT 1.1150 0.8020 1.1650 1.0740 ; + RECT 0.5070 0.4240 0.5570 0.5140 ; + RECT 0.7350 1.1240 0.7850 1.2320 ; + RECT 0.7350 0.1610 0.7850 0.5140 ; + RECT 1.3430 0.6080 1.6520 0.6580 ; + RECT 0.2790 1.2850 1.5640 1.3350 ; + RECT 1.5140 0.6580 1.5640 1.2850 ; + RECT 0.1490 0.3370 0.1990 0.8940 ; + RECT 0.1490 0.2870 0.3290 0.3370 ; + RECT 0.2790 0.1380 0.3290 0.2870 ; + RECT 0.2790 1.3350 0.3290 1.5490 ; + RECT 0.2790 0.9440 0.3290 1.2850 ; + RECT 0.1490 0.8940 0.3290 0.9440 ; + RECT 1.3430 1.3350 1.3930 1.5500 ; + RECT 1.3430 0.1390 1.3930 0.6080 ; + LAYER PO ; + RECT 1.1250 0.0720 1.1550 0.7110 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 0.3650 0.0690 0.3950 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 1.5810 0.0720 1.6110 1.6100 ; + RECT 1.7330 0.0720 1.7630 1.6100 ; + RECT 1.8850 0.0720 1.9150 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.5170 0.0710 0.5470 0.5250 ; + RECT 0.8210 0.0720 0.8510 1.6100 ; + RECT 1.1250 1.0150 1.1550 1.6100 ; + RECT 0.9730 0.0720 1.0030 1.6100 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + RECT 1.4290 0.0720 1.4590 1.6100 ; + RECT 0.5170 1.0050 0.5470 1.6090 ; + LAYER CO ; + RECT 1.1190 1.0470 1.1610 1.0890 ; + RECT 1.3470 0.1740 1.3890 0.2160 ; + RECT 0.2830 0.2650 0.3250 0.3070 ; + RECT 1.3470 1.4810 1.3890 1.5230 ; + RECT 0.2830 0.1730 0.3250 0.2150 ; + RECT 1.5750 0.6120 1.6170 0.6540 ; + RECT 0.7390 1.1700 0.7810 1.2120 ; + RECT 0.7390 0.1810 0.7810 0.2230 ; + RECT 0.2830 1.1960 0.3250 1.2380 ; + RECT 1.3470 1.3890 1.3890 1.4310 ; + RECT 1.3470 1.3890 1.3890 1.4310 ; + RECT 0.7390 0.2730 0.7810 0.3150 ; + RECT 1.3470 1.4810 1.3890 1.5230 ; + RECT 0.2830 1.2880 0.3250 1.3300 ; + RECT 0.2830 1.2880 0.3250 1.3300 ; + RECT 0.5110 0.4510 0.5530 0.4930 ; + RECT 0.2830 1.4720 0.3250 1.5140 ; + RECT 0.2830 1.3800 0.3250 1.4220 ; + RECT 1.3470 0.2660 1.3890 0.3080 ; + RECT 0.2830 1.4720 0.3250 1.5140 ; + RECT 0.2830 1.3800 0.3250 1.4220 ; + END +END MUX21X1_HVT + +MACRO MUX21X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.7990 0.0300 1.8490 0.3290 ; + RECT 1.4950 0.0300 1.5450 0.4980 ; + RECT 1.0390 0.0300 1.0890 0.3880 ; + RECT 0.8870 0.0300 0.9370 0.3880 ; + RECT 0.5830 0.0300 0.6330 0.3870 ; + END + PORT + LAYER CO ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4990 0.1600 1.5410 0.2020 ; + RECT 1.4990 0.2520 1.5410 0.2940 ; + RECT 1.8030 0.2520 1.8450 0.2940 ; + RECT 1.8030 0.1600 1.8450 0.2020 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.8910 0.3260 0.9330 0.3680 ; + RECT 1.0430 0.3260 1.0850 0.3680 ; + RECT 1.0430 0.1420 1.0850 0.1840 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 1.0430 0.1420 1.0850 0.1840 ; + RECT 1.4990 0.3440 1.5410 0.3860 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 0.8910 0.2340 0.9330 0.2760 ; + RECT 1.0430 0.2340 1.0850 0.2760 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.4480 1.9480 0.4980 ; + RECT 1.6470 0.8350 1.9480 0.8850 ; + RECT 1.6470 0.1320 1.6970 0.4480 ; + RECT 1.6470 0.8850 1.6970 1.5450 ; + RECT 1.8980 0.7050 2.0310 0.8160 ; + RECT 1.8980 0.4980 1.9480 0.7050 ; + RECT 1.8980 0.8160 1.9480 0.8350 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.2440 1.6930 0.2860 ; + RECT 1.6510 1.4830 1.6930 1.5250 ; + RECT 1.6510 0.4280 1.6930 0.4700 ; + RECT 1.6510 1.3910 1.6930 1.4330 ; + RECT 1.6510 1.2990 1.6930 1.3410 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.6510 1.2070 1.6930 1.2490 ; + RECT 1.6510 1.1150 1.6930 1.1570 ; + RECT 1.6510 1.0230 1.6930 1.0650 ; + RECT 1.6510 0.9310 1.6930 0.9730 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.4950 1.2790 1.5450 1.6420 ; + RECT 1.7990 1.0030 1.8490 1.6420 ; + RECT 0.5830 1.2920 0.6330 1.6420 ; + RECT 1.0390 1.2730 1.0890 1.6420 ; + RECT 0.8870 1.2920 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.8030 1.3910 1.8450 1.4330 ; + RECT 1.8030 1.0230 1.8450 1.0650 ; + RECT 1.8030 1.4830 1.8450 1.5250 ; + RECT 1.4990 1.3910 1.5410 1.4330 ; + RECT 1.4990 1.4830 1.5410 1.5250 ; + RECT 0.8910 1.3130 0.9330 1.3550 ; + RECT 1.8030 1.2070 1.8450 1.2490 ; + RECT 1.0430 1.3860 1.0850 1.4280 ; + RECT 1.0430 1.2940 1.0850 1.3360 ; + RECT 1.4990 1.2990 1.5410 1.3410 ; + RECT 0.5870 1.3120 0.6290 1.3540 ; + RECT 1.0430 1.3860 1.0850 1.4280 ; + RECT 1.0430 1.3860 1.0850 1.4280 ; + RECT 1.8030 1.2990 1.8450 1.3410 ; + RECT 1.8030 1.1150 1.8450 1.1570 ; + RECT 1.0430 1.4780 1.0850 1.5200 ; + RECT 1.0430 1.4780 1.0850 1.5200 ; + RECT 0.8910 1.4050 0.9330 1.4470 ; + RECT 0.5870 1.4040 0.6290 1.4460 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + END + END VDD + + PIN S0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.7050 0.9670 0.7550 ; + RECT 0.8110 0.7550 0.9670 0.8150 ; + RECT 0.9170 0.6640 0.9670 0.7050 ; + RECT 0.5070 0.7550 0.5570 1.0290 ; + RECT 0.5070 0.6630 0.5570 0.7050 ; + RECT 0.9170 0.6140 1.1650 0.6640 ; + RECT 1.1150 0.6640 1.1650 0.6960 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6340 1.1610 0.6760 ; + RECT 0.8150 0.7330 0.8570 0.7750 ; + RECT 0.5110 0.9290 0.5530 0.9710 ; + END + ANTENNAGATEAREA 0.0468 ; + END S0 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2300 0.5530 0.4150 0.6630 ; + RECT 0.3550 0.6630 0.4050 0.6920 ; + RECT 0.3550 0.4990 0.4050 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6150 0.4010 0.6570 ; + END + ANTENNAGATEAREA 0.0234 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2510 0.8570 1.4230 0.9670 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.8910 1.3130 0.9330 ; + END + ANTENNAGATEAREA 0.0234 ; + END A2 + OBS + LAYER CO ; + RECT 1.7270 0.6140 1.7690 0.6560 ; + RECT 1.5750 0.6140 1.6170 0.6560 ; + RECT 0.7390 1.0600 0.7810 1.1020 ; + RECT 0.2830 1.1040 0.3250 1.1460 ; + RECT 0.7390 0.3480 0.7810 0.3900 ; + RECT 0.7390 0.2560 0.7810 0.2980 ; + RECT 0.2830 0.1670 0.3250 0.2090 ; + RECT 1.3470 1.4810 1.3890 1.5230 ; + RECT 0.2830 0.2590 0.3250 0.3010 ; + RECT 1.3470 0.1590 1.3890 0.2010 ; + RECT 1.1190 0.9270 1.1610 0.9690 ; + RECT 0.2830 1.3800 0.3250 1.4220 ; + RECT 1.3470 0.2510 1.3890 0.2930 ; + RECT 0.2830 1.3800 0.3250 1.4220 ; + RECT 1.3470 0.3430 1.3890 0.3850 ; + RECT 0.2830 0.3510 0.3250 0.3930 ; + RECT 0.5110 0.5510 0.5530 0.5930 ; + RECT 0.2830 1.2880 0.3250 1.3300 ; + RECT 0.2830 1.2880 0.3250 1.3300 ; + RECT 1.3470 1.4810 1.3890 1.5230 ; + RECT 0.7390 0.1640 0.7810 0.2060 ; + RECT 1.3470 1.3890 1.3890 1.4310 ; + RECT 1.3470 1.2050 1.3890 1.2470 ; + RECT 0.2830 1.1960 0.3250 1.2380 ; + RECT 1.3470 1.3890 1.3890 1.4310 ; + RECT 1.3470 1.2970 1.3890 1.3390 ; + RECT 1.3470 1.2970 1.3890 1.3390 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7730 ; + LAYER M1 ; + RECT 1.1150 0.7460 1.2930 0.7960 ; + RECT 0.5070 0.5140 1.2930 0.5640 ; + RECT 1.2430 0.5640 1.2930 0.7460 ; + RECT 1.1150 0.7960 1.1650 0.9800 ; + RECT 0.7350 1.0060 1.1640 1.0300 ; + RECT 0.7350 0.9800 1.1650 1.0060 ; + RECT 0.5070 0.5640 0.5570 0.6130 ; + RECT 0.5070 0.5090 0.5570 0.5140 ; + RECT 0.7350 1.0300 0.7850 1.1220 ; + RECT 0.7350 0.1440 0.7850 0.5140 ; + RECT 1.3430 0.6100 1.7890 0.6600 ; + RECT 0.2790 1.1720 1.5880 1.2220 ; + RECT 1.5380 0.6600 1.5880 1.1720 ; + RECT 0.0970 0.4240 0.1470 0.8940 ; + RECT 0.0970 0.3740 0.3290 0.4240 ; + RECT 0.2790 0.1380 0.3290 0.3740 ; + RECT 0.0970 0.8940 0.3290 0.9440 ; + RECT 0.2790 1.2220 0.3290 1.5490 ; + RECT 0.2790 0.9440 0.3290 1.1720 ; + RECT 1.3430 1.2220 1.3930 1.5500 ; + RECT 1.3430 0.1240 1.3930 0.6100 ; + LAYER PO ; + RECT 1.1250 0.0720 1.1550 0.7110 ; + RECT 2.0370 0.0580 2.0670 1.5960 ; + RECT 1.8850 0.0580 1.9150 1.5960 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6100 ; + RECT 0.5170 0.8950 0.5470 1.6090 ; + RECT 1.4290 0.0720 1.4590 1.6100 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + RECT 0.9730 0.0720 1.0030 1.6100 ; + RECT 1.1250 0.8930 1.1550 1.6100 ; + RECT 0.8210 0.0720 0.8510 1.6100 ; + RECT 0.5170 0.0710 0.5470 0.6270 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.3650 0.0690 0.3950 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + END +END MUX21X2_HVT + +MACRO MUX41X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1370 0.6570 3.2480 0.8150 ; + RECT 3.1400 0.8150 3.1900 0.9210 ; + RECT 3.1400 0.4530 3.1900 0.6570 ; + RECT 3.0150 0.9210 3.1900 0.9710 ; + RECT 3.0150 0.4030 3.1900 0.4530 ; + RECT 3.0150 0.9710 3.0650 1.1460 ; + RECT 3.0150 0.2160 3.0650 0.4030 ; + END + PORT + LAYER CO ; + RECT 3.0190 0.2760 3.0610 0.3180 ; + RECT 3.0190 1.0610 3.0610 1.1030 ; + RECT 3.0190 0.9690 3.0610 1.0110 ; + RECT 3.0190 0.3680 3.0610 0.4100 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7070 0.0970 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.1330 1.7690 0.1750 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN S0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1620 1.4440 2.7080 1.4940 ; + RECT 2.5290 1.4940 2.6590 1.5810 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.4480 2.6810 1.4900 ; + RECT 2.1830 1.4480 2.2250 1.4900 ; + END + ANTENNAGATEAREA 0.048 ; + END S0 + + PIN S1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.2830 1.1400 0.3330 ; + RECT 1.0900 0.0880 1.4850 0.1380 ; + RECT 0.2490 0.0890 0.7250 0.1390 ; + RECT 0.2490 0.1390 0.4170 0.2180 ; + RECT 0.6590 0.1390 0.7090 0.2830 ; + RECT 1.0900 0.1380 1.1400 0.2830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.1230 0.4010 0.1650 ; + RECT 0.6630 0.0930 0.7050 0.1350 ; + RECT 1.4230 0.0920 1.4650 0.1340 ; + RECT 1.2710 0.0920 1.3130 0.1340 ; + RECT 0.5110 0.0930 0.5530 0.1350 ; + END + ANTENNAGATEAREA 0.0717 ; + END S1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8840 0.8130 1.0370 0.8570 ; + RECT 0.8570 0.8630 0.9670 1.0010 ; + RECT 0.8570 0.8570 1.0370 0.8630 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8170 1.0090 0.8590 ; + END + ANTENNAGATEAREA 0.024 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7960 0.5530 0.9680 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.5980 0.8570 0.6400 ; + END + ANTENNAGATEAREA 0.024 ; + END A4 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.7050 1.6370 0.8280 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.7540 1.6170 0.7960 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.8670 0.1830 0.9780 0.2330 ; + RECT 2.5430 0.2260 2.8010 0.2760 ; + RECT 0.1310 0.4120 0.3290 0.4620 ; + RECT 1.5350 0.2570 1.7130 0.3070 ; + RECT 2.8630 0.0300 2.9130 0.2210 ; + RECT 0.9280 0.0300 0.9780 0.1830 ; + RECT 2.7510 0.0300 2.8010 0.2260 ; + RECT 0.1310 0.0300 0.1810 0.4120 ; + RECT 1.5350 0.0300 1.5850 0.2570 ; + RECT 0.2790 0.4620 0.3290 0.6250 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.2830 0.5530 0.3250 0.5950 ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.1870 0.9330 0.2290 ; + RECT 2.8670 0.1590 2.9090 0.2010 ; + RECT 0.2830 0.4610 0.3250 0.5030 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.5630 0.2300 2.6050 0.2720 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 2.8630 1.4380 3.1470 1.4880 ; + RECT 2.8630 1.2580 2.9130 1.4380 ; + RECT 2.5430 1.2080 2.9130 1.2580 ; + RECT 0.2790 1.0500 0.3290 1.6420 ; + RECT 0.8870 1.3270 0.9370 1.6420 ; + RECT 1.6470 1.3100 1.6970 1.6420 ; + RECT 3.0970 1.4880 3.1470 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.2120 2.6050 1.2540 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.6510 1.3300 1.6930 1.3720 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 2.8670 1.4120 2.9090 1.4540 ; + RECT 0.8910 1.3470 0.9330 1.3890 ; + END + END VDD + OBS + LAYER CO ; + RECT 2.1830 0.0920 2.2250 0.1340 ; + RECT 1.9550 0.9050 1.9970 0.9470 ; + RECT 1.1190 0.5870 1.1610 0.6290 ; + RECT 0.4350 1.0730 0.4770 1.1150 ; + RECT 1.8790 0.8000 1.9210 0.8420 ; + RECT 2.3350 1.3480 2.3770 1.3900 ; + RECT 2.6390 0.0920 2.6810 0.1340 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 2.4110 0.8180 2.4530 0.8600 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.1950 1.0310 1.2370 1.0730 ; + RECT 2.7150 0.7980 2.7570 0.8400 ; + RECT 2.7150 0.4460 2.7570 0.4880 ; + RECT 0.4350 1.1650 0.4770 1.2070 ; + RECT 1.1950 0.3870 1.2370 0.4290 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.2590 0.5120 2.3010 0.5540 ; + RECT 2.2590 0.8180 2.3010 0.8600 ; + RECT 0.4350 1.2570 0.4770 1.2990 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 2.9430 0.6620 2.9850 0.7040 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.4110 0.5120 2.4530 0.5540 ; + RECT 0.6630 1.4390 0.7050 1.4810 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 1.3470 0.4810 1.3890 0.5230 ; + RECT 1.3470 1.2380 1.3890 1.2800 ; + RECT 1.8790 0.6470 1.9210 0.6890 ; + RECT 1.4230 1.4220 1.4650 1.4640 ; + RECT 1.1190 1.5040 1.1610 1.5460 ; + RECT 1.9550 0.4620 1.9970 0.5040 ; + RECT 2.1070 0.5120 2.1490 0.5540 ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 2.1070 0.8180 2.1490 0.8600 ; + RECT 1.2710 1.5380 1.3130 1.5800 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4590 1.7730 ; + LAYER M1 ; + RECT 1.4430 1.1100 1.7530 1.1600 ; + RECT 1.7030 0.6930 1.7530 1.1100 ; + RECT 1.7030 0.6430 1.9410 0.6930 ; + RECT 0.4310 1.4340 0.7330 1.4840 ; + RECT 0.6830 1.1770 0.7330 1.4340 ; + RECT 0.4310 0.4720 0.4810 1.4340 ; + RECT 1.4190 1.4160 1.4690 1.4840 ; + RECT 1.4430 1.1600 1.4930 1.3660 ; + RECT 1.1150 1.3660 1.4930 1.4160 ; + RECT 1.0690 0.9770 1.1190 1.1270 ; + RECT 1.0690 0.9270 1.1650 0.9770 ; + RECT 1.1150 1.1770 1.1650 1.3660 ; + RECT 0.7140 1.1270 1.1650 1.1280 ; + RECT 0.6830 1.1280 1.1650 1.1770 ; + RECT 1.1150 0.5580 1.1650 0.9270 ; + RECT 1.9350 0.9010 2.0450 0.9510 ; + RECT 1.3430 0.4580 2.1530 0.5080 ; + RECT 1.9950 0.5080 2.0450 0.9010 ; + RECT 2.1030 0.5080 2.1530 0.8800 ; + RECT 1.3430 0.5080 1.3930 1.3000 ; + RECT 2.4010 1.1040 2.7610 1.1540 ; + RECT 2.7110 0.4260 2.7610 1.1040 ; + RECT 2.4010 1.1540 2.4510 1.3440 ; + RECT 2.0560 1.3440 2.4510 1.3940 ; + RECT 2.0090 1.4280 2.1060 1.4780 ; + RECT 2.0560 1.3940 2.1060 1.4280 ; + RECT 2.2550 0.9330 2.6340 0.9830 ; + RECT 2.5840 0.3760 2.6340 0.9330 ; + RECT 2.5840 0.3260 2.9280 0.3760 ; + RECT 2.8780 0.3760 2.9280 0.6580 ; + RECT 2.8780 0.6580 3.0050 0.7080 ; + RECT 2.2550 0.4920 2.3050 0.9330 ; + RECT 1.1150 1.5160 1.1650 1.5780 ; + RECT 0.9870 1.4660 1.1650 1.5160 ; + RECT 0.9870 1.2770 1.0370 1.4660 ; + RECT 0.7830 1.2270 1.0370 1.2770 ; + RECT 0.4850 1.5340 0.8330 1.5840 ; + RECT 0.7830 1.2770 0.8330 1.5340 ; + RECT 2.4070 0.4070 2.4570 0.8800 ; + RECT 1.2190 0.3570 2.4570 0.3830 ; + RECT 0.5830 0.3830 2.4570 0.4070 ; + RECT 0.5830 0.4330 0.6330 1.3840 ; + RECT 1.1690 1.0270 1.2690 1.0770 ; + RECT 0.5830 0.4070 1.2690 0.4330 ; + RECT 1.2190 0.4330 1.2690 1.0270 ; + RECT 1.5470 1.2100 1.8530 1.2600 ; + RECT 1.8030 0.7960 1.9410 0.8460 ; + RECT 1.8030 0.8460 1.8530 1.2100 ; + RECT 1.2450 1.5340 1.5970 1.5840 ; + RECT 1.5470 1.2600 1.5970 1.5340 ; + RECT 2.0040 0.0880 2.2450 0.1380 ; + RECT 2.3150 0.0880 2.7010 0.1380 ; + LAYER PO ; + RECT 2.1890 0.7480 2.2190 1.6090 ; + RECT 2.1890 0.0690 2.2190 0.6480 ; + RECT 1.4290 0.0710 1.4590 0.6730 ; + RECT 1.4290 0.8220 1.4590 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 3.1010 0.0720 3.1310 1.6100 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 2.6450 0.0720 2.6750 1.6100 ; + RECT 1.8850 0.0710 1.9150 0.6930 ; + RECT 1.8850 0.7970 1.9150 1.6090 ; + RECT 2.3410 0.0690 2.3710 0.6240 ; + RECT 2.7970 0.0720 2.8270 1.6100 ; + RECT 1.1250 0.0710 1.1550 0.6270 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + RECT 1.1250 0.7560 1.1550 1.6090 ; + RECT 0.6690 0.0710 0.6990 0.6270 ; + RECT 3.2530 0.0720 3.2830 1.6100 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 2.0370 0.0690 2.0670 1.6090 ; + RECT 0.6690 0.7500 0.6990 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 2.9490 0.0720 2.9790 1.6100 ; + RECT 2.3410 0.7480 2.3710 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + END +END MUX41X1_HVT + +MACRO MUX41X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2070 0.4010 3.3990 0.5250 ; + RECT 3.2070 0.5250 3.2570 0.9210 ; + RECT 3.2070 0.3660 3.2570 0.4010 ; + RECT 2.8630 0.9210 3.2570 0.9710 ; + RECT 2.9150 0.2850 2.9650 0.3160 ; + RECT 2.8630 0.2350 2.9650 0.2850 ; + RECT 3.1670 0.9710 3.2170 1.1460 ; + RECT 2.8630 0.9710 2.9130 1.1460 ; + RECT 3.1670 0.2160 3.2170 0.3160 ; + RECT 2.9150 0.3160 3.2570 0.3660 ; + RECT 2.8630 0.1790 2.9130 0.2350 ; + END + PORT + LAYER CO ; + RECT 3.1710 0.9690 3.2130 1.0110 ; + RECT 3.1710 1.0610 3.2130 1.1030 ; + RECT 2.8670 0.2040 2.9090 0.2460 ; + RECT 3.1710 0.2760 3.2130 0.3180 ; + RECT 2.8670 1.0610 2.9090 1.1030 ; + RECT 2.8670 0.9690 2.9090 1.0110 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7100 0.0880 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.1160 1.7690 0.1580 ; + END + ANTENNAGATEAREA 0.0264 ; + END A1 + + PIN S0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1620 1.4440 2.7080 1.4940 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.4480 2.2250 1.4900 ; + RECT 2.6390 1.4480 2.6810 1.4900 ; + END + ANTENNAGATEAREA 0.0528 ; + END S0 + + PIN S1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6590 0.3120 1.1400 0.3620 ; + RECT 1.0900 0.0880 1.4850 0.1380 ; + RECT 0.2490 0.0880 0.7250 0.1380 ; + RECT 0.2490 0.1380 0.4170 0.2170 ; + RECT 0.6590 0.1380 0.7090 0.3120 ; + RECT 1.0900 0.1380 1.1400 0.3120 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.0920 0.5530 0.1340 ; + RECT 0.3590 0.1260 0.4010 0.1680 ; + RECT 0.6630 0.0920 0.7050 0.1340 ; + RECT 1.4230 0.0920 1.4650 0.1340 ; + RECT 1.2710 0.0920 1.3130 0.1340 ; + END + ANTENNAGATEAREA 0.0792 ; + END S1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8370 0.8570 1.0130 0.9710 ; + RECT 0.9630 0.7930 1.0130 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8140 1.0090 0.8560 ; + END + ANTENNAGATEAREA 0.0264 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7830 0.5520 0.9670 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6080 0.8570 0.6500 ; + END + ANTENNAGATEAREA 0.0264 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 2.8630 1.4380 3.1470 1.4880 ; + RECT 2.8630 1.2580 2.9130 1.4380 ; + RECT 2.5430 1.2080 2.9130 1.2580 ; + RECT 0.2790 1.0500 0.3290 1.6420 ; + RECT 0.8870 1.3270 0.9370 1.6420 ; + RECT 1.6470 1.3120 1.6970 1.6420 ; + RECT 3.0970 1.4880 3.1470 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.5630 1.2120 2.6050 1.2540 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2830 1.1650 0.3250 1.2070 ; + RECT 1.6510 1.3320 1.6930 1.3740 ; + RECT 0.2830 1.0730 0.3250 1.1150 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.0190 1.4420 3.0610 1.4840 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.8910 1.3470 0.9330 1.3890 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 2.5430 0.1890 2.8100 0.2390 ; + RECT 0.1310 0.4120 0.3290 0.4620 ; + RECT 1.5350 0.2570 1.7330 0.3070 ; + RECT 3.0150 0.0300 3.0650 0.2660 ; + RECT 0.8870 0.0300 0.9370 0.2620 ; + RECT 2.7600 0.0300 2.8100 0.1890 ; + RECT 0.1310 0.0300 0.1810 0.4120 ; + RECT 1.5350 0.0300 1.5850 0.2570 ; + RECT 0.2790 0.4620 0.3290 0.6250 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.5530 0.3250 0.5950 ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 3.0190 0.2040 3.0610 0.2460 ; + RECT 0.2830 0.4610 0.3250 0.5030 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.5630 0.1930 2.6050 0.2350 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.7050 1.6370 0.8200 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.7460 1.6170 0.7880 ; + END + ANTENNAGATEAREA 0.0264 ; + END A2 + OBS + LAYER CO ; + RECT 1.8790 0.6530 1.9210 0.6950 ; + RECT 1.4230 1.4240 1.4650 1.4660 ; + RECT 1.1190 1.4940 1.1610 1.5360 ; + RECT 1.9550 0.4620 1.9970 0.5040 ; + RECT 2.9430 0.6620 2.9850 0.7040 ; + RECT 2.1070 0.5120 2.1490 0.5540 ; + RECT 0.5110 1.5380 0.5530 1.5800 ; + RECT 2.1070 0.8180 2.1490 0.8600 ; + RECT 1.2710 1.5380 1.3130 1.5800 ; + RECT 2.1830 0.0920 2.2250 0.1340 ; + RECT 1.9550 0.9070 1.9970 0.9490 ; + RECT 1.1190 0.6160 1.1610 0.6580 ; + RECT 0.4350 1.0730 0.4770 1.1150 ; + RECT 1.8790 0.8020 1.9210 0.8440 ; + RECT 2.3350 1.3480 2.3770 1.3900 ; + RECT 2.6390 0.0920 2.6810 0.1340 ; + RECT 0.5870 0.4920 0.6290 0.5340 ; + RECT 2.4110 0.8180 2.4530 0.8600 ; + RECT 0.5870 1.3220 0.6290 1.3640 ; + RECT 1.1950 1.0310 1.2370 1.0730 ; + RECT 2.7150 0.7980 2.7570 0.8400 ; + RECT 2.7150 0.4920 2.7570 0.5340 ; + RECT 0.4350 1.1650 0.4770 1.2070 ; + RECT 1.1950 0.4160 1.2370 0.4580 ; + RECT 2.0310 0.0920 2.0730 0.1340 ; + RECT 2.2590 0.5120 2.3010 0.5540 ; + RECT 2.2590 0.8180 2.3010 0.8600 ; + RECT 0.4350 1.2570 0.4770 1.2990 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 3.0950 0.6620 3.1370 0.7040 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.4110 0.5120 2.4530 0.5540 ; + RECT 0.6630 1.4390 0.7050 1.4810 ; + RECT 2.3350 0.0920 2.3770 0.1340 ; + RECT 1.3470 0.5490 1.3890 0.5910 ; + RECT 1.3470 1.1290 1.3890 1.1710 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.7630 1.7730 ; + LAYER M1 ; + RECT 1.4430 1.1120 1.7530 1.1620 ; + RECT 1.7030 0.6990 1.7530 1.1120 ; + RECT 1.7030 0.6490 1.9410 0.6990 ; + RECT 0.4310 1.4340 0.7330 1.4840 ; + RECT 0.6830 1.1760 0.7330 1.4340 ; + RECT 0.4310 0.4720 0.4810 1.4340 ; + RECT 1.4030 1.4220 1.4850 1.4720 ; + RECT 1.4190 1.2980 1.4690 1.4220 ; + RECT 1.4430 1.1620 1.4930 1.2480 ; + RECT 1.1150 1.2480 1.4930 1.2980 ; + RECT 1.0690 0.9270 1.1650 0.9770 ; + RECT 1.1150 1.1770 1.1650 1.2480 ; + RECT 1.0690 1.1760 1.1650 1.1770 ; + RECT 1.0690 0.9770 1.1190 1.1260 ; + RECT 0.6830 1.1270 1.1650 1.1760 ; + RECT 1.1150 0.5810 1.1650 0.9270 ; + RECT 0.6830 1.1260 1.1190 1.1270 ; + RECT 1.9350 0.9030 2.0450 0.9530 ; + RECT 1.3430 0.4580 2.1530 0.5080 ; + RECT 1.9950 0.5080 2.0450 0.9030 ; + RECT 2.1030 0.5080 2.1530 0.8800 ; + RECT 1.3430 0.5080 1.3930 1.1910 ; + RECT 2.4010 1.1040 2.7610 1.1540 ; + RECT 2.7110 0.4720 2.7610 1.1040 ; + RECT 2.4010 1.1540 2.4510 1.3440 ; + RECT 2.0560 1.3440 2.4510 1.3940 ; + RECT 2.0090 1.4280 2.1060 1.4780 ; + RECT 2.0560 1.3940 2.1060 1.4280 ; + RECT 2.8110 0.6580 3.1570 0.7080 ; + RECT 2.2550 0.9330 2.6340 0.9830 ; + RECT 2.5840 0.4220 2.6340 0.9330 ; + RECT 2.5840 0.3720 2.8610 0.4220 ; + RECT 2.8110 0.4220 2.8610 0.6580 ; + RECT 2.2550 0.4920 2.3050 0.9330 ; + RECT 1.1150 1.5160 1.1650 1.5680 ; + RECT 0.9870 1.4660 1.1650 1.5160 ; + RECT 0.9870 1.2770 1.0370 1.4660 ; + RECT 0.7830 1.2270 1.0370 1.2770 ; + RECT 0.4850 1.5340 0.8330 1.5840 ; + RECT 0.7830 1.2770 0.8330 1.5340 ; + RECT 1.2190 0.3570 2.4570 0.4070 ; + RECT 2.4070 0.4070 2.4570 0.8800 ; + RECT 0.5830 0.4620 0.6330 1.3840 ; + RECT 1.1690 1.0270 1.2690 1.0770 ; + RECT 0.5830 0.4120 1.2690 0.4620 ; + RECT 1.2190 0.4620 1.2690 1.0270 ; + RECT 1.2190 0.4070 1.2690 0.4120 ; + RECT 1.5470 1.2120 1.8530 1.2620 ; + RECT 1.8030 0.7990 1.9410 0.8490 ; + RECT 1.8030 0.8490 1.8530 1.2120 ; + RECT 1.2450 1.5340 1.5970 1.5840 ; + RECT 1.5470 1.2620 1.5970 1.5340 ; + RECT 2.0040 0.0880 2.2450 0.1380 ; + RECT 2.3150 0.0880 2.7010 0.1380 ; + LAYER PO ; + RECT 2.3410 0.7480 2.3710 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + RECT 2.1890 0.7480 2.2190 1.6090 ; + RECT 2.1890 0.0690 2.2190 0.6480 ; + RECT 1.4290 0.0710 1.4590 0.6730 ; + RECT 1.4290 0.8240 1.4590 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 3.4050 0.0720 3.4350 1.6100 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 2.6450 0.0720 2.6750 1.6100 ; + RECT 1.8850 0.0710 1.9150 0.6990 ; + RECT 1.8850 0.7990 1.9150 1.6090 ; + RECT 2.3410 0.0690 2.3710 0.6240 ; + RECT 2.7970 0.0720 2.8270 1.6100 ; + RECT 1.1250 0.0710 1.1550 0.6560 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + RECT 1.1250 0.7560 1.1550 1.6090 ; + RECT 0.6690 0.0710 0.6990 0.6270 ; + RECT 3.1010 0.0720 3.1310 1.6100 ; + RECT 3.2530 0.0720 3.2830 1.6100 ; + RECT 3.5570 0.0720 3.5870 1.6100 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 2.0370 0.0690 2.0670 1.6090 ; + RECT 0.6690 0.7500 0.6990 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 2.9490 0.0720 2.9790 1.6100 ; + END +END MUX41X2_HVT + +MACRO NAND2X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.912 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4260 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0186 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0186 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.8570 0.6730 0.9670 ; + RECT 0.5830 0.1060 0.6330 0.6210 ; + RECT 0.5830 0.6210 0.6730 0.6710 ; + RECT 0.5830 1.2350 0.6330 1.5510 ; + RECT 0.2790 1.2350 0.3290 1.5510 ; + RECT 0.2790 1.1850 0.6730 1.2350 ; + RECT 0.6230 0.9670 0.6730 1.1850 ; + RECT 0.6230 0.6710 0.6730 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + END + ANTENNADIFFAREA 0.0938 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.9120 1.7020 ; + RECT 0.4310 1.2850 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.9120 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4020 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.0270 1.7730 ; + LAYER PO ; + RECT 0.6690 0.0710 0.6990 1.6010 ; + RECT 0.3650 0.0710 0.3950 1.6010 ; + RECT 0.5170 0.0710 0.5470 1.6010 ; + RECT 0.2130 0.0710 0.2430 1.6010 ; + RECT 0.8210 0.0710 0.8510 1.6010 ; + RECT 0.0610 0.0710 0.0910 1.6010 ; + END +END NAND2X0_HVT + +MACRO NAND2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4560 0.8870 0.6630 0.9370 ; + RECT 0.5530 0.9370 0.6630 0.9670 ; + RECT 0.5530 0.8570 0.6630 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4360 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7350 0.4010 0.7770 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.9670 1.2410 1.5610 ; + RECT 1.1910 0.8610 1.4230 0.9670 ; + RECT 1.1910 0.4750 1.2810 0.5250 ; + RECT 1.1910 0.1340 1.2410 0.4750 ; + RECT 1.2310 0.8540 1.4230 0.8610 ; + RECT 1.2310 0.5250 1.2810 0.8540 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2080 1.2370 1.2500 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 1.3000 1.2370 1.3420 ; + RECT 1.1950 1.2080 1.2370 1.2500 ; + RECT 1.1950 1.3000 1.2370 1.3420 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 0.2540 1.2370 0.2960 ; + RECT 1.1950 0.1620 1.2370 0.2040 ; + RECT 1.1950 0.1620 1.2370 0.2040 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + RECT 1.0390 0.0300 1.0890 0.3160 ; + RECT 0.7350 0.0300 0.7850 0.3110 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.0430 0.2540 1.0850 0.2960 ; + RECT 1.0430 0.1620 1.0850 0.2040 ; + RECT 1.0430 0.1620 1.0850 0.2040 ; + RECT 0.7390 0.2490 0.7810 0.2910 ; + RECT 0.7390 0.1570 0.7810 0.1990 ; + RECT 0.7390 0.1570 0.7810 0.1990 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.4310 1.1930 0.4810 1.6420 ; + RECT 1.0390 1.1880 1.0890 1.6420 ; + RECT 0.7350 1.1830 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 1.0430 1.2080 1.0850 1.2500 ; + RECT 1.0430 1.2080 1.0850 1.2500 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.2130 0.4770 1.2550 ; + RECT 0.7390 1.2950 0.7810 1.3370 ; + RECT 0.7390 1.3870 0.7810 1.4290 ; + RECT 0.7390 1.2950 0.7810 1.3370 ; + RECT 0.7390 1.4790 0.7810 1.5210 ; + RECT 0.7390 1.4790 0.7810 1.5210 ; + RECT 0.7390 1.2030 0.7810 1.2450 ; + RECT 0.7390 1.2030 0.7810 1.2450 ; + RECT 0.7390 1.3870 0.7810 1.4290 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7810 ; + LAYER M1 ; + RECT 0.9270 0.6420 1.1810 0.6920 ; + RECT 0.8870 0.9060 0.9370 1.5560 ; + RECT 0.8870 0.4550 0.9770 0.5050 ; + RECT 0.8870 0.1290 0.9370 0.4550 ; + RECT 0.9270 0.6920 0.9770 0.8560 ; + RECT 0.9270 0.5050 0.9770 0.6420 ; + RECT 0.8870 0.8560 0.9770 0.9060 ; + RECT 0.2790 1.0830 0.7630 1.1330 ; + RECT 0.7130 0.7810 0.7630 1.0830 ; + RECT 0.7130 0.7310 0.8770 0.7810 ; + RECT 0.7130 0.6630 0.7630 0.7310 ; + RECT 0.5830 0.6130 0.7630 0.6630 ; + RECT 0.2790 1.1330 0.3290 1.5510 ; + RECT 0.5830 0.1060 0.6330 0.6130 ; + RECT 0.5830 1.1330 0.6330 1.5510 ; + LAYER PO ; + RECT 1.1250 0.0640 1.1550 1.6070 ; + RECT 0.8210 0.0640 0.8510 1.6020 ; + RECT 1.4290 0.0640 1.4590 1.6000 ; + RECT 1.2770 0.0640 1.3070 1.6050 ; + RECT 0.9730 0.0640 1.0030 1.6050 ; + RECT 0.0610 0.0710 0.0910 1.6010 ; + RECT 0.2130 0.0710 0.2430 1.6010 ; + RECT 0.5170 0.0710 0.5470 1.6010 ; + RECT 0.3650 0.0710 0.3950 1.6010 ; + RECT 0.6690 0.0710 0.6990 1.6010 ; + LAYER CO ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8150 0.7350 0.8570 0.7770 ; + RECT 1.1190 0.6460 1.1610 0.6880 ; + RECT 0.8910 0.2490 0.9330 0.2910 ; + RECT 0.8910 0.1570 0.9330 0.1990 ; + RECT 0.8910 0.1570 0.9330 0.1990 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.5870 1.2130 0.6290 1.2550 ; + RECT 0.2830 1.2130 0.3250 1.2550 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + END +END NAND2X1_HVT + +MACRO NAND2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3150 ; + RECT 1.0390 0.0300 1.0890 0.4860 ; + RECT 1.3430 0.0300 1.3930 0.4860 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.3470 0.2400 1.3890 0.2820 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 1.0430 0.2400 1.0850 0.2820 ; + RECT 1.0430 0.1480 1.0850 0.1900 ; + RECT 0.7390 0.1610 0.7810 0.2030 ; + RECT 0.7390 0.1610 0.7810 0.2030 ; + RECT 0.7390 0.2530 0.7810 0.2950 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.3470 0.4240 1.3890 0.4660 ; + RECT 1.3470 0.3320 1.3890 0.3740 ; + RECT 1.3470 0.3320 1.3890 0.3740 ; + RECT 1.0430 0.3320 1.0850 0.3740 ; + RECT 1.0430 0.3320 1.0850 0.3740 ; + RECT 1.0430 0.4240 1.0850 0.4660 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.5920 1.5750 0.6630 ; + RECT 1.1910 0.1200 1.2410 0.5420 ; + RECT 1.1910 0.7420 1.5150 0.7920 ; + RECT 1.1910 0.7920 1.2410 1.5560 ; + RECT 1.4650 0.6630 1.5150 0.7420 ; + RECT 1.1910 0.5530 1.5750 0.5920 ; + RECT 1.1910 0.5420 1.5150 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.9270 1.2370 0.9690 ; + RECT 1.1950 0.1480 1.2370 0.1900 ; + RECT 1.1950 1.0190 1.2370 1.0610 ; + RECT 1.1950 1.2030 1.2370 1.2450 ; + RECT 1.1950 1.2950 1.2370 1.3370 ; + RECT 1.1950 1.4790 1.2370 1.5210 ; + RECT 1.1950 1.2030 1.2370 1.2450 ; + RECT 1.1950 1.2950 1.2370 1.3370 ; + RECT 1.1950 0.1480 1.2370 0.1900 ; + RECT 1.1950 0.2400 1.2370 0.2820 ; + RECT 1.1950 1.4790 1.2370 1.5210 ; + RECT 1.1950 1.3870 1.2370 1.4290 ; + RECT 1.1950 1.3870 1.2370 1.4290 ; + RECT 1.1950 0.8350 1.2370 0.8770 ; + RECT 1.1950 0.8350 1.2370 0.8770 ; + RECT 1.1950 1.1110 1.2370 1.1530 ; + RECT 1.1950 1.0190 1.2370 1.0610 ; + RECT 1.1950 1.1110 1.2370 1.1530 ; + RECT 1.1950 0.9270 1.2370 0.9690 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.7350 1.1830 0.7850 1.6420 ; + RECT 1.0390 0.8150 1.0890 1.6420 ; + RECT 1.3430 0.9070 1.3930 1.6420 ; + RECT 0.4310 1.1930 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.4790 0.7810 1.5210 ; + RECT 1.3470 1.2950 1.3890 1.3370 ; + RECT 1.3470 1.3870 1.3890 1.4290 ; + RECT 1.3470 1.2950 1.3890 1.3370 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 0.7390 1.3870 0.7810 1.4290 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.7390 1.2030 0.7810 1.2450 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 1.0430 1.2030 1.0850 1.2450 ; + RECT 0.4350 1.2130 0.4770 1.2550 ; + RECT 1.0430 1.4790 1.0850 1.5210 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 1.0430 1.2950 1.0850 1.3370 ; + RECT 1.3470 1.4790 1.3890 1.5210 ; + RECT 0.7390 1.2950 0.7810 1.3370 ; + RECT 1.3470 1.3870 1.3890 1.4290 ; + RECT 1.0430 1.3870 1.0850 1.4290 ; + RECT 1.3470 1.4790 1.3890 1.5210 ; + RECT 0.7390 1.2950 0.7810 1.3370 ; + RECT 1.3470 1.2030 1.3890 1.2450 ; + RECT 1.3470 1.2030 1.3890 1.2450 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.7390 1.4790 0.7810 1.5210 ; + RECT 0.7390 1.2030 0.7810 1.2450 ; + RECT 0.7390 1.3870 0.7810 1.4290 ; + RECT 1.3470 1.1110 1.3890 1.1530 ; + RECT 1.3470 1.0190 1.3890 1.0610 ; + RECT 1.3470 1.1110 1.3890 1.1530 ; + RECT 1.3470 0.9270 1.3890 0.9690 ; + RECT 1.3470 0.9270 1.3890 0.9690 ; + RECT 1.3470 1.0190 1.3890 1.0610 ; + RECT 1.0430 1.0190 1.0850 1.0610 ; + RECT 1.0430 0.9270 1.0850 0.9690 ; + RECT 1.0430 0.9270 1.0850 0.9690 ; + RECT 1.0430 1.1110 1.0850 1.1530 ; + RECT 1.0430 0.8350 1.0850 0.8770 ; + RECT 1.0430 0.8350 1.0850 0.8770 ; + RECT 1.0430 1.1110 1.0850 1.1530 ; + RECT 1.0430 1.0190 1.0850 1.0610 ; + END + END VDD + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4560 0.8870 0.6630 0.9370 ; + RECT 0.5530 0.9370 0.6630 0.9670 ; + RECT 0.5530 0.8570 0.6630 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + OBS + LAYER CO ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 1.2710 0.6460 1.3130 0.6880 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 0.8910 0.1610 0.9330 0.2030 ; + RECT 0.8150 0.7350 0.8570 0.7770 ; + RECT 1.1190 0.6460 1.1610 0.6880 ; + RECT 0.8910 0.1610 0.9330 0.2030 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.8910 0.2530 0.9330 0.2950 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + RECT 0.8910 1.4790 0.9330 1.5210 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.5870 1.2130 0.6290 1.2550 ; + RECT 0.2830 1.2130 0.3250 1.2550 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.8910 1.3870 0.9330 1.4290 ; + RECT 0.8910 1.2950 0.9330 1.3370 ; + RECT 0.8910 1.2030 0.9330 1.2450 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.7100 0.7310 0.8770 0.7810 ; + RECT 0.7130 0.6630 0.7630 0.7310 ; + RECT 0.2790 1.0830 0.7630 1.1330 ; + RECT 0.5830 0.6130 0.7630 0.6630 ; + RECT 0.7130 0.7810 0.7630 1.0830 ; + RECT 0.2790 1.1330 0.3290 1.5510 ; + RECT 0.5830 1.1330 0.6330 1.5510 ; + RECT 0.5830 0.1210 0.6330 0.6130 ; + RECT 0.9270 0.6420 1.3330 0.6920 ; + RECT 0.8870 0.9060 0.9370 1.5560 ; + RECT 0.8870 0.8560 0.9770 0.9060 ; + RECT 0.8870 0.1330 0.9370 0.4550 ; + RECT 0.8870 0.4550 0.9770 0.5050 ; + RECT 0.9270 0.6920 0.9770 0.8560 ; + RECT 0.9270 0.5050 0.9770 0.6420 ; + LAYER PO ; + RECT 0.6690 0.0710 0.6990 1.6010 ; + RECT 0.3650 0.0710 0.3950 1.6010 ; + RECT 0.5170 0.0710 0.5470 1.6010 ; + RECT 0.2130 0.0710 0.2430 1.6010 ; + RECT 0.0610 0.0710 0.0910 1.6010 ; + RECT 1.2770 0.0640 1.3070 1.6070 ; + RECT 0.9730 0.0640 1.0030 1.6000 ; + RECT 0.8210 0.0640 0.8510 1.6100 ; + RECT 1.1250 0.0640 1.1550 1.6080 ; + RECT 1.5810 0.0640 1.6110 1.6000 ; + RECT 1.4290 0.0640 1.4590 1.6020 ; + END +END NAND2X2_HVT + +MACRO NAND2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.5170 ; + RECT 1.1910 0.0300 1.2410 0.3970 ; + RECT 1.4950 0.0300 1.5450 0.3970 ; + RECT 0.2790 0.0300 0.3290 0.4870 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 0.7390 0.1510 0.7810 0.1930 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 0.7390 0.4270 0.7810 0.4690 ; + RECT 0.7390 0.3350 0.7810 0.3770 ; + RECT 0.7390 0.3350 0.7810 0.3770 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 0.7390 0.2430 0.7810 0.2850 ; + RECT 0.7390 0.1510 0.7810 0.1930 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 0.2830 0.3330 0.3250 0.3750 ; + RECT 0.2830 0.4250 0.3250 0.4670 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0390 0.1310 1.0890 0.5130 ; + RECT 1.0390 0.7930 1.0890 1.5650 ; + RECT 1.3430 0.1310 1.3930 0.5130 ; + RECT 1.6470 0.1310 1.6970 0.5130 ; + RECT 1.3430 0.7930 1.3930 1.5650 ; + RECT 1.6470 0.7930 1.6970 1.5650 ; + RECT 1.0390 0.7430 1.8020 0.7930 ; + RECT 1.7520 0.6630 1.8020 0.7430 ; + RECT 1.0390 0.5130 1.8020 0.5530 ; + RECT 1.7520 0.5630 1.8890 0.6630 ; + RECT 1.0390 0.5530 1.8890 0.5630 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.3470 0.2430 1.3890 0.2850 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 1.0430 1.3040 1.0850 1.3460 ; + RECT 1.6510 0.2430 1.6930 0.2850 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.3470 1.0280 1.3890 1.0700 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.3470 0.9360 1.3890 0.9780 ; + RECT 1.3470 1.1200 1.3890 1.1620 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.0430 0.8440 1.0850 0.8860 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.2430 1.0850 0.2850 ; + RECT 1.3470 0.8440 1.3890 0.8860 ; + RECT 1.3470 0.8440 1.3890 0.8860 ; + RECT 1.6510 0.8440 1.6930 0.8860 ; + RECT 1.6510 0.8440 1.6930 0.8860 ; + RECT 1.0430 0.8440 1.0850 0.8860 ; + RECT 1.0430 1.3960 1.0850 1.4380 ; + RECT 1.0430 1.3960 1.0850 1.4380 ; + RECT 1.0430 0.4270 1.0850 0.4690 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 1.1200 1.3890 1.1620 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 1.3470 1.0280 1.3890 1.0700 ; + RECT 1.3470 0.9360 1.3890 0.9780 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.6510 0.4270 1.6930 0.4690 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.0430 1.3040 1.0850 1.3460 ; + RECT 1.0430 0.9360 1.0850 0.9780 ; + RECT 1.0430 1.1200 1.0850 1.1620 ; + RECT 1.0430 1.1200 1.0850 1.1620 ; + RECT 1.0430 1.2120 1.0850 1.2540 ; + RECT 1.0430 1.2120 1.0850 1.2540 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 1.0430 1.0280 1.0850 1.0700 ; + RECT 1.0430 0.9360 1.0850 0.9780 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 1.0280 1.0850 1.0700 ; + END + ANTENNADIFFAREA 0.3972 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.7350 0.8230 0.7850 1.6420 ; + RECT 0.4310 1.2470 0.4810 1.6420 ; + RECT 1.1910 0.9160 1.2410 1.6420 ; + RECT 1.4950 0.9160 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.7390 1.1200 0.7810 1.1620 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 1.1200 0.7810 1.1620 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 1.4990 0.9360 1.5410 0.9780 ; + RECT 1.4990 0.9360 1.5410 0.9780 ; + RECT 1.1950 0.9360 1.2370 0.9780 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.1950 0.9360 1.2370 0.9780 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + RECT 0.7390 1.3040 0.7810 1.3460 ; + RECT 0.4350 1.2850 0.4770 1.3270 ; + RECT 0.4350 1.3770 0.4770 1.4190 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.4690 0.4770 1.5110 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + RECT 0.7390 1.3040 0.7810 1.3460 ; + RECT 1.1950 1.3960 1.2370 1.4380 ; + RECT 1.1950 1.3960 1.2370 1.4380 ; + RECT 0.7390 1.2120 0.7810 1.2540 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.2120 1.2370 1.2540 ; + RECT 1.1950 1.1200 1.2370 1.1620 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 1.1950 1.3040 1.2370 1.3460 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1950 1.1200 1.2370 1.1620 ; + RECT 0.7390 1.2120 0.7810 1.2540 ; + RECT 1.1950 1.0280 1.2370 1.0700 ; + RECT 1.1950 1.0280 1.2370 1.0700 ; + END + END VDD + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.8870 0.6630 0.9370 ; + RECT 0.5530 0.9370 0.6630 0.9670 ; + RECT 0.5530 0.8570 0.6630 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + OBS + LAYER CO ; + RECT 1.4230 0.6460 1.4650 0.6880 ; + RECT 1.5750 0.6460 1.6170 0.6880 ; + RECT 1.2710 0.6460 1.3130 0.6880 ; + RECT 1.1190 0.6460 1.1610 0.6880 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 1.1200 0.9330 1.1620 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1200 0.9330 1.1620 ; + RECT 0.2830 1.3770 0.3250 1.4190 ; + RECT 0.2830 1.4690 0.3250 1.5110 ; + RECT 0.2830 1.2850 0.3250 1.3270 ; + RECT 0.2830 1.1930 0.3250 1.2350 ; + RECT 0.5870 1.1930 0.6290 1.2350 ; + RECT 0.5870 1.2850 0.6290 1.3270 ; + RECT 0.5870 1.3770 0.6290 1.4190 ; + RECT 0.5870 1.4690 0.6290 1.5110 ; + RECT 0.8910 1.3960 0.9330 1.4380 ; + RECT 0.8910 1.3040 0.9330 1.3460 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.8150 0.6090 0.8570 0.6510 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.8910 1.3040 0.9330 1.3460 ; + RECT 0.8910 1.3960 0.9330 1.4380 ; + RECT 0.8910 1.2120 0.9330 1.2540 ; + RECT 0.8910 1.2120 0.9330 1.2540 ; + LAYER NWELL ; + RECT -0.0910 0.6790 2.0690 1.7810 ; + LAYER M1 ; + RECT 0.9270 0.6420 1.6370 0.6920 ; + RECT 0.8870 0.4870 0.9770 0.5370 ; + RECT 0.8870 0.7080 0.9770 0.7580 ; + RECT 0.8870 0.7580 0.9370 1.5640 ; + RECT 0.9270 0.5370 0.9770 0.6420 ; + RECT 0.8870 0.1310 0.9370 0.4870 ; + RECT 0.9270 0.6920 0.9770 0.7080 ; + RECT 0.2380 0.6050 0.8770 0.6550 ; + RECT 0.2790 1.1510 0.3290 1.5590 ; + RECT 0.2380 0.6550 0.2880 1.1010 ; + RECT 0.2380 1.1010 0.6330 1.1510 ; + RECT 0.5830 0.1310 0.6330 0.6050 ; + RECT 0.5830 1.1510 0.6330 1.5590 ; + LAYER PO ; + RECT 0.6690 0.0710 0.6990 1.6010 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6010 ; + RECT 1.2770 0.0710 1.3070 1.6100 ; + RECT 1.4290 0.0710 1.4590 1.6100 ; + RECT 1.5810 0.0710 1.6110 1.6100 ; + RECT 1.8850 0.0720 1.9150 1.6100 ; + RECT 1.7330 0.0720 1.7630 1.6100 ; + RECT 1.1250 0.0710 1.1550 1.6100 ; + RECT 0.9730 0.0640 1.0030 1.6080 ; + RECT 0.8210 0.0640 0.8510 1.6100 ; + END +END NAND2X4_HVT + +MACRO NAND3X0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.064 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8550 0.8570 0.9670 0.9670 ; + RECT 0.7350 0.6050 0.9150 0.6550 ; + RECT 0.8650 0.6550 0.9150 0.8570 ; + RECT 0.4310 1.1400 0.4810 1.5510 ; + RECT 0.4560 1.0900 0.9150 1.0910 ; + RECT 0.4310 1.0910 0.9150 1.1400 ; + RECT 0.7350 0.1060 0.7850 0.6050 ; + RECT 0.7350 1.1400 0.7850 1.5510 ; + RECT 0.8650 0.9670 0.9150 1.0900 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 0.7390 1.3050 0.7810 1.3470 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.4350 1.2130 0.4770 1.2550 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.7390 1.2130 0.7810 1.2550 ; + END + ANTENNADIFFAREA 0.1348 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6430 0.7350 0.8150 0.7850 ; + RECT 0.7050 0.7850 0.8150 0.8150 ; + RECT 0.7050 0.7050 0.8150 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0246 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.8870 0.6630 0.9370 ; + RECT 0.5530 0.9370 0.6630 0.9670 ; + RECT 0.5530 0.8570 0.6630 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.0246 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.0640 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.0640 1.7020 ; + RECT 0.2790 1.1930 0.3290 1.6420 ; + RECT 0.5830 1.1930 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.2130 0.3250 1.2550 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.2130 0.6290 1.2550 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8870 0.4210 0.9370 ; + RECT 0.2490 0.9370 0.3590 0.9670 ; + RECT 0.2490 0.8570 0.3590 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8910 0.4010 0.9330 ; + END + ANTENNAGATEAREA 0.0246 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.1780 1.7730 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + RECT 0.8210 0.0710 0.8510 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6100 ; + RECT 0.3650 0.0710 0.3950 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6100 ; + RECT 0.9730 0.0710 1.0030 1.6100 ; + END +END NAND3X0_HVT + +MACRO LSDNSSX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 1.7990 0.0300 1.8490 0.4100 ; + RECT 2.1030 0.0300 2.1530 0.4100 ; + RECT 1.4950 0.0300 1.5450 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 1.1910 0.0300 1.2410 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.1070 0.3330 2.1490 0.3750 ; + RECT 2.1070 0.3330 2.1490 0.3750 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + END + END VSS + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.8770 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + END + ANTENNAGATEAREA 0.0312 ; + END A + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 1.7990 0.9920 1.8490 1.6420 ; + RECT 2.1030 0.9920 2.1530 1.6420 ; + RECT 1.4950 0.9920 1.5450 1.6420 ; + RECT 1.1910 0.9920 1.2410 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + END + END VDDL + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3110 0.5870 2.4870 0.6630 ; + RECT 1.9510 0.9420 2.0010 1.5640 ; + RECT 2.2550 0.9420 2.3050 1.5650 ; + RECT 1.0390 0.9420 1.0890 1.5640 ; + RECT 2.3110 0.6630 2.3610 0.8920 ; + RECT 1.9510 0.3150 2.0010 0.5370 ; + RECT 2.2550 0.3160 2.3050 0.5370 ; + RECT 1.6470 0.3150 1.6970 0.5370 ; + RECT 1.0390 0.3150 1.0890 0.5370 ; + RECT 1.3430 0.3150 1.3930 0.5370 ; + RECT 1.3430 0.9420 1.3930 1.5640 ; + RECT 1.6470 0.9420 1.6970 1.5640 ; + RECT 1.0390 0.8920 2.3610 0.9420 ; + RECT 1.0390 0.5370 2.4870 0.5870 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 0.4270 1.9970 0.4690 ; + RECT 1.9550 0.3350 1.9970 0.3770 ; + RECT 1.9550 0.3350 1.9970 0.3770 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.4280 2.3010 0.4700 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.4270 1.6930 0.4690 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.4270 1.0850 0.4690 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + END + ANTENNADIFFAREA 0.6044 ; + END Y + OBS + LAYER CO ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7730 ; + LAYER M1 ; + RECT 0.9270 0.6600 2.2600 0.7100 ; + RECT 0.2790 0.8920 0.3290 1.5640 ; + RECT 0.2790 0.5020 0.3290 0.5370 ; + RECT 0.2790 0.3150 0.3290 0.5760 ; + RECT 0.5830 0.8920 0.6330 1.5640 ; + RECT 0.5830 0.3150 0.6330 0.5760 ; + RECT 0.3290 0.9310 0.9370 0.9420 ; + RECT 0.2790 0.8920 0.9370 0.9310 ; + RECT 0.9120 0.8920 0.9770 0.9420 ; + RECT 0.8870 0.8920 0.9370 1.5640 ; + RECT 0.9270 0.5370 0.9770 0.9420 ; + RECT 0.8870 0.3150 0.9370 0.5760 ; + RECT 0.2790 0.5370 0.9650 0.5870 ; + LAYER PO ; + RECT 0.3650 0.0710 0.3950 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + END +END LSDNSSX8_HVT + +MACRO LSDNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.4650 3.0700 1.5750 3.1400 ; + RECT 1.4650 2.9400 1.5750 3.0100 ; + RECT 0.8830 2.3430 0.9390 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.3630 0.9330 2.4050 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.4730 0.9330 2.5150 ; + RECT 0.8910 2.5650 0.9330 2.6070 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.2760 0.0300 0.3320 0.7690 ; + RECT 0.5800 0.0300 0.6360 0.7520 ; + RECT 1.1880 0.0300 1.2440 0.5040 ; + RECT 0.8840 0.0300 0.9400 0.8370 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3150 0.6290 0.3570 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.8910 0.3150 0.9330 0.3570 ; + RECT 0.8910 0.7750 0.9330 0.8170 ; + RECT 1.1950 0.3740 1.2370 0.4160 ; + RECT 1.1950 0.2820 1.2370 0.3240 ; + RECT 0.5870 0.5910 0.6290 0.6330 ; + RECT 0.2830 0.6780 0.3250 0.7200 ; + RECT 0.8910 0.2230 0.9330 0.2650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.8910 0.6830 0.9330 0.7250 ; + RECT 0.8910 0.5910 0.9330 0.6330 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8910 0.4070 0.9330 0.4490 ; + RECT 0.5870 0.6830 0.6290 0.7250 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.4990 0.9330 0.5410 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5870 0.2230 0.6290 0.2650 ; + RECT 0.2830 0.5860 0.3250 0.6280 ; + RECT 0.5870 0.4070 0.6290 0.4490 ; + RECT 0.5870 0.4990 0.6290 0.5410 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.2760 1.3050 0.3320 1.6420 ; + RECT 1.6170 1.7020 1.7270 1.7720 ; + RECT 1.6170 1.5720 1.7270 1.6420 ; + RECT 1.1880 1.2700 1.2440 1.6420 ; + RECT 0.5800 1.1880 0.6360 1.6420 ; + RECT 0.8840 1.1700 0.9400 1.6420 ; + RECT 0.8840 1.7020 0.9400 2.0070 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.2870 0.9330 1.3290 ; + RECT 0.2830 1.3490 0.3250 1.3910 ; + RECT 0.8910 1.9150 0.9330 1.9570 ; + RECT 0.5870 1.3930 0.6290 1.4350 ; + RECT 0.8910 1.1950 0.9330 1.2370 ; + RECT 0.8910 1.3790 0.9330 1.4210 ; + RECT 0.5870 1.3010 0.6290 1.3430 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.3000 1.2370 1.3420 ; + RECT 0.8910 1.4710 0.9330 1.5130 ; + RECT 0.5870 1.2090 0.6290 1.2510 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0370 1.0760 1.1830 1.1320 ; + RECT 1.1270 0.8680 1.1830 1.0760 ; + RECT 1.1270 0.8670 1.3100 0.8680 ; + RECT 1.0370 1.1320 1.0930 1.5700 ; + RECT 1.0360 0.8110 1.3100 0.8670 ; + RECT 1.0360 0.1880 1.0920 0.8110 ; + RECT 1.1610 0.7050 1.3100 0.8110 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2230 1.0850 0.2650 ; + RECT 1.0430 1.1950 1.0850 1.2370 ; + RECT 1.0430 0.4990 1.0850 0.5410 ; + RECT 1.0430 0.7840 1.0850 0.8260 ; + RECT 1.0430 0.4070 1.0850 0.4490 ; + RECT 1.0430 0.3150 1.0850 0.3570 ; + RECT 1.0430 0.5910 1.0850 0.6330 ; + RECT 1.0430 1.3790 1.0850 1.4210 ; + RECT 1.0430 0.6830 1.0850 0.7250 ; + RECT 1.0430 1.4710 1.0850 1.5130 ; + RECT 1.0430 1.2870 1.0850 1.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2070 1.1190 2.2630 ; + RECT 0.9160 2.0730 1.1190 2.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2160 0.8570 2.2580 ; + END + ANTENNAGATEAREA 0.0132 ; + END A + OBS + LAYER CO ; + RECT 0.4350 0.5860 0.4770 0.6280 ; + RECT 0.7390 2.3630 0.7810 2.4050 ; + RECT 0.7390 2.4730 0.7810 2.5150 ; + RECT 0.4350 1.3490 0.4770 1.3910 ; + RECT 0.7390 0.5910 0.7810 0.6330 ; + RECT 0.4350 0.6780 0.4770 0.7200 ; + RECT 0.7390 0.4990 0.7810 0.5410 ; + RECT 0.7390 0.4070 0.7810 0.4490 ; + RECT 0.7390 0.3150 0.7810 0.3570 ; + RECT 0.7390 0.2230 0.7810 0.2650 ; + RECT 0.6630 0.9740 0.7050 1.0160 ; + RECT 0.7390 2.5650 0.7810 2.6070 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.3590 0.9740 0.4010 1.0160 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.3590 2.2120 0.4010 2.2540 ; + RECT 0.7390 1.3930 0.7810 1.4350 ; + RECT 0.9670 0.9740 1.0090 1.0160 ; + RECT 0.2070 0.9750 0.2490 1.0170 ; + RECT 0.7390 1.9150 0.7810 1.9570 ; + RECT 0.7390 0.6830 0.7810 0.7250 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 0.7750 0.9670 1.0290 1.0230 ; + RECT 0.7320 1.0810 0.8310 1.1370 ; + RECT 0.7330 0.8580 0.8310 0.9140 ; + RECT 0.7320 1.1370 0.7880 1.4860 ; + RECT 0.7330 0.1310 0.7890 0.8580 ; + RECT 0.7750 1.0230 0.8310 1.0810 ; + RECT 0.7750 0.9140 0.8310 0.9670 ; + RECT 0.4820 0.9670 0.7250 1.0230 ; + RECT 0.4280 1.0910 0.5380 1.1470 ; + RECT 0.4200 0.8530 0.5380 0.9090 ; + RECT 0.4280 1.1470 0.4840 1.4430 ; + RECT 0.4820 1.0230 0.5380 1.0910 ; + RECT 0.4820 0.9090 0.5380 0.9670 ; + RECT 0.4280 0.5070 0.4840 0.8530 ; + RECT 0.1860 0.9670 0.4210 1.0230 ; + RECT 0.7310 2.3710 0.7870 2.6410 ; + RECT 0.6390 2.3150 0.7870 2.3710 ; + RECT 0.6390 2.0790 0.7880 2.1350 ; + RECT 0.3390 2.2060 0.6950 2.2620 ; + RECT 0.6390 2.2620 0.6950 2.3150 ; + RECT 0.6390 2.1350 0.6950 2.2060 ; + RECT 0.7320 1.8600 0.7880 2.0790 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.9730 0.0680 1.0030 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNX1_HVT + +MACRO LSDNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 0.8830 2.3430 0.9390 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.4730 0.9330 2.5150 ; + RECT 0.8910 2.5650 0.9330 2.6070 ; + RECT 0.8910 2.3630 0.9330 2.4050 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.2760 0.0300 0.3320 0.8500 ; + RECT 0.5800 0.0300 0.6360 0.7860 ; + RECT 1.3400 0.0300 1.3960 0.4720 ; + RECT 0.8870 0.0300 0.9370 0.7840 ; + RECT 1.1890 0.0300 1.2450 0.7830 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.4990 0.9330 0.5410 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5870 0.6830 0.6290 0.7250 ; + RECT 0.5870 0.2230 0.6290 0.2650 ; + RECT 0.2830 0.6720 0.3250 0.7140 ; + RECT 0.2830 0.5800 0.3250 0.6220 ; + RECT 0.5870 0.4070 0.6290 0.4490 ; + RECT 0.5870 0.4990 0.6290 0.5410 ; + RECT 0.2830 0.4880 0.3250 0.5300 ; + RECT 0.5870 0.3150 0.6290 0.3570 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1950 0.2230 1.2370 0.2650 ; + RECT 0.8910 0.3150 0.9330 0.3570 ; + RECT 1.1950 0.4990 1.2370 0.5410 ; + RECT 1.3470 0.3740 1.3890 0.4160 ; + RECT 1.3470 0.2820 1.3890 0.3240 ; + RECT 0.5870 0.5910 0.6290 0.6330 ; + RECT 0.2830 0.7640 0.3250 0.8060 ; + RECT 0.8910 0.2230 0.9330 0.2650 ; + RECT 1.1950 0.4070 1.2370 0.4490 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.1950 0.3150 1.2370 0.3570 ; + RECT 1.1950 0.5910 1.2370 0.6330 ; + RECT 0.8910 0.6830 0.9330 0.7250 ; + RECT 0.8910 0.5910 0.9330 0.6330 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1950 0.6830 1.2370 0.7250 ; + RECT 0.8910 0.4070 0.9330 0.4490 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.2760 1.3050 0.3320 1.6420 ; + RECT 1.6170 1.7020 1.7270 1.7720 ; + RECT 1.6170 1.5720 1.7270 1.6420 ; + RECT 0.5800 1.1880 0.6360 1.6420 ; + RECT 1.3390 1.2680 1.3950 1.6420 ; + RECT 1.1880 1.2020 1.2440 1.6420 ; + RECT 0.8840 1.7020 0.9400 2.0030 ; + RECT 0.8840 1.1540 0.9400 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.4710 0.9330 1.5130 ; + RECT 0.5870 1.2090 0.6290 1.2510 ; + RECT 1.1950 1.4710 1.2370 1.5130 ; + RECT 0.8910 1.9300 0.9330 1.9720 ; + RECT 1.1950 1.3790 1.2370 1.4210 ; + RECT 0.5870 1.4850 0.6290 1.5270 ; + RECT 0.8910 1.2870 0.9330 1.3290 ; + RECT 0.2830 1.3490 0.3250 1.3910 ; + RECT 1.1950 1.2870 1.2370 1.3290 ; + RECT 0.5870 1.3930 0.6290 1.4350 ; + RECT 0.8910 1.1950 0.9330 1.2370 ; + RECT 0.8910 1.3790 0.9330 1.4210 ; + RECT 0.5870 1.3010 0.6290 1.3430 ; + RECT 1.3470 1.3920 1.3890 1.4340 ; + RECT 1.3470 1.3000 1.3890 1.3420 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0360 1.1430 1.0920 1.5610 ; + RECT 1.2810 0.9160 1.4780 0.9670 ; + RECT 1.0360 0.1620 1.0920 0.8600 ; + RECT 1.2810 0.9670 1.3370 1.0870 ; + RECT 1.0360 1.0870 1.3370 1.1430 ; + RECT 1.0360 0.8600 1.4780 0.9160 ; + RECT 1.3130 0.7780 1.4780 0.8600 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4710 1.0850 1.5130 ; + RECT 1.0430 1.2870 1.0850 1.3290 ; + RECT 1.0430 1.1950 1.0850 1.2370 ; + RECT 1.0430 0.6830 1.0850 0.7250 ; + RECT 1.0430 0.2230 1.0850 0.2650 ; + RECT 1.0430 1.3790 1.0850 1.4210 ; + RECT 1.0430 0.4990 1.0850 0.5410 ; + RECT 1.0430 0.4070 1.0850 0.4490 ; + RECT 1.0430 0.3150 1.0850 0.3570 ; + RECT 1.0430 0.5910 1.0850 0.6330 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2070 1.1190 2.2630 ; + RECT 0.9160 2.0730 1.1190 2.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2160 0.8570 2.2580 ; + END + ANTENNAGATEAREA 0.0132 ; + END A + OBS + LAYER CO ; + RECT 0.7390 1.9300 0.7810 1.9720 ; + RECT 0.9670 0.9860 1.0090 1.0280 ; + RECT 0.2070 0.9750 0.2490 1.0170 ; + RECT 0.4350 0.4880 0.4770 0.5300 ; + RECT 0.7390 1.4850 0.7810 1.5270 ; + RECT 0.4350 0.6720 0.4770 0.7140 ; + RECT 0.7390 2.3630 0.7810 2.4050 ; + RECT 0.7390 2.4730 0.7810 2.5150 ; + RECT 0.4350 1.3490 0.4770 1.3910 ; + RECT 0.7390 0.5910 0.7810 0.6330 ; + RECT 0.4350 0.7640 0.4770 0.8060 ; + RECT 0.7390 0.4990 0.7810 0.5410 ; + RECT 0.7390 0.4070 0.7810 0.4490 ; + RECT 0.7390 0.3150 0.7810 0.3570 ; + RECT 0.7390 0.2230 0.7810 0.2650 ; + RECT 0.6630 0.9740 0.7050 1.0160 ; + RECT 0.7390 0.6830 0.7810 0.7250 ; + RECT 0.7390 2.5650 0.7810 2.6070 ; + RECT 1.1190 0.9860 1.1610 1.0280 ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.3590 0.9740 0.4010 1.0160 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.3590 2.2120 0.4010 2.2540 ; + RECT 0.4350 0.5800 0.4770 0.6220 ; + RECT 0.7390 1.3930 0.7810 1.4350 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT -0.1150 -0.1150 2.2430 1.0530 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + LAYER M1 ; + RECT 0.7750 0.9790 1.1810 1.0350 ; + RECT 0.7330 0.8580 0.8310 0.9140 ; + RECT 0.7390 1.0810 0.8310 1.0820 ; + RECT 0.7350 1.1370 0.7910 1.5620 ; + RECT 0.7350 1.0820 0.8310 1.1370 ; + RECT 0.7330 0.1310 0.7890 0.8580 ; + RECT 0.7750 1.0350 0.8310 1.0810 ; + RECT 0.7750 0.9140 0.8310 0.9790 ; + RECT 0.4820 0.9670 0.7250 1.0230 ; + RECT 0.4300 1.0910 0.5380 1.1470 ; + RECT 0.4280 0.8530 0.5380 0.9090 ; + RECT 0.4300 1.1470 0.4860 1.4430 ; + RECT 0.4820 1.0230 0.5380 1.0910 ; + RECT 0.4820 0.9090 0.5380 0.9670 ; + RECT 0.4280 0.4260 0.4840 0.8530 ; + RECT 0.1860 0.9670 0.4210 1.0230 ; + RECT 0.7310 2.3710 0.7870 2.6410 ; + RECT 0.6390 2.3150 0.7870 2.3710 ; + RECT 0.6390 2.0790 0.7880 2.1350 ; + RECT 0.3390 2.2060 0.6950 2.2620 ; + RECT 0.6390 2.2620 0.6950 2.3150 ; + RECT 0.6390 2.1350 0.6950 2.2060 ; + RECT 0.7320 1.8810 0.7880 2.0790 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0530 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.9730 0.0530 1.0030 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNX2_HVT + +MACRO LSDNX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 0.8830 2.3430 0.9390 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.4730 0.9330 2.5150 ; + RECT 0.8910 2.5650 0.9330 2.6070 ; + RECT 0.8910 2.3630 0.9330 2.4050 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.2760 0.0300 0.3320 0.7880 ; + RECT 1.0360 0.0300 1.0920 0.7450 ; + RECT 1.3410 0.0300 1.3970 0.7450 ; + RECT 0.5800 0.0300 0.6360 0.6730 ; + RECT 1.6440 0.0300 1.7000 0.4820 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.3470 0.4070 1.3890 0.4490 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.3470 0.3150 1.3890 0.3570 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.6830 1.3890 0.7250 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5870 0.2230 0.6290 0.2650 ; + RECT 0.2830 0.6340 0.3250 0.6760 ; + RECT 0.2830 0.5420 0.3250 0.5840 ; + RECT 0.5870 0.4070 0.6290 0.4490 ; + RECT 0.5870 0.4990 0.6290 0.5410 ; + RECT 0.2830 0.4500 0.3250 0.4920 ; + RECT 0.5870 0.3150 0.6290 0.3570 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.3470 0.2230 1.3890 0.2650 ; + RECT 0.2830 0.2660 0.3250 0.3080 ; + RECT 1.3470 0.5910 1.3890 0.6330 ; + RECT 0.2830 0.3580 0.3250 0.4000 ; + RECT 1.6510 0.3740 1.6930 0.4160 ; + RECT 1.6510 0.2820 1.6930 0.3240 ; + RECT 1.3470 0.4990 1.3890 0.5410 ; + RECT 0.5870 0.5910 0.6290 0.6330 ; + RECT 0.2830 0.7260 0.3250 0.7680 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.0430 0.6830 1.0850 0.7250 ; + RECT 1.0430 0.2230 1.0850 0.2650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.0430 0.4990 1.0850 0.5410 ; + RECT 1.0430 0.4070 1.0850 0.4490 ; + RECT 1.0430 0.3150 1.0850 0.3570 ; + RECT 1.0430 0.5910 1.0850 0.6330 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.2490 1.7020 0.3590 1.7720 ; + RECT 0.2490 1.5720 0.3590 1.6420 ; + RECT 0.2760 1.3050 0.3320 1.5720 ; + RECT 1.0360 1.2650 1.0920 1.6420 ; + RECT 1.3400 1.2650 1.3960 1.6420 ; + RECT 1.6440 1.2670 1.7000 1.6420 ; + RECT 0.5800 1.1880 0.6360 1.6420 ; + RECT 0.8840 1.7020 0.9400 2.0120 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3010 0.6290 1.3430 ; + RECT 1.6510 1.3920 1.6930 1.4340 ; + RECT 1.6510 1.3000 1.6930 1.3420 ; + RECT 0.5870 1.2090 0.6290 1.2510 ; + RECT 1.0430 1.4710 1.0850 1.5130 ; + RECT 1.0430 1.2870 1.0850 1.3290 ; + RECT 0.8910 1.9370 0.9330 1.9790 ; + RECT 1.3470 1.2870 1.3890 1.3290 ; + RECT 0.5870 1.4850 0.6290 1.5270 ; + RECT 0.2830 1.3490 0.3250 1.3910 ; + RECT 0.8910 1.8450 0.9330 1.8870 ; + RECT 0.5870 1.3930 0.6290 1.4350 ; + RECT 1.0430 1.3790 1.0850 1.4210 ; + RECT 1.3470 1.4710 1.3890 1.5130 ; + RECT 1.3470 1.3790 1.3890 1.4210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8830 0.1050 0.9390 0.8460 ; + RECT 1.6170 0.7780 1.7770 0.8460 ; + RECT 1.4920 0.1570 1.5480 0.8460 ; + RECT 1.1890 0.1570 1.2450 0.8460 ; + RECT 1.5930 0.9020 1.7770 0.9670 ; + RECT 0.8830 0.8460 1.7770 0.9020 ; + RECT 0.8840 1.1410 0.9400 1.5530 ; + RECT 1.4920 1.1410 1.5480 1.5560 ; + RECT 1.1880 1.1410 1.2440 1.5570 ; + RECT 1.5930 0.9670 1.6490 1.0850 ; + RECT 0.8840 1.0850 1.6490 1.1410 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.3790 1.5410 1.4210 ; + RECT 0.8910 1.3790 0.9330 1.4210 ; + RECT 1.1950 0.6830 1.2370 0.7250 ; + RECT 0.8910 0.4070 0.9330 0.4490 ; + RECT 0.8910 0.4990 0.9330 0.5410 ; + RECT 1.4990 0.2230 1.5410 0.2650 ; + RECT 0.8910 1.4710 0.9330 1.5130 ; + RECT 1.4990 0.4990 1.5410 0.5410 ; + RECT 1.1950 1.4710 1.2370 1.5130 ; + RECT 1.4990 0.4070 1.5410 0.4490 ; + RECT 1.4990 0.3150 1.5410 0.3570 ; + RECT 1.4990 0.5910 1.5410 0.6330 ; + RECT 1.1950 0.2230 1.2370 0.2650 ; + RECT 0.8910 0.3150 0.9330 0.3570 ; + RECT 1.1950 0.4990 1.2370 0.5410 ; + RECT 1.1950 1.3790 1.2370 1.4210 ; + RECT 0.8910 0.2230 0.9330 0.2650 ; + RECT 1.1950 0.4070 1.2370 0.4490 ; + RECT 1.1950 0.3150 1.2370 0.3570 ; + RECT 1.1950 0.5910 1.2370 0.6330 ; + RECT 0.8910 0.6830 0.9330 0.7250 ; + RECT 0.8910 1.2870 0.9330 1.3290 ; + RECT 1.4990 0.6830 1.5410 0.7250 ; + RECT 1.1950 1.2870 1.2370 1.3290 ; + RECT 0.8910 1.1950 0.9330 1.2370 ; + RECT 0.8910 0.5910 0.9330 0.6330 ; + RECT 1.4990 1.4710 1.5410 1.5130 ; + RECT 1.4990 1.2870 1.5410 1.3290 ; + RECT 1.4990 1.1950 1.5410 1.2370 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2070 1.1190 2.2630 ; + RECT 0.9160 2.0730 1.1190 2.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2160 0.8570 2.2580 ; + END + ANTENNAGATEAREA 0.0165 ; + END A + OBS + LAYER CO ; + RECT 0.7390 1.2090 0.7810 1.2510 ; + RECT 0.3590 0.9740 0.4010 1.0160 ; + RECT 0.7390 1.3010 0.7810 1.3430 ; + RECT 0.3590 2.2120 0.4010 2.2540 ; + RECT 1.2710 0.9860 1.3130 1.0280 ; + RECT 0.4350 0.5420 0.4770 0.5840 ; + RECT 1.4230 0.9860 1.4650 1.0280 ; + RECT 0.7390 1.3930 0.7810 1.4350 ; + RECT 0.7390 1.9580 0.7810 2.0000 ; + RECT 0.9670 0.9860 1.0090 1.0280 ; + RECT 0.4350 0.4500 0.4770 0.4920 ; + RECT 0.4350 0.3580 0.4770 0.4000 ; + RECT 0.2070 0.9750 0.2490 1.0170 ; + RECT 0.4350 0.2660 0.4770 0.3080 ; + RECT 0.7390 1.8660 0.7810 1.9080 ; + RECT 0.7390 1.4850 0.7810 1.5270 ; + RECT 0.4350 0.6340 0.4770 0.6760 ; + RECT 0.7390 2.3630 0.7810 2.4050 ; + RECT 0.7390 2.4730 0.7810 2.5150 ; + RECT 0.4350 1.3490 0.4770 1.3910 ; + RECT 0.7390 0.5910 0.7810 0.6330 ; + RECT 0.4350 0.7260 0.4770 0.7680 ; + RECT 0.7390 0.4990 0.7810 0.5410 ; + RECT 0.7390 0.4070 0.7810 0.4490 ; + RECT 0.7390 0.3150 0.7810 0.3570 ; + RECT 0.7390 0.2230 0.7810 0.2650 ; + RECT 0.6630 0.9740 0.7050 1.0160 ; + RECT 0.7390 2.5650 0.7810 2.6070 ; + RECT 1.1190 0.9860 1.1610 1.0280 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 0.7750 0.9790 1.4890 1.0350 ; + RECT 0.7330 0.8580 0.8310 0.9140 ; + RECT 0.7390 1.0810 0.8310 1.0820 ; + RECT 0.7350 1.1370 0.7910 1.5620 ; + RECT 0.7350 1.0820 0.8310 1.1370 ; + RECT 0.7330 0.1310 0.7890 0.8580 ; + RECT 0.7750 1.0350 0.8310 1.0810 ; + RECT 0.7750 0.9140 0.8310 0.9790 ; + RECT 0.4820 0.9670 0.7250 1.0230 ; + RECT 0.4300 1.0910 0.5380 1.1470 ; + RECT 0.4280 0.8530 0.5380 0.9090 ; + RECT 0.4300 1.1470 0.4860 1.4430 ; + RECT 0.4820 1.0230 0.5380 1.0910 ; + RECT 0.4820 0.9090 0.5380 0.9670 ; + RECT 0.4280 0.2190 0.4840 0.8530 ; + RECT 0.1860 0.9670 0.4210 1.0230 ; + RECT 0.7320 2.3710 0.7880 2.6410 ; + RECT 0.6400 2.3150 0.7880 2.3710 ; + RECT 0.6400 2.0790 0.7890 2.1350 ; + RECT 0.3390 2.2060 0.6960 2.2620 ; + RECT 0.6400 2.2620 0.6960 2.3150 ; + RECT 0.6400 2.1350 0.6960 2.2060 ; + RECT 0.7320 1.8360 0.7880 2.0790 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0530 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 1.2770 0.0530 1.3070 2.7800 ; + RECT 1.4290 0.0530 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.9730 0.0530 1.0030 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0680 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNX4_HVT + +MACRO LSDNX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.736 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.7360 3.0700 ; + RECT 1.7690 3.0700 1.8790 3.1400 ; + RECT 1.7690 2.9400 1.8790 3.0100 ; + RECT 0.8830 2.3430 0.9390 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.4730 0.9330 2.5150 ; + RECT 0.8910 2.5650 0.9330 2.6070 ; + RECT 0.8910 2.3630 0.9330 2.4050 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.7360 0.0300 ; + RECT 0.2760 0.0300 0.3320 0.7690 ; + RECT 2.4040 0.0300 2.4600 0.4670 ; + RECT 2.1000 0.0300 2.1560 0.7840 ; + RECT 1.7960 0.0300 1.8520 0.7820 ; + RECT 1.4920 0.0300 1.5480 0.7750 ; + RECT 0.5800 0.0300 0.6360 0.8370 ; + RECT 0.8840 0.0300 0.9400 0.7580 ; + RECT 1.1880 0.0300 1.2440 0.7750 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.7360 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.7010 1.2370 0.7430 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.4250 1.5410 0.4670 ; + RECT 1.4990 0.5170 1.5410 0.5590 ; + RECT 1.8030 0.6090 1.8450 0.6510 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8030 0.1490 1.8450 0.1910 ; + RECT 1.8030 0.4250 1.8450 0.4670 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5870 0.4070 0.6290 0.4490 ; + RECT 1.8030 0.2410 1.8450 0.2830 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8030 0.5170 1.8450 0.5590 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.4990 0.6290 0.5410 ; + RECT 1.8030 0.7010 1.8450 0.7430 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.1950 0.2410 1.2370 0.2830 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.1070 0.5170 2.1490 0.5590 ; + RECT 1.1950 0.5170 1.2370 0.5590 ; + RECT 0.5870 0.5910 0.6290 0.6330 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.1070 0.2410 2.1490 0.2830 ; + RECT 2.1070 0.7010 2.1490 0.7430 ; + RECT 2.1070 0.1490 2.1490 0.1910 ; + RECT 0.2830 0.5910 0.3250 0.6330 ; + RECT 0.2830 0.4990 0.3250 0.5410 ; + RECT 0.2830 0.4070 0.3250 0.4490 ; + RECT 0.8910 0.6830 0.9330 0.7250 ; + RECT 2.1070 0.6090 2.1490 0.6510 ; + RECT 0.8910 0.2230 0.9330 0.2650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.2830 0.3150 0.3250 0.3570 ; + RECT 0.5870 0.3150 0.6290 0.3570 ; + RECT 2.1070 0.3330 2.1490 0.3750 ; + RECT 1.1950 0.6090 1.2370 0.6510 ; + RECT 0.5870 0.7750 0.6290 0.8170 ; + RECT 2.1070 0.4250 2.1490 0.4670 ; + RECT 2.4110 0.3740 2.4530 0.4160 ; + RECT 2.4110 0.2820 2.4530 0.3240 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 0.8910 0.4990 0.9330 0.5410 ; + RECT 0.2830 0.6830 0.3250 0.7250 ; + RECT 0.8910 0.4070 0.9330 0.4490 ; + RECT 0.8910 0.3150 0.9330 0.3570 ; + RECT 0.8910 0.5910 0.9330 0.6330 ; + RECT 0.5870 0.2230 0.6290 0.2650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5870 0.6830 0.6290 0.7250 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.4990 0.2410 1.5410 0.2830 ; + RECT 1.4990 0.7010 1.5410 0.7430 ; + RECT 1.4990 0.1490 1.5410 0.1910 ; + RECT 1.4990 0.6090 1.5410 0.6510 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.7360 1.7020 ; + RECT 0.2490 1.7020 0.3590 1.7720 ; + RECT 0.2490 1.5720 0.3590 1.6420 ; + RECT 0.2760 1.3050 0.3320 1.5720 ; + RECT 2.4040 1.2200 2.4600 1.6420 ; + RECT 1.1880 1.1930 1.2440 1.6420 ; + RECT 1.4920 1.1930 1.5480 1.6420 ; + RECT 1.7960 1.1930 1.8520 1.6420 ; + RECT 2.1000 1.1930 2.1560 1.6420 ; + RECT 0.8840 1.2500 0.9400 1.6420 ; + RECT 0.5800 1.1750 0.6360 1.6420 ; + RECT 0.8840 1.7020 0.9400 2.0150 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3790 0.6290 1.4210 ; + RECT 2.4110 1.3500 2.4530 1.3920 ; + RECT 2.4110 1.2580 2.4530 1.3000 ; + RECT 0.5870 1.4710 0.6290 1.5130 ; + RECT 2.1070 1.3110 2.1490 1.3530 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.4990 1.4950 1.5410 1.5370 ; + RECT 1.4990 1.3110 1.5410 1.3530 ; + RECT 1.4990 1.2190 1.5410 1.2610 ; + RECT 1.4990 1.4030 1.5410 1.4450 ; + RECT 1.8030 1.4030 1.8450 1.4450 ; + RECT 1.8030 1.2190 1.8450 1.2610 ; + RECT 1.8030 1.3110 1.8450 1.3530 ; + RECT 1.8030 1.4950 1.8450 1.5370 ; + RECT 2.1070 1.4950 2.1490 1.5370 ; + RECT 2.1070 1.4030 2.1490 1.4450 ; + RECT 2.1070 1.2190 2.1490 1.2610 ; + RECT 0.5870 1.1950 0.6290 1.2370 ; + RECT 0.8910 1.4710 0.9330 1.5130 ; + RECT 0.8910 1.2870 0.9330 1.3290 ; + RECT 0.8910 1.9310 0.9330 1.9730 ; + RECT 0.8910 1.3790 0.9330 1.4210 ; + RECT 0.5870 1.2870 0.6290 1.3290 ; + RECT 0.2830 1.3490 0.3250 1.3910 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0390 1.0780 2.3450 1.1280 ; + RECT 1.0390 0.0970 1.0890 0.8530 ; + RECT 1.0390 1.1280 1.0890 1.5620 ; + RECT 2.2550 0.1090 2.3050 0.8530 ; + RECT 1.9510 0.0980 2.0010 0.8530 ; + RECT 1.6470 0.0980 1.6970 0.8530 ; + RECT 1.3430 0.0980 1.3930 0.8530 ; + RECT 1.0390 0.8530 2.3450 0.8540 ; + RECT 2.2550 1.1280 2.3050 1.5640 ; + RECT 1.9510 1.1280 2.0010 1.5650 ; + RECT 1.6470 1.1280 1.6970 1.5650 ; + RECT 1.3430 1.1280 1.3930 1.5640 ; + RECT 2.2950 0.9880 2.3450 1.0780 ; + RECT 2.2950 0.9030 2.4880 0.9880 ; + RECT 1.0390 0.8540 2.4880 0.9030 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.5170 1.3890 0.5590 ; + RECT 1.6510 0.7010 1.6930 0.7430 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.6510 0.5170 1.6930 0.5590 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.6090 1.6930 0.6510 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 2.2590 1.3110 2.3010 1.3530 ; + RECT 1.6510 0.4250 1.6930 0.4670 ; + RECT 1.0430 0.5170 1.0850 0.5590 ; + RECT 2.2590 1.4950 2.3010 1.5370 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.3470 1.2190 1.3890 1.2610 ; + RECT 1.3470 1.4030 1.3890 1.4450 ; + RECT 1.3470 1.3110 1.3890 1.3530 ; + RECT 1.3470 1.4950 1.3890 1.5370 ; + RECT 1.6510 1.4950 1.6930 1.5370 ; + RECT 1.6510 1.3110 1.6930 1.3530 ; + RECT 1.6510 1.4030 1.6930 1.4450 ; + RECT 1.6510 1.2190 1.6930 1.2610 ; + RECT 1.9550 1.3110 1.9970 1.3530 ; + RECT 1.9550 1.2190 1.9970 1.2610 ; + RECT 1.9550 1.4030 1.9970 1.4450 ; + RECT 1.9550 1.4950 1.9970 1.5370 ; + RECT 2.2590 0.5170 2.3010 0.5590 ; + RECT 2.2590 0.4250 2.3010 0.4670 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.6090 2.3010 0.6510 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.7010 2.3010 0.7430 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 1.9550 0.7010 1.9970 0.7430 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.6090 1.9970 0.6510 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.4250 1.9970 0.4670 ; + RECT 1.9550 0.5170 1.9970 0.5590 ; + RECT 2.2590 1.2190 2.3010 1.2610 ; + RECT 1.0430 0.4250 1.0850 0.4670 ; + RECT 2.2590 1.4030 2.3010 1.4450 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.6090 1.0850 0.6510 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.7010 1.0850 0.7430 ; + RECT 1.3470 0.4250 1.3890 0.4670 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.6090 1.3890 0.6510 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.7010 1.3890 0.7430 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + END + ANTENNADIFFAREA 0.6915 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2070 1.1190 2.2630 ; + RECT 0.9160 2.0730 1.1190 2.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2160 0.8570 2.2580 ; + END + ANTENNAGATEAREA 0.0132 ; + END A + OBS + LAYER CO ; + RECT 0.7390 1.3790 0.7810 1.4210 ; + RECT 0.3590 0.9740 0.4010 1.0160 ; + RECT 0.3590 2.2120 0.4010 2.2540 ; + RECT 0.7390 0.6830 0.7810 0.7250 ; + RECT 2.0310 0.9740 2.0730 1.0160 ; + RECT 1.8790 0.9740 1.9210 1.0160 ; + RECT 1.7270 0.9740 1.7690 1.0160 ; + RECT 1.5750 0.9740 1.6170 1.0160 ; + RECT 0.7390 2.5650 0.7810 2.6070 ; + RECT 0.7390 1.9310 0.7810 1.9730 ; + RECT 1.4230 0.9740 1.4650 1.0160 ; + RECT 0.7390 1.4710 0.7810 1.5130 ; + RECT 0.2070 0.9750 0.2490 1.0170 ; + RECT 0.4350 0.4070 0.4770 0.4490 ; + RECT 0.7390 1.2870 0.7810 1.3290 ; + RECT 2.1830 0.9740 2.2250 1.0160 ; + RECT 0.8150 0.9740 0.8570 1.0160 ; + RECT 1.2710 0.9740 1.3130 1.0160 ; + RECT 0.4350 0.5910 0.4770 0.6330 ; + RECT 0.4350 0.4990 0.4770 0.5410 ; + RECT 0.7390 0.2230 0.7810 0.2650 ; + RECT 0.7390 2.3630 0.7810 2.4050 ; + RECT 0.4350 0.3150 0.4770 0.3570 ; + RECT 0.7390 2.4730 0.7810 2.5150 ; + RECT 0.7390 1.1950 0.7810 1.2370 ; + RECT 0.7390 0.4990 0.7810 0.5410 ; + RECT 0.7390 0.7840 0.7810 0.8260 ; + RECT 0.4350 1.3490 0.4770 1.3910 ; + RECT 0.4350 0.6830 0.4770 0.7250 ; + RECT 1.1190 0.9740 1.1610 1.0160 ; + RECT 0.7390 0.4070 0.7810 0.4490 ; + RECT 0.7390 0.3150 0.7810 0.3570 ; + RECT 0.6630 0.9740 0.7050 1.0160 ; + RECT 0.7390 0.5910 0.7810 0.6330 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.8510 3.4590 ; + RECT 2.4690 2.3510 2.8510 3.2240 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.8510 0.9930 ; + LAYER M1 ; + RECT 0.4720 0.9670 0.8770 1.0230 ; + RECT 0.4300 1.0910 0.5280 1.1470 ; + RECT 0.4280 0.8530 0.5280 0.9090 ; + RECT 0.4300 1.1470 0.4860 1.4430 ; + RECT 0.4720 1.0230 0.5280 1.0910 ; + RECT 0.4720 0.9090 0.5280 0.9670 ; + RECT 0.4280 0.2880 0.4840 0.8530 ; + RECT 0.1860 0.9670 0.4210 1.0230 ; + RECT 0.9300 0.9670 2.2450 1.0230 ; + RECT 0.7320 1.1320 0.7880 1.5780 ; + RECT 0.7320 0.1110 0.7880 0.8480 ; + RECT 0.7320 1.0760 0.9860 1.1320 ; + RECT 0.7320 0.8480 0.9860 0.9040 ; + RECT 0.9300 1.0230 0.9860 1.0760 ; + RECT 0.9300 0.9040 0.9860 0.9670 ; + RECT 0.7310 2.3710 0.7870 2.6410 ; + RECT 0.6390 2.3150 0.7870 2.3710 ; + RECT 0.6390 2.0790 0.7880 2.1350 ; + RECT 0.3390 2.2060 0.6950 2.2620 ; + RECT 0.6390 2.2620 0.6950 2.3150 ; + RECT 0.6390 2.1350 0.6950 2.2060 ; + RECT 0.7320 1.9030 0.7880 2.0790 ; + LAYER PO ; + RECT 2.6450 0.0740 2.6750 2.7800 ; + RECT 2.3410 0.0690 2.3710 2.7800 ; + RECT 1.7330 0.0680 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 2.4930 0.0740 2.5230 2.7800 ; + RECT 1.1250 0.0680 1.1550 2.7780 ; + RECT 0.8210 0.0680 0.8510 1.6420 ; + RECT 0.8210 1.7430 0.8510 2.7800 ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 1.5810 0.0680 1.6110 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 0.9730 0.0680 1.0030 2.7800 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0680 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNX8_HVT + +MACRO LSUPENCLX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2460 0.8500 1.4370 0.9840 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.8910 1.3130 0.9330 ; + END + ANTENNAGATEAREA 0.0252 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.4130 ; + RECT 1.7990 0.0300 1.8490 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3510 1.3890 0.3930 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8030 0.3740 1.8450 0.4160 ; + RECT 1.8030 0.2820 1.8450 0.3240 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.3470 0.2590 1.3890 0.3010 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.8870 2.5250 0.9370 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 0.2490 1.7020 0.3590 1.7720 ; + RECT 0.2490 1.5720 0.3590 1.6420 ; + RECT 1.4950 1.3070 1.5450 1.6420 ; + RECT 0.7350 1.7020 0.7850 2.0200 ; + RECT 0.8870 1.3040 0.9370 1.6420 ; + RECT 1.7990 1.3030 1.8490 1.6420 ; + RECT 1.3430 1.3070 1.3930 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0200 ; + RECT 0.7350 1.3070 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.9450 0.7810 1.9870 ; + RECT 1.4990 1.5320 1.5410 1.5740 ; + RECT 0.7390 1.4400 0.7810 1.4820 ; + RECT 0.8910 1.5320 0.9330 1.5740 ; + RECT 0.8910 1.4400 0.9330 1.4820 ; + RECT 0.7390 1.5320 0.7810 1.5740 ; + RECT 1.3470 1.5320 1.3890 1.5740 ; + RECT 1.8030 1.3390 1.8450 1.3810 ; + RECT 1.8030 1.4310 1.8450 1.4730 ; + RECT 1.0430 1.9450 1.0850 1.9870 ; + RECT 1.4990 1.4400 1.5410 1.4820 ; + RECT 1.4990 1.3480 1.5410 1.3900 ; + RECT 1.3470 1.3480 1.3890 1.3900 ; + RECT 0.8910 1.3480 0.9330 1.3900 ; + RECT 0.7390 1.3480 0.7810 1.3900 ; + RECT 1.3470 1.4400 1.3890 1.4820 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6870 0.8420 1.8790 0.9760 ; + RECT 1.6470 0.7580 1.7370 0.8080 ; + RECT 1.1910 1.1770 1.7370 1.2270 ; + RECT 1.6470 0.2110 1.6970 0.7580 ; + RECT 1.6870 0.8080 1.7370 0.8420 ; + RECT 1.6470 1.2270 1.6970 1.5840 ; + RECT 1.1910 1.2270 1.2410 1.5830 ; + RECT 1.6870 0.9760 1.7370 1.1770 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.4290 1.6930 0.4710 ; + RECT 1.1950 1.4290 1.2370 1.4710 ; + RECT 1.6510 0.5210 1.6930 0.5630 ; + RECT 1.6510 1.4290 1.6930 1.4710 ; + RECT 1.6510 0.6130 1.6930 0.6550 ; + RECT 1.6510 0.7050 1.6930 0.7470 ; + RECT 1.6510 1.3370 1.6930 1.3790 ; + RECT 1.6510 1.2450 1.6930 1.2870 ; + RECT 1.6510 1.5210 1.6930 1.5630 ; + RECT 1.1950 1.2450 1.2370 1.2870 ; + RECT 1.1950 1.3370 1.2370 1.3790 ; + RECT 1.1950 1.5210 1.2370 1.5630 ; + RECT 1.6510 0.3370 1.6930 0.3790 ; + RECT 1.6510 0.2450 1.6930 0.2870 ; + END + ANTENNADIFFAREA 0.1672 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0468 ; + END A + OBS + LAYER CO ; + RECT 1.5750 1.0420 1.6170 1.0840 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 1.4990 0.2070 1.5410 0.2490 ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 0.9670 0.6960 1.0090 0.7380 ; + RECT 0.8910 0.3620 0.9330 0.4040 ; + RECT 0.8910 0.2700 0.9330 0.3120 ; + RECT 1.0430 0.3080 1.0850 0.3500 ; + RECT 1.0430 0.4000 1.0850 0.4420 ; + RECT 0.5870 1.4290 0.6290 1.4710 ; + RECT 0.6630 0.8660 0.7050 0.9080 ; + RECT 0.5870 1.5210 0.6290 1.5630 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.7390 0.3510 0.7810 0.3930 ; + RECT 0.7390 0.2590 0.7810 0.3010 ; + RECT 1.0430 1.5210 1.0850 1.5630 ; + RECT 1.0430 1.4290 1.0850 1.4710 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.8910 1.9100 0.9330 1.9520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 1.4990 0.3910 1.5410 0.4330 ; + RECT 1.4990 0.4830 1.5410 0.5250 ; + RECT 1.0430 1.3370 1.0850 1.3790 ; + RECT 0.5870 1.3370 0.6290 1.3790 ; + RECT 0.6630 2.2170 0.7050 2.2590 ; + RECT 1.1950 0.2270 1.2370 0.2690 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 1.1910 0.5270 1.5450 0.5770 ; + RECT 1.4950 0.1520 1.5450 0.5270 ; + RECT 0.8870 0.1140 1.2410 0.1640 ; + RECT 1.1910 0.1640 1.2410 0.5270 ; + RECT 0.7350 0.2290 0.7850 0.4130 ; + RECT 0.7360 0.1790 0.9370 0.2040 ; + RECT 0.7350 0.2040 0.9370 0.2290 ; + RECT 0.8870 0.1640 0.9370 0.1790 ; + RECT 0.8870 0.2290 0.9370 0.4240 ; + RECT 1.5710 1.0100 1.6210 1.0380 ; + RECT 1.0390 1.0380 1.6210 1.0880 ; + RECT 1.5710 1.0880 1.6210 1.1160 ; + RECT 1.0390 0.2740 1.0890 0.5160 ; + RECT 1.0390 0.5160 1.1290 0.5770 ; + RECT 1.0390 1.0880 1.0890 1.5840 ; + RECT 0.6430 0.8610 1.1290 0.9110 ; + RECT 1.0790 0.5770 1.1290 0.8610 ; + RECT 1.0790 0.9110 1.1290 1.0140 ; + RECT 1.0390 1.0140 1.1290 1.0380 ; + RECT 0.5430 0.6910 1.0290 0.7410 ; + RECT 0.5430 1.2960 0.6330 1.3460 ; + RECT 0.5830 0.2300 0.6330 0.6840 ; + RECT 0.5430 0.6840 0.6330 0.6910 ; + RECT 0.5830 1.3460 0.6330 1.5840 ; + RECT 0.5430 0.7410 0.5930 1.2960 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 0.6590 2.1330 0.7090 2.4230 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.8870 1.8670 0.9370 2.0830 ; + RECT 0.6590 2.0830 0.9370 2.1330 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.5810 0.0640 1.6110 1.6690 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.9730 0.0890 1.0030 1.0250 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.8210 1.7710 0.8510 2.7820 ; + RECT 0.9730 1.1630 1.0030 2.7820 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.6690 0.0890 0.6990 1.0250 ; + RECT 0.8210 0.0780 0.8510 1.6700 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 1.1780 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSUPENCLX1_HVT + +MACRO LSUPENCLX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 0.8500 1.1330 0.9840 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8910 1.0090 0.9330 ; + END + ANTENNAGATEAREA 0.0324 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.4130 ; + RECT 1.7990 0.0300 1.8490 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.3510 1.0850 0.3930 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8030 0.3740 1.8450 0.4160 ; + RECT 1.8030 0.2820 1.8450 0.3240 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.0430 0.2590 1.0850 0.3010 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.7690 1.7020 1.8790 1.7720 ; + RECT 1.7690 1.5720 1.8790 1.6420 ; + RECT 1.4950 1.4110 1.5450 1.6420 ; + RECT 0.7350 1.7020 0.7850 2.0200 ; + RECT 0.5830 1.3540 0.6330 1.6420 ; + RECT 1.0390 1.3620 1.0890 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0200 ; + RECT 0.4310 1.3620 0.4810 1.6420 ; + RECT 1.7990 1.3030 1.8490 1.5720 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.9450 0.7810 1.9870 ; + RECT 1.4990 1.5320 1.5410 1.5740 ; + RECT 0.4350 1.4400 0.4770 1.4820 ; + RECT 0.5870 1.5320 0.6290 1.5740 ; + RECT 0.5870 1.4400 0.6290 1.4820 ; + RECT 0.4350 1.5320 0.4770 1.5740 ; + RECT 1.0430 1.5320 1.0850 1.5740 ; + RECT 1.8030 1.3390 1.8450 1.3810 ; + RECT 1.8030 1.4310 1.8450 1.4730 ; + RECT 1.0430 1.9450 1.0850 1.9870 ; + RECT 1.4990 1.4400 1.5410 1.4820 ; + RECT 1.0430 1.4400 1.0850 1.4820 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.4960 1.7370 0.5460 ; + RECT 1.3430 0.8160 1.7370 0.8420 ; + RECT 1.3430 0.8420 1.8790 0.8660 ; + RECT 1.6870 0.8660 1.8790 0.9760 ; + RECT 1.3430 0.6470 1.3930 0.8160 ; + RECT 1.6470 1.3720 1.6970 1.5870 ; + RECT 1.6470 1.2990 1.7370 1.3720 ; + RECT 1.6470 0.2110 1.6970 0.4960 ; + RECT 1.1910 1.2270 1.2410 1.5870 ; + RECT 1.3430 1.2270 1.3930 1.5870 ; + RECT 0.8870 1.2270 0.9370 1.5870 ; + RECT 0.8870 1.1770 1.7370 1.2270 ; + RECT 1.6870 0.5460 1.7370 0.8160 ; + RECT 1.6870 1.2270 1.7370 1.2990 ; + RECT 1.6870 0.9760 1.7370 1.1770 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.4290 1.3890 1.4710 ; + RECT 1.1950 1.5210 1.2370 1.5630 ; + RECT 0.8910 1.4290 0.9330 1.4710 ; + RECT 1.1950 1.4290 1.2370 1.4710 ; + RECT 1.6510 1.4290 1.6930 1.4710 ; + RECT 1.3470 1.5210 1.3890 1.5630 ; + RECT 1.6510 1.5210 1.6930 1.5630 ; + RECT 1.3470 0.6810 1.3890 0.7230 ; + RECT 1.3470 0.7730 1.3890 0.8150 ; + RECT 0.8910 1.5210 0.9330 1.5630 ; + RECT 1.6510 0.3370 1.6930 0.3790 ; + RECT 1.6510 0.2450 1.6930 0.2870 ; + END + ANTENNADIFFAREA 0.3038 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0489 ; + END A + OBS + LAYER CO ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 1.1190 0.7210 1.1610 0.7630 ; + RECT 1.5750 1.0420 1.6170 1.0840 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 1.4990 0.2070 1.5410 0.2490 ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 0.5870 0.3620 0.6290 0.4040 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.2830 1.4290 0.3250 1.4710 ; + RECT 0.3590 0.8150 0.4010 0.8570 ; + RECT 0.9670 0.7210 1.0090 0.7630 ; + RECT 0.2830 1.5210 0.3250 1.5630 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.4350 0.3510 0.4770 0.3930 ; + RECT 0.4350 0.2590 0.4770 0.3010 ; + RECT 0.7390 1.5210 0.7810 1.5630 ; + RECT 0.7390 1.4290 0.7810 1.4710 ; + RECT 0.8910 0.3190 0.9330 0.3610 ; + RECT 0.8910 1.9100 0.9330 1.9520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 1.4230 1.0420 1.4650 1.0840 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 1.1950 0.2270 1.2370 0.2690 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 0.8910 0.2270 0.9330 0.2690 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 1.1150 0.6950 1.1650 0.7170 ; + RECT 0.9460 0.7170 1.1650 0.7670 ; + RECT 1.1150 0.7670 1.1650 0.7830 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 0.8870 0.4960 1.5450 0.5460 ; + RECT 1.1910 0.1880 1.2410 0.4960 ; + RECT 1.4950 0.1520 1.5450 0.4960 ; + RECT 0.5830 0.1140 0.9400 0.1640 ; + RECT 0.8870 0.1640 0.9370 0.4960 ; + RECT 0.4310 0.2290 0.4810 0.4130 ; + RECT 0.4320 0.1790 0.6330 0.2040 ; + RECT 0.4310 0.2040 0.6330 0.2290 ; + RECT 0.5830 0.1640 0.6330 0.1790 ; + RECT 0.5830 0.2290 0.6330 0.4240 ; + RECT 1.5710 1.0100 1.6210 1.0380 ; + RECT 0.7350 1.0380 1.6210 1.0880 ; + RECT 1.5710 1.0880 1.6210 1.1160 ; + RECT 0.7350 0.2740 0.7850 0.5160 ; + RECT 0.7350 0.5160 0.8250 0.5770 ; + RECT 0.7350 1.0880 0.7850 1.5870 ; + RECT 0.3390 0.8100 0.8250 0.8600 ; + RECT 0.7750 0.5770 0.8250 0.8100 ; + RECT 0.7750 0.8600 0.8250 1.0140 ; + RECT 0.7350 1.0140 0.8250 1.0380 ; + RECT 0.2390 0.6910 0.7250 0.7410 ; + RECT 0.2790 0.2300 0.3290 0.6840 ; + RECT 0.2390 0.6840 0.3290 0.6910 ; + RECT 0.2390 0.7410 0.2890 1.2990 ; + RECT 0.2790 1.3650 0.3290 1.5870 ; + RECT 0.2390 1.2990 0.3290 1.3650 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1330 0.7090 2.2130 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.8870 1.8670 0.9370 2.0830 ; + RECT 0.6590 2.0830 0.9370 2.1330 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 1.1290 0.3950 2.7800 ; + RECT 1.5810 0.0650 1.6110 1.6690 ; + RECT 0.8210 0.0860 0.8510 1.6470 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.6690 0.0890 0.6990 0.9140 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 0.9730 0.0860 1.0030 1.6680 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0640 1.4590 2.7170 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.3650 0.0870 0.3950 0.9090 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.6690 1.1350 0.6990 2.9230 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPENCLX2_HVT + +MACRO LSUPENCLX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 0.8500 1.1330 0.9840 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8910 1.0090 0.9330 ; + END + ANTENNAGATEAREA 0.0402 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.4130 ; + RECT 2.7110 0.0300 2.7610 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.0400 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.7150 0.3740 2.7570 0.4160 ; + RECT 2.7150 0.2820 2.7570 0.3240 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.0430 0.2590 1.0850 0.3010 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.0430 0.3510 1.0850 0.3930 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.0400 3.0700 ; + RECT 2.2250 3.0700 2.3350 3.1400 ; + RECT 2.2250 2.9400 2.3350 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 2.6810 1.7020 2.7910 1.7720 ; + RECT 2.6810 1.5720 2.7910 1.6420 ; + RECT 0.5830 1.3200 0.6330 1.6420 ; + RECT 1.0390 1.7020 1.0890 1.7050 ; + RECT 1.0390 1.3620 1.0890 1.6420 ; + RECT 0.4310 1.3280 0.4810 1.6420 ; + RECT 2.4070 1.4110 2.4570 1.6420 ; + RECT 2.1030 1.4110 2.1530 1.6420 ; + RECT 1.6470 1.2970 1.6970 1.6420 ; + RECT 0.7350 1.7020 0.7850 2.0200 ; + RECT 1.3430 1.4090 1.3930 1.6420 ; + RECT 2.7110 1.3030 2.7610 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3480 1.6930 1.3900 ; + RECT 0.4350 1.4400 0.4770 1.4820 ; + RECT 0.5870 1.5320 0.6290 1.5740 ; + RECT 0.4350 1.3480 0.4770 1.3900 ; + RECT 0.5870 1.4400 0.6290 1.4820 ; + RECT 0.4350 1.5320 0.4770 1.5740 ; + RECT 1.0430 1.5320 1.0850 1.5740 ; + RECT 2.7150 1.3390 2.7570 1.3810 ; + RECT 2.7150 1.4310 2.7570 1.4730 ; + RECT 2.4110 1.4400 2.4530 1.4820 ; + RECT 2.4110 1.5320 2.4530 1.5740 ; + RECT 2.1070 1.5320 2.1490 1.5740 ; + RECT 2.1070 1.4400 2.1490 1.4820 ; + RECT 1.6510 1.5320 1.6930 1.5740 ; + RECT 1.6510 1.4400 1.6930 1.4820 ; + RECT 0.5870 1.3480 0.6290 1.3900 ; + RECT 2.1070 1.4400 2.1490 1.4820 ; + RECT 1.3470 1.4400 1.3890 1.4820 ; + RECT 1.0430 1.4400 1.0850 1.4820 ; + RECT 1.3470 1.5320 1.3890 1.5740 ; + RECT 0.7390 1.9450 0.7810 1.9870 ; + RECT 2.1070 1.5320 2.1490 1.5740 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5830 0.9940 2.8190 1.1280 ; + RECT 2.5590 0.3040 2.6090 0.8190 ; + RECT 2.2550 0.2140 2.3050 0.8190 ; + RECT 2.2550 1.3380 2.3050 1.5840 ; + RECT 1.9510 0.3040 2.0010 0.8190 ; + RECT 1.9510 1.3380 2.0010 1.5840 ; + RECT 2.5590 1.3710 2.6090 1.5840 ; + RECT 2.5590 1.3380 2.6330 1.3710 ; + RECT 1.9510 0.8190 2.6320 0.8200 ; + RECT 2.5830 0.8690 2.6330 0.9940 ; + RECT 1.9510 0.8200 2.6330 0.8690 ; + RECT 2.5830 1.1280 2.6330 1.2880 ; + RECT 1.9510 1.2880 2.6330 1.3380 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.5080 1.9970 0.5500 ; + RECT 1.9550 0.3240 1.9970 0.3660 ; + RECT 1.9550 0.4160 1.9970 0.4580 ; + RECT 2.5630 0.5080 2.6050 0.5500 ; + RECT 2.5630 0.3240 2.6050 0.3660 ; + RECT 2.5630 0.4160 2.6050 0.4580 ; + RECT 1.9550 0.7840 1.9970 0.8260 ; + RECT 2.5630 0.7840 2.6050 0.8260 ; + RECT 2.5630 1.3370 2.6050 1.3790 ; + RECT 1.9550 1.3370 1.9970 1.3790 ; + RECT 2.2590 1.3370 2.3010 1.3790 ; + RECT 2.2590 1.3370 2.3010 1.3790 ; + RECT 2.2590 0.4320 2.3010 0.4740 ; + RECT 2.5630 0.6000 2.6050 0.6420 ; + RECT 2.5630 0.6920 2.6050 0.7340 ; + RECT 2.6390 1.0320 2.6810 1.0740 ; + RECT 2.5630 1.5210 2.6050 1.5630 ; + RECT 2.5630 1.4290 2.6050 1.4710 ; + RECT 2.2590 1.4290 2.3010 1.4710 ; + RECT 2.2590 1.5210 2.3010 1.5630 ; + RECT 2.2590 1.5210 2.3010 1.5630 ; + RECT 1.9550 0.6000 1.9970 0.6420 ; + RECT 1.9550 0.6920 1.9970 0.7340 ; + RECT 2.2590 0.3400 2.3010 0.3820 ; + RECT 2.2590 0.2480 2.3010 0.2900 ; + RECT 1.9550 1.4290 1.9970 1.4710 ; + RECT 2.2590 1.4290 2.3010 1.4710 ; + RECT 1.9550 1.5210 1.9970 1.5630 ; + END + ANTENNADIFFAREA 0.4526 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + END + ANTENNAGATEAREA 0.0234 ; + END A + OBS + LAYER CO ; + RECT 2.4110 0.6700 2.4530 0.7120 ; + RECT 2.4110 0.5780 2.4530 0.6200 ; + RECT 2.4110 0.6700 2.4530 0.7120 ; + RECT 2.1070 0.4860 2.1490 0.5280 ; + RECT 2.1070 0.3940 2.1490 0.4360 ; + RECT 2.1070 0.4860 2.1490 0.5280 ; + RECT 2.1070 0.3940 2.1490 0.4360 ; + RECT 2.1070 0.4860 2.1490 0.5280 ; + RECT 2.1070 0.3940 2.1490 0.4360 ; + RECT 1.8030 0.7080 1.8450 0.7500 ; + RECT 1.8030 0.6160 1.8450 0.6580 ; + RECT 1.8030 0.4320 1.8450 0.4740 ; + RECT 1.2710 1.1810 1.3130 1.2230 ; + RECT 1.6510 0.5780 1.6930 0.6200 ; + RECT 1.6510 0.6700 1.6930 0.7120 ; + RECT 1.2710 1.9550 1.3130 1.9970 ; + RECT 1.6510 0.4860 1.6930 0.5280 ; + RECT 1.6510 0.3940 1.6930 0.4360 ; + RECT 2.1830 1.0420 2.2250 1.0840 ; + RECT 2.3350 1.0420 2.3770 1.0840 ; + RECT 1.8030 0.5240 1.8450 0.5660 ; + RECT 2.4110 0.3020 2.4530 0.3440 ; + RECT 2.4110 0.2100 2.4530 0.2520 ; + RECT 2.4110 0.3940 2.4530 0.4360 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 0.5870 0.3620 0.6290 0.4040 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.2830 1.4290 0.3250 1.4710 ; + RECT 0.3590 0.8660 0.4010 0.9080 ; + RECT 0.9670 0.7210 1.0090 0.7630 ; + RECT 0.2830 1.5210 0.3250 1.5630 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.4350 0.3510 0.4770 0.3930 ; + RECT 0.4350 0.2590 0.4770 0.3010 ; + RECT 0.7390 1.5210 0.7810 1.5630 ; + RECT 0.7390 1.4290 0.7810 1.4710 ; + RECT 0.8910 0.3190 0.9330 0.3610 ; + RECT 0.8910 1.9100 0.9330 1.9520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 2.4110 0.3020 2.4530 0.3440 ; + RECT 2.4110 0.2100 2.4530 0.2520 ; + RECT 2.1070 0.3940 2.1490 0.4360 ; + RECT 2.6390 1.9550 2.6810 1.9970 ; + RECT 2.4110 0.4860 2.4530 0.5280 ; + RECT 2.4110 0.3940 2.4530 0.4360 ; + RECT 2.1070 0.2100 2.1490 0.2520 ; + RECT 2.1070 0.3020 2.1490 0.3440 ; + RECT 2.1070 0.2100 2.1490 0.2520 ; + RECT 2.1070 0.3020 2.1490 0.3440 ; + RECT 2.4110 0.4860 2.4530 0.5280 ; + RECT 2.1070 0.2100 2.1490 0.2520 ; + RECT 2.1070 0.3020 2.1490 0.3440 ; + RECT 0.2830 1.3370 0.3250 1.3790 ; + RECT 1.7270 1.0740 1.7690 1.1160 ; + RECT 1.6510 0.3020 1.6930 0.3440 ; + RECT 1.6510 0.2100 1.6930 0.2520 ; + RECT 1.8030 0.2480 1.8450 0.2900 ; + RECT 1.8030 0.3400 1.8450 0.3820 ; + RECT 1.8030 1.5210 1.8450 1.5630 ; + RECT 1.8030 1.4290 1.8450 1.4710 ; + RECT 2.0310 1.0420 2.0730 1.0840 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 1.8030 1.3370 1.8450 1.3790 ; + RECT 1.1950 0.2270 1.2370 0.2690 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.4990 1.4290 1.5410 1.4710 ; + RECT 0.8910 1.5210 0.9330 1.5630 ; + RECT 1.4990 1.5210 1.5410 1.5630 ; + RECT 1.4990 0.3540 1.5410 0.3960 ; + RECT 1.4990 0.2620 1.5410 0.3040 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 2.1070 0.4860 2.1490 0.5280 ; + RECT 0.8910 0.2270 0.9330 0.2690 ; + RECT 1.3470 0.2700 1.3890 0.3120 ; + RECT 1.3470 0.3620 1.3890 0.4040 ; + RECT 0.8910 0.4110 0.9330 0.4530 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.3470 0.4540 1.3890 0.4960 ; + RECT 1.4990 0.4460 1.5410 0.4880 ; + RECT 2.1070 0.6700 2.1490 0.7120 ; + RECT 2.1070 0.5780 2.1490 0.6200 ; + RECT 2.1070 0.6700 2.1490 0.7120 ; + RECT 2.1070 0.5780 2.1490 0.6200 ; + RECT 2.1070 0.6700 2.1490 0.7120 ; + RECT 2.1070 0.5780 2.1490 0.6200 ; + RECT 2.1070 0.6700 2.1490 0.7120 ; + RECT 2.1070 0.5780 2.1490 0.6200 ; + RECT 2.4110 0.5780 2.4530 0.6200 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 1.4230 0.8140 1.4650 0.8560 ; + RECT 1.1190 0.7210 1.1610 0.7630 ; + RECT 2.4870 1.0420 2.5290 1.0840 ; + RECT 1.1950 1.5210 1.2370 1.5630 ; + RECT 0.8910 1.4290 0.9330 1.4710 ; + RECT 1.1950 1.4290 1.2370 1.4710 ; + RECT 2.1070 0.2100 2.1490 0.2520 ; + RECT 0.7390 1.3370 0.7810 1.3790 ; + RECT 2.1070 0.3020 2.1490 0.3440 ; + LAYER NWELL ; + RECT -0.1150 3.2240 3.1550 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.9250 2.3510 3.1550 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 3.1550 0.9930 ; + LAYER M1 ; + RECT 1.8390 1.0380 2.5330 1.0880 ; + RECT 2.4830 1.0880 2.5330 1.1230 ; + RECT 2.4830 0.9980 2.5330 1.0380 ; + RECT 1.7990 1.2720 1.8890 1.3220 ; + RECT 1.7990 0.2140 1.8490 0.7580 ; + RECT 1.7990 0.7580 1.8880 0.7820 ; + RECT 1.7990 0.7820 1.8890 0.8080 ; + RECT 1.8390 0.8080 1.8890 1.0380 ; + RECT 1.7990 1.3220 1.8490 1.5840 ; + RECT 1.8390 1.0880 1.8890 1.2720 ; + RECT 2.4070 0.1390 2.4570 0.7630 ; + RECT 1.3430 0.0890 2.4570 0.1390 ; + RECT 0.8870 0.4960 1.3930 0.5460 ; + RECT 1.6470 0.1390 1.6970 0.7970 ; + RECT 1.3430 0.1390 1.3930 0.4960 ; + RECT 1.1910 0.1880 1.2410 0.4960 ; + RECT 2.1030 0.1390 2.1530 0.7630 ; + RECT 0.5830 0.1140 0.9400 0.1640 ; + RECT 0.8870 0.1640 0.9370 0.4960 ; + RECT 0.5830 0.2290 0.6330 0.4410 ; + RECT 0.5830 0.1640 0.6330 0.1790 ; + RECT 0.4310 0.2290 0.4810 0.4310 ; + RECT 0.4320 0.1790 0.6330 0.1970 ; + RECT 0.4310 0.1970 0.6330 0.2290 ; + RECT 1.1150 0.6950 1.1650 0.7170 ; + RECT 0.9460 0.7170 1.1650 0.7670 ; + RECT 1.1150 0.7670 1.1650 0.7830 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 1.7230 1.0680 1.7730 1.1420 ; + RECT 1.5350 1.0180 1.7730 1.0680 ; + RECT 1.4950 0.5150 1.5850 0.5650 ; + RECT 1.4950 0.2280 1.5450 0.5150 ; + RECT 1.5350 1.0680 1.5850 1.1770 ; + RECT 1.4950 1.2500 1.5450 1.5840 ; + RECT 1.4950 1.1770 1.5850 1.2500 ; + RECT 1.5350 0.5650 1.5850 1.0180 ; + RECT 0.7350 1.0380 1.4690 1.0880 ; + RECT 1.4190 0.7830 1.4690 1.0380 ; + RECT 0.7350 0.2740 0.7850 0.5160 ; + RECT 0.7350 0.5160 0.8250 0.5770 ; + RECT 0.7350 1.0880 0.7850 1.5840 ; + RECT 0.3390 0.8610 0.8250 0.9110 ; + RECT 0.7750 0.5770 0.8250 0.8610 ; + RECT 0.7750 0.9110 0.8250 1.0140 ; + RECT 0.7350 1.0140 0.8250 1.0380 ; + RECT 0.2390 0.6910 0.7250 0.7410 ; + RECT 0.2390 1.2960 0.3290 1.3460 ; + RECT 0.2790 0.2300 0.3290 0.6840 ; + RECT 0.2390 0.6840 0.3290 0.6910 ; + RECT 0.2790 1.3460 0.3290 1.5840 ; + RECT 0.2390 0.7410 0.2890 1.2960 ; + RECT 0.8870 1.8670 0.9370 2.0830 ; + RECT 0.6590 2.0830 0.9370 2.1330 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.6590 2.4230 0.7850 2.4730 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1330 0.7090 2.2130 ; + RECT 0.8870 1.1770 1.3460 1.2270 ; + RECT 0.8870 1.2270 0.9370 1.5840 ; + RECT 1.1910 1.2270 1.2410 1.5840 ; + RECT 1.2350 1.9510 2.7050 2.0010 ; + LAYER PO ; + RECT 2.7970 0.0680 2.8270 2.7800 ; + RECT 2.9490 0.0670 2.9790 2.7800 ; + RECT 1.7330 0.0670 1.7630 2.7800 ; + RECT 2.3410 0.0680 2.3710 2.7800 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7800 ; + RECT 2.6450 0.0680 2.6750 2.7800 ; + RECT 0.3650 1.1290 0.3950 2.7800 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 0.8210 0.0860 0.8510 1.6470 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.6690 0.0890 0.6990 0.8640 ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 0.9730 0.0860 1.0030 1.6680 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 0.3650 0.0870 0.3950 0.9280 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.6690 1.1350 0.6990 2.9230 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPENCLX4_HVT + +MACRO LSUPENCLX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.712 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.2550 1.0090 4.4630 1.1300 ; + RECT 3.9270 0.2130 3.9770 0.8180 ; + RECT 3.9270 1.3380 3.9770 1.5840 ; + RECT 3.6230 0.2130 3.6730 0.8180 ; + RECT 3.6230 1.3380 3.6730 1.5840 ; + RECT 3.3190 1.3380 3.3690 1.5840 ; + RECT 3.3190 0.2130 3.3690 0.8180 ; + RECT 3.0150 1.3380 3.0650 1.5840 ; + RECT 3.0150 0.2110 3.0650 0.8180 ; + RECT 4.2310 1.4170 4.2810 1.5840 ; + RECT 4.2310 1.3380 4.3050 1.4170 ; + RECT 4.2310 0.2110 4.2810 0.7730 ; + RECT 4.2310 0.7730 4.3050 0.8180 ; + RECT 3.0150 1.2880 4.3050 1.3380 ; + RECT 4.2550 1.1300 4.3050 1.2880 ; + RECT 3.0150 0.8180 4.3050 0.8680 ; + RECT 4.2550 0.8680 4.3050 1.0090 ; + END + PORT + LAYER CO ; + RECT 3.6270 0.5230 3.6690 0.5650 ; + RECT 3.3230 0.5230 3.3650 0.5650 ; + RECT 3.3230 0.6150 3.3650 0.6570 ; + RECT 3.3230 0.7070 3.3650 0.7490 ; + RECT 3.0190 0.2310 3.0610 0.2730 ; + RECT 3.0190 0.5070 3.0610 0.5490 ; + RECT 3.0190 0.4150 3.0610 0.4570 ; + RECT 3.0190 0.3230 3.0610 0.3650 ; + RECT 3.0190 0.7830 3.0610 0.8250 ; + RECT 3.3230 1.3370 3.3650 1.3790 ; + RECT 3.3230 1.3370 3.3650 1.3790 ; + RECT 3.6270 1.3370 3.6690 1.3790 ; + RECT 3.9310 1.3370 3.9730 1.3790 ; + RECT 3.9310 1.3370 3.9730 1.3790 ; + RECT 4.2350 1.3370 4.2770 1.3790 ; + RECT 3.0190 1.3370 3.0610 1.3790 ; + RECT 4.2350 0.3230 4.2770 0.3650 ; + RECT 4.2350 0.2310 4.2770 0.2730 ; + RECT 4.2350 0.4150 4.2770 0.4570 ; + RECT 4.2350 0.5070 4.2770 0.5490 ; + RECT 3.9310 0.5230 3.9730 0.5650 ; + RECT 3.9310 1.4290 3.9730 1.4710 ; + RECT 3.9310 1.5210 3.9730 1.5630 ; + RECT 3.9310 1.4290 3.9730 1.4710 ; + RECT 3.9310 1.5210 3.9730 1.5630 ; + RECT 4.2350 1.5210 4.2770 1.5630 ; + RECT 4.2350 1.4290 4.2770 1.4710 ; + RECT 4.2350 0.5990 4.2770 0.6410 ; + RECT 4.2350 0.6910 4.2770 0.7330 ; + RECT 3.9310 0.4310 3.9730 0.4730 ; + RECT 3.9310 0.3390 3.9730 0.3810 ; + RECT 3.9310 0.2470 3.9730 0.2890 ; + RECT 3.6270 0.4310 3.6690 0.4730 ; + RECT 3.6270 0.3390 3.6690 0.3810 ; + RECT 3.6270 0.2470 3.6690 0.2890 ; + RECT 3.9310 0.6150 3.9730 0.6570 ; + RECT 3.9310 0.7070 3.9730 0.7490 ; + RECT 3.3230 1.5210 3.3650 1.5630 ; + RECT 4.3110 1.0420 4.3530 1.0840 ; + RECT 3.3230 1.4290 3.3650 1.4710 ; + RECT 3.3230 0.2470 3.3650 0.2890 ; + RECT 3.3230 0.3390 3.3650 0.3810 ; + RECT 3.3230 1.5210 3.3650 1.5630 ; + RECT 3.3230 1.4290 3.3650 1.4710 ; + RECT 3.6270 1.4290 3.6690 1.4710 ; + RECT 3.6270 1.5210 3.6690 1.5630 ; + RECT 3.6270 0.7070 3.6690 0.7490 ; + RECT 3.6270 0.6150 3.6690 0.6570 ; + RECT 3.3230 0.4310 3.3650 0.4730 ; + RECT 3.0190 0.6910 3.0610 0.7330 ; + RECT 3.0190 0.5990 3.0610 0.6410 ; + RECT 3.0190 1.5210 3.0610 1.5630 ; + RECT 3.0190 1.4290 3.0610 1.4710 ; + END + ANTENNADIFFAREA 0.9344 ; + END Y + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0060 1.9080 2.1970 2.0420 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.9490 2.0730 1.9910 ; + END + ANTENNAGATEAREA 0.2928 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.7120 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.7430 ; + RECT 1.6470 0.0300 1.6970 0.7430 ; + RECT 1.3430 0.0300 1.3930 0.7430 ; + RECT 1.0390 0.0300 1.0890 0.7430 ; + RECT 4.3830 0.0300 4.4330 0.4360 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 4.7120 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.3470 0.2900 1.3890 0.3320 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.0430 0.6580 1.0850 0.7000 ; + RECT 1.0430 0.5660 1.0850 0.6080 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.0430 0.3820 1.0850 0.4240 ; + RECT 1.0430 0.4740 1.0850 0.5160 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.9550 0.2900 1.9970 0.3320 ; + RECT 1.9550 0.1980 1.9970 0.2400 ; + RECT 1.9550 0.4740 1.9970 0.5160 ; + RECT 1.9550 0.3820 1.9970 0.4240 ; + RECT 1.9550 0.5660 1.9970 0.6080 ; + RECT 1.9550 0.6580 1.9970 0.7000 ; + RECT 1.6510 0.4740 1.6930 0.5160 ; + RECT 1.6510 0.3820 1.6930 0.4240 ; + RECT 1.6510 0.5660 1.6930 0.6080 ; + RECT 1.6510 0.6580 1.6930 0.7000 ; + RECT 1.6510 0.2900 1.6930 0.3320 ; + RECT 1.6510 0.1980 1.6930 0.2400 ; + RECT 1.3470 0.6580 1.3890 0.7000 ; + RECT 1.3470 0.5660 1.3890 0.6080 ; + RECT 1.3470 0.3820 1.3890 0.4240 ; + RECT 1.3470 0.4740 1.3890 0.5160 ; + RECT 1.3470 0.1980 1.3890 0.2400 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.3870 0.3740 4.4290 0.4160 ; + RECT 4.3870 0.2820 4.4290 0.3240 ; + RECT 1.0430 0.1980 1.0850 0.2400 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.0430 0.2900 1.0850 0.3320 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 4.7120 3.0700 ; + RECT 3.2890 3.0700 3.3990 3.1400 ; + RECT 3.2890 2.9400 3.3990 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.7120 1.7020 ; + RECT 0.0970 1.7020 0.2070 1.7720 ; + RECT 0.0970 1.5720 0.2070 1.6420 ; + RECT 3.4710 1.4110 3.5210 1.6420 ; + RECT 3.1670 1.4110 3.2170 1.6420 ; + RECT 2.7110 1.4110 2.7610 1.6420 ; + RECT 0.7350 1.7020 0.7850 2.0200 ; + RECT 2.2550 1.4010 2.3050 1.6420 ; + RECT 0.5830 1.2360 0.6330 1.6420 ; + RECT 4.3830 1.3030 4.4330 1.6420 ; + RECT 1.0390 1.4040 1.0890 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.0200 ; + RECT 0.4310 1.2360 0.4810 1.6420 ; + RECT 1.6470 1.7020 1.6970 1.7050 ; + RECT 1.6470 1.4000 1.6970 1.6420 ; + RECT 1.3430 1.7020 1.3930 1.7050 ; + RECT 1.3430 1.4050 1.3930 1.6420 ; + RECT 1.9510 1.7020 2.0010 1.7050 ; + RECT 1.9510 1.4020 2.0010 1.6420 ; + RECT 4.0790 1.4110 4.1290 1.6420 ; + RECT 3.7750 1.4110 3.8250 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3480 0.4770 1.3900 ; + RECT 1.3470 1.5320 1.3890 1.5740 ; + RECT 1.3470 1.4400 1.3890 1.4820 ; + RECT 1.9550 1.5320 1.9970 1.5740 ; + RECT 1.9550 1.4400 1.9970 1.4820 ; + RECT 0.5870 1.2560 0.6290 1.2980 ; + RECT 0.5870 1.3480 0.6290 1.3900 ; + RECT 0.4350 1.2560 0.4770 1.2980 ; + RECT 1.0430 1.4400 1.0850 1.4820 ; + RECT 3.7790 1.5320 3.8210 1.5740 ; + RECT 3.7790 1.4400 3.8210 1.4820 ; + RECT 3.7790 1.5320 3.8210 1.5740 ; + RECT 3.7790 1.4400 3.8210 1.4820 ; + RECT 4.0830 1.4400 4.1250 1.4820 ; + RECT 4.0830 1.5320 4.1250 1.5740 ; + RECT 1.6510 1.5320 1.6930 1.5740 ; + RECT 1.6510 1.4400 1.6930 1.4820 ; + RECT 2.2590 1.5320 2.3010 1.5740 ; + RECT 0.7390 1.9450 0.7810 1.9870 ; + RECT 2.7150 1.5320 2.7570 1.5740 ; + RECT 0.4350 1.4400 0.4770 1.4820 ; + RECT 0.5870 1.5320 0.6290 1.5740 ; + RECT 0.5870 1.4400 0.6290 1.4820 ; + RECT 0.4350 1.5320 0.4770 1.5740 ; + RECT 1.0430 1.5320 1.0850 1.5740 ; + RECT 4.3870 1.3390 4.4290 1.3810 ; + RECT 4.3870 1.4310 4.4290 1.4730 ; + RECT 1.0430 1.9450 1.0850 1.9870 ; + RECT 3.4750 1.5320 3.5170 1.5740 ; + RECT 3.4750 1.4400 3.5170 1.4820 ; + RECT 3.1710 1.4400 3.2130 1.4820 ; + RECT 3.1710 1.5320 3.2130 1.5740 ; + RECT 3.1710 1.4400 3.2130 1.4820 ; + RECT 3.1710 1.5320 3.2130 1.5740 ; + RECT 2.7150 1.4400 2.7570 1.4820 ; + RECT 2.2590 1.4400 2.3010 1.4820 ; + END + END VSS + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0486 ; + END A + OBS + LAYER CO ; + RECT 0.2830 1.3370 0.3250 1.3790 ; + RECT 3.4750 0.6690 3.5170 0.7110 ; + RECT 3.4750 0.5770 3.5170 0.6190 ; + RECT 3.4750 0.6690 3.5170 0.7110 ; + RECT 3.4750 0.5770 3.5170 0.6190 ; + RECT 3.4750 0.3930 3.5170 0.4350 ; + RECT 3.7790 0.6690 3.8210 0.7110 ; + RECT 3.7790 0.5770 3.8210 0.6190 ; + RECT 3.7790 0.6690 3.8210 0.7110 ; + RECT 3.7790 0.5770 3.8210 0.6190 ; + RECT 3.7790 0.6690 3.8210 0.7110 ; + RECT 1.4990 0.7180 1.5410 0.7600 ; + RECT 1.8030 0.2580 1.8450 0.3000 ; + RECT 1.8030 0.1660 1.8450 0.2080 ; + RECT 1.8030 0.4420 1.8450 0.4840 ; + RECT 1.8030 0.3500 1.8450 0.3920 ; + RECT 1.8030 0.6260 1.8450 0.6680 ; + RECT 1.8030 0.5340 1.8450 0.5760 ; + RECT 1.4990 0.5340 1.5410 0.5760 ; + RECT 1.4990 0.6260 1.5410 0.6680 ; + RECT 1.4990 0.3500 1.5410 0.3920 ; + RECT 1.4990 0.4420 1.5410 0.4840 ; + RECT 1.4990 0.1660 1.5410 0.2080 ; + RECT 1.4990 0.2580 1.5410 0.3000 ; + RECT 1.1950 0.5340 1.2370 0.5760 ; + RECT 1.1950 0.6260 1.2370 0.6680 ; + RECT 1.1950 0.3500 1.2370 0.3920 ; + RECT 1.1950 0.4420 1.2370 0.4840 ; + RECT 1.1950 0.1660 1.2370 0.2080 ; + RECT 1.1950 0.2580 1.2370 0.3000 ; + RECT 3.7790 0.5770 3.8210 0.6190 ; + RECT 3.7790 0.6690 3.8210 0.7110 ; + RECT 3.7790 0.5770 3.8210 0.6190 ; + RECT 3.7790 0.3930 3.8210 0.4350 ; + RECT 3.7790 0.4850 3.8210 0.5270 ; + RECT 3.7790 0.3930 3.8210 0.4350 ; + RECT 3.7790 0.4850 3.8210 0.5270 ; + RECT 3.7790 0.3930 3.8210 0.4350 ; + RECT 3.7790 0.4850 3.8210 0.5270 ; + RECT 3.7790 0.3930 3.8210 0.4350 ; + RECT 3.7790 0.4850 3.8210 0.5270 ; + RECT 3.1710 0.5770 3.2130 0.6190 ; + RECT 3.1710 0.6690 3.2130 0.7110 ; + RECT 3.1710 0.5770 3.2130 0.6190 ; + RECT 3.1710 0.6690 3.2130 0.7110 ; + RECT 3.1710 0.5770 3.2130 0.6190 ; + RECT 3.1710 0.6690 3.2130 0.7110 ; + RECT 3.1710 0.5770 3.2130 0.6190 ; + RECT 3.1710 0.6690 3.2130 0.7110 ; + RECT 3.1710 0.4850 3.2130 0.5270 ; + RECT 3.1710 0.3930 3.2130 0.4350 ; + RECT 3.1710 0.4850 3.2130 0.5270 ; + RECT 3.1710 0.3930 3.2130 0.4350 ; + RECT 2.8670 0.4310 2.9090 0.4730 ; + RECT 2.8670 0.5230 2.9090 0.5650 ; + RECT 2.8670 0.7990 2.9090 0.8410 ; + RECT 2.8670 0.6150 2.9090 0.6570 ; + RECT 2.8670 0.7070 2.9090 0.7490 ; + RECT 0.7390 1.3370 0.7810 1.3790 ; + RECT 2.7150 0.6690 2.7570 0.7110 ; + RECT 2.7150 0.5770 2.7570 0.6190 ; + RECT 2.7150 0.4850 2.7570 0.5270 ; + RECT 2.5630 0.2310 2.6050 0.2730 ; + RECT 2.5630 0.3230 2.6050 0.3650 ; + RECT 2.5630 0.4150 2.6050 0.4570 ; + RECT 2.5630 0.5070 2.6050 0.5490 ; + RECT 2.5630 0.7830 2.6050 0.8250 ; + RECT 2.4110 0.6490 2.4530 0.6910 ; + RECT 2.4110 0.5570 2.4530 0.5990 ; + RECT 2.4110 0.4650 2.4530 0.5070 ; + RECT 2.4110 0.3730 2.4530 0.4150 ; + RECT 2.2590 0.6690 2.3010 0.7110 ; + RECT 2.2590 0.5770 2.3010 0.6190 ; + RECT 2.2590 0.4850 2.3010 0.5270 ; + RECT 2.2590 0.3930 2.3010 0.4350 ; + RECT 0.8910 1.3370 0.9330 1.3790 ; + RECT 1.1950 1.3370 1.2370 1.3790 ; + RECT 1.4990 1.3370 1.5410 1.3790 ; + RECT 1.8030 1.3370 1.8450 1.3790 ; + RECT 2.1070 1.3370 2.1490 1.3790 ; + RECT 2.5630 1.3370 2.6050 1.3790 ; + RECT 2.8670 1.3370 2.9090 1.3790 ; + RECT 4.0830 0.5770 4.1250 0.6190 ; + RECT 4.0830 0.6690 4.1250 0.7110 ; + RECT 4.0830 0.5770 4.1250 0.6190 ; + RECT 4.0830 0.6690 4.1250 0.7110 ; + RECT 4.0830 0.4850 4.1250 0.5270 ; + RECT 4.0830 0.3930 4.1250 0.4350 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 2.7150 0.3930 2.7570 0.4350 ; + RECT 0.8910 0.1660 0.9330 0.2080 ; + RECT 0.8910 0.5340 0.9330 0.5760 ; + RECT 0.8910 0.6260 0.9330 0.6680 ; + RECT 0.8910 0.3500 0.9330 0.3920 ; + RECT 0.8910 0.4420 0.9330 0.4840 ; + RECT 2.1070 0.6580 2.1490 0.7000 ; + RECT 2.1070 0.5660 2.1490 0.6080 ; + RECT 1.8790 1.0960 1.9210 1.1380 ; + RECT 2.0310 1.0960 2.0730 1.1380 ; + RECT 1.5750 1.0960 1.6170 1.1380 ; + RECT 4.0830 0.4850 4.1250 0.5270 ; + RECT 4.0830 0.3930 4.1250 0.4350 ; + RECT 3.7790 0.2090 3.8210 0.2510 ; + RECT 3.7790 0.3010 3.8210 0.3430 ; + RECT 3.7790 0.2090 3.8210 0.2510 ; + RECT 3.7790 0.3010 3.8210 0.3430 ; + RECT 3.7790 0.2090 3.8210 0.2510 ; + RECT 3.7790 0.3010 3.8210 0.3430 ; + RECT 3.7790 0.2090 3.8210 0.2510 ; + RECT 3.7790 0.3010 3.8210 0.3430 ; + RECT 4.0830 0.3010 4.1250 0.3430 ; + RECT 4.0830 0.2090 4.1250 0.2510 ; + RECT 4.0830 0.3010 4.1250 0.3430 ; + RECT 4.0830 0.2090 4.1250 0.2510 ; + RECT 1.7270 1.0960 1.7690 1.1380 ; + RECT 1.2710 1.0960 1.3130 1.1380 ; + RECT 1.4230 1.0960 1.4650 1.1380 ; + RECT 3.4750 0.4850 3.5170 0.5270 ; + RECT 1.2710 1.0960 1.3130 1.1380 ; + RECT 1.4230 1.0960 1.4650 1.1380 ; + RECT 1.1190 1.0960 1.1610 1.1380 ; + RECT 3.8550 1.0420 3.8970 1.0840 ; + RECT 3.7030 1.0420 3.7450 1.0840 ; + RECT 4.0070 1.0420 4.0490 1.0840 ; + RECT 4.1590 1.0420 4.2010 1.0840 ; + RECT 3.2470 1.0420 3.2890 1.0840 ; + RECT 3.0950 1.0420 3.1370 1.0840 ; + RECT 3.3990 1.0420 3.4410 1.0840 ; + RECT 3.5510 1.0420 3.5930 1.0840 ; + RECT 0.9670 1.0960 1.0090 1.1380 ; + RECT 2.1070 0.7500 2.1490 0.7920 ; + RECT 1.8030 0.7180 1.8450 0.7600 ; + RECT 4.3110 1.7940 4.3530 1.8360 ; + RECT 2.1830 1.7930 2.2250 1.8350 ; + RECT 0.8910 0.7180 0.9330 0.7600 ; + RECT 1.1950 0.7180 1.2370 0.7600 ; + RECT 1.8030 1.4290 1.8450 1.4710 ; + RECT 1.8030 1.5210 1.8450 1.5630 ; + RECT 1.4990 1.4290 1.5410 1.4710 ; + RECT 1.4990 1.5210 1.5410 1.5630 ; + RECT 2.2590 0.3010 2.3010 0.3430 ; + RECT 2.3350 0.8390 2.3770 0.8810 ; + RECT 2.5630 1.4290 2.6050 1.4710 ; + RECT 2.1830 1.1050 2.2250 1.1470 ; + RECT 2.7910 1.0420 2.8330 1.0840 ; + RECT 1.1950 1.5210 1.2370 1.5630 ; + RECT 0.8910 1.4290 0.9330 1.4710 ; + RECT 1.1950 1.4290 1.2370 1.4710 ; + RECT 2.8670 1.4290 2.9090 1.4710 ; + RECT 2.5630 1.5210 2.6050 1.5630 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 2.7150 0.2090 2.7570 0.2510 ; + RECT 2.1070 0.2900 2.1490 0.3320 ; + RECT 2.7150 0.3010 2.7570 0.3430 ; + RECT 2.1070 0.1980 2.1490 0.2400 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 0.5870 0.3620 0.6290 0.4040 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.2830 1.4290 0.3250 1.4710 ; + RECT 0.3590 0.7960 0.4010 0.8380 ; + RECT 2.1070 0.3820 2.1490 0.4240 ; + RECT 0.2830 1.5210 0.3250 1.5630 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.4350 0.3510 0.4770 0.3930 ; + RECT 0.4350 0.2590 0.4770 0.3010 ; + RECT 0.7390 1.5210 0.7810 1.5630 ; + RECT 0.7390 1.4290 0.7810 1.4710 ; + RECT 0.8910 0.2580 0.9330 0.3000 ; + RECT 0.8910 1.9100 0.9330 1.9520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 3.4750 0.2090 3.5170 0.2510 ; + RECT 3.4750 0.3010 3.5170 0.3430 ; + RECT 3.4750 0.2090 3.5170 0.2510 ; + RECT 3.4750 0.3010 3.5170 0.3430 ; + RECT 3.5510 1.0420 3.5930 1.0840 ; + RECT 0.9670 1.0960 1.0090 1.1380 ; + RECT 3.3990 1.0420 3.4410 1.0840 ; + RECT 3.0950 1.0420 3.1370 1.0840 ; + RECT 3.1710 0.3010 3.2130 0.3430 ; + RECT 3.1710 0.2090 3.2130 0.2510 ; + RECT 3.1710 0.3010 3.2130 0.3430 ; + RECT 3.1710 0.2090 3.2130 0.2510 ; + RECT 3.1710 0.3010 3.2130 0.3430 ; + RECT 3.1710 0.2090 3.2130 0.2510 ; + RECT 3.1710 0.3010 3.2130 0.3430 ; + RECT 3.1710 0.2090 3.2130 0.2510 ; + RECT 3.2470 1.0420 3.2890 1.0840 ; + RECT 3.4750 0.3930 3.5170 0.4350 ; + RECT 3.4750 0.4850 3.5170 0.5270 ; + RECT 1.1190 1.0960 1.1610 1.1380 ; + RECT 3.1710 0.4850 3.2130 0.5270 ; + RECT 3.1710 0.3930 3.2130 0.4350 ; + RECT 3.1710 0.4850 3.2130 0.5270 ; + RECT 3.1710 0.3930 3.2130 0.4350 ; + RECT 2.6390 1.0420 2.6810 1.0840 ; + RECT 2.8670 1.5210 2.9090 1.5630 ; + RECT 2.1070 0.4740 2.1490 0.5160 ; + RECT 2.5630 0.5990 2.6050 0.6410 ; + RECT 2.5630 0.6910 2.6050 0.7330 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 2.1070 1.5210 2.1490 1.5630 ; + RECT 2.1070 1.4290 2.1490 1.4710 ; + RECT 2.4110 1.4290 2.4530 1.4710 ; + RECT 0.8910 1.5210 0.9330 1.5630 ; + RECT 2.4110 1.5210 2.4530 1.5630 ; + RECT 2.4110 0.2810 2.4530 0.3230 ; + RECT 2.8670 0.3390 2.9090 0.3810 ; + RECT 2.8670 0.2470 2.9090 0.2890 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 2.2590 0.2090 2.3010 0.2510 ; + LAYER NWELL ; + RECT -0.1150 3.2240 4.8270 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 4.5970 2.3510 4.8270 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 4.8270 0.9930 ; + LAYER M1 ; + RECT 2.1790 1.0740 2.2290 1.2500 ; + RECT 2.1030 1.3000 2.1530 1.5840 ; + RECT 0.8870 1.2500 2.2290 1.3000 ; + RECT 0.8870 1.3000 0.9370 1.5840 ; + RECT 1.1910 1.3000 1.2410 1.5840 ; + RECT 1.4950 1.3000 1.5450 1.5840 ; + RECT 1.7990 1.3000 1.8490 1.5840 ; + RECT 4.0790 0.1420 4.1290 0.7620 ; + RECT 2.2550 0.1380 4.1290 0.1390 ; + RECT 3.4590 0.1410 4.1290 0.1420 ; + RECT 3.1670 0.1390 4.1290 0.1410 ; + RECT 2.1030 0.0920 4.1290 0.1380 ; + RECT 0.8870 0.8080 2.1530 0.8580 ; + RECT 2.2550 0.1390 2.3050 0.7310 ; + RECT 2.7110 0.1390 2.7610 0.7590 ; + RECT 2.1030 0.1380 2.1530 0.8080 ; + RECT 2.1030 0.0880 2.2830 0.0890 ; + RECT 3.1670 0.1410 3.2170 0.7590 ; + RECT 2.1030 0.0890 3.2170 0.0910 ; + RECT 3.4710 0.1420 3.5210 0.7610 ; + RECT 2.1030 0.0910 3.5210 0.0920 ; + RECT 3.7750 0.1420 3.8250 0.7310 ; + RECT 0.5830 0.0880 0.9370 0.1380 ; + RECT 0.8870 0.1380 0.9370 0.8080 ; + RECT 0.4310 0.2290 0.4810 0.4130 ; + RECT 0.4320 0.1790 0.6330 0.2040 ; + RECT 0.4310 0.2040 0.6330 0.2290 ; + RECT 0.5830 0.1380 0.6330 0.1790 ; + RECT 0.5830 0.2290 0.6330 0.4240 ; + RECT 1.1910 0.1270 1.2410 0.8080 ; + RECT 1.4950 0.1270 1.5450 0.8080 ; + RECT 1.7990 0.1270 1.8490 0.8080 ; + RECT 2.9030 1.0380 4.2050 1.0880 ; + RECT 4.1550 1.0880 4.2050 1.1230 ; + RECT 4.1550 0.9220 4.2050 1.0380 ; + RECT 2.5590 0.2110 2.6090 0.8180 ; + RECT 2.5590 1.3380 2.6090 1.5840 ; + RECT 2.5590 0.8180 2.9530 0.8680 ; + RECT 2.5590 1.2880 2.9530 1.3380 ; + RECT 2.9030 1.0880 2.9530 1.2880 ; + RECT 2.9030 0.8680 2.9530 1.0380 ; + RECT 2.8630 0.8020 2.9530 0.8180 ; + RECT 2.8630 0.2130 2.9130 0.8020 ; + RECT 2.8630 1.3380 2.9530 1.3720 ; + RECT 2.8630 1.3720 2.9130 1.5840 ; + RECT 0.9360 1.0920 2.0770 1.1420 ; + RECT 2.0270 1.1420 2.0770 1.1680 ; + RECT 2.0270 1.0760 2.0770 1.0920 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 2.4470 1.0380 2.8530 1.0880 ; + RECT 2.4070 0.2610 2.4570 0.7050 ; + RECT 2.4070 0.7050 2.4970 0.7650 ; + RECT 2.4070 1.2520 2.4570 1.5840 ; + RECT 2.4470 0.7650 2.4970 1.0380 ; + RECT 2.4470 1.0880 2.4970 1.2020 ; + RECT 2.4070 1.2500 2.4960 1.2520 ; + RECT 2.4070 1.2020 2.4970 1.2500 ; + RECT 0.7350 0.9700 2.3810 1.0200 ; + RECT 2.3310 0.8190 2.3810 0.9700 ; + RECT 0.7350 0.2740 0.7850 0.5160 ; + RECT 0.7350 0.5160 0.8250 0.5770 ; + RECT 0.7350 1.0200 0.7850 1.5840 ; + RECT 0.7750 0.8410 0.8250 0.9700 ; + RECT 0.3390 0.7910 0.8250 0.8410 ; + RECT 0.7750 0.5770 0.8250 0.7910 ; + RECT 0.2390 0.6910 0.7250 0.7410 ; + RECT 0.2390 1.2800 0.3290 1.3300 ; + RECT 0.2790 0.2300 0.3290 0.6840 ; + RECT 0.2390 0.6840 0.3290 0.6910 ; + RECT 0.2790 1.3300 0.3290 1.5840 ; + RECT 0.2390 0.7410 0.2890 1.2800 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1330 0.7090 2.2130 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.8870 1.8670 0.9370 2.0830 ; + RECT 0.6590 2.0830 0.9370 2.1330 ; + RECT 2.1460 1.7890 4.4080 1.8390 ; + LAYER PO ; + RECT 4.6210 0.0740 4.6510 2.7800 ; + RECT 4.3170 0.0690 4.3470 2.7800 ; + RECT 4.4690 0.0740 4.4990 2.7800 ; + RECT 4.1650 0.0670 4.1950 2.7800 ; + RECT 4.0130 0.0670 4.0430 2.7800 ; + RECT 3.7090 0.0670 3.7390 2.7800 ; + RECT 3.8610 0.0670 3.8910 2.7800 ; + RECT 3.4050 0.0670 3.4350 2.7800 ; + RECT 3.5570 0.0670 3.5870 2.7800 ; + RECT 3.2530 0.0670 3.2830 2.7800 ; + RECT 3.1010 0.0670 3.1310 2.7800 ; + RECT 2.7970 0.0670 2.8270 2.7800 ; + RECT 2.9490 0.0670 2.9790 2.7800 ; + RECT 2.1890 0.0670 2.2190 2.7800 ; + RECT 2.3410 0.0670 2.3710 2.7800 ; + RECT 2.6450 0.0670 2.6750 2.7800 ; + RECT 1.7330 0.0670 1.7630 2.7800 ; + RECT 0.3650 1.1280 0.3950 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7780 ; + RECT 0.8210 0.0860 0.8510 1.6470 ; + RECT 1.1250 0.0670 1.1550 2.7780 ; + RECT 0.6690 0.0890 0.6990 0.9130 ; + RECT 1.8850 0.0670 1.9150 2.7800 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 0.9730 0.0670 1.0030 1.6680 ; + RECT 1.5810 0.0670 1.6110 2.7800 ; + RECT 1.2770 0.0670 1.3070 2.7800 ; + RECT 1.4290 0.0670 1.4590 2.7800 ; + RECT 2.0370 0.0670 2.0670 2.7800 ; + RECT 0.3650 0.0870 0.3950 0.9110 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.6690 1.1350 0.6990 2.9230 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPENCLX8_HVT + +MACRO LSUPENX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 0.8570 1.8790 0.8870 ; + RECT 1.0390 0.5390 1.6970 0.5890 ; + RECT 1.7990 0.9670 1.8490 1.5530 ; + RECT 1.7690 0.9370 1.8790 0.9670 ; + RECT 1.6470 0.8870 1.8790 0.9370 ; + RECT 1.0390 0.5890 1.0890 0.9050 ; + RECT 1.0390 0.1790 1.0890 0.5390 ; + RECT 1.6470 0.5890 1.6970 0.8870 ; + RECT 1.6470 0.1190 1.6970 0.5390 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.4910 1.8450 1.5330 ; + RECT 1.6510 0.5990 1.6930 0.6410 ; + RECT 1.6510 0.5070 1.6930 0.5490 ; + RECT 1.6510 0.4150 1.6930 0.4570 ; + RECT 1.8030 1.3070 1.8450 1.3490 ; + RECT 1.8030 1.3990 1.8450 1.4410 ; + RECT 1.8030 1.2150 1.8450 1.2570 ; + RECT 1.6510 0.7830 1.6930 0.8250 ; + RECT 1.6510 0.1390 1.6930 0.1810 ; + RECT 1.0430 0.1990 1.0850 0.2410 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 1.0430 0.5670 1.0850 0.6090 ; + RECT 1.0430 0.6590 1.0850 0.7010 ; + RECT 1.0430 0.2910 1.0850 0.3330 ; + RECT 1.6510 0.8750 1.6930 0.9170 ; + RECT 1.6510 0.6910 1.6930 0.7330 ; + RECT 1.6510 0.2310 1.6930 0.2730 ; + RECT 1.6510 0.3230 1.6930 0.3650 ; + RECT 1.0430 0.7510 1.0850 0.7930 ; + RECT 1.0430 0.8430 1.0850 0.8850 ; + END + ANTENNADIFFAREA 0.206 ; + END Y + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 2.2240 1.4950 2.3350 ; + END + PORT + LAYER CO ; + RECT 1.4230 2.2640 1.4650 2.3060 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.4840 ; + RECT 0.5830 0.0300 0.6330 0.4590 ; + RECT 0.4310 0.0300 0.4810 0.4640 ; + RECT 1.7990 0.0300 1.8490 0.7530 ; + RECT 0.8870 0.0300 0.9370 0.8730 ; + RECT 1.9510 0.0300 2.0010 0.5260 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.2800 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4220 1.5410 0.4640 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4990 0.3300 1.5410 0.3720 ; + RECT 1.8030 0.5990 1.8450 0.6410 ; + RECT 1.8030 0.5070 1.8450 0.5490 ; + RECT 1.8030 0.4150 1.8450 0.4570 ; + RECT 1.8030 0.6910 1.8450 0.7330 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.4990 0.2380 1.5410 0.2800 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8030 0.1390 1.8450 0.1810 ; + RECT 1.8030 0.2310 1.8450 0.2730 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8030 0.3230 1.8450 0.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.5870 0.3050 0.6290 0.3470 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.8910 0.1670 0.9330 0.2090 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.4350 0.4020 0.4770 0.4440 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.9550 0.4640 1.9970 0.5060 ; + RECT 0.8910 0.5350 0.9330 0.5770 ; + RECT 0.8910 0.6270 0.9330 0.6690 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.8910 0.2590 0.9330 0.3010 ; + RECT 0.4350 0.3100 0.4770 0.3520 ; + RECT 1.9550 0.2800 1.9970 0.3220 ; + RECT 1.9550 0.3720 1.9970 0.4140 ; + RECT 0.8910 0.8110 0.9330 0.8530 ; + RECT 0.8910 0.7190 0.9330 0.7610 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.2800 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.9210 1.7020 2.0310 1.7720 ; + RECT 1.9210 1.5720 2.0310 1.6420 ; + RECT 1.0390 1.3520 1.0890 1.6420 ; + RECT 1.4950 1.4820 1.5450 1.6420 ; + RECT 1.9510 1.3030 2.0010 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.5210 1.5410 1.5630 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 1.0430 1.5560 1.0850 1.5980 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + END + END VSS + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + END + ANTENNAGATEAREA 0.0492 ; + END A + OBS + LAYER CO ; + RECT 1.7270 1.9470 1.7690 1.9890 ; + RECT 1.6510 1.4100 1.6930 1.4520 ; + RECT 1.5750 1.7840 1.6170 1.8260 ; + RECT 1.6510 1.3180 1.6930 1.3600 ; + RECT 1.6510 1.2260 1.6930 1.2680 ; + RECT 1.6510 1.3180 1.6930 1.3600 ; + RECT 1.6510 1.2260 1.6930 1.2680 ; + RECT 1.5750 1.0820 1.6170 1.1240 ; + RECT 1.6510 1.4100 1.6930 1.4520 ; + RECT 0.4350 1.3380 0.4770 1.3800 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 1.0430 2.0010 1.0850 2.0430 ; + RECT 0.2830 1.3190 0.3250 1.3610 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 1.3470 0.6590 1.3890 0.7010 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 1.3470 0.7510 1.3890 0.7930 ; + RECT 1.3470 0.8430 1.3890 0.8850 ; + RECT 0.5110 1.1080 0.5530 1.1500 ; + RECT 0.2830 1.2270 0.3250 1.2690 ; + RECT 0.2830 1.5030 0.3250 1.5450 ; + RECT 0.3590 0.8230 0.4010 0.8650 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 1.1950 1.4890 1.2370 1.5310 ; + RECT 1.0430 1.9090 1.0850 1.9510 ; + RECT 1.1950 1.3050 1.2370 1.3470 ; + RECT 1.1950 1.3970 1.2370 1.4390 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 1.6510 1.5020 1.6930 1.5440 ; + RECT 1.6510 1.5020 1.6930 1.5440 ; + RECT 0.7390 2.0010 0.7810 2.0430 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 0.8910 1.5020 0.9330 1.5440 ; + RECT 0.7390 1.9090 0.7810 1.9510 ; + RECT 0.8910 1.4100 0.9330 1.4520 ; + RECT 0.8910 2.0570 0.9330 2.0990 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.8910 1.3180 0.9330 1.3600 ; + RECT 0.4350 1.5220 0.4770 1.5640 ; + RECT 0.2830 1.4110 0.3250 1.4530 ; + RECT 0.4350 1.4300 0.4770 1.4720 ; + RECT 0.2830 0.4060 0.3250 0.4480 ; + RECT 0.5870 1.4230 0.6290 1.4650 ; + RECT 0.5870 1.5150 0.6290 1.5570 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 0.5110 1.7840 0.5530 1.8260 ; + RECT 0.7390 1.2570 0.7810 1.2990 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 0.4350 1.2460 0.4770 1.2880 ; + RECT 0.2830 0.3140 0.3250 0.3560 ; + RECT 0.7390 1.3490 0.7810 1.3910 ; + RECT 1.5750 1.0820 1.6170 1.1240 ; + RECT 0.5870 1.2390 0.6290 1.2810 ; + RECT 0.5870 1.3310 0.6290 1.3730 ; + RECT 1.3470 1.5240 1.3890 1.5660 ; + RECT 1.2710 1.0050 1.3130 1.0470 ; + RECT 1.2710 1.9470 1.3130 1.9890 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.3950 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.1650 2.3510 2.3950 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.3950 0.9930 ; + LAYER M1 ; + RECT 0.2390 0.6920 0.7250 0.7420 ; + RECT 0.2390 1.0470 0.3290 1.0970 ; + RECT 0.2790 1.0970 0.3290 1.5820 ; + RECT 0.2390 0.7420 0.2890 1.0470 ; + RECT 0.2390 0.6840 0.3290 0.6920 ; + RECT 0.2790 0.2800 0.3290 0.6840 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 0.9360 1.1020 1.4330 1.1520 ; + RECT 1.3430 0.8550 1.4330 0.9050 ; + RECT 1.3430 1.1520 1.3930 1.5890 ; + RECT 1.3430 0.6390 1.3930 0.8550 ; + RECT 1.3830 0.9050 1.4330 1.1020 ; + RECT 0.7350 1.0240 1.3330 1.0510 ; + RECT 0.7750 1.0010 1.3330 1.0240 ; + RECT 0.7350 0.5010 0.8250 0.5510 ; + RECT 0.7350 0.2740 0.7850 0.5010 ; + RECT 0.7350 1.0510 0.8250 1.0970 ; + RECT 0.7350 1.0970 0.7850 1.4110 ; + RECT 0.7750 0.8690 0.8250 1.0010 ; + RECT 0.3390 0.8190 0.8250 0.8690 ; + RECT 0.7750 0.5510 0.8250 0.8190 ; + RECT 1.1910 1.3000 1.2410 1.5550 ; + RECT 0.8870 1.2500 1.2410 1.3000 ; + RECT 0.4310 1.1040 0.5730 1.1540 ; + RECT 0.5830 1.2190 0.6330 1.5340 ; + RECT 0.8870 1.5840 0.9370 1.5860 ; + RECT 0.4310 1.1540 0.4810 1.5340 ; + RECT 0.4310 1.5340 0.9370 1.5840 ; + RECT 0.8870 1.3000 0.9370 1.5340 ; + RECT 0.8870 1.2490 0.9370 1.2500 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 0.6590 2.1130 0.9370 2.1630 ; + RECT 0.8870 2.0370 0.9370 2.1130 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1630 0.7090 2.2130 ; + RECT 1.6470 1.2550 1.6970 1.5640 ; + RECT 1.5710 1.0620 1.6210 1.2050 ; + RECT 1.5710 1.2050 1.6970 1.2550 ; + RECT 1.2510 1.9430 1.8070 1.9930 ; + RECT 0.4740 1.7800 1.6370 1.8300 ; + RECT 1.0390 1.8300 1.0890 2.0630 ; + RECT 0.7350 1.8300 0.7850 2.0630 ; + LAYER PO ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 2.1890 0.0670 2.2190 2.7800 ; + RECT 1.8850 0.0670 1.9150 2.7800 ; + RECT 2.0370 0.0670 2.0670 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7960 ; + RECT 1.7330 0.0670 1.7630 2.7800 ; + RECT 1.5810 0.0590 1.6110 2.7800 ; + RECT 0.3650 0.9880 0.3950 2.7800 ; + RECT 0.8210 0.0860 0.8510 1.7120 ; + RECT 1.1250 0.0680 1.1550 2.7780 ; + RECT 0.6690 0.0890 0.6990 0.7890 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 0.9730 0.0680 1.0030 1.6680 ; + RECT 0.3650 0.0870 0.3950 0.8880 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.6690 0.9880 0.6990 2.9300 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPENX1_HVT + +MACRO LSUPENX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + END + ANTENNAGATEAREA 0.0534 ; + END A + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 2.6810 1.7020 2.7910 1.7710 ; + RECT 2.6810 1.5710 2.7910 1.6420 ; + RECT 1.0390 1.3520 1.0890 1.6420 ; + RECT 1.4950 1.4630 1.5450 1.6420 ; + RECT 2.7110 1.3030 2.7610 1.5710 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.5210 1.5410 1.5630 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 2.7150 1.4310 2.7570 1.4730 ; + RECT 2.7150 1.3390 2.7570 1.3810 ; + RECT 1.0430 1.5560 1.0850 1.5980 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.0400 3.0700 ; + RECT 2.0730 3.0700 2.1830 3.1400 ; + RECT 2.0730 2.9400 2.1830 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + END + END VDDL + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 2.2240 1.4950 2.3360 ; + END + PORT + LAYER CO ; + RECT 1.4230 2.2640 1.4650 2.3060 ; + END + ANTENNAGATEAREA 0.0249 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 1.4950 0.2710 1.8490 0.3210 ; + RECT 0.4310 0.0300 0.4810 0.4640 ; + RECT 0.5830 0.0300 0.6330 0.4590 ; + RECT 1.0390 0.0300 1.0890 0.7210 ; + RECT 1.9510 0.0300 2.0010 0.9370 ; + RECT 2.7110 0.0300 2.7610 0.5260 ; + RECT 2.4070 0.0300 2.4570 0.6610 ; + RECT 1.4950 0.3210 1.5450 0.9050 ; + RECT 1.7990 0.3210 1.8490 0.9100 ; + RECT 1.7990 0.0300 1.8490 0.2710 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.0400 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4990 0.2910 1.5410 0.3330 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.0430 0.2910 1.0850 0.3330 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7150 0.4640 2.7570 0.5060 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.9550 0.1390 1.9970 0.1810 ; + RECT 1.9550 0.2310 1.9970 0.2730 ; + RECT 1.9550 0.3230 1.9970 0.3650 ; + RECT 1.9550 0.4150 1.9970 0.4570 ; + RECT 1.9550 0.5070 1.9970 0.5490 ; + RECT 1.9550 0.5990 1.9970 0.6410 ; + RECT 1.9550 0.6910 1.9970 0.7330 ; + RECT 1.4990 0.4750 1.5410 0.5170 ; + RECT 1.4990 0.5670 1.5410 0.6090 ; + RECT 1.4990 0.6590 1.5410 0.7010 ; + RECT 1.4990 0.7510 1.5410 0.7930 ; + RECT 1.4990 0.8430 1.5410 0.8850 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8030 0.8440 1.8450 0.8860 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.9550 0.8750 1.9970 0.9170 ; + RECT 1.9550 0.7830 1.9970 0.8250 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.0430 0.1990 1.0850 0.2410 ; + RECT 2.4110 0.5990 2.4530 0.6410 ; + RECT 2.4110 0.5070 2.4530 0.5490 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.4110 0.4150 2.4530 0.4570 ; + RECT 2.4110 0.3230 2.4530 0.3650 ; + RECT 2.4110 0.2310 2.4530 0.2730 ; + RECT 2.4110 0.1390 2.4530 0.1810 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.4990 0.3830 1.5410 0.4250 ; + RECT 0.4350 0.3100 0.4770 0.3520 ; + RECT 0.4350 0.4020 0.4770 0.4440 ; + RECT 2.7150 0.2800 2.7570 0.3220 ; + RECT 2.7150 0.3720 2.7570 0.4140 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5870 0.3050 0.6290 0.3470 ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.5670 1.0850 0.6090 ; + RECT 1.0430 0.6590 1.0850 0.7010 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + END + END VDDH + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6190 1.0090 2.7910 1.0590 ; + RECT 2.6790 1.0590 2.7910 1.1190 ; + RECT 2.5590 0.1190 2.6090 0.7950 ; + RECT 2.2550 0.1190 2.3050 0.7950 ; + RECT 2.6790 0.8450 2.7290 1.0090 ; + RECT 2.2550 0.7950 2.7290 0.8450 ; + RECT 2.2550 1.2280 2.3050 1.4440 ; + RECT 2.5590 1.2280 2.6090 1.4440 ; + RECT 2.6790 1.1190 2.7290 1.1780 ; + RECT 2.2550 1.1780 2.7290 1.2280 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.3820 2.6050 1.4240 ; + RECT 2.5630 0.7830 2.6050 0.8250 ; + RECT 2.5630 0.6910 2.6050 0.7330 ; + RECT 2.5630 0.5990 2.6050 0.6410 ; + RECT 2.5630 0.5070 2.6050 0.5490 ; + RECT 2.5630 0.4150 2.6050 0.4570 ; + RECT 2.5630 0.3230 2.6050 0.3650 ; + RECT 2.5630 0.2310 2.6050 0.2730 ; + RECT 2.5630 0.1390 2.6050 0.1810 ; + RECT 2.2590 0.7830 2.3010 0.8250 ; + RECT 2.2590 0.1390 2.3010 0.1810 ; + RECT 2.2590 0.2310 2.3010 0.2730 ; + RECT 2.2590 0.3230 2.3010 0.3650 ; + RECT 2.6390 1.0130 2.6810 1.0550 ; + RECT 2.2590 0.5990 2.3010 0.6410 ; + RECT 2.2590 1.1980 2.3010 1.2400 ; + RECT 2.2590 1.2900 2.3010 1.3320 ; + RECT 2.2590 0.5070 2.3010 0.5490 ; + RECT 2.2590 0.4150 2.3010 0.4570 ; + RECT 2.5630 1.1980 2.6050 1.2400 ; + RECT 2.2590 0.6910 2.3010 0.7330 ; + RECT 2.5630 1.2900 2.6050 1.3320 ; + RECT 2.2590 1.3820 2.3010 1.4240 ; + END + ANTENNADIFFAREA 0.412 ; + END Y + OBS + LAYER CO ; + RECT 2.1070 0.1370 2.1490 0.1790 ; + RECT 1.9550 1.5140 1.9970 1.5560 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 2.1070 1.3180 2.1490 1.3600 ; + RECT 1.1950 1.4060 1.2370 1.4480 ; + RECT 0.8910 1.3920 0.9330 1.4340 ; + RECT 0.7390 1.9090 0.7810 1.9510 ; + RECT 1.1950 1.4980 1.2370 1.5400 ; + RECT 1.7270 1.9470 1.7690 1.9890 ; + RECT 1.6510 1.4850 1.6930 1.5270 ; + RECT 1.6510 0.8540 1.6930 0.8960 ; + RECT 0.8910 0.7190 0.9330 0.7610 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 0.5110 1.7770 0.5530 1.8190 ; + RECT 0.5870 1.3280 0.6290 1.3700 ; + RECT 1.8030 1.2100 1.8450 1.2520 ; + RECT 0.8910 1.3000 0.9330 1.3420 ; + RECT 1.1950 1.3140 1.2370 1.3560 ; + RECT 2.1070 0.7810 2.1490 0.8230 ; + RECT 2.1070 0.6890 2.1490 0.7310 ; + RECT 2.1070 0.5970 2.1490 0.6390 ; + RECT 2.1070 0.5050 2.1490 0.5470 ; + RECT 2.1070 0.4130 2.1490 0.4550 ; + RECT 2.1070 0.3210 2.1490 0.3630 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.3470 0.4750 1.3890 0.5170 ; + RECT 1.3470 0.5670 1.3890 0.6090 ; + RECT 1.3470 0.6590 1.3890 0.7010 ; + RECT 1.3470 0.7510 1.3890 0.7930 ; + RECT 1.3470 0.8430 1.3890 0.8850 ; + RECT 0.2830 0.4060 0.3250 0.4480 ; + RECT 1.0430 1.9090 1.0850 1.9510 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.8910 2.0570 0.9330 2.0990 ; + RECT 0.8910 0.2590 0.9330 0.3010 ; + RECT 1.3470 1.5050 1.3890 1.5470 ; + RECT 0.2830 1.3480 0.3250 1.3900 ; + RECT 0.2830 1.2560 0.3250 1.2980 ; + RECT 1.8790 1.0970 1.9210 1.1390 ; + RECT 1.5750 0.1180 1.6170 0.1600 ; + RECT 1.2710 1.0050 1.3130 1.0470 ; + RECT 2.6390 2.1010 2.6810 2.1430 ; + RECT 0.4350 1.3480 0.4770 1.3900 ; + RECT 1.0430 2.0010 1.0850 2.0430 ; + RECT 0.4350 1.2560 0.4770 1.2980 ; + RECT 0.7390 2.0010 0.7810 2.0430 ; + RECT 0.8910 0.1670 0.9330 0.2090 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 1.6510 1.3930 1.6930 1.4350 ; + RECT 1.8030 1.4860 1.8450 1.5280 ; + RECT 0.8910 1.4840 0.9330 1.5260 ; + RECT 1.8030 1.3940 1.8450 1.4360 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 1.9550 1.4220 1.9970 1.4640 ; + RECT 2.0310 0.9980 2.0730 1.0400 ; + RECT 1.8030 1.3020 1.8450 1.3440 ; + RECT 1.6510 1.2090 1.6930 1.2510 ; + RECT 1.8790 1.7770 1.9210 1.8190 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 1.1950 0.8110 1.2370 0.8530 ; + RECT 2.4870 1.0820 2.5290 1.1240 ; + RECT 2.4110 1.5160 2.4530 1.5580 ; + RECT 2.4110 1.4240 2.4530 1.4660 ; + RECT 2.4110 1.5160 2.4530 1.5580 ; + RECT 2.3350 1.0820 2.3770 1.1240 ; + RECT 2.4110 1.4240 2.4530 1.4660 ; + RECT 0.4350 1.1640 0.4770 1.2060 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 0.2830 1.0720 0.3250 1.1140 ; + RECT 2.1070 1.4100 2.1490 1.4520 ; + RECT 1.2710 1.9470 1.3130 1.9890 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 0.2830 1.1640 0.3250 1.2060 ; + RECT 1.9550 1.3300 1.9970 1.3720 ; + RECT 2.3350 1.0820 2.3770 1.1240 ; + RECT 2.4870 1.0820 2.5290 1.1240 ; + RECT 0.5110 0.9550 0.5530 0.9970 ; + RECT 0.7390 1.0730 0.7810 1.1150 ; + RECT 0.4350 1.0720 0.4770 1.1140 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 0.8910 0.8110 0.9330 0.8530 ; + RECT 0.5870 1.1440 0.6290 1.1860 ; + RECT 1.6510 1.3010 1.6930 1.3430 ; + RECT 1.1950 0.7190 1.2370 0.7610 ; + RECT 0.7390 1.3490 0.7810 1.3910 ; + RECT 0.7390 1.1650 0.7810 1.2070 ; + RECT 0.7390 1.2570 0.7810 1.2990 ; + RECT 0.2830 0.3140 0.3250 0.3560 ; + RECT 0.2830 1.5320 0.3250 1.5740 ; + RECT 0.4350 1.5320 0.4770 1.5740 ; + RECT 0.3590 0.8230 0.4010 0.8650 ; + RECT 0.2830 1.4400 0.3250 1.4820 ; + RECT 0.5870 1.4200 0.6290 1.4620 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.5870 1.5120 0.6290 1.5540 ; + RECT 0.4350 1.4400 0.4770 1.4820 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 1.5750 2.1010 1.6170 2.1430 ; + RECT 2.1070 0.2290 2.1490 0.2710 ; + RECT 0.5870 1.2360 0.6290 1.2780 ; + RECT 1.3470 0.2910 1.3890 0.3330 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 0.8910 0.6270 0.9330 0.6690 ; + RECT 0.8910 0.5350 0.9330 0.5770 ; + RECT 1.1950 0.2590 1.2370 0.3010 ; + RECT 1.1950 0.1670 1.2370 0.2090 ; + RECT 1.1950 0.4430 1.2370 0.4850 ; + RECT 1.1950 0.3510 1.2370 0.3930 ; + RECT 1.1950 0.6270 1.2370 0.6690 ; + RECT 1.1950 0.5350 1.2370 0.5770 ; + LAYER NWELL ; + RECT -0.1150 -0.1150 3.1550 0.9930 ; + RECT -0.1150 3.2240 3.1550 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.9250 2.3510 3.1550 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + LAYER M1 ; + RECT 0.2390 0.6920 0.7250 0.7420 ; + RECT 0.2390 1.0470 0.3290 1.0970 ; + RECT 0.2630 1.5290 0.3450 1.5790 ; + RECT 0.2390 0.7420 0.2890 1.0470 ; + RECT 0.2790 1.0970 0.3290 1.5290 ; + RECT 0.2390 0.6840 0.3290 0.6920 ; + RECT 0.2790 0.2800 0.3290 0.6840 ; + RECT 1.1930 0.1140 1.6370 0.1280 ; + RECT 1.1910 0.1280 1.6370 0.1640 ; + RECT 0.8870 0.1140 0.9370 0.8690 ; + RECT 0.8870 0.8690 1.2410 0.9190 ; + RECT 1.1910 0.1640 1.2410 0.8690 ; + RECT 0.7350 1.0240 1.3330 1.0510 ; + RECT 0.7750 1.0010 1.3330 1.0240 ; + RECT 0.7350 0.5010 0.8250 0.5510 ; + RECT 0.7350 0.2740 0.7850 0.5010 ; + RECT 0.7750 0.8690 0.8250 1.0010 ; + RECT 0.3390 0.8190 0.8250 0.8690 ; + RECT 0.7750 0.5510 0.8250 0.8190 ; + RECT 0.7350 1.0510 0.8250 1.0970 ; + RECT 0.7350 1.0970 0.7850 1.4110 ; + RECT 2.1450 1.0780 2.5490 1.1280 ; + RECT 2.1020 0.8930 2.1950 0.9430 ; + RECT 2.1030 0.1170 2.1530 0.8930 ; + RECT 2.1030 1.1950 2.1530 1.4720 ; + RECT 2.1030 1.1450 2.1950 1.1950 ; + RECT 2.1450 1.1280 2.1950 1.1450 ; + RECT 2.1450 0.9430 2.1950 1.0780 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 1.3430 0.8550 1.4330 0.9050 ; + RECT 0.9360 1.1020 1.4330 1.1520 ; + RECT 1.3430 0.2710 1.3930 0.8550 ; + RECT 1.3430 1.1520 1.3930 1.5750 ; + RECT 1.3830 0.9050 1.4330 1.1020 ; + RECT 1.1910 1.3000 1.2410 1.5870 ; + RECT 0.8870 1.2500 1.2410 1.3000 ; + RECT 0.4150 1.5300 0.9370 1.5800 ; + RECT 0.5830 1.1240 0.6330 1.5300 ; + RECT 0.8870 1.3000 0.9370 1.5300 ; + RECT 0.4310 1.0010 0.4810 1.5300 ; + RECT 0.4310 0.9510 0.5730 1.0010 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1630 0.7090 2.2130 ; + RECT 0.6590 2.1130 0.9370 2.1630 ; + RECT 0.8870 2.0370 0.9370 2.1130 ; + RECT 0.4740 1.7730 1.9410 1.8230 ; + RECT 1.0390 1.8230 1.0890 2.0840 ; + RECT 0.7350 1.8230 0.7850 2.0630 ; + RECT 1.6470 0.9940 2.0930 1.0440 ; + RECT 1.6470 1.0440 1.6970 1.5510 ; + RECT 1.6470 0.7720 1.6970 0.9940 ; + RECT 1.2510 1.9430 1.8070 1.9930 ; + RECT 1.7990 1.5260 2.4570 1.5760 ; + RECT 2.4070 1.5760 2.4570 1.5780 ; + RECT 2.4070 1.4040 2.4570 1.5260 ; + RECT 1.7990 1.0940 1.9410 1.1440 ; + RECT 1.9510 1.3100 2.0010 1.5260 ; + RECT 1.7990 1.1440 1.8490 1.5260 ; + RECT 1.5550 2.0970 2.7010 2.1470 ; + LAYER PO ; + RECT 0.6690 0.9880 0.6990 2.9300 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.3650 0.0870 0.3950 0.8880 ; + RECT 0.9730 0.0680 1.0030 1.6680 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 0.6690 0.0890 0.6990 0.7890 ; + RECT 1.1250 0.0680 1.1550 2.7780 ; + RECT 0.8210 0.0860 0.8510 1.7120 ; + RECT 1.8850 0.0890 1.9150 2.7800 ; + RECT 0.3650 0.9880 0.3950 2.7800 ; + RECT 2.0370 0.0670 2.0670 2.7800 ; + RECT 1.5810 0.0590 1.6110 2.7800 ; + RECT 1.7330 0.0740 1.7630 2.7800 ; + RECT 2.1890 0.0670 2.2190 2.7800 ; + RECT 2.3410 0.0670 2.3710 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7960 ; + RECT 2.7970 0.0670 2.8270 2.7800 ; + RECT 2.6450 0.0670 2.6750 2.7800 ; + RECT 2.9490 0.0670 2.9790 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + END +END LSUPENX2_HVT + +MACRO LSUPENX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2270 1.0090 3.3990 1.0590 ; + RECT 3.2870 1.0590 3.3990 1.1190 ; + RECT 2.5590 0.1190 2.6090 0.7950 ; + RECT 2.8630 0.1190 2.9130 0.7950 ; + RECT 3.1670 0.1190 3.2170 0.7950 ; + RECT 3.2870 0.8450 3.3370 1.0090 ; + RECT 2.5590 0.7950 3.3370 0.8450 ; + RECT 3.1670 1.2280 3.2170 1.3520 ; + RECT 2.8630 1.2280 2.9130 1.3520 ; + RECT 2.5590 1.2280 2.6090 1.3520 ; + RECT 3.2870 1.1190 3.3370 1.1780 ; + RECT 2.5590 1.1780 3.3370 1.2280 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.4150 2.6050 0.4570 ; + RECT 2.5630 0.5070 2.6050 0.5490 ; + RECT 2.5630 1.2900 2.6050 1.3320 ; + RECT 2.5630 1.1980 2.6050 1.2400 ; + RECT 3.1710 1.1980 3.2130 1.2400 ; + RECT 3.1710 0.7830 3.2130 0.8250 ; + RECT 2.5630 0.5990 2.6050 0.6410 ; + RECT 3.2470 1.0130 3.2890 1.0550 ; + RECT 3.1710 0.5990 3.2130 0.6410 ; + RECT 3.1710 0.5070 3.2130 0.5490 ; + RECT 3.1710 0.4150 3.2130 0.4570 ; + RECT 3.1710 0.6910 3.2130 0.7330 ; + RECT 3.1710 0.1390 3.2130 0.1810 ; + RECT 2.5630 0.3230 2.6050 0.3650 ; + RECT 2.5630 0.2310 2.6050 0.2730 ; + RECT 2.5630 0.1390 2.6050 0.1810 ; + RECT 2.5630 0.7830 2.6050 0.8250 ; + RECT 2.8670 0.1390 2.9090 0.1810 ; + RECT 2.8670 0.2310 2.9090 0.2730 ; + RECT 2.8670 0.3230 2.9090 0.3650 ; + RECT 2.8670 0.4150 2.9090 0.4570 ; + RECT 2.8670 0.5070 2.9090 0.5490 ; + RECT 2.8670 0.5990 2.9090 0.6410 ; + RECT 2.8670 0.6910 2.9090 0.7330 ; + RECT 2.8670 0.7830 2.9090 0.8250 ; + RECT 3.1710 0.3230 3.2130 0.3650 ; + RECT 3.1710 0.2310 3.2130 0.2730 ; + RECT 2.8670 1.2900 2.9090 1.3320 ; + RECT 2.5630 0.6910 2.6050 0.7330 ; + RECT 2.8670 1.1980 2.9090 1.2400 ; + RECT 3.1710 1.2900 3.2130 1.3320 ; + END + ANTENNADIFFAREA 0.6584 ; + END Y + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 2.2250 1.7990 2.3350 ; + END + PORT + LAYER CO ; + RECT 1.7270 2.2640 1.7690 2.3060 ; + END + ANTENNAGATEAREA 0.0255 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 1.7990 0.2710 2.1530 0.3210 ; + RECT 1.3430 0.0300 1.3930 0.7220 ; + RECT 2.2550 0.0300 2.3050 0.9370 ; + RECT 1.0390 0.0300 1.0890 0.7210 ; + RECT 0.5830 0.0300 0.6330 0.4590 ; + RECT 0.4310 0.0300 0.4810 0.4640 ; + RECT 2.7110 0.0300 2.7610 0.6610 ; + RECT 3.0150 0.0300 3.0650 0.6610 ; + RECT 3.3190 0.0300 3.3690 0.5260 ; + RECT 1.7990 0.3210 1.8490 0.9050 ; + RECT 2.1030 0.3210 2.1530 0.9100 ; + RECT 2.1030 0.0300 2.1530 0.2710 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.6480 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.7150 0.1390 2.7570 0.1810 ; + RECT 2.7150 0.2310 2.7570 0.2730 ; + RECT 2.7150 0.3230 2.7570 0.3650 ; + RECT 2.7150 0.4150 2.7570 0.4570 ; + RECT 2.7150 0.5070 2.7570 0.5490 ; + RECT 2.7150 0.5990 2.7570 0.6410 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.0430 0.1990 1.0850 0.2410 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.2590 0.7830 2.3010 0.8250 ; + RECT 2.2590 0.8750 2.3010 0.9170 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.1070 0.8440 2.1490 0.8860 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 2.1070 0.7520 2.1490 0.7940 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.3470 0.6590 1.3890 0.7010 ; + RECT 1.3470 0.5670 1.3890 0.6090 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.3470 0.4750 1.3890 0.5170 ; + RECT 1.3470 0.1990 1.3890 0.2410 ; + RECT 1.3470 0.2910 1.3890 0.3330 ; + RECT 1.0430 0.6590 1.0850 0.7010 ; + RECT 1.0430 0.5670 1.0850 0.6090 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 3.0190 0.5990 3.0610 0.6410 ; + RECT 3.0190 0.1390 3.0610 0.1810 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.0190 0.2310 3.0610 0.2730 ; + RECT 3.0190 0.3230 3.0610 0.3650 ; + RECT 3.0190 0.5070 3.0610 0.5490 ; + RECT 3.0190 0.4150 3.0610 0.4570 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 3.3230 0.4640 3.3650 0.5060 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.0430 0.2910 1.0850 0.3330 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.8030 0.2910 1.8450 0.3330 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 0.5870 0.3050 0.6290 0.3470 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.3230 0.3720 3.3650 0.4140 ; + RECT 3.3230 0.2800 3.3650 0.3220 ; + RECT 0.4350 0.4020 0.4770 0.4440 ; + RECT 0.4350 0.3100 0.4770 0.3520 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 1.8030 0.3830 1.8450 0.4250 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8030 0.8430 1.8450 0.8850 ; + RECT 1.8030 0.7510 1.8450 0.7930 ; + RECT 1.8030 0.6590 1.8450 0.7010 ; + RECT 1.8030 0.5670 1.8450 0.6090 ; + RECT 1.8030 0.4750 1.8450 0.5170 ; + RECT 2.2590 0.6910 2.3010 0.7330 ; + RECT 2.2590 0.5990 2.3010 0.6410 ; + RECT 2.2590 0.5070 2.3010 0.5490 ; + RECT 2.2590 0.4150 2.3010 0.4570 ; + RECT 2.2590 0.3230 2.3010 0.3650 ; + RECT 2.2590 0.2310 2.3010 0.2730 ; + RECT 2.2590 0.1390 2.3010 0.1810 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.6480 3.0700 ; + RECT 2.5290 3.0700 2.6390 3.1400 ; + RECT 2.5290 2.9400 2.6390 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 3.2890 1.7020 3.3990 1.7720 ; + RECT 3.2890 1.5720 3.3990 1.6420 ; + RECT 1.7990 1.4700 1.8490 1.6420 ; + RECT 1.3430 1.3520 1.3930 1.6420 ; + RECT 1.0390 1.3520 1.0890 1.6420 ; + RECT 3.3190 1.3030 3.3690 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 1.3470 1.5560 1.3890 1.5980 ; + RECT 1.3470 1.4640 1.3890 1.5060 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + RECT 1.3470 1.3720 1.3890 1.4140 ; + RECT 1.8030 1.5210 1.8450 1.5630 ; + RECT 1.0430 1.5560 1.0850 1.5980 ; + RECT 3.3230 1.3390 3.3650 1.3810 ; + RECT 3.3230 1.4310 3.3650 1.4730 ; + END + END VSS + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + END + ANTENNAGATEAREA 0.0501 ; + END A + OBS + LAYER CO ; + RECT 2.7150 1.3280 2.7570 1.3700 ; + RECT 2.6390 1.0820 2.6810 1.1240 ; + RECT 2.7150 1.4200 2.7570 1.4620 ; + RECT 2.7150 1.3280 2.7570 1.3700 ; + RECT 2.7150 1.4200 2.7570 1.4620 ; + RECT 2.7910 1.0820 2.8330 1.1240 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 2.1830 1.7720 2.2250 1.8140 ; + RECT 1.9550 1.2170 1.9970 1.2590 ; + RECT 2.1070 1.3050 2.1490 1.3470 ; + RECT 2.3350 0.9980 2.3770 1.0400 ; + RECT 2.2590 1.3280 2.3010 1.3700 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 2.1070 1.3970 2.1490 1.4390 ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 2.1070 1.4890 2.1490 1.5310 ; + RECT 1.9550 1.4010 1.9970 1.4430 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 0.8910 0.1670 0.9330 0.2090 ; + RECT 0.7390 2.0010 0.7810 2.0430 ; + RECT 0.4350 1.1970 0.4770 1.2390 ; + RECT 1.0430 2.0010 1.0850 2.0430 ; + RECT 0.4350 1.2890 0.4770 1.3310 ; + RECT 3.2470 2.1010 3.2890 2.1430 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8790 0.1180 1.9210 0.1600 ; + RECT 2.1830 1.0970 2.2250 1.1390 ; + RECT 0.2830 1.2440 0.3250 1.2860 ; + RECT 0.2830 1.3360 0.3250 1.3780 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.4990 1.3970 1.5410 1.4390 ; + RECT 1.4990 1.4890 1.5410 1.5310 ; + RECT 1.4990 0.5350 1.5410 0.5770 ; + RECT 1.4990 0.6270 1.5410 0.6690 ; + RECT 1.4990 0.3510 1.5410 0.3930 ; + RECT 1.4990 0.4430 1.5410 0.4850 ; + RECT 1.4990 0.1670 1.5410 0.2090 ; + RECT 1.4990 0.2590 1.5410 0.3010 ; + RECT 1.1950 0.5350 1.2370 0.5770 ; + RECT 1.1950 0.6270 1.2370 0.6690 ; + RECT 1.1950 0.3510 1.2370 0.3930 ; + RECT 1.1950 0.4430 1.2370 0.4850 ; + RECT 1.1950 0.1670 1.2370 0.2090 ; + RECT 1.1950 0.2590 1.2370 0.3010 ; + RECT 0.8910 0.5350 0.9330 0.5770 ; + RECT 0.8910 0.6270 0.9330 0.6690 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 1.6510 0.2910 1.6930 0.3330 ; + RECT 1.2710 1.1060 1.3130 1.1480 ; + RECT 1.4230 1.1060 1.4650 1.1480 ; + RECT 2.4110 0.5050 2.4530 0.5470 ; + RECT 2.4110 0.5970 2.4530 0.6390 ; + RECT 2.4110 0.6890 2.4530 0.7310 ; + RECT 2.4110 0.7810 2.4530 0.8230 ; + RECT 1.1950 1.3070 1.2370 1.3490 ; + RECT 0.8910 1.3080 0.9330 1.3500 ; + RECT 2.1070 1.2130 2.1490 1.2550 ; + RECT 0.5110 1.7720 0.5530 1.8140 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 1.9550 0.7620 1.9970 0.8040 ; + RECT 1.9550 0.8540 1.9970 0.8960 ; + RECT 1.9550 1.4930 1.9970 1.5350 ; + RECT 2.0310 1.9470 2.0730 1.9890 ; + RECT 2.4110 1.2200 2.4530 1.2620 ; + RECT 1.1950 1.4910 1.2370 1.5330 ; + RECT 0.7390 1.9090 0.7810 1.9510 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 1.1950 1.3990 1.2370 1.4410 ; + RECT 2.4110 1.3120 2.4530 1.3540 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 2.2590 1.4200 2.3010 1.4620 ; + RECT 2.4110 0.1370 2.4530 0.1790 ; + RECT 2.4110 0.2290 2.4530 0.2710 ; + RECT 1.8790 2.1010 1.9210 2.1430 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 0.4350 1.3810 0.4770 1.4230 ; + RECT 0.5870 1.5090 0.6290 1.5510 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.5870 1.4170 0.6290 1.4590 ; + RECT 0.2830 1.4280 0.3250 1.4700 ; + RECT 0.3590 0.8660 0.4010 0.9080 ; + RECT 0.4350 1.4730 0.4770 1.5150 ; + RECT 0.2830 1.5200 0.3250 1.5620 ; + RECT 0.2830 0.3140 0.3250 0.3560 ; + RECT 0.7390 1.3240 0.7810 1.3660 ; + RECT 0.7390 1.2320 0.7810 1.2740 ; + RECT 0.8910 0.2590 0.9330 0.3010 ; + RECT 0.8910 2.0570 0.9330 2.0990 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 1.0430 1.9090 1.0850 1.9510 ; + RECT 1.9550 1.3090 1.9970 1.3510 ; + RECT 1.2710 1.1060 1.3130 1.1480 ; + RECT 1.4230 1.1060 1.4650 1.1480 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 0.5110 1.0690 0.5530 1.1110 ; + RECT 2.7910 1.0820 2.8330 1.1240 ; + RECT 2.6390 1.0820 2.6810 1.1240 ; + RECT 2.9430 1.0820 2.9850 1.1240 ; + RECT 3.0950 1.0820 3.1370 1.1240 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 1.5750 1.9470 1.6170 1.9890 ; + RECT 1.4990 1.3050 1.5410 1.3470 ; + RECT 3.0190 1.4200 3.0610 1.4620 ; + RECT 3.0190 1.3280 3.0610 1.3700 ; + RECT 3.0950 1.0820 3.1370 1.1240 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 2.9430 1.0820 2.9850 1.1240 ; + RECT 0.2830 0.4060 0.3250 0.4480 ; + RECT 1.6510 0.8430 1.6930 0.8850 ; + RECT 1.6510 0.7510 1.6930 0.7930 ; + RECT 1.6510 0.6590 1.6930 0.7010 ; + RECT 1.6510 0.5670 1.6930 0.6090 ; + RECT 1.6510 0.4750 1.6930 0.5170 ; + RECT 1.6510 0.3830 1.6930 0.4250 ; + RECT 2.4110 0.3210 2.4530 0.3630 ; + RECT 2.4110 0.4130 2.4530 0.4550 ; + LAYER NWELL ; + RECT -0.1150 3.2240 3.7630 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 3.5330 2.3510 3.7630 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 3.7630 0.9930 ; + LAYER M1 ; + RECT 0.2390 0.6920 0.7250 0.7420 ; + RECT 0.2390 1.2240 0.3290 1.2740 ; + RECT 0.2790 0.2800 0.3290 0.6840 ; + RECT 0.2790 1.2740 0.3290 1.5820 ; + RECT 0.2390 0.7420 0.2890 1.2240 ; + RECT 0.2390 0.6840 0.3290 0.6920 ; + RECT 0.9360 1.1020 1.7370 1.1520 ; + RECT 1.6470 0.8550 1.7370 0.9050 ; + RECT 1.6470 1.1520 1.6970 1.5580 ; + RECT 1.6470 0.2710 1.6970 0.8550 ; + RECT 1.6870 0.9050 1.7370 1.1020 ; + RECT 1.4950 0.1140 1.9410 0.1640 ; + RECT 0.8870 0.1140 0.9370 0.8690 ; + RECT 1.1910 0.1280 1.2410 0.8690 ; + RECT 0.8870 0.8690 1.5450 0.9190 ; + RECT 1.4950 0.1640 1.5450 0.8690 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 2.4490 1.0780 3.1570 1.1280 ; + RECT 2.4060 0.8930 2.4990 0.9430 ; + RECT 2.4070 0.1170 2.4570 0.8930 ; + RECT 2.4490 0.9430 2.4990 1.0780 ; + RECT 2.4070 1.1950 2.4570 1.3740 ; + RECT 2.4490 1.1280 2.4990 1.1450 ; + RECT 2.4070 1.1450 2.4990 1.1950 ; + RECT 0.7350 1.0140 1.6370 1.0510 ; + RECT 0.7750 1.0010 1.6370 1.0140 ; + RECT 0.7350 0.5010 0.8250 0.5510 ; + RECT 0.7350 0.2740 0.7850 0.5010 ; + RECT 0.7350 1.0870 0.7850 1.3900 ; + RECT 0.3390 0.8620 0.8250 0.9120 ; + RECT 0.7750 0.5510 0.8250 0.8620 ; + RECT 0.7350 1.0510 0.8250 1.0870 ; + RECT 0.7750 0.9120 0.8250 1.0010 ; + RECT 1.8590 2.0970 3.3090 2.1470 ; + RECT 0.8870 1.2500 1.5450 1.3000 ; + RECT 1.4950 1.3000 1.5450 1.5620 ; + RECT 0.5830 1.3310 0.6330 1.5360 ; + RECT 0.4310 1.5360 0.9370 1.5860 ; + RECT 0.4310 1.1140 0.4810 1.5360 ; + RECT 0.4310 1.0640 0.5730 1.1140 ; + RECT 0.8870 1.3000 0.9370 1.5360 ; + RECT 1.1910 1.3000 1.2410 1.5570 ; + RECT 0.4740 1.7680 2.2450 1.8180 ; + RECT 0.7350 1.8180 0.7850 2.0630 ; + RECT 1.0390 1.8180 1.0890 2.0630 ; + RECT 2.1030 1.4240 3.2410 1.4740 ; + RECT 2.1030 1.0940 2.2450 1.1440 ; + RECT 2.2550 1.4740 2.3050 1.4820 ; + RECT 2.2550 1.3080 2.3050 1.4240 ; + RECT 2.1030 1.4740 2.1530 1.5560 ; + RECT 2.1030 1.1440 2.1530 1.4240 ; + RECT 2.7110 1.4740 2.7610 1.4820 ; + RECT 2.7110 1.3080 2.7610 1.4240 ; + RECT 3.0150 1.4740 3.0650 1.4820 ; + RECT 3.0150 1.3080 3.0650 1.4240 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1630 0.7090 2.2130 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.6590 2.1130 0.9370 2.1630 ; + RECT 0.8870 2.0370 0.9370 2.1130 ; + RECT 1.9510 0.9940 2.3970 1.0440 ; + RECT 1.9510 1.0440 2.0010 1.5560 ; + RECT 1.9510 0.6990 2.0010 0.9940 ; + RECT 1.5550 1.9430 2.1110 1.9930 ; + LAYER PO ; + RECT 3.5570 0.0670 3.5870 2.7800 ; + RECT 3.2530 0.0670 3.2830 2.7800 ; + RECT 3.4050 0.0670 3.4350 2.7800 ; + RECT 1.7330 0.0680 1.7630 2.7960 ; + RECT 2.9490 0.0670 2.9790 2.7800 ; + RECT 3.1010 0.0670 3.1310 2.7800 ; + RECT 2.7970 0.0670 2.8270 2.7800 ; + RECT 2.6450 0.0670 2.6750 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 1.8850 0.0590 1.9150 2.7800 ; + RECT 2.3410 0.0670 2.3710 2.7800 ; + RECT 0.3650 1.0980 0.3950 2.7800 ; + RECT 2.1890 0.0890 2.2190 2.7800 ; + RECT 0.8210 0.0860 0.8510 1.7120 ; + RECT 1.1250 0.0680 1.1550 2.7780 ; + RECT 0.6690 0.0890 0.6990 0.9980 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 0.9730 0.0680 1.0030 1.6680 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 0.3650 0.0870 0.3950 0.9600 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.6690 1.0980 0.6990 2.9300 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 1.5810 0.0680 1.6110 2.7800 ; + END +END LSUPENX4_HVT + +MACRO LSUPENX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.016 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + END + ANTENNAGATEAREA 0.0492 ; + END A + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.0160 1.7020 ; + RECT 4.6570 1.7020 4.7670 1.7720 ; + RECT 4.6570 1.5720 4.7670 1.6420 ; + RECT 1.0390 1.3520 1.0890 1.6420 ; + RECT 1.9510 1.3520 2.0010 1.6420 ; + RECT 1.3430 1.3520 1.3930 1.6420 ; + RECT 1.6470 1.3520 1.6970 1.6420 ; + RECT 2.4070 1.3420 2.4570 1.6420 ; + RECT 4.6870 1.3030 4.7370 1.5720 ; + END + PORT + LAYER CO ; + RECT 2.4110 1.4850 2.4530 1.5270 ; + RECT 2.4110 1.3930 2.4530 1.4350 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 4.6920 1.4310 4.7340 1.4730 ; + RECT 4.6920 1.3390 4.7340 1.3810 ; + RECT 1.0430 1.5560 1.0850 1.5980 ; + RECT 1.3470 1.3720 1.3890 1.4140 ; + RECT 1.3470 1.4640 1.3890 1.5060 ; + RECT 1.3470 1.5560 1.3890 1.5980 ; + RECT 1.6510 1.4640 1.6930 1.5060 ; + RECT 1.6510 1.5560 1.6930 1.5980 ; + RECT 1.0430 1.3720 1.0850 1.4140 ; + RECT 1.9550 1.3720 1.9970 1.4140 ; + RECT 1.6510 1.3720 1.6930 1.4140 ; + RECT 1.9550 1.4640 1.9970 1.5060 ; + RECT 1.9550 1.5560 1.9970 1.5980 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 5.0160 3.0700 ; + RECT 3.4410 3.0700 3.5510 3.1400 ; + RECT 3.4410 2.9400 3.5510 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.9110 2.7350 0.9610 3.0100 ; + RECT 0.8870 2.5250 0.9370 2.6740 ; + RECT 0.8870 2.6740 0.9610 2.7350 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + END + END VDDL + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 2.2250 2.4070 2.3350 ; + END + PORT + LAYER CO ; + RECT 2.3350 2.2640 2.3770 2.3060 ; + END + ANTENNAGATEAREA 0.027 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.0160 0.0300 ; + RECT 2.4070 0.2710 2.7610 0.3210 ; + RECT 0.4310 0.0300 0.4810 0.4640 ; + RECT 0.5830 0.0300 0.6330 0.4590 ; + RECT 1.0390 0.0300 1.0890 0.7210 ; + RECT 3.0150 0.0300 3.0650 0.8430 ; + RECT 3.4710 0.0300 3.5210 0.7530 ; + RECT 4.3830 0.0300 4.4330 0.7530 ; + RECT 4.0790 0.0300 4.1290 0.7530 ; + RECT 3.7750 0.0300 3.8250 0.7530 ; + RECT 1.3430 0.0300 1.3930 0.7220 ; + RECT 1.6470 0.0300 1.6970 0.7210 ; + RECT 1.9510 0.0300 2.0010 0.7210 ; + RECT 4.6880 0.0300 4.7380 0.5260 ; + RECT 2.4070 0.3210 2.4570 0.9050 ; + RECT 2.7110 0.3210 2.7610 0.9100 ; + RECT 2.7110 0.0300 2.7610 0.2710 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 5.0160 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.7150 0.7520 2.7570 0.7940 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 2.7150 0.8440 2.7570 0.8860 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.0190 0.7810 3.0610 0.8230 ; + RECT 3.0190 0.6890 3.0610 0.7310 ; + RECT 3.0190 0.5970 3.0610 0.6390 ; + RECT 3.0190 0.5050 3.0610 0.5470 ; + RECT 3.0190 0.4130 3.0610 0.4550 ; + RECT 3.0190 0.3210 3.0610 0.3630 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.4110 0.4750 2.4530 0.5170 ; + RECT 2.4110 0.5670 2.4530 0.6090 ; + RECT 2.4110 0.6590 2.4530 0.7010 ; + RECT 2.4110 0.7510 2.4530 0.7930 ; + RECT 2.4110 0.8430 2.4530 0.8850 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.4350 0.3100 0.4770 0.3520 ; + RECT 0.4350 0.4020 0.4770 0.4440 ; + RECT 4.6920 0.2800 4.7340 0.3220 ; + RECT 4.6920 0.3720 4.7340 0.4140 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 4.6920 0.4640 4.7340 0.5060 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.0430 0.1990 1.0850 0.2410 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.3870 0.1390 4.4290 0.1810 ; + RECT 3.4750 0.6910 3.5170 0.7330 ; + RECT 3.4750 0.5990 3.5170 0.6410 ; + RECT 3.4750 0.5070 3.5170 0.5490 ; + RECT 4.3870 0.4150 4.4290 0.4570 ; + RECT 3.4750 0.4150 3.5170 0.4570 ; + RECT 3.4750 0.3230 3.5170 0.3650 ; + RECT 3.4750 0.2310 3.5170 0.2730 ; + RECT 3.4750 0.1390 3.5170 0.1810 ; + RECT 4.3870 0.5990 4.4290 0.6410 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.3870 0.5070 4.4290 0.5490 ; + RECT 4.3870 0.3230 4.4290 0.3650 ; + RECT 4.3870 0.2310 4.4290 0.2730 ; + RECT 4.3870 0.6910 4.4290 0.7330 ; + RECT 2.4110 0.3830 2.4530 0.4250 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 0.5870 0.3050 0.6290 0.3470 ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.0190 0.2290 3.0610 0.2710 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 3.0190 0.1370 3.0610 0.1790 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 2.4110 0.2910 2.4530 0.3330 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 1.0430 0.2910 1.0850 0.3330 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.3470 0.2910 1.3890 0.3330 ; + RECT 1.3470 0.1990 1.3890 0.2410 ; + RECT 1.3470 0.4750 1.3890 0.5170 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.3470 0.5670 1.3890 0.6090 ; + RECT 1.3470 0.6590 1.3890 0.7010 ; + RECT 1.6510 0.1990 1.6930 0.2410 ; + RECT 1.6510 0.2910 1.6930 0.3330 ; + RECT 1.6510 0.6590 1.6930 0.7010 ; + RECT 1.6510 0.5670 1.6930 0.6090 ; + RECT 1.6510 0.3830 1.6930 0.4250 ; + RECT 1.6510 0.4750 1.6930 0.5170 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.9550 0.6590 1.9970 0.7010 ; + RECT 1.9550 0.5670 1.9970 0.6090 ; + RECT 1.9550 0.3830 1.9970 0.4250 ; + RECT 1.9550 0.4750 1.9970 0.5170 ; + RECT 1.9550 0.1990 1.9970 0.2410 ; + RECT 1.9550 0.2910 1.9970 0.3330 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.7790 0.4150 3.8210 0.4570 ; + RECT 3.7790 0.5070 3.8210 0.5490 ; + RECT 3.7790 0.3230 3.8210 0.3650 ; + RECT 3.7790 0.2310 3.8210 0.2730 ; + RECT 4.0830 0.5990 4.1250 0.6410 ; + RECT 4.0830 0.5070 4.1250 0.5490 ; + RECT 4.0830 0.4150 4.1250 0.4570 ; + RECT 4.0830 0.3230 4.1250 0.3650 ; + RECT 4.0830 0.2310 4.1250 0.2730 ; + RECT 4.0830 0.1390 4.1250 0.1810 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.7790 0.6910 3.8210 0.7330 ; + RECT 3.7790 0.1390 3.8210 0.1810 ; + RECT 3.7790 0.5990 3.8210 0.6410 ; + RECT 4.0830 0.6910 4.1250 0.7330 ; + RECT 1.0430 0.4750 1.0850 0.5170 ; + RECT 1.0430 0.3830 1.0850 0.4250 ; + RECT 1.0430 0.5670 1.0850 0.6090 ; + RECT 1.0430 0.6590 1.0850 0.7010 ; + END + END VDDH + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.5590 1.0090 4.7670 1.1190 ; + RECT 4.5350 0.1190 4.5850 0.8870 ; + RECT 4.2310 0.1190 4.2810 0.8870 ; + RECT 3.6230 0.1190 3.6730 0.8870 ; + RECT 3.3190 0.1190 3.3690 0.8870 ; + RECT 3.9270 0.1190 3.9770 0.8870 ; + RECT 4.5590 0.9370 4.6090 1.0090 ; + RECT 3.3190 0.8870 4.6090 0.9370 ; + RECT 3.3190 1.2280 3.3690 1.3520 ; + RECT 3.6230 1.2280 3.6730 1.3520 ; + RECT 4.2310 1.2280 4.2810 1.3520 ; + RECT 3.9270 1.2280 3.9770 1.3520 ; + RECT 3.3190 1.1780 4.6090 1.2280 ; + RECT 4.5590 1.1190 4.6090 1.1780 ; + RECT 4.5350 1.2280 4.6090 1.2600 ; + RECT 4.5350 1.2600 4.5850 1.3520 ; + END + PORT + LAYER CO ; + RECT 3.6270 0.8750 3.6690 0.9170 ; + RECT 3.3230 0.8750 3.3650 0.9170 ; + RECT 3.3230 0.7830 3.3650 0.8250 ; + RECT 3.3230 0.1390 3.3650 0.1810 ; + RECT 3.3230 0.2310 3.3650 0.2730 ; + RECT 3.3230 0.3230 3.3650 0.3650 ; + RECT 4.5390 0.5990 4.5810 0.6410 ; + RECT 4.5390 0.5070 4.5810 0.5490 ; + RECT 4.5390 0.4150 4.5810 0.4570 ; + RECT 4.5390 0.8750 4.5810 0.9170 ; + RECT 4.5390 0.6910 4.5810 0.7330 ; + RECT 4.5390 0.1390 4.5810 0.1810 ; + RECT 4.5390 0.3230 4.5810 0.3650 ; + RECT 4.5390 0.2310 4.5810 0.2730 ; + RECT 4.5390 0.7830 4.5810 0.8250 ; + RECT 4.2350 0.8750 4.2770 0.9170 ; + RECT 4.2350 0.1390 4.2770 0.1810 ; + RECT 4.2350 0.2310 4.2770 0.2730 ; + RECT 4.2350 0.3230 4.2770 0.3650 ; + RECT 4.2350 0.4150 4.2770 0.4570 ; + RECT 4.2350 0.5070 4.2770 0.5490 ; + RECT 4.2350 0.5990 4.2770 0.6410 ; + RECT 4.2350 0.6910 4.2770 0.7330 ; + RECT 3.6270 0.7830 3.6690 0.8250 ; + RECT 3.6270 0.6910 3.6690 0.7330 ; + RECT 3.6270 0.5990 3.6690 0.6410 ; + RECT 3.6270 0.5070 3.6690 0.5490 ; + RECT 3.6270 0.4150 3.6690 0.4570 ; + RECT 3.6270 0.3230 3.6690 0.3650 ; + RECT 3.6270 0.2310 3.6690 0.2730 ; + RECT 3.6270 0.1390 3.6690 0.1810 ; + RECT 3.3230 0.5990 3.3650 0.6410 ; + RECT 3.9310 0.7830 3.9730 0.8250 ; + RECT 3.9310 1.1980 3.9730 1.2400 ; + RECT 3.3230 1.1980 3.3650 1.2400 ; + RECT 3.3230 1.2900 3.3650 1.3320 ; + RECT 3.3230 0.5070 3.3650 0.5490 ; + RECT 3.3230 0.4150 3.3650 0.4570 ; + RECT 3.9310 1.2900 3.9730 1.3320 ; + RECT 3.6270 1.1980 3.6690 1.2400 ; + RECT 3.3230 0.6910 3.3650 0.7330 ; + RECT 3.6270 1.2900 3.6690 1.3320 ; + RECT 4.6150 1.0420 4.6570 1.0840 ; + RECT 3.9310 0.2310 3.9730 0.2730 ; + RECT 3.9310 0.3230 3.9730 0.3650 ; + RECT 3.9310 0.1390 3.9730 0.1810 ; + RECT 4.2350 0.7830 4.2770 0.8250 ; + RECT 3.9310 0.6910 3.9730 0.7330 ; + RECT 3.9310 0.8750 3.9730 0.9170 ; + RECT 3.9310 0.4150 3.9730 0.4570 ; + RECT 3.9310 0.5070 3.9730 0.5490 ; + RECT 3.9310 0.5990 3.9730 0.6410 ; + RECT 4.5390 1.1980 4.5810 1.2400 ; + RECT 4.5390 1.2900 4.5810 1.3320 ; + RECT 4.2350 1.1980 4.2770 1.2400 ; + RECT 4.2350 1.2900 4.2770 1.3320 ; + END + ANTENNADIFFAREA 1.1512 ; + END Y + OBS + LAYER CO ; + RECT 1.1950 1.3150 1.2370 1.3570 ; + RECT 0.5110 1.7880 0.5530 1.8300 ; + RECT 0.8910 0.1670 0.9330 0.2090 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 3.1710 0.8750 3.2130 0.9170 ; + RECT 2.5630 1.4020 2.6050 1.4440 ; + RECT 2.7150 1.4880 2.7570 1.5300 ; + RECT 0.8910 1.4970 0.9330 1.5390 ; + RECT 2.7150 1.3960 2.7570 1.4380 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 3.1710 0.1390 3.2130 0.1810 ; + RECT 3.1710 0.2310 3.2130 0.2730 ; + RECT 3.1710 0.3230 3.2130 0.3650 ; + RECT 3.1710 0.4150 3.2130 0.4570 ; + RECT 3.1710 0.5070 3.2130 0.5490 ; + RECT 3.1710 0.5990 3.2130 0.6410 ; + RECT 3.1710 0.6910 3.2130 0.7330 ; + RECT 3.1710 0.7830 3.2130 0.8250 ; + RECT 2.8670 0.1390 2.9090 0.1810 ; + RECT 2.8670 0.2310 2.9090 0.2730 ; + RECT 2.8670 0.3230 2.9090 0.3650 ; + RECT 2.8670 0.4150 2.9090 0.4570 ; + RECT 2.8670 0.5070 2.9090 0.5490 ; + RECT 2.8670 0.5990 2.9090 0.6410 ; + RECT 2.8670 0.6910 2.9090 0.7330 ; + RECT 2.2590 0.3830 2.3010 0.4250 ; + RECT 2.2590 0.4750 2.3010 0.5170 ; + RECT 2.2590 0.5670 2.3010 0.6090 ; + RECT 2.2590 0.6590 2.3010 0.7010 ; + RECT 2.2590 0.7510 2.3010 0.7930 ; + RECT 2.2590 0.8430 2.3010 0.8850 ; + RECT 0.2830 0.4060 0.3250 0.4480 ; + RECT 1.0430 1.9090 1.0850 1.9510 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.8910 2.0570 0.9330 2.0990 ; + RECT 0.8910 0.2590 0.9330 0.3010 ; + RECT 0.7390 1.2320 0.7810 1.2740 ; + RECT 0.7390 1.3240 0.7810 1.3660 ; + RECT 0.2830 0.3140 0.3250 0.3560 ; + RECT 0.2830 1.5200 0.3250 1.5620 ; + RECT 2.1070 0.3830 2.1490 0.4250 ; + RECT 2.7150 1.2120 2.7570 1.2540 ; + RECT 0.8910 1.3130 0.9330 1.3550 ; + RECT 2.1070 1.4160 2.1490 1.4580 ; + RECT 2.1070 1.5080 2.1490 1.5500 ; + RECT 0.8150 2.8660 0.8570 2.9080 ; + RECT 0.6630 2.8660 0.7050 2.9080 ; + RECT 2.8670 0.8750 2.9090 0.9170 ; + RECT 2.8670 0.7830 2.9090 0.8250 ; + RECT 2.1070 0.4750 2.1490 0.5170 ; + RECT 3.0190 1.4100 3.0610 1.4520 ; + RECT 3.1710 1.3120 3.2130 1.3540 ; + RECT 2.9430 0.9980 2.9850 1.0400 ; + RECT 2.7150 1.3040 2.7570 1.3460 ; + RECT 2.5630 1.2180 2.6050 1.2600 ; + RECT 2.7910 1.7880 2.8330 1.8300 ; + RECT 2.1070 1.3240 2.1490 1.3660 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 3.5510 1.0420 3.5930 1.0840 ; + RECT 3.4750 1.4920 3.5170 1.5340 ; + RECT 3.4750 1.4000 3.5170 1.4420 ; + RECT 3.4750 1.4920 3.5170 1.5340 ; + RECT 3.3990 1.0420 3.4410 1.0840 ; + RECT 3.4750 1.4000 3.5170 1.4420 ; + RECT 3.7030 1.0420 3.7450 1.0840 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 3.8550 1.0420 3.8970 1.0840 ; + RECT 1.8030 1.3150 1.8450 1.3570 ; + RECT 3.7790 1.4000 3.8210 1.4420 ; + RECT 3.7790 1.4920 3.8210 1.5340 ; + RECT 1.4990 1.3180 1.5410 1.3600 ; + RECT 2.1830 1.9470 2.2250 1.9890 ; + RECT 0.9670 1.1060 1.0090 1.1480 ; + RECT 3.8550 1.0420 3.8970 1.0840 ; + RECT 3.7030 1.0420 3.7450 1.0840 ; + RECT 3.3990 1.0420 3.4410 1.0840 ; + RECT 3.5510 1.0420 3.5930 1.0840 ; + RECT 0.5110 1.0850 0.5530 1.1270 ; + RECT 4.4630 1.0420 4.5050 1.0840 ; + RECT 4.3110 1.0420 4.3530 1.0840 ; + RECT 4.0070 1.0420 4.0490 1.0840 ; + RECT 0.4350 1.5010 0.4770 1.5430 ; + RECT 0.3590 0.8660 0.4010 0.9080 ; + RECT 0.2830 1.4280 0.3250 1.4700 ; + RECT 0.5870 1.3620 0.6290 1.4040 ; + RECT 0.7390 0.4000 0.7810 0.4420 ; + RECT 0.7390 0.3080 0.7810 0.3500 ; + RECT 0.5870 1.4540 0.6290 1.4960 ; + RECT 0.4350 1.4090 0.4770 1.4510 ; + RECT 0.6630 0.6960 0.7050 0.7380 ; + RECT 2.4870 2.1010 2.5290 2.1430 ; + RECT 2.1070 0.1990 2.1490 0.2410 ; + RECT 2.1070 0.2910 2.1490 0.3330 ; + RECT 3.0190 1.5020 3.0610 1.5440 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 3.1710 1.2200 3.2130 1.2620 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 0.8910 1.4050 0.9330 1.4470 ; + RECT 0.7390 1.9090 0.7810 1.9510 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 3.0950 0.9980 3.1370 1.0400 ; + RECT 2.8670 1.2200 2.9090 1.2620 ; + RECT 2.6390 1.9470 2.6810 1.9890 ; + RECT 2.5630 1.4940 2.6050 1.5360 ; + RECT 2.5630 0.8540 2.6050 0.8960 ; + RECT 2.5630 0.7620 2.6050 0.8040 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 1.4990 0.1670 1.5410 0.2090 ; + RECT 1.4990 0.4430 1.5410 0.4850 ; + RECT 1.4990 0.3510 1.5410 0.3930 ; + RECT 1.4990 0.6270 1.5410 0.6690 ; + RECT 1.4990 0.5350 1.5410 0.5770 ; + RECT 1.8030 0.5350 1.8450 0.5770 ; + RECT 1.8030 0.6270 1.8450 0.6690 ; + RECT 1.8030 0.3510 1.8450 0.3930 ; + RECT 1.8030 0.4430 1.8450 0.4850 ; + RECT 1.8030 0.1670 1.8450 0.2090 ; + RECT 1.8030 0.2590 1.8450 0.3010 ; + RECT 1.4990 1.5020 1.5410 1.5440 ; + RECT 1.4990 1.4100 1.5410 1.4520 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 2.2590 1.4900 2.3010 1.5320 ; + RECT 0.2830 1.3360 0.3250 1.3780 ; + RECT 0.2830 1.2440 0.3250 1.2860 ; + RECT 2.7910 1.0970 2.8330 1.1390 ; + RECT 2.4870 0.1180 2.5290 0.1600 ; + RECT 2.1830 1.0050 2.2250 1.0470 ; + RECT 4.6150 2.1010 4.6570 2.1430 ; + RECT 0.4350 1.3170 0.4770 1.3590 ; + RECT 1.0430 2.0010 1.0850 2.0430 ; + RECT 0.4350 1.2250 0.4770 1.2670 ; + RECT 0.7390 2.0010 0.7810 2.0430 ; + RECT 4.1590 1.0420 4.2010 1.0840 ; + RECT 1.1190 1.1060 1.1610 1.1480 ; + RECT 1.4230 1.1060 1.4650 1.1480 ; + RECT 1.2710 1.1060 1.3130 1.1480 ; + RECT 2.5630 1.3100 2.6050 1.3520 ; + RECT 1.4230 1.1060 1.4650 1.1480 ; + RECT 1.2710 1.1060 1.3130 1.1480 ; + RECT 4.3870 1.4920 4.4290 1.5340 ; + RECT 4.3870 1.4000 4.4290 1.4420 ; + RECT 4.0830 1.4000 4.1250 1.4420 ; + RECT 4.0830 1.4920 4.1250 1.5340 ; + RECT 4.0830 1.4000 4.1250 1.4420 ; + RECT 4.0830 1.4920 4.1250 1.5340 ; + RECT 1.7270 1.1060 1.7690 1.1480 ; + RECT 1.5750 1.1060 1.6170 1.1480 ; + RECT 2.0310 1.1060 2.0730 1.1480 ; + RECT 1.8790 1.1060 1.9210 1.1480 ; + RECT 2.1070 0.5670 2.1490 0.6090 ; + RECT 2.1070 0.6590 2.1490 0.7010 ; + RECT 2.2590 0.2910 2.3010 0.3330 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 0.8910 0.6270 0.9330 0.6690 ; + RECT 0.8910 0.5350 0.9330 0.5770 ; + RECT 1.1950 0.2590 1.2370 0.3010 ; + RECT 1.1950 0.1670 1.2370 0.2090 ; + RECT 1.1950 0.4430 1.2370 0.4850 ; + RECT 1.1950 0.3510 1.2370 0.3930 ; + RECT 1.1950 0.6270 1.2370 0.6690 ; + RECT 1.1950 0.5350 1.2370 0.5770 ; + RECT 1.4990 0.2590 1.5410 0.3010 ; + LAYER NWELL ; + RECT -0.1150 -0.1150 5.1310 0.9930 ; + RECT -0.1150 3.2240 5.1310 3.4590 ; + RECT 4.9010 2.3510 5.1310 3.2240 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + LAYER M1 ; + RECT 3.2070 1.0380 4.5090 1.0880 ; + RECT 4.4590 1.0880 4.5090 1.1230 ; + RECT 4.4590 0.9980 4.5090 1.0380 ; + RECT 2.8630 0.1190 2.9130 0.8940 ; + RECT 2.8630 1.2500 2.9130 1.3740 ; + RECT 2.8630 0.8940 3.2570 0.9440 ; + RECT 2.8630 1.2000 3.2570 1.2500 ; + RECT 3.1670 0.1190 3.2170 0.8940 ; + RECT 3.1670 1.2500 3.2170 1.3740 ; + RECT 3.2070 1.0880 3.2570 1.2000 ; + RECT 3.2070 0.9440 3.2570 1.0380 ; + RECT 0.2390 0.6920 0.7250 0.7420 ; + RECT 0.2390 1.2240 0.3290 1.2740 ; + RECT 0.2790 1.2740 0.3290 1.5820 ; + RECT 0.2390 0.7420 0.2890 1.2240 ; + RECT 0.2390 0.6840 0.3290 0.6920 ; + RECT 0.2790 0.2800 0.3290 0.6840 ; + RECT 2.1030 0.1140 2.5560 0.1640 ; + RECT 0.8870 0.1140 0.9370 0.8690 ; + RECT 1.1910 0.1280 1.2410 0.8690 ; + RECT 1.4950 0.1280 1.5450 0.8690 ; + RECT 1.7990 0.1280 1.8490 0.8690 ; + RECT 0.8870 0.8690 2.1530 0.9190 ; + RECT 2.1030 0.1640 2.1530 0.8690 ; + RECT 0.7350 1.0140 2.2450 1.0510 ; + RECT 0.7750 1.0010 2.2450 1.0140 ; + RECT 0.7350 0.5010 0.8250 0.5510 ; + RECT 0.7350 0.2740 0.7850 0.5010 ; + RECT 0.7750 0.9120 0.8250 1.0010 ; + RECT 0.3390 0.8620 0.8250 0.9120 ; + RECT 0.7750 0.5510 0.8250 0.8620 ; + RECT 0.7350 1.0510 0.8250 1.0870 ; + RECT 0.7350 1.0870 0.7850 1.3900 ; + RECT 0.8110 2.8400 0.8610 2.8620 ; + RECT 0.6420 2.8620 0.8610 2.9120 ; + RECT 0.8110 2.9120 0.8610 2.9280 ; + RECT 2.2550 0.8550 2.3450 0.9050 ; + RECT 0.9360 1.1020 2.3450 1.1520 ; + RECT 2.2550 0.2710 2.3050 0.8550 ; + RECT 2.2550 1.1520 2.3050 1.5660 ; + RECT 2.2950 0.9050 2.3450 1.1020 ; + RECT 2.1030 1.3000 2.1530 1.5740 ; + RECT 0.8870 1.2500 2.1530 1.3000 ; + RECT 0.5830 1.5620 0.6330 1.5630 ; + RECT 0.5830 1.3240 0.6330 1.5120 ; + RECT 0.4310 1.5620 0.4810 1.5710 ; + RECT 0.4310 1.5120 0.9370 1.5620 ; + RECT 0.8870 1.3000 0.9370 1.5120 ; + RECT 0.4310 1.1300 0.4810 1.5120 ; + RECT 0.4310 1.0800 0.5730 1.1300 ; + RECT 1.1910 1.3000 1.2410 1.5690 ; + RECT 1.4950 1.3000 1.5450 1.5680 ; + RECT 1.7990 1.3000 1.8490 1.5650 ; + RECT 2.4670 2.0970 4.6770 2.1470 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6590 2.4230 1.0890 2.4730 ; + RECT 0.3310 2.2130 0.7090 2.2630 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.6590 2.2630 0.7090 2.4230 ; + RECT 0.6590 2.1630 0.7090 2.2130 ; + RECT 0.6590 2.1130 0.9370 2.1630 ; + RECT 0.8870 2.0370 0.9370 2.1130 ; + RECT 0.4740 1.7840 2.8530 1.8340 ; + RECT 1.0390 1.8340 1.0890 2.0630 ; + RECT 0.7350 1.8340 0.7850 2.0630 ; + RECT 2.5590 0.9940 3.1570 1.0440 ; + RECT 2.5590 1.0440 2.6090 1.5650 ; + RECT 2.5590 0.7370 2.6090 0.9940 ; + RECT 2.7110 1.4240 4.4330 1.4740 ; + RECT 4.3830 1.4740 4.4330 1.5540 ; + RECT 4.3830 1.3800 4.4330 1.4240 ; + RECT 2.7110 1.0940 2.8530 1.1440 ; + RECT 2.7110 1.4740 2.7610 1.5620 ; + RECT 3.0150 1.4740 3.0650 1.5640 ; + RECT 3.0150 1.3900 3.0650 1.4240 ; + RECT 2.7110 1.1440 2.7610 1.4240 ; + RECT 3.4710 1.4740 3.5210 1.5540 ; + RECT 3.4710 1.3800 3.5210 1.4240 ; + RECT 3.7750 1.4740 3.8250 1.5540 ; + RECT 3.7750 1.3800 3.8250 1.4240 ; + RECT 4.0790 1.4740 4.1290 1.5540 ; + RECT 4.0790 1.3800 4.1290 1.4240 ; + RECT 2.1630 1.9430 2.7190 1.9930 ; + LAYER PO ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.5170 0.0780 0.5470 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 1.1280 0.6990 2.9300 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 1.7330 0.0680 1.7630 2.7800 ; + RECT 0.3650 0.0870 0.3950 0.9600 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.5810 0.0680 1.6110 2.7800 ; + RECT 0.9730 0.0680 1.0030 1.6680 ; + RECT 0.8210 1.8120 0.8510 2.9270 ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 0.6690 0.0890 0.6990 1.0250 ; + RECT 1.1250 0.0680 1.1550 2.7780 ; + RECT 0.8210 0.0860 0.8510 1.7120 ; + RECT 2.7970 0.0890 2.8270 2.7800 ; + RECT 0.3650 1.1280 0.3950 2.7800 ; + RECT 2.9490 0.0670 2.9790 2.7800 ; + RECT 2.4930 0.0590 2.5230 2.7800 ; + RECT 2.6450 0.0740 2.6750 2.7800 ; + RECT 3.2530 0.0670 3.2830 2.7800 ; + RECT 3.1010 0.0670 3.1310 2.7800 ; + RECT 3.4050 0.0670 3.4350 2.7800 ; + RECT 3.5570 0.0670 3.5870 2.7800 ; + RECT 3.8610 0.0670 3.8910 2.7800 ; + RECT 3.7090 0.0670 3.7390 2.7800 ; + RECT 4.1650 0.0670 4.1950 2.7800 ; + RECT 4.0130 0.0670 4.0430 2.7800 ; + RECT 4.3170 0.0670 4.3470 2.7800 ; + RECT 4.4690 0.0670 4.4990 2.7800 ; + RECT 2.3410 0.0680 2.3710 2.7960 ; + RECT 4.7730 0.0660 4.8030 2.7790 ; + RECT 4.6210 0.0670 4.6510 2.7800 ; + RECT 4.9250 0.0660 4.9550 2.7790 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + END +END LSUPENX8_HVT + +MACRO LSDNENCLX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.3450 ; + RECT 1.9510 0.0300 2.0010 0.3450 ; + RECT 1.3430 0.0300 1.3930 0.3450 ; + RECT 0.8870 0.0300 0.9370 0.5050 ; + RECT 2.2550 0.0300 2.3050 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.9550 0.2610 1.9970 0.3030 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.3470 0.2610 1.3890 0.3030 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.2590 0.3740 2.3010 0.4160 ; + RECT 2.2590 0.2820 2.3010 0.3240 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VDDL + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7900 1.1470 0.9810 1.2810 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.1880 0.8570 1.2300 ; + END + ANTENNAGATEAREA 0.063 ; + END EN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 2.2250 1.7020 2.3350 1.7720 ; + RECT 2.2250 1.5720 2.3350 1.6420 ; + RECT 0.4310 1.4960 0.4810 1.6420 ; + RECT 1.6470 1.3630 1.6970 1.6420 ; + RECT 1.9510 1.3630 2.0010 1.6420 ; + RECT 1.3430 1.5220 1.3930 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 0.8870 1.5170 0.9370 1.6420 ; + RECT 2.2550 1.3030 2.3050 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + RECT 1.3470 1.5420 1.3890 1.5840 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 2.2590 1.3390 2.3010 1.3810 ; + RECT 2.2590 1.4310 2.3010 1.4730 ; + RECT 0.4350 1.5270 0.4770 1.5690 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + END + END VSS + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.5840 3.0700 ; + RECT 1.9210 3.0700 2.0310 3.1400 ; + RECT 1.9210 2.9400 2.0310 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2120 0.6940 2.3630 0.8280 ; + RECT 2.2120 0.6700 2.2620 0.6940 ; + RECT 2.1030 0.2170 2.1530 0.6200 ; + RECT 2.2120 0.8280 2.2620 1.1930 ; + RECT 1.7990 0.2170 1.8490 0.6200 ; + RECT 1.4950 0.1850 1.5450 0.6200 ; + RECT 1.4950 0.6200 2.2620 0.6700 ; + RECT 1.4950 1.1930 2.2620 1.2430 ; + RECT 2.1030 1.2430 2.1530 1.5830 ; + RECT 1.4950 1.2430 1.5450 1.5830 ; + RECT 1.7990 1.2430 1.8490 1.5830 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 0.2460 1.5410 0.2880 ; + RECT 2.1070 0.2610 2.1490 0.3030 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 1.5750 1.0370 1.6170 1.0790 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 1.8790 1.0370 1.9210 1.0790 ; + RECT 2.0310 1.0370 2.0730 1.0790 ; + RECT 0.8150 1.0320 0.8570 1.0740 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 0.9670 1.0320 1.0090 1.0740 ; + RECT 1.1950 0.8050 1.2370 0.8470 ; + RECT 0.7390 1.5220 0.7810 1.5640 ; + RECT 1.1950 1.2330 1.2370 1.2750 ; + RECT 0.5110 1.0320 0.5530 1.0740 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 1.5220 0.6290 1.5640 ; + RECT 1.0430 1.5220 1.0850 1.5640 ; + RECT 0.3590 1.0320 0.4010 1.0740 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 1.0430 0.4480 1.0850 0.4900 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.0430 0.3560 1.0850 0.3980 ; + RECT 1.0430 0.6320 1.0850 0.6740 ; + RECT 1.0430 0.5400 1.0850 0.5820 ; + RECT 0.7390 0.6320 0.7810 0.6740 ; + RECT 0.7390 0.5400 0.7810 0.5820 ; + RECT 0.7390 0.4480 0.7810 0.4900 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.7390 0.3560 0.7810 0.3980 ; + RECT 0.7390 0.2640 0.7810 0.3060 ; + LAYER NWELL ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 3.2240 2.6990 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.4690 2.3510 2.6990 3.2240 ; + RECT -0.1150 -0.1150 2.6990 0.9930 ; + LAYER M1 ; + RECT 0.7350 0.6640 1.0890 0.7140 ; + RECT 1.0390 0.2300 1.0890 0.6640 ; + RECT 0.4310 0.0880 0.7850 0.1380 ; + RECT 0.4310 0.1380 0.4810 0.6310 ; + RECT 0.7350 0.1380 0.7850 0.6640 ; + RECT 1.3830 1.0330 2.1240 1.0830 ; + RECT 1.1910 1.2010 1.4330 1.2510 ; + RECT 1.1720 0.8010 1.4330 0.8510 ; + RECT 1.1910 1.2510 1.2410 1.2950 ; + RECT 1.3830 1.0830 1.4330 1.2010 ; + RECT 1.3830 0.8510 1.4330 1.0330 ; + RECT 0.6330 0.9010 1.2700 0.9510 ; + RECT 1.2200 1.0280 1.3330 1.0780 ; + RECT 0.5830 1.3840 1.0890 1.4340 ; + RECT 1.2200 0.9510 1.2700 1.0280 ; + RECT 1.0390 1.4340 1.0890 1.5840 ; + RECT 0.2790 0.2280 0.3290 0.7810 ; + RECT 0.5830 0.2300 0.6330 0.7810 ; + RECT 0.2790 0.7810 0.6830 0.8310 ; + RECT 0.5830 1.4340 0.6330 1.5840 ; + RECT 0.6330 0.8310 0.6830 0.9010 ; + RECT 0.7350 1.4340 0.7850 1.5840 ; + RECT 0.6330 0.9510 0.6830 1.1980 ; + RECT 0.5830 1.2620 0.6330 1.3840 ; + RECT 0.5830 1.1980 0.6830 1.2620 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.3380 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.7950 1.0280 1.0290 1.0780 ; + RECT 0.3390 1.0280 0.5730 1.0780 ; + LAYER PO ; + RECT 0.6690 0.0780 0.6990 2.7810 ; + RECT 2.0370 0.0620 2.0670 2.7800 ; + RECT 2.1890 0.0620 2.2190 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 2.3410 0.0620 2.3710 2.7800 ; + RECT 1.8850 0.0620 1.9150 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 1.5810 0.0620 1.6110 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7800 ; + RECT 1.7330 0.0620 1.7630 2.7800 ; + RECT 0.9730 0.0780 1.0030 1.7850 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + END +END LSDNENCLX4_HVT + +MACRO LSDNENCLX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 2.7110 0.0300 2.7610 0.3450 ; + RECT 1.7990 0.0300 1.8490 0.3450 ; + RECT 2.4070 0.0300 2.4570 0.3500 ; + RECT 2.1030 0.0300 2.1530 0.3450 ; + RECT 1.1910 0.0300 1.2410 0.3450 ; + RECT 1.4950 0.0300 1.5450 0.3450 ; + RECT 0.8870 0.0300 0.9370 0.5050 ; + RECT 3.0150 0.0300 3.0650 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.3440 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.0190 0.3740 3.0610 0.4160 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.0190 0.2820 3.0610 0.3240 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.7150 0.2610 2.7570 0.3030 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.4110 0.2610 2.4530 0.3030 ; + RECT 2.1070 0.2610 2.1490 0.3030 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 1.4990 0.2610 1.5410 0.3030 ; + RECT 1.1950 0.2610 1.2370 0.3030 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + END + END VDDL + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7900 1.0890 0.9810 1.2710 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.1300 0.8570 1.1720 ; + END + ANTENNAGATEAREA 0.066 ; + END EN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 0.2490 1.7020 0.3590 1.7720 ; + RECT 0.2490 1.5720 0.3590 1.6420 ; + RECT 3.0150 1.3030 3.0650 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 0.8870 1.5170 0.9370 1.6420 ; + RECT 2.7110 1.3630 2.7610 1.6420 ; + RECT 1.7990 1.3630 1.8490 1.6420 ; + RECT 2.4070 1.3630 2.4570 1.6420 ; + RECT 2.1030 1.3630 2.1530 1.6420 ; + RECT 0.4310 1.4920 0.4810 1.6420 ; + RECT 1.1910 1.5220 1.2410 1.6420 ; + RECT 1.4950 1.5260 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.3390 3.0610 1.3810 ; + RECT 3.0190 1.4310 3.0610 1.4730 ; + RECT 2.7150 1.4880 2.7570 1.5300 ; + RECT 2.7150 1.3960 2.7570 1.4380 ; + RECT 0.4350 1.5230 0.4770 1.5650 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 1.4990 1.5460 1.5410 1.5880 ; + RECT 1.1950 1.5420 1.2370 1.5840 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + END + END VSS + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.3440 3.0700 ; + RECT 2.3770 3.0700 2.4870 3.1400 ; + RECT 2.3770 2.9400 2.4870 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9720 0.6940 3.1230 0.8280 ; + RECT 2.9720 0.6700 3.0220 0.6940 ; + RECT 2.8630 0.2170 2.9130 0.6200 ; + RECT 2.2550 0.2170 2.3050 0.6200 ; + RECT 2.9720 0.8280 3.0220 1.1870 ; + RECT 2.5590 0.1850 2.6090 0.6200 ; + RECT 1.9510 0.2170 2.0010 0.6200 ; + RECT 1.6470 0.1850 1.6970 0.6200 ; + RECT 1.6470 0.6200 3.0220 0.6700 ; + RECT 2.5590 1.2370 2.6090 1.5830 ; + RECT 2.8630 1.2370 2.9130 1.5830 ; + RECT 1.6470 1.1870 3.0220 1.2370 ; + RECT 2.2550 1.2370 2.3050 1.5830 ; + RECT 1.6470 1.2370 1.6970 1.5830 ; + RECT 1.9510 1.2370 2.0010 1.5830 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.4880 2.6050 1.5300 ; + RECT 2.5630 1.3960 2.6050 1.4380 ; + RECT 2.8670 1.4880 2.9090 1.5300 ; + RECT 2.8670 1.3960 2.9090 1.4380 ; + RECT 2.5630 0.2460 2.6050 0.2880 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 0.2610 1.9970 0.3030 ; + RECT 2.8670 0.2610 2.9090 0.3030 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.6510 0.2460 1.6930 0.2880 ; + RECT 2.2590 0.2610 2.3010 0.3030 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.0430 0.4480 1.0850 0.4900 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 0.3590 1.0320 0.4010 1.0740 ; + RECT 1.0430 1.5180 1.0850 1.5600 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.5870 1.5180 0.6290 1.5600 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 2.4870 1.0370 2.5290 1.0790 ; + RECT 2.7910 1.0370 2.8330 1.0790 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + RECT 1.8790 1.0370 1.9210 1.0790 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.5110 1.0320 0.5530 1.0740 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.7390 1.5180 0.7810 1.5600 ; + RECT 0.9670 0.9740 1.0090 1.0160 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 2.6390 1.0370 2.6810 1.0790 ; + RECT 2.0310 1.0370 2.0730 1.0790 ; + RECT 0.8150 0.9740 0.8570 1.0160 ; + RECT 2.1830 1.0370 2.2250 1.0790 ; + RECT 2.3350 1.0370 2.3770 1.0790 ; + RECT 1.4230 1.0320 1.4650 1.0740 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 1.3470 0.8330 1.3890 0.8750 ; + RECT 0.7390 0.2640 0.7810 0.3060 ; + RECT 0.7390 0.3560 0.7810 0.3980 ; + RECT 1.3470 1.2420 1.3890 1.2840 ; + RECT 0.7390 0.4480 0.7810 0.4900 ; + RECT 0.7390 0.5400 0.7810 0.5820 ; + RECT 0.7390 0.6320 0.7810 0.6740 ; + RECT 1.0430 0.5400 1.0850 0.5820 ; + RECT 1.0430 0.6320 1.0850 0.6740 ; + RECT 1.0430 0.3560 1.0850 0.3980 ; + LAYER NWELL ; + RECT -0.1150 3.2240 3.4590 3.4580 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 3.2290 2.3510 3.4590 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 -0.1150 3.4590 0.9930 ; + LAYER M1 ; + RECT 1.5350 1.0330 2.8840 1.0830 ; + RECT 1.3430 0.8010 1.5850 0.8510 ; + RECT 1.3430 1.2010 1.5850 1.2510 ; + RECT 1.3430 0.8510 1.3930 0.9170 ; + RECT 1.5350 0.8510 1.5850 1.0330 ; + RECT 1.3430 1.2510 1.3930 1.3040 ; + RECT 1.5350 1.0830 1.5850 1.2010 ; + RECT 1.0390 0.2300 1.0890 0.6640 ; + RECT 0.7350 0.6640 1.0890 0.7140 ; + RECT 0.4310 0.0890 0.7850 0.1390 ; + RECT 0.4310 0.1390 0.4810 0.6310 ; + RECT 0.7350 0.1390 0.7850 0.6640 ; + RECT 1.2200 1.0280 1.4850 1.0780 ; + RECT 0.2790 0.7810 1.2700 0.8310 ; + RECT 1.2200 0.8310 1.2700 1.0280 ; + RECT 0.2790 0.2280 0.3290 0.7810 ; + RECT 0.5830 0.2300 0.6330 0.7810 ; + RECT 0.5830 1.4330 0.6330 1.5800 ; + RECT 0.7350 1.4330 0.7850 1.5800 ; + RECT 0.5830 1.2620 0.6330 1.3830 ; + RECT 0.6330 0.8310 0.6830 1.1980 ; + RECT 0.5830 1.1980 0.6830 1.2620 ; + RECT 0.5830 1.3830 1.0890 1.4330 ; + RECT 1.0390 1.4330 1.0890 1.5800 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.3380 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.7950 0.9700 1.0290 1.0200 ; + RECT 0.3390 1.0280 0.5730 1.0780 ; + LAYER PO ; + RECT 3.2530 0.0650 3.2830 2.7800 ; + RECT 0.6690 0.0780 0.6990 2.7810 ; + RECT 2.0370 0.0600 2.0670 2.7800 ; + RECT 2.1890 0.0600 2.2190 2.7800 ; + RECT 2.3410 0.0600 2.3710 2.7800 ; + RECT 2.4930 0.0600 2.5230 2.7800 ; + RECT 2.6450 0.0600 2.6750 2.7800 ; + RECT 2.7970 0.0600 2.8270 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 2.9490 0.0600 2.9790 2.7800 ; + RECT 1.8850 0.0600 1.9150 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 1.5810 0.0600 1.6110 2.7800 ; + RECT 1.2770 0.0650 1.3070 2.7800 ; + RECT 1.4290 0.0640 1.4590 2.7800 ; + RECT 3.1010 0.0650 3.1310 2.7800 ; + RECT 1.7330 0.0600 1.7630 2.7800 ; + RECT 0.9730 0.0780 1.0030 1.7850 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + END +END LSDNENCLX8_HVT + +MACRO LSDNENSSX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1640 0.7060 2.3350 0.8160 ; + END + PORT + LAYER CO ; + RECT 2.1830 0.7480 2.2250 0.7900 ; + END + END EN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 2.2550 0.0300 2.3050 0.3690 ; + RECT 1.6470 0.0300 1.6970 0.3680 ; + RECT 1.3430 0.0300 1.3930 0.3680 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.2140 1.6930 0.2560 ; + RECT 1.6510 0.3060 1.6930 0.3480 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.2590 0.2150 2.3010 0.2570 ; + RECT 2.2590 0.3070 2.3010 0.3490 ; + RECT 2.2590 0.2150 2.3010 0.2570 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 2.2550 1.0780 2.3050 1.6420 ; + RECT 1.1910 1.2580 1.2410 1.6420 ; + RECT 0.7350 1.3290 0.7850 1.6420 ; + RECT 0.4310 1.2190 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1950 1.2810 1.2370 1.3230 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.1950 1.3730 1.2370 1.4150 ; + RECT 1.1950 1.4650 1.2370 1.5070 ; + RECT 0.4350 1.2590 0.4770 1.3010 ; + RECT 2.2590 1.1900 2.3010 1.2320 ; + RECT 0.4350 1.4430 0.4770 1.4850 ; + RECT 0.4350 1.2590 0.4770 1.3010 ; + RECT 2.2590 1.4660 2.3010 1.5080 ; + RECT 2.2590 1.3740 2.3010 1.4160 ; + RECT 2.2590 1.3740 2.3010 1.4160 ; + RECT 2.2590 1.1900 2.3010 1.2320 ; + RECT 0.7390 1.4600 0.7810 1.5020 ; + RECT 0.7390 1.3680 0.7810 1.4100 ; + RECT 0.4350 1.3510 0.4770 1.3930 ; + RECT 0.4350 1.3510 0.4770 1.3930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 0.4350 1.4430 0.4770 1.4850 ; + RECT 2.2590 1.4660 2.3010 1.5080 ; + RECT 2.2590 1.0980 2.3010 1.1400 ; + RECT 2.2590 1.0980 2.3010 1.1400 ; + END + END VDDL + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.8400 0.5110 0.8900 ; + RECT 0.4010 0.8900 0.5110 0.9670 ; + RECT 0.4010 0.8100 0.5110 0.8400 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8440 0.4010 0.8860 ; + END + ANTENNAGATEAREA 0.0081 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 0.8660 1.9530 0.9670 ; + RECT 1.0390 0.8570 1.9530 0.8660 ; + RECT 1.0390 0.5430 1.9030 0.5930 ; + RECT 1.0390 0.1940 1.0890 0.5430 ; + RECT 1.0390 0.8160 1.9030 0.8570 ; + RECT 1.8530 0.5930 1.9030 0.8160 ; + RECT 1.0390 0.8660 1.0890 1.1090 ; + RECT 1.3430 0.8660 1.3930 1.2140 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2140 1.0850 0.2560 ; + RECT 1.0430 0.3060 1.0850 0.3480 ; + RECT 1.0430 1.0300 1.0850 1.0720 ; + RECT 1.0430 0.3980 1.0850 0.4400 ; + RECT 1.0430 0.4900 1.0850 0.5320 ; + RECT 1.0430 0.8460 1.0850 0.8880 ; + RECT 1.3470 1.0600 1.3890 1.1020 ; + RECT 1.3470 0.9680 1.3890 1.0100 ; + RECT 1.0430 0.9380 1.0850 0.9800 ; + END + ANTENNADIFFAREA 0.206 ; + END Y + OBS + LAYER CO ; + RECT 1.7270 0.6570 1.7690 0.6990 ; + RECT 1.8030 0.2140 1.8450 0.2560 ; + RECT 1.8030 0.3060 1.8450 0.3480 ; + RECT 1.8030 0.3980 1.8450 0.4400 ; + RECT 1.4230 0.6570 1.4650 0.6990 ; + RECT 1.4990 0.2140 1.5410 0.2560 ; + RECT 1.4990 0.3060 1.5410 0.3480 ; + RECT 1.4990 0.3980 1.5410 0.4400 ; + RECT 1.5750 0.6570 1.6170 0.6990 ; + RECT 0.2830 1.0750 0.3250 1.1170 ; + RECT 0.8150 0.6590 0.8570 0.7010 ; + RECT 0.7390 0.2140 0.7810 0.2560 ; + RECT 1.1190 0.6560 1.1610 0.6980 ; + RECT 0.2830 1.0750 0.3250 1.1170 ; + RECT 0.7390 0.3060 0.7810 0.3480 ; + RECT 1.2710 0.6570 1.3130 0.6990 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.6630 0.6550 0.7050 0.6970 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + RECT 0.2830 1.1670 0.3250 1.2090 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 1.1670 0.3250 1.2090 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.2830 1.3510 0.3250 1.3930 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 0.5870 0.3980 0.6290 0.4400 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 2.1070 1.3740 2.1490 1.4160 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.8910 0.3980 0.9330 0.4400 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 2.1070 1.4660 2.1490 1.5080 ; + RECT 1.1950 0.3060 1.2370 0.3480 ; + RECT 1.1950 0.3980 1.2370 0.4400 ; + RECT 0.8910 0.4900 0.9330 0.5320 ; + RECT 0.5870 0.3060 0.6290 0.3480 ; + RECT 0.5870 0.2140 0.6290 0.2560 ; + RECT 0.8910 0.3060 0.9330 0.3480 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 2.1070 1.4660 2.1490 1.5080 ; + RECT 0.8910 0.8460 0.9330 0.8880 ; + RECT 0.2830 1.4430 0.3250 1.4850 ; + RECT 0.8910 0.9380 0.9330 0.9800 ; + RECT 0.2830 1.4430 0.3250 1.4850 ; + RECT 0.2830 1.2590 0.3250 1.3010 ; + RECT 0.2830 1.3510 0.3250 1.3930 ; + RECT 0.2830 1.2590 0.3250 1.3010 ; + RECT 2.1070 1.3740 2.1490 1.4160 ; + RECT 1.7270 1.1690 1.7690 1.2110 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 2.1070 0.2150 2.1490 0.2570 ; + RECT 2.1070 0.2150 2.1490 0.2570 ; + RECT 2.1070 1.0980 2.1490 1.1400 ; + RECT 2.1070 1.0980 2.1490 1.1400 ; + RECT 2.1070 1.1900 2.1490 1.2320 ; + RECT 2.1070 1.1900 2.1490 1.2320 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7730 ; + LAYER M1 ; + RECT 2.0210 0.5020 2.1530 0.5520 ; + RECT 2.0210 0.5520 2.0710 0.9100 ; + RECT 2.1030 0.1760 2.1530 0.5020 ; + RECT 2.0210 0.9100 2.1290 0.9110 ; + RECT 2.0210 0.9110 2.1530 0.9600 ; + RECT 2.1030 1.2150 2.1530 1.5280 ; + RECT 1.6880 1.1650 2.1530 1.2150 ; + RECT 2.1030 0.9600 2.1530 1.1650 ; + RECT 1.1910 0.4400 1.8490 0.4900 ; + RECT 1.7990 0.1940 1.8490 0.4400 ; + RECT 0.4310 0.0880 1.2410 0.1380 ; + RECT 1.1910 0.1380 1.2410 0.4400 ; + RECT 0.4310 0.1380 0.4810 0.3690 ; + RECT 0.7350 0.1380 0.7850 0.3680 ; + RECT 1.4950 0.1940 1.5450 0.4400 ; + RECT 0.9270 0.6520 1.1810 0.7020 ; + RECT 0.8870 0.5320 0.9770 0.5820 ; + RECT 0.8870 0.8040 0.9770 0.8540 ; + RECT 0.8870 0.1940 0.9370 0.5320 ; + RECT 0.8870 0.8540 0.9370 1.0240 ; + RECT 0.9270 0.7020 0.9770 0.8040 ; + RECT 0.9270 0.5820 0.9770 0.6520 ; + RECT 0.5830 0.7730 0.8250 0.8230 ; + RECT 0.7750 0.6550 0.8770 0.7050 ; + RECT 0.5830 0.1940 0.6330 0.5010 ; + RECT 0.7750 0.5510 0.8250 0.6550 ; + RECT 0.5830 0.5010 0.8250 0.5510 ; + RECT 0.5830 0.8230 0.6330 1.2310 ; + RECT 0.7750 0.7050 0.8250 0.7730 ; + RECT 1.2510 0.6530 1.7900 0.7030 ; + RECT 0.2390 0.6510 0.7250 0.7010 ; + RECT 0.2390 0.9470 0.3290 0.9970 ; + RECT 0.2790 0.9970 0.3290 1.5050 ; + RECT 0.2790 0.1950 0.3290 0.6510 ; + RECT 0.2390 0.7010 0.2890 0.9470 ; + LAYER PO ; + RECT 2.0370 0.1340 2.0670 1.6120 ; + RECT 1.7330 0.1340 1.7630 1.6120 ; + RECT 1.8850 0.1330 1.9150 1.6120 ; + RECT 2.4930 0.1330 2.5230 1.6120 ; + RECT 1.1250 0.1330 1.1550 1.6120 ; + RECT 1.5810 0.1330 1.6110 1.6120 ; + RECT 0.9730 0.1330 1.0030 1.6120 ; + RECT 1.4290 0.1340 1.4590 1.6120 ; + RECT 1.2770 0.1340 1.3070 1.6120 ; + RECT 0.3650 0.1330 0.3950 1.6120 ; + RECT 0.5170 0.1330 0.5470 1.6120 ; + RECT 0.8210 0.1330 0.8510 1.6120 ; + RECT 0.6690 0.1330 0.6990 1.6120 ; + RECT 0.0610 0.1330 0.0910 1.6040 ; + RECT 2.1890 0.1340 2.2190 1.6120 ; + RECT 2.3410 0.1330 2.3710 1.6120 ; + RECT 0.2130 0.1330 0.2430 1.6120 ; + END +END LSDNENSSX1_HVT + +MACRO LSDNENSSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.6280 0.7050 2.7910 0.8310 ; + END + PORT + LAYER CO ; + RECT 2.6390 0.7580 2.6810 0.8000 ; + END + END EN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.3680 ; + RECT 1.7990 0.0300 1.8490 0.3680 ; + RECT 2.7110 0.0300 2.7610 0.4710 ; + RECT 2.1030 0.0300 2.1530 0.3680 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8030 0.3060 1.8450 0.3480 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.7150 0.2150 2.7570 0.2570 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.8030 0.2140 1.8450 0.2560 ; + RECT 2.7150 0.3990 2.7570 0.4410 ; + RECT 2.7150 0.2150 2.7570 0.2570 ; + RECT 2.7150 0.3070 2.7570 0.3490 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.1070 0.2140 2.1490 0.2560 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.4990 0.2140 1.5410 0.2560 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.4990 0.3060 1.5410 0.3480 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1070 0.3060 2.1490 0.3480 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 0.4310 1.3170 0.4810 1.6420 ; + RECT 1.0390 1.3640 1.0890 1.6420 ; + RECT 0.7350 1.3240 0.7850 1.6420 ; + RECT 1.3430 1.3360 1.3930 1.6420 ; + RECT 1.6470 1.0100 1.6970 1.6420 ; + RECT 2.7110 1.0280 2.7610 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3980 1.6930 1.4400 ; + RECT 1.6510 1.3060 1.6930 1.3480 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7150 1.1790 2.7570 1.2210 ; + RECT 2.7150 1.1790 2.7570 1.2210 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.7150 1.0870 2.7570 1.1290 ; + RECT 2.7150 1.0870 2.7570 1.1290 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7150 1.3630 2.7570 1.4050 ; + RECT 2.7150 1.4550 2.7570 1.4970 ; + RECT 0.4350 1.3470 0.4770 1.3890 ; + RECT 0.4350 1.4390 0.4770 1.4810 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.7150 1.2710 2.7570 1.3130 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 2.7150 1.2710 2.7570 1.3130 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.6510 1.0300 1.6930 1.0720 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.6510 1.4900 1.6930 1.5320 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.6510 1.2140 1.6930 1.2560 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.7390 1.3520 0.7810 1.3940 ; + RECT 2.7150 1.4550 2.7570 1.4970 ; + RECT 1.3470 1.3640 1.3890 1.4060 ; + RECT 1.3470 1.4560 1.3890 1.4980 ; + RECT 2.7150 1.3630 2.7570 1.4050 ; + RECT 1.6510 1.1220 1.6930 1.1640 ; + RECT 0.4350 1.4390 0.4770 1.4810 ; + RECT 0.7390 1.4440 0.7810 1.4860 ; + RECT 0.4350 1.3470 0.4770 1.3890 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + END + END VDDL + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.8360 0.5110 0.8860 ; + RECT 0.4010 0.8860 0.5110 0.9670 ; + RECT 0.4010 0.8060 0.5110 0.8360 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8400 0.4010 0.8820 ; + END + ANTENNAGATEAREA 0.0081 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.8680 1.2410 1.0630 ; + RECT 1.4950 0.8680 1.5450 1.1100 ; + RECT 1.1910 0.1940 1.2410 0.5520 ; + RECT 2.3270 0.6020 2.3770 0.8180 ; + RECT 1.1910 0.5520 2.3770 0.6020 ; + RECT 2.2250 0.8680 2.3350 0.9670 ; + RECT 1.1910 0.8660 2.3440 0.8680 ; + RECT 1.1910 0.8180 2.3770 0.8660 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.8730 1.2370 0.9150 ; + RECT 1.1950 0.9650 1.2370 1.0070 ; + RECT 1.4990 0.9380 1.5410 0.9800 ; + RECT 1.4990 1.0300 1.5410 1.0720 ; + RECT 1.1950 0.3060 1.2370 0.3480 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 1.1950 0.4900 1.2370 0.5320 ; + RECT 1.1950 0.3980 1.2370 0.4400 ; + END + ANTENNADIFFAREA 0.2464 ; + END Y + OBS + LAYER CO ; + RECT 1.9550 0.2140 1.9970 0.2560 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + RECT 0.8910 0.3980 0.9330 0.4400 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 2.5630 1.1790 2.6050 1.2210 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 1.2710 0.6560 1.3130 0.6980 ; + RECT 2.5630 0.2150 2.6050 0.2570 ; + RECT 0.8150 0.6540 0.8570 0.6960 ; + RECT 0.2830 1.3470 0.3250 1.3890 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 2.2590 0.2140 2.3010 0.2560 ; + RECT 2.2590 0.3980 2.3010 0.4400 ; + RECT 2.2590 0.3060 2.3010 0.3480 ; + RECT 2.5630 0.3990 2.6050 0.4410 ; + RECT 0.2830 1.1630 0.3250 1.2050 ; + RECT 2.1830 1.4490 2.2250 1.4910 ; + RECT 1.9550 0.3980 1.9970 0.4400 ; + RECT 2.5630 0.3070 2.6050 0.3490 ; + RECT 2.5630 0.2150 2.6050 0.2570 ; + RECT 1.9550 0.3060 1.9970 0.3480 ; + RECT 0.2830 1.4390 0.3250 1.4810 ; + RECT 0.8910 0.9380 0.9330 0.9800 ; + RECT 0.2830 1.2550 0.3250 1.2970 ; + RECT 2.5630 1.4550 2.6050 1.4970 ; + RECT 2.1830 0.6570 2.2250 0.6990 ; + RECT 2.5630 1.3630 2.6050 1.4050 ; + RECT 1.5750 0.6570 1.6170 0.6990 ; + RECT 1.4230 0.6570 1.4650 0.6990 ; + RECT 2.5630 1.2710 2.6050 1.3130 ; + RECT 0.2830 1.3470 0.3250 1.3890 ; + RECT 0.8910 0.4900 0.9330 0.5320 ; + RECT 0.2830 1.2550 0.3250 1.2970 ; + RECT 0.2830 1.0710 0.3250 1.1130 ; + RECT 0.2830 1.0710 0.3250 1.1130 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 0.8910 0.8460 0.9330 0.8880 ; + RECT 2.5630 1.0870 2.6050 1.1290 ; + RECT 0.2830 1.1630 0.3250 1.2050 ; + RECT 2.1830 1.4490 2.2250 1.4910 ; + RECT 2.5630 1.2710 2.6050 1.3130 ; + RECT 1.0430 0.2140 1.0850 0.2560 ; + RECT 1.0430 0.3060 1.0850 0.3480 ; + RECT 2.5630 1.3630 2.6050 1.4050 ; + RECT 1.8790 0.6570 1.9210 0.6990 ; + RECT 2.0310 0.6570 2.0730 0.6990 ; + RECT 0.5870 0.2140 0.6290 0.2560 ; + RECT 0.5870 0.3060 0.6290 0.3480 ; + RECT 2.5630 1.4550 2.6050 1.4970 ; + RECT 1.0430 0.3980 1.0850 0.4400 ; + RECT 2.5630 1.1790 2.6050 1.2210 ; + RECT 1.1190 0.6560 1.1610 0.6980 ; + RECT 1.6510 0.3980 1.6930 0.4400 ; + RECT 1.6510 0.3060 1.6930 0.3480 ; + RECT 1.6510 0.2140 1.6930 0.2560 ; + RECT 2.5630 1.0870 2.6050 1.1290 ; + RECT 0.7390 0.3060 0.7810 0.3480 ; + RECT 0.7390 0.2140 0.7810 0.2560 ; + RECT 0.8910 0.3060 0.9330 0.3480 ; + RECT 0.2830 1.4390 0.3250 1.4810 ; + RECT 0.5870 0.3980 0.6290 0.4400 ; + RECT 0.4350 0.3990 0.4770 0.4410 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.7270 0.6570 1.7690 0.6990 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.1550 1.7730 ; + LAYER M1 ; + RECT 0.2390 0.6600 0.7250 0.7100 ; + RECT 0.2390 0.9430 0.3290 0.9930 ; + RECT 0.2790 0.9930 0.3290 1.5010 ; + RECT 0.2790 0.1950 0.3290 0.6600 ; + RECT 0.2390 0.7100 0.2890 0.9430 ; + RECT 1.3430 0.4520 2.3050 0.5020 ; + RECT 2.2550 0.1940 2.3050 0.4520 ; + RECT 0.4310 0.0880 1.3930 0.1380 ; + RECT 1.3430 0.1380 1.3930 0.4520 ; + RECT 0.7350 0.1380 0.7850 0.3680 ; + RECT 1.0390 0.1380 1.0890 0.4600 ; + RECT 0.4310 0.1380 0.4810 0.4610 ; + RECT 1.6470 0.1940 1.6970 0.4520 ; + RECT 1.9510 0.1940 2.0010 0.4520 ; + RECT 0.9270 0.6520 1.3330 0.7020 ; + RECT 0.8870 0.5320 0.9770 0.5820 ; + RECT 0.8870 0.8040 0.9770 0.8540 ; + RECT 0.8870 0.1940 0.9370 0.5320 ; + RECT 0.8870 0.8540 0.9370 1.0190 ; + RECT 0.9270 0.7020 0.9770 0.8040 ; + RECT 0.9270 0.5820 0.9770 0.6520 ; + RECT 0.5830 0.7730 0.8250 0.8230 ; + RECT 0.5830 0.5010 0.8250 0.5510 ; + RECT 0.5830 0.1940 0.6330 0.5010 ; + RECT 0.7750 0.6500 0.8770 0.7000 ; + RECT 0.7750 0.5510 0.8250 0.6500 ; + RECT 0.5830 0.8230 0.6330 1.0510 ; + RECT 0.7750 0.7000 0.8250 0.7730 ; + RECT 1.4030 0.6530 2.2450 0.7030 ; + RECT 2.4780 0.9060 2.6070 0.9560 ; + RECT 2.4780 0.6570 2.5280 0.9060 ; + RECT 2.5570 1.4950 2.6070 1.5250 ; + RECT 2.1450 1.4450 2.6070 1.4950 ; + RECT 2.5570 0.9560 2.6070 1.4450 ; + RECT 2.5590 0.1820 2.6090 0.6070 ; + RECT 2.4780 0.6070 2.6090 0.6570 ; + LAYER PO ; + RECT 0.9730 0.1330 1.0030 1.6120 ; + RECT 0.8210 0.1330 0.8510 1.6120 ; + RECT 0.6690 0.1330 0.6990 1.6120 ; + RECT 1.8850 0.1330 1.9150 1.6120 ; + RECT 2.1890 0.1330 2.2190 1.6120 ; + RECT 1.7330 0.1340 1.7630 1.6120 ; + RECT 1.4290 0.1330 1.4590 1.6120 ; + RECT 1.5810 0.1340 1.6110 1.6120 ; + RECT 1.1250 0.1330 1.1550 1.6120 ; + RECT 1.2770 0.1340 1.3070 1.6120 ; + RECT 0.3650 0.1330 0.3950 1.6120 ; + RECT 0.5170 0.1330 0.5470 1.6120 ; + RECT 0.0610 0.1330 0.0910 1.6040 ; + RECT 0.2130 0.1330 0.2430 1.6120 ; + RECT 2.9490 0.1340 2.9790 1.6120 ; + RECT 2.6450 0.1330 2.6750 1.6120 ; + RECT 2.3410 0.1340 2.3710 1.6120 ; + RECT 2.7970 0.1340 2.8270 1.6120 ; + RECT 2.0370 0.1340 2.0670 1.6120 ; + RECT 2.4930 0.1330 2.5230 1.6120 ; + END +END LSDNENSSX2_HVT + +MACRO LSDNENSSX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 3.0150 0.0300 3.0650 0.4900 ; + RECT 2.4070 0.0300 2.4570 0.3680 ; + RECT 2.1030 0.0300 2.1530 0.3680 ; + RECT 1.7990 0.0300 1.8490 0.3680 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4110 0.3060 2.4530 0.3480 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.0190 0.3070 3.0610 0.3490 ; + RECT 3.0190 0.2150 3.0610 0.2570 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.8030 0.3060 1.8450 0.3480 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8030 0.2140 1.8450 0.2560 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.1070 0.2140 2.1490 0.2560 ; + RECT 2.1070 0.3060 2.1490 0.3480 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0190 0.3990 3.0610 0.4410 ; + RECT 3.0190 0.2150 3.0610 0.2570 ; + RECT 2.4110 0.2140 2.4530 0.2560 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.8310 2.6980 0.8810 ; + RECT 2.1030 0.8810 2.1530 1.2210 ; + RECT 1.7990 0.8810 1.8490 1.2090 ; + RECT 1.1910 0.8810 1.2410 1.0540 ; + RECT 1.4950 0.8810 1.5450 1.0450 ; + RECT 1.1910 0.1940 1.2410 0.5490 ; + RECT 1.4950 0.1940 1.5450 0.5490 ; + RECT 2.6480 0.5990 2.6980 0.8310 ; + RECT 1.1910 0.5490 2.6980 0.5990 ; + RECT 2.5290 0.8810 2.6980 0.9600 ; + RECT 2.5290 0.9600 2.6660 0.9670 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.3980 1.5410 0.4400 ; + RECT 1.4990 0.3060 1.5410 0.3480 ; + RECT 1.4990 0.2140 1.5410 0.2560 ; + RECT 1.1950 0.3060 1.2370 0.3480 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 1.1950 0.3980 1.2370 0.4400 ; + RECT 1.1950 0.4900 1.2370 0.5320 ; + RECT 1.8030 1.0550 1.8450 1.0970 ; + RECT 1.8030 1.1470 1.8450 1.1890 ; + RECT 2.1070 1.0440 2.1490 1.0860 ; + RECT 2.1070 1.1360 2.1490 1.1780 ; + RECT 1.4990 0.4900 1.5410 0.5320 ; + RECT 1.1950 0.8810 1.2370 0.9230 ; + RECT 1.4990 0.8570 1.5410 0.8990 ; + RECT 1.4990 0.9490 1.5410 0.9910 ; + RECT 1.1950 0.9730 1.2370 1.0150 ; + END + ANTENNADIFFAREA 0.4928 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.8000 0.5110 0.8500 ; + RECT 0.4010 0.7700 0.5110 0.8000 ; + RECT 0.4010 0.8500 0.5110 0.9680 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8040 0.4010 0.8460 ; + END + ANTENNAGATEAREA 0.0081 ; + END A + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9350 0.6470 3.0950 0.8160 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.6690 2.9850 0.7110 ; + END + END EN + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 3.0150 0.9850 3.0650 1.6420 ; + RECT 1.9510 1.3350 2.0010 1.6420 ; + RECT 0.4310 1.2790 0.4810 1.6420 ; + RECT 2.2550 1.0100 2.3050 1.6420 ; + RECT 0.7350 1.3330 0.7850 1.6420 ; + RECT 1.0390 1.3150 1.0890 1.6420 ; + RECT 1.3430 1.3160 1.3930 1.6420 ; + RECT 1.6470 1.3360 1.6970 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.0190 1.4510 3.0610 1.4930 ; + RECT 3.0190 1.1750 3.0610 1.2170 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.9550 1.3710 1.9970 1.4130 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0190 1.0830 3.0610 1.1250 ; + RECT 3.0190 1.2670 3.0610 1.3090 ; + RECT 3.0190 1.1750 3.0610 1.2170 ; + RECT 3.0190 1.3590 3.0610 1.4010 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 3.0190 1.4510 3.0610 1.4930 ; + RECT 2.2590 1.4900 2.3010 1.5320 ; + RECT 3.0190 1.2670 3.0610 1.3090 ; + RECT 0.4350 1.4030 0.4770 1.4450 ; + RECT 0.4350 1.3110 0.4770 1.3530 ; + RECT 0.4350 1.3110 0.4770 1.3530 ; + RECT 3.0190 1.3590 3.0610 1.4010 ; + RECT 3.0190 1.0830 3.0610 1.1250 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.0430 1.4370 1.0850 1.4790 ; + RECT 1.0430 1.3450 1.0850 1.3870 ; + RECT 1.3470 1.3410 1.3890 1.3830 ; + RECT 1.3470 1.4330 1.3890 1.4750 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.9550 1.4630 1.9970 1.5050 ; + RECT 1.6510 1.3690 1.6930 1.4110 ; + RECT 1.6510 1.4610 1.6930 1.5030 ; + RECT 2.2590 1.0300 2.3010 1.0720 ; + RECT 2.2590 1.2140 2.3010 1.2560 ; + RECT 0.7390 1.3610 0.7810 1.4030 ; + RECT 0.7390 1.4530 0.7810 1.4950 ; + RECT 0.4350 1.4030 0.4770 1.4450 ; + RECT 2.2590 1.3060 2.3010 1.3480 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + END + END VDDL + OBS + LAYER CO ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 0.8910 0.4900 0.9330 0.5320 ; + RECT 2.5630 0.3060 2.6050 0.3480 ; + RECT 0.4350 0.3990 0.4770 0.4410 ; + RECT 0.5870 0.2140 0.6290 0.2560 ; + RECT 0.5870 0.3060 0.6290 0.3480 ; + RECT 2.8670 1.3590 2.9090 1.4010 ; + RECT 2.8670 1.2670 2.9090 1.3090 ; + RECT 2.8670 1.1750 2.9090 1.2170 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.2830 1.0350 0.3250 1.0770 ; + RECT 0.7390 0.3060 0.7810 0.3480 ; + RECT 0.7390 0.2140 0.7810 0.2560 ; + RECT 2.2590 0.3980 2.3010 0.4400 ; + RECT 2.2590 0.2140 2.3010 0.2560 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 1.0430 0.2140 1.0850 0.2560 ; + RECT 2.8670 0.3990 2.9090 0.4410 ; + RECT 1.0430 0.3060 1.0850 0.3480 ; + RECT 2.2590 0.3060 2.3010 0.3480 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 2.8670 0.2150 2.9090 0.2570 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.8910 0.8460 0.9330 0.8880 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 2.8670 1.4510 2.9090 1.4930 ; + RECT 2.8670 1.4510 2.9090 1.4930 ; + RECT 2.8670 0.2150 2.9090 0.2570 ; + RECT 1.6510 0.3060 1.6930 0.3480 ; + RECT 1.6510 0.3980 1.6930 0.4400 ; + RECT 2.8670 1.2670 2.9090 1.3090 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 0.8910 0.9380 0.9330 0.9800 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 2.5630 0.3980 2.6050 0.4400 ; + RECT 2.5630 0.2140 2.6050 0.2560 ; + RECT 2.8670 0.3070 2.9090 0.3490 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + RECT 0.8910 0.3060 0.9330 0.3480 ; + RECT 0.2830 1.1270 0.3250 1.1690 ; + RECT 0.2830 1.2190 0.3250 1.2610 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 1.2190 0.3250 1.2610 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 1.0430 0.3980 1.0850 0.4400 ; + RECT 2.4870 1.3280 2.5290 1.3700 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 2.8670 1.0830 2.9090 1.1250 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 1.9550 0.3060 1.9970 0.3480 ; + RECT 1.9550 0.3980 1.9970 0.4400 ; + RECT 1.6510 0.2140 1.6930 0.2560 ; + RECT 2.8670 1.0830 2.9090 1.1250 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 1.9550 0.2140 1.9970 0.2560 ; + RECT 2.4870 1.3280 2.5290 1.3700 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.8150 0.6540 0.8570 0.6960 ; + RECT 0.2830 1.0350 0.3250 1.0770 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.5870 0.3980 0.6290 0.4400 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 1.1270 0.3250 1.1690 ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 2.8670 1.3590 2.9090 1.4010 ; + RECT 0.8910 0.3980 0.9330 0.4400 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 2.8670 1.1750 2.9090 1.2170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4570 1.7730 ; + LAYER M1 ; + RECT 2.8250 0.9640 2.9130 1.0140 ; + RECT 2.8630 1.3740 2.9130 1.5130 ; + RECT 2.4490 1.3240 2.9130 1.3740 ; + RECT 2.8630 1.0140 2.9130 1.3240 ; + RECT 2.8250 0.5890 2.8750 0.9640 ; + RECT 2.8630 0.1880 2.9130 0.5280 ; + RECT 2.8250 0.5280 2.9130 0.5890 ; + RECT 1.6470 0.4360 2.6090 0.4860 ; + RECT 2.5590 0.1940 2.6090 0.4360 ; + RECT 0.4310 0.0880 1.6970 0.1380 ; + RECT 1.6470 0.1380 1.6970 0.4360 ; + RECT 1.0390 0.1380 1.0890 0.4600 ; + RECT 0.7350 0.1380 0.7850 0.3680 ; + RECT 0.4310 0.1380 0.4810 0.4610 ; + RECT 1.3430 0.1380 1.3930 0.4600 ; + RECT 1.9510 0.1940 2.0010 0.4360 ; + RECT 2.2550 0.1940 2.3050 0.4360 ; + RECT 0.9270 0.6610 1.6370 0.7110 ; + RECT 0.8870 0.8040 0.9770 0.8540 ; + RECT 0.8870 0.5320 0.9770 0.5820 ; + RECT 0.8870 0.8540 0.9370 1.0130 ; + RECT 0.8870 0.1940 0.9370 0.5320 ; + RECT 0.9270 0.7110 0.9770 0.8040 ; + RECT 0.9270 0.5820 0.9770 0.6610 ; + RECT 0.2390 0.6600 0.7250 0.7100 ; + RECT 0.2390 0.9070 0.3290 0.9570 ; + RECT 0.2790 0.9570 0.3290 1.4650 ; + RECT 0.2790 0.1950 0.3290 0.6600 ; + RECT 0.2390 0.7100 0.2890 0.9070 ; + RECT 0.5830 0.7730 0.8250 0.8230 ; + RECT 0.5830 0.5010 0.8250 0.5510 ; + RECT 0.5830 0.1940 0.6330 0.5010 ; + RECT 0.7750 0.6500 0.8770 0.7000 ; + RECT 0.7750 0.5510 0.8250 0.6500 ; + RECT 0.5830 0.8230 0.6330 1.0570 ; + RECT 0.7750 0.7000 0.8250 0.7730 ; + RECT 1.7070 0.6600 2.5870 0.7100 ; + LAYER PO ; + RECT 0.2130 0.1330 0.2430 1.6120 ; + RECT 0.0610 0.1330 0.0910 1.6040 ; + RECT 0.5170 0.1330 0.5470 1.6120 ; + RECT 0.9730 0.1330 1.0030 1.6120 ; + RECT 0.3650 0.1330 0.3950 1.6120 ; + RECT 1.2770 0.1340 1.3070 1.6120 ; + RECT 1.1250 0.1330 1.1550 1.6120 ; + RECT 1.5810 0.1340 1.6110 1.6120 ; + RECT 1.4290 0.1330 1.4590 1.6120 ; + RECT 1.7330 0.1330 1.7630 1.6120 ; + RECT 2.0370 0.1340 2.0670 1.6120 ; + RECT 1.8850 0.1330 1.9150 1.6120 ; + RECT 2.1890 0.1330 2.2190 1.6120 ; + RECT 2.3410 0.1330 2.3710 1.6120 ; + RECT 2.4930 0.1340 2.5230 1.6120 ; + RECT 0.6690 0.1330 0.6990 1.6120 ; + RECT 0.8210 0.1330 0.8510 1.6120 ; + RECT 3.2530 0.1330 3.2830 1.6120 ; + RECT 3.1010 0.1330 3.1310 1.6120 ; + RECT 2.9490 0.1340 2.9790 1.6120 ; + RECT 2.7970 0.1330 2.8270 1.6120 ; + RECT 2.6450 0.1330 2.6750 1.6120 ; + END +END LSDNENSSX4_HVT + +MACRO LSDNENSSX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.9800 0.7790 4.1590 0.8290 ; + RECT 4.0490 0.7050 4.1590 0.7790 ; + END + PORT + LAYER CO ; + RECT 4.0070 0.7830 4.0490 0.8250 ; + END + END EN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 4.0790 0.0300 4.1290 0.4610 ; + RECT 3.4710 0.0300 3.5210 0.3680 ; + RECT 3.1670 0.0300 3.2170 0.3680 ; + RECT 2.8630 0.0300 2.9130 0.3680 ; + RECT 2.5590 0.0300 2.6090 0.3680 ; + END + PORT + LAYER CO ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.0830 0.3990 4.1250 0.4410 ; + RECT 4.0830 0.2150 4.1250 0.2570 ; + RECT 4.0830 0.3070 4.1250 0.3490 ; + RECT 4.0830 0.2150 4.1250 0.2570 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.4750 0.2140 3.5170 0.2560 ; + RECT 3.4750 0.3060 3.5170 0.3480 ; + RECT 3.1710 0.3060 3.2130 0.3480 ; + RECT 3.1710 0.2140 3.2130 0.2560 ; + RECT 2.8670 0.3060 2.9090 0.3480 ; + RECT 2.8670 0.2140 2.9090 0.2560 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.5630 0.2140 2.6050 0.2560 ; + RECT 2.5630 0.3060 2.6050 0.3480 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 1.0390 1.3680 1.0890 1.6420 ; + RECT 3.3190 1.0100 3.3690 1.6420 ; + RECT 3.0150 1.1830 3.0650 1.6420 ; + RECT 2.7110 1.1830 2.7610 1.6420 ; + RECT 2.1030 1.3180 2.1530 1.6420 ; + RECT 1.7990 1.3200 1.8490 1.6420 ; + RECT 1.4950 1.3210 1.5450 1.6420 ; + RECT 1.1910 1.3210 1.2410 1.6420 ; + RECT 4.0780 1.0530 4.1280 1.6420 ; + RECT 0.7350 1.3710 0.7850 1.6420 ; + RECT 0.4310 1.3390 0.4810 1.6420 ; + RECT 2.4070 1.3180 2.4570 1.6420 ; + END + PORT + LAYER CO ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.0830 1.0900 4.1250 1.1320 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 4.0830 1.2740 4.1250 1.3160 ; + RECT 4.0830 1.2740 4.1250 1.3160 ; + RECT 4.0830 1.1820 4.1250 1.2240 ; + RECT 4.0830 1.1820 4.1250 1.2240 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.1950 1.4420 1.2370 1.4840 ; + RECT 2.4110 1.3500 2.4530 1.3920 ; + RECT 2.4110 1.4420 2.4530 1.4840 ; + RECT 0.4350 1.4620 0.4770 1.5040 ; + RECT 3.3230 1.0300 3.3650 1.0720 ; + RECT 3.3230 1.1220 3.3650 1.1640 ; + RECT 3.3230 1.3060 3.3650 1.3480 ; + RECT 3.3230 1.3980 3.3650 1.4400 ; + RECT 3.3230 1.2140 3.3650 1.2560 ; + RECT 3.0190 1.3980 3.0610 1.4400 ; + RECT 3.0190 1.3060 3.0610 1.3480 ; + RECT 3.0190 1.2140 3.0610 1.2560 ; + RECT 2.7150 1.2140 2.7570 1.2560 ; + RECT 2.7150 1.3980 2.7570 1.4400 ; + RECT 2.7150 1.3060 2.7570 1.3480 ; + RECT 2.1070 1.3500 2.1490 1.3920 ; + RECT 2.1070 1.4420 2.1490 1.4840 ; + RECT 1.8030 1.4420 1.8450 1.4840 ; + RECT 1.8030 1.3500 1.8450 1.3920 ; + RECT 4.0830 1.0900 4.1250 1.1320 ; + RECT 0.7390 1.4900 0.7810 1.5320 ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 4.0830 1.3660 4.1250 1.4080 ; + RECT 4.0830 1.3660 4.1250 1.4080 ; + RECT 0.4350 1.3700 0.4770 1.4120 ; + RECT 0.4350 1.3700 0.4770 1.4120 ; + RECT 0.4350 1.4620 0.4770 1.5040 ; + RECT 4.0830 1.4580 4.1250 1.5000 ; + RECT 1.4990 1.4420 1.5410 1.4840 ; + RECT 1.4990 1.3500 1.5410 1.3920 ; + RECT 4.0830 1.4580 4.1250 1.5000 ; + RECT 1.1950 1.3500 1.2370 1.3920 ; + END + END VDDL + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.8590 0.5110 0.9090 ; + RECT 0.4010 0.9090 0.5110 0.9680 ; + RECT 0.4010 0.8290 0.5110 0.8590 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8630 0.4010 0.9050 ; + END + ANTENNAGATEAREA 0.0081 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3430 0.8040 3.7510 0.8540 ; + RECT 3.1670 0.8540 3.2170 1.5520 ; + RECT 2.8630 0.8540 2.9130 1.5520 ; + RECT 2.2550 0.8540 2.3050 1.0570 ; + RECT 1.9510 0.8540 2.0010 1.0600 ; + RECT 1.6470 0.8540 1.6970 1.0650 ; + RECT 1.3430 0.8540 1.3930 1.0490 ; + RECT 2.5590 0.8540 2.6090 1.5520 ; + RECT 2.2550 0.1940 2.3050 0.5410 ; + RECT 1.9510 0.1940 2.0010 0.5410 ; + RECT 1.6470 0.1940 1.6970 0.5410 ; + RECT 1.3430 0.1940 1.3930 0.5410 ; + RECT 3.7010 0.5910 3.7510 0.8040 ; + RECT 1.3430 0.5410 3.7450 0.5460 ; + RECT 1.3430 0.5460 3.7510 0.5910 ; + RECT 3.5880 0.8540 3.7510 0.8810 ; + RECT 3.5880 0.8810 3.7140 0.9670 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3060 1.3890 0.3480 ; + RECT 2.5630 1.3060 2.6050 1.3480 ; + RECT 2.5630 1.2140 2.6050 1.2560 ; + RECT 2.5630 1.3980 2.6050 1.4400 ; + RECT 1.3470 0.3980 1.3890 0.4400 ; + RECT 1.3470 0.4900 1.3890 0.5320 ; + RECT 3.1710 1.3980 3.2130 1.4400 ; + RECT 3.1710 1.2140 3.2130 1.2560 ; + RECT 3.1710 1.3060 3.2130 1.3480 ; + RECT 3.1710 0.9380 3.2130 0.9800 ; + RECT 3.1710 1.0300 3.2130 1.0720 ; + RECT 3.1710 1.1220 3.2130 1.1640 ; + RECT 2.8670 0.9380 2.9090 0.9800 ; + RECT 2.8670 1.0300 2.9090 1.0720 ; + RECT 2.8670 1.1220 2.9090 1.1640 ; + RECT 2.8670 1.3980 2.9090 1.4400 ; + RECT 2.8670 1.2140 2.9090 1.2560 ; + RECT 2.8670 1.3060 2.9090 1.3480 ; + RECT 2.2590 0.8690 2.3010 0.9110 ; + RECT 2.2590 0.9610 2.3010 1.0030 ; + RECT 1.9550 0.8650 1.9970 0.9070 ; + RECT 2.2590 0.4900 2.3010 0.5320 ; + RECT 2.2590 0.3980 2.3010 0.4400 ; + RECT 2.2590 0.3060 2.3010 0.3480 ; + RECT 2.2590 0.2140 2.3010 0.2560 ; + RECT 1.9550 0.9570 1.9970 0.9990 ; + RECT 1.9550 0.4900 1.9970 0.5320 ; + RECT 1.9550 0.3980 1.9970 0.4400 ; + RECT 1.9550 0.3060 1.9970 0.3480 ; + RECT 1.9550 0.2140 1.9970 0.2560 ; + RECT 1.6510 0.9640 1.6930 1.0060 ; + RECT 1.6510 0.8720 1.6930 0.9140 ; + RECT 1.6510 0.2140 1.6930 0.2560 ; + RECT 1.6510 0.3060 1.6930 0.3480 ; + RECT 1.6510 0.3980 1.6930 0.4400 ; + RECT 1.6510 0.4900 1.6930 0.5320 ; + RECT 1.3470 0.8660 1.3890 0.9080 ; + RECT 2.5630 1.1220 2.6050 1.1640 ; + RECT 2.5630 1.0300 2.6050 1.0720 ; + RECT 2.5630 0.9380 2.6050 0.9800 ; + RECT 1.3470 0.9580 1.3890 1.0000 ; + RECT 1.3470 0.2140 1.3890 0.2560 ; + END + ANTENNADIFFAREA 0.2048 ; + END Y + OBS + LAYER CO ; + RECT 3.5510 1.3240 3.5930 1.3660 ; + RECT 0.9670 0.6540 1.0090 0.6960 ; + RECT 1.0430 0.3060 1.0850 0.3480 ; + RECT 1.0430 0.2140 1.0850 0.2560 ; + RECT 2.6390 0.6650 2.6810 0.7070 ; + RECT 3.0950 0.6650 3.1370 0.7070 ; + RECT 2.9430 0.6650 2.9850 0.7070 ; + RECT 2.7910 0.6650 2.8330 0.7070 ; + RECT 3.5510 0.6650 3.5930 0.7070 ; + RECT 3.3990 0.6650 3.4410 0.7070 ; + RECT 3.2470 0.6650 3.2890 0.7070 ; + RECT 3.6270 0.3980 3.6690 0.4400 ; + RECT 3.6270 0.3060 3.6690 0.3480 ; + RECT 3.6270 0.2140 3.6690 0.2560 ; + RECT 2.4870 0.6650 2.5290 0.7070 ; + RECT 3.9310 1.2740 3.9730 1.3160 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 3.9310 1.3660 3.9730 1.4080 ; + RECT 1.4990 0.2140 1.5410 0.2560 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + RECT 0.2830 1.1860 0.3250 1.2280 ; + RECT 3.9310 1.0900 3.9730 1.1320 ; + RECT 3.9310 0.3990 3.9730 0.4410 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.2830 0.3070 0.3250 0.3490 ; + RECT 0.2830 1.1860 0.3250 1.2280 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.2830 1.3700 0.3250 1.4120 ; + RECT 0.5870 0.3980 0.6290 0.4400 ; + RECT 0.4350 0.2150 0.4770 0.2570 ; + RECT 0.2830 0.2150 0.3250 0.2570 ; + RECT 0.8910 0.3980 0.9330 0.4400 ; + RECT 1.4990 0.3060 1.5410 0.3480 ; + RECT 1.4990 0.3980 1.5410 0.4400 ; + RECT 0.8910 0.4900 0.9330 0.5320 ; + RECT 3.9310 1.1820 3.9730 1.2240 ; + RECT 0.5870 0.3060 0.6290 0.3480 ; + RECT 0.5870 0.2140 0.6290 0.2560 ; + RECT 0.8910 0.3060 0.9330 0.3480 ; + RECT 3.3230 0.2140 3.3650 0.2560 ; + RECT 3.3230 0.3060 3.3650 0.3480 ; + RECT 3.3230 0.3980 3.3650 0.4400 ; + RECT 3.0190 0.2140 3.0610 0.2560 ; + RECT 3.0190 0.3060 3.0610 0.3480 ; + RECT 3.0190 0.3980 3.0610 0.4400 ; + RECT 2.7150 0.3980 2.7570 0.4400 ; + RECT 2.7150 0.3060 2.7570 0.3480 ; + RECT 2.7150 0.2140 2.7570 0.2560 ; + RECT 1.1950 0.3980 1.2370 0.4400 ; + RECT 1.1950 0.3060 1.2370 0.3480 ; + RECT 1.1950 0.2140 1.2370 0.2560 ; + RECT 2.4110 0.3980 2.4530 0.4400 ; + RECT 2.4110 0.3060 2.4530 0.3480 ; + RECT 2.4110 0.2140 2.4530 0.2560 ; + RECT 2.1070 0.3980 2.1490 0.4400 ; + RECT 2.1070 0.3060 2.1490 0.3480 ; + RECT 2.1070 0.2140 2.1490 0.2560 ; + RECT 1.8030 0.2140 1.8450 0.2560 ; + RECT 1.8030 0.3060 1.8450 0.3480 ; + RECT 1.8030 0.3980 1.8450 0.4400 ; + RECT 3.9310 0.2150 3.9730 0.2570 ; + RECT 0.8910 0.2140 0.9330 0.2560 ; + RECT 0.8910 0.8460 0.9330 0.8880 ; + RECT 0.2830 1.4620 0.3250 1.5040 ; + RECT 0.8910 0.9380 0.9330 0.9800 ; + RECT 0.2830 1.4620 0.3250 1.5040 ; + RECT 3.9310 1.2740 3.9730 1.3160 ; + RECT 3.9310 0.2150 3.9730 0.2570 ; + RECT 0.2830 1.2780 0.3250 1.3200 ; + RECT 0.2830 1.3700 0.3250 1.4120 ; + RECT 0.2830 1.2780 0.3250 1.3200 ; + RECT 3.9310 1.1820 3.9730 1.2240 ; + RECT 3.9310 1.0900 3.9730 1.1320 ; + RECT 3.9310 1.4580 3.9730 1.5000 ; + RECT 2.3350 0.6650 2.3770 0.7070 ; + RECT 2.1830 0.6650 2.2250 0.7070 ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 0.4350 0.3990 0.4770 0.4410 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 1.0940 0.3250 1.1360 ; + RECT 0.2830 1.0940 0.3250 1.1360 ; + RECT 0.8150 0.6540 0.8570 0.6960 ; + RECT 3.9310 0.3070 3.9730 0.3490 ; + RECT 3.9310 1.4580 3.9730 1.5000 ; + RECT 3.9310 1.3660 3.9730 1.4080 ; + RECT 0.7390 0.2140 0.7810 0.2560 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 0.7390 0.3060 0.7810 0.3480 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7730 ; + LAYER M1 ; + RECT 3.8390 0.9810 3.9770 1.0310 ; + RECT 3.8390 0.7000 3.8890 0.9810 ; + RECT 3.8390 0.6500 3.9770 0.7000 ; + RECT 3.9270 1.3700 3.9770 1.5200 ; + RECT 3.5140 1.3200 3.9770 1.3700 ; + RECT 3.9270 1.0310 3.9770 1.3200 ; + RECT 3.9270 0.1950 3.9770 0.6500 ; + RECT 1.0820 0.6610 2.3970 0.7110 ; + RECT 0.8870 0.5320 1.1320 0.5820 ; + RECT 0.8870 0.8540 0.9370 1.0140 ; + RECT 0.8870 0.8040 1.1320 0.8540 ; + RECT 1.0820 0.7110 1.1320 0.8040 ; + RECT 1.0820 0.5820 1.1320 0.6610 ; + RECT 0.8870 0.1940 0.9370 0.5320 ; + RECT 2.4070 0.4240 3.6730 0.4740 ; + RECT 3.6230 0.1940 3.6730 0.4240 ; + RECT 0.4310 0.0880 2.4570 0.1380 ; + RECT 2.4070 0.1380 2.4570 0.4240 ; + RECT 1.0390 0.1380 1.0890 0.3680 ; + RECT 1.1910 0.1380 1.2410 0.4600 ; + RECT 0.4310 0.1380 0.4810 0.4610 ; + RECT 0.7350 0.1380 0.7850 0.3680 ; + RECT 1.4950 0.1380 1.5450 0.4600 ; + RECT 1.7990 0.1380 1.8490 0.4600 ; + RECT 2.1030 0.1380 2.1530 0.4600 ; + RECT 2.7110 0.1940 2.7610 0.4240 ; + RECT 3.0150 0.1940 3.0650 0.4240 ; + RECT 3.3190 0.1940 3.3690 0.4240 ; + RECT 0.5830 0.7730 0.8250 0.8230 ; + RECT 0.7750 0.6500 1.0290 0.7000 ; + RECT 0.5830 0.1940 0.6330 0.5010 ; + RECT 0.7750 0.5510 0.8250 0.6500 ; + RECT 0.5830 0.5010 0.8250 0.5510 ; + RECT 0.5830 0.8230 0.6330 1.2420 ; + RECT 0.7750 0.7000 0.8250 0.7730 ; + RECT 2.4670 0.6610 3.6400 0.7110 ; + RECT 0.2390 0.6600 0.7250 0.7100 ; + RECT 0.2390 0.9660 0.3290 1.0160 ; + RECT 0.2790 1.0160 0.3290 1.5240 ; + RECT 0.2790 0.1950 0.3290 0.6600 ; + RECT 0.2390 0.7100 0.2890 0.9660 ; + LAYER PO ; + RECT 4.0130 0.1330 4.0430 1.6120 ; + RECT 1.1250 0.1330 1.1550 1.6120 ; + RECT 0.8210 0.1330 0.8510 1.6120 ; + RECT 0.6690 0.1330 0.6990 1.6120 ; + RECT 3.5570 0.1340 3.5870 1.6120 ; + RECT 3.4050 0.1330 3.4350 1.6120 ; + RECT 3.2530 0.1340 3.2830 1.6120 ; + RECT 3.1010 0.1330 3.1310 1.6120 ; + RECT 2.9490 0.1330 2.9790 1.6120 ; + RECT 2.6450 0.1330 2.6750 1.6120 ; + RECT 2.7970 0.1340 2.8270 1.6120 ; + RECT 2.3410 0.1330 2.3710 1.6120 ; + RECT 2.4930 0.1340 2.5230 1.6120 ; + RECT 2.1890 0.1330 2.2190 1.6120 ; + RECT 2.0370 0.1330 2.0670 1.6120 ; + RECT 1.8850 0.1330 1.9150 1.6120 ; + RECT 1.5810 0.1330 1.6110 1.6120 ; + RECT 1.7330 0.1340 1.7630 1.6120 ; + RECT 1.2770 0.1330 1.3070 1.6120 ; + RECT 3.8610 0.1330 3.8910 1.6120 ; + RECT 3.7090 0.1340 3.7390 1.6120 ; + RECT 1.4290 0.1340 1.4590 1.6120 ; + RECT 0.3650 0.1330 0.3950 1.6120 ; + RECT 0.5170 0.1330 0.5470 1.6120 ; + RECT 0.9730 0.1330 1.0030 1.6120 ; + RECT 0.0610 0.1330 0.0910 1.6040 ; + RECT 0.2130 0.1330 0.2430 1.6120 ; + RECT 4.1650 0.1340 4.1950 1.6120 ; + RECT 4.3170 0.1340 4.3470 1.6120 ; + END +END LSDNENSSX8_HVT + +MACRO LSDNENX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 1.0020 1.1330 1.1360 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.0430 1.0090 1.0850 ; + END + ANTENNAGATEAREA 0.0171 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.4650 3.0700 1.5750 3.1400 ; + RECT 1.4650 2.9400 1.5750 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.8870 2.5250 0.9370 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.8070 ; + RECT 1.0390 0.0300 1.0890 0.3480 ; + RECT 1.7990 0.0300 1.8490 0.4850 ; + RECT 0.7050 0.0300 0.7550 0.2960 ; + RECT 0.7350 0.3570 0.7850 0.5050 ; + RECT 0.7050 0.2960 0.7850 0.3570 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.7390 0.4430 0.7810 0.4850 ; + RECT 0.7390 0.3510 0.7810 0.3930 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8030 0.3740 1.8450 0.4160 ; + RECT 1.8030 0.2820 1.8450 0.3240 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.7690 1.7020 1.8790 1.7720 ; + RECT 1.7690 1.5720 1.8790 1.6420 ; + RECT 1.0390 1.4420 1.0890 1.6420 ; + RECT 0.7350 1.3270 0.7850 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 1.7990 1.3030 1.8490 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + RECT 1.0430 1.4880 1.0850 1.5300 ; + RECT 0.7390 1.4880 0.7810 1.5300 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + RECT 1.8030 1.3390 1.8450 1.3810 ; + RECT 1.8030 1.4310 1.8450 1.4730 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.2300 0.6330 0.6940 ; + RECT 0.5380 0.6940 0.6820 0.7780 ; + RECT 0.4310 0.2300 0.4810 0.7780 ; + RECT 0.4810 0.8280 0.5310 1.1980 ; + RECT 0.4310 1.2620 0.4810 1.4520 ; + RECT 0.4310 1.1980 0.5310 1.2620 ; + RECT 0.4310 0.7780 0.6820 0.8280 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.6320 0.4770 0.6740 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + END + ANTENNADIFFAREA 0.1672 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 0.2790 1.5210 0.6330 1.5710 ; + RECT 0.5830 1.5710 0.6330 1.5780 ; + RECT 0.5830 1.2920 0.6330 1.5210 ; + RECT 0.2790 1.5710 0.3290 1.5780 ; + RECT 0.2790 1.2920 0.3290 1.5210 ; + RECT 0.8110 0.8380 0.9370 0.8880 ; + RECT 0.6370 1.1560 0.8610 1.2060 ; + RECT 0.8870 0.1880 0.9370 0.8380 ; + RECT 0.8870 1.2690 0.9370 1.5830 ; + RECT 0.8110 1.2190 0.9370 1.2690 ; + RECT 0.8110 1.2060 0.8610 1.2190 ; + RECT 0.8110 0.8880 0.8610 1.1560 ; + RECT 0.6750 2.4230 1.0890 2.4730 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6750 2.2630 0.7250 2.4230 ; + RECT 0.1860 2.2130 0.7250 2.2630 ; + RECT 0.6750 2.1280 0.7250 2.2130 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.6750 2.0780 0.9370 2.1280 ; + RECT 0.1870 0.9240 0.4210 0.9740 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.9730 0.0890 1.0030 1.6690 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + LAYER CO ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.3590 0.9280 0.4010 0.9700 ; + RECT 0.2830 1.3120 0.3250 1.3540 ; + RECT 0.2070 2.2170 0.2490 2.2590 ; + RECT 0.8910 1.4880 0.9330 1.5300 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 0.8910 0.2490 0.9330 0.2910 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 0.6630 1.1600 0.7050 1.2020 ; + RECT 0.5870 1.4040 0.6290 1.4460 ; + RECT 0.5870 1.4960 0.6290 1.5380 ; + RECT 0.5870 1.3120 0.6290 1.3540 ; + RECT 0.2830 1.4040 0.3250 1.4460 ; + RECT 0.2830 1.4960 0.3250 1.5380 ; + RECT 0.2070 0.9280 0.2490 0.9700 ; + END +END LSDNENX1_HVT + +MACRO LSDNENX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 1.0020 1.1330 1.1360 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.0430 1.0090 1.0850 ; + END + ANTENNAGATEAREA 0.0171 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.2800 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.8070 ; + RECT 1.6470 0.0300 1.6970 0.3450 ; + RECT 1.0390 0.0300 1.0890 0.3480 ; + RECT 1.3430 0.0300 1.3930 0.3450 ; + RECT 1.9510 0.0300 2.0010 0.4850 ; + RECT 0.7050 0.0300 0.7550 0.2960 ; + RECT 0.7350 0.3570 0.7850 0.5050 ; + RECT 0.7050 0.2960 0.7850 0.3570 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.2800 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.3470 0.2610 1.3890 0.3030 ; + RECT 0.7390 0.4430 0.7810 0.4850 ; + RECT 0.7390 0.3510 0.7810 0.3930 ; + RECT 1.9550 0.3740 1.9970 0.4160 ; + RECT 1.9550 0.2820 1.9970 0.3240 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 0.0970 1.7020 0.2070 1.7720 ; + RECT 0.0970 1.5720 0.2070 1.6420 ; + RECT 1.0390 1.4420 1.0890 1.6420 ; + RECT 1.6470 1.3630 1.6970 1.6420 ; + RECT 1.3430 1.4420 1.3930 1.6420 ; + RECT 0.7350 1.3270 0.7850 1.6420 ; + RECT 1.9510 1.3030 2.0010 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.0430 1.4880 1.0850 1.5300 ; + RECT 1.3470 1.4880 1.3890 1.5300 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 0.7390 1.4880 0.7810 1.5300 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9080 0.6940 2.0590 0.8280 ; + RECT 1.9080 0.6700 1.9580 0.6940 ; + RECT 1.7990 0.2170 1.8490 0.6200 ; + RECT 1.9080 0.8280 1.9580 1.1750 ; + RECT 1.4950 0.1850 1.5450 0.6200 ; + RECT 1.4950 0.6200 1.9580 0.6700 ; + RECT 1.4950 1.1750 1.9580 1.2250 ; + RECT 1.7990 1.2250 1.8490 1.5830 ; + RECT 1.4950 1.2250 1.5450 1.5830 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 0.2460 1.5410 0.2880 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 0.8910 1.4880 0.9330 1.5300 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 0.8910 0.2490 0.9330 0.2910 ; + RECT 0.8150 1.1600 0.8570 1.2020 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 0.8150 0.1080 0.8570 0.1500 ; + RECT 1.1950 0.6590 1.2370 0.7010 ; + RECT 1.1950 1.4880 1.2370 1.5300 ; + RECT 0.6630 1.1600 0.7050 1.2020 ; + RECT 0.5870 1.4040 0.6290 1.4460 ; + RECT 0.5870 1.4960 0.6290 1.5380 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.5870 1.3120 0.6290 1.3540 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.2830 1.4040 0.3250 1.4460 ; + RECT 0.2830 1.4960 0.3250 1.5380 ; + RECT 0.2070 0.9280 0.2490 0.9700 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.6320 0.4770 0.6740 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.3590 0.9280 0.4010 0.9700 ; + RECT 0.2830 1.3120 0.3250 1.3540 ; + RECT 0.2070 2.2170 0.2490 2.2590 ; + RECT 1.5750 1.0370 1.6170 1.0790 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.3950 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.1650 2.3510 2.3950 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 -0.1150 2.3950 0.9930 ; + LAYER M1 ; + RECT 1.2200 1.0280 1.3330 1.0780 ; + RECT 1.0620 0.9010 1.2700 0.9510 ; + RECT 0.4310 0.7720 1.1120 0.8220 ; + RECT 1.0620 0.8220 1.1120 0.9010 ; + RECT 1.2200 0.9510 1.2700 1.0280 ; + RECT 0.5830 0.2300 0.6330 0.7720 ; + RECT 0.4310 0.2300 0.4810 0.7720 ; + RECT 0.4810 0.8220 0.5310 1.1980 ; + RECT 0.4310 1.2620 0.4810 1.4520 ; + RECT 0.4310 1.1980 0.5310 1.2620 ; + RECT 1.3830 1.0330 1.8200 1.0830 ; + RECT 1.1910 1.2510 1.2410 1.5830 ; + RECT 1.1910 1.2010 1.4330 1.2510 ; + RECT 1.1720 0.6550 1.4330 0.7050 ; + RECT 1.3830 1.0830 1.4330 1.2010 ; + RECT 1.3830 0.7050 1.4330 1.0330 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.1860 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.2790 1.5340 0.6330 1.5840 ; + RECT 0.5830 1.2920 0.6330 1.5340 ; + RECT 0.2790 1.2920 0.3290 1.5340 ; + RECT 0.8110 0.1880 0.9370 0.2380 ; + RECT 0.8110 0.0880 0.8610 0.1880 ; + RECT 0.8870 0.2380 0.9370 0.4210 ; + RECT 0.6370 1.1560 0.8610 1.2060 ; + RECT 0.8110 1.2190 0.9370 1.2690 ; + RECT 0.8870 1.2690 0.9370 1.5830 ; + RECT 0.8110 1.2060 0.8610 1.2190 ; + RECT 0.8110 1.1400 0.8610 1.1560 ; + RECT 0.1870 0.9240 0.4210 0.9740 ; + LAYER PO ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 2.0370 0.0690 2.0670 2.7800 ; + RECT 0.9730 0.0890 1.0030 1.6690 ; + RECT 1.5810 0.0660 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.1890 0.0740 2.2190 2.7800 ; + RECT 1.7330 0.0660 1.7630 2.7800 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNENX2_HVT + +MACRO LSDNENX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 1.0020 1.1330 1.1360 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.0430 1.0090 1.0850 ; + END + ANTENNAGATEAREA 0.0171 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.5840 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.8070 ; + RECT 1.6470 0.0300 1.6970 0.3450 ; + RECT 1.0390 0.0300 1.0890 0.3480 ; + RECT 1.9510 0.0300 2.0010 0.3450 ; + RECT 1.3430 0.0300 1.3930 0.3450 ; + RECT 2.2550 0.0300 2.3050 0.4850 ; + RECT 0.7050 0.0300 0.7550 0.3430 ; + RECT 0.7350 0.4040 0.7850 0.5870 ; + RECT 0.7050 0.3430 0.7850 0.4040 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.9550 0.2610 1.9970 0.3030 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.3470 0.2610 1.3890 0.3030 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.7390 0.4900 0.7810 0.5320 ; + RECT 0.7390 0.3980 0.7810 0.4400 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 2.2590 0.3740 2.3010 0.4160 ; + RECT 2.2590 0.2820 2.3010 0.3240 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 2.2250 1.7020 2.3350 1.7720 ; + RECT 2.2250 1.5720 2.3350 1.6420 ; + RECT 1.0390 1.4420 1.0890 1.6420 ; + RECT 1.6470 1.3630 1.6970 1.6420 ; + RECT 1.9510 1.3630 2.0010 1.6420 ; + RECT 1.3430 1.4420 1.3930 1.6420 ; + RECT 0.7350 1.3270 0.7850 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 2.2550 1.3030 2.3050 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.0430 1.4880 1.0850 1.5300 ; + RECT 1.3470 1.4880 1.3890 1.5300 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 0.7390 1.4880 0.7810 1.5300 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + RECT 2.2590 1.3390 2.3010 1.3810 ; + RECT 2.2590 1.4310 2.3010 1.4730 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2120 0.6940 2.3630 0.8280 ; + RECT 2.2120 0.6700 2.2620 0.6940 ; + RECT 2.1030 0.2170 2.1530 0.6200 ; + RECT 2.2120 0.8280 2.2620 1.1860 ; + RECT 1.7990 0.2170 1.8490 0.6200 ; + RECT 1.4950 0.1850 1.5450 0.6200 ; + RECT 1.4950 0.6200 2.2620 0.6700 ; + RECT 1.4950 1.1860 2.2620 1.2360 ; + RECT 2.1030 1.2360 2.1530 1.5830 ; + RECT 1.4950 1.2360 1.5450 1.5830 ; + RECT 1.7990 1.2360 1.8490 1.5830 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 0.2460 1.5410 0.2880 ; + RECT 2.1070 0.2610 2.1490 0.3030 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 0.2070 2.2170 0.2490 2.2590 ; + RECT 1.5750 1.0370 1.6170 1.0790 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + RECT 0.8910 1.4880 0.9330 1.5300 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 1.8790 1.0370 1.9210 1.0790 ; + RECT 0.8910 0.2490 0.9330 0.2910 ; + RECT 2.0310 1.0370 2.0730 1.0790 ; + RECT 0.8150 1.1600 0.8570 1.2020 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 0.8150 0.1080 0.8570 0.1500 ; + RECT 1.1950 0.8050 1.2370 0.8470 ; + RECT 1.1950 1.4880 1.2370 1.5300 ; + RECT 0.6630 1.1600 0.7050 1.2020 ; + RECT 0.5870 1.4040 0.6290 1.4460 ; + RECT 0.5870 1.4960 0.6290 1.5380 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.5870 1.3120 0.6290 1.3540 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.2830 1.4040 0.3250 1.4460 ; + RECT 0.2830 1.4960 0.3250 1.5380 ; + RECT 0.2070 0.9280 0.2490 0.9700 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.6320 0.4770 0.6740 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.3590 0.9280 0.4010 0.9700 ; + RECT 0.2830 1.3120 0.3250 1.3540 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.6990 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.4690 2.3510 2.6990 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 -0.1150 2.6990 0.9930 ; + LAYER M1 ; + RECT 1.2200 1.0280 1.3330 1.0780 ; + RECT 1.0620 0.9010 1.2700 0.9510 ; + RECT 0.4310 0.7720 1.1120 0.8220 ; + RECT 1.0620 0.8220 1.1120 0.9010 ; + RECT 1.2200 0.9510 1.2700 1.0280 ; + RECT 0.5830 0.2300 0.6330 0.7720 ; + RECT 0.4310 0.2300 0.4810 0.7720 ; + RECT 0.4810 0.8220 0.5310 1.1980 ; + RECT 0.4310 1.2620 0.4810 1.4520 ; + RECT 0.4310 1.1980 0.5310 1.2620 ; + RECT 1.3830 1.0330 2.1240 1.0830 ; + RECT 1.1910 1.2510 1.2410 1.5830 ; + RECT 1.1910 1.2010 1.4330 1.2510 ; + RECT 1.1720 0.8010 1.4330 0.8510 ; + RECT 1.3830 1.0830 1.4330 1.2010 ; + RECT 1.3830 0.8510 1.4330 1.0330 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.1860 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.2790 1.5340 0.6330 1.5840 ; + RECT 0.5830 1.2920 0.6330 1.5340 ; + RECT 0.2790 1.2920 0.3290 1.5340 ; + RECT 0.8110 0.1880 0.9370 0.2380 ; + RECT 0.8110 0.0880 0.8610 0.1880 ; + RECT 0.8870 0.2380 0.9370 0.4210 ; + RECT 0.6370 1.1560 0.8610 1.2060 ; + RECT 0.8110 1.2190 0.9370 1.2690 ; + RECT 0.8870 1.2690 0.9370 1.5830 ; + RECT 0.8110 1.2060 0.8610 1.2190 ; + RECT 0.8110 1.1400 0.8610 1.1560 ; + RECT 0.1870 0.9240 0.4210 0.9740 ; + LAYER PO ; + RECT 2.0370 0.0620 2.0670 2.7800 ; + RECT 2.1890 0.0620 2.2190 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 2.3410 0.0620 2.3710 2.7800 ; + RECT 1.8850 0.0620 1.9150 2.7800 ; + RECT 0.9730 0.0890 1.0030 1.6690 ; + RECT 1.5810 0.0620 1.6110 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 2.4930 0.0670 2.5230 2.7800 ; + RECT 1.7330 0.0620 1.7630 2.7800 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNENX4_HVT + +MACRO LSDNENX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 1.0020 1.1330 1.1360 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.0430 1.0090 1.0850 ; + END + ANTENNAGATEAREA 0.0171 ; + END EN + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 3.3440 3.0700 ; + RECT 2.3770 3.0700 2.4870 3.1400 ; + RECT 2.3770 2.9400 2.4870 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.8070 ; + RECT 2.7110 0.0300 2.7610 0.3450 ; + RECT 1.7990 0.0300 1.8490 0.3450 ; + RECT 1.0390 0.0300 1.0890 0.3480 ; + RECT 2.4070 0.0300 2.4570 0.3500 ; + RECT 2.1030 0.0300 2.1530 0.3450 ; + RECT 1.1910 0.0300 1.2410 0.3450 ; + RECT 1.4950 0.0300 1.5450 0.3450 ; + RECT 3.0150 0.0300 3.0650 0.4850 ; + RECT 0.7050 0.0300 0.7550 0.3430 ; + RECT 0.7350 0.4040 0.7850 0.5870 ; + RECT 0.7050 0.3430 0.7850 0.4040 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.3440 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.4900 0.7810 0.5320 ; + RECT 0.7390 0.3980 0.7810 0.4400 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.0190 0.3740 3.0610 0.4160 ; + RECT 3.0190 0.2820 3.0610 0.3240 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.7150 0.2610 2.7570 0.3030 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + RECT 2.4110 0.2610 2.4530 0.3030 ; + RECT 2.1070 0.2610 2.1490 0.3030 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.4990 0.2610 1.5410 0.3030 ; + RECT 1.1950 0.2610 1.2370 0.3030 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 2.9850 1.7020 3.0950 1.7720 ; + RECT 2.9850 1.5720 3.0950 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 1.0390 1.4420 1.0890 1.6420 ; + RECT 2.7110 1.3630 2.7610 1.6420 ; + RECT 1.7990 1.3630 1.8490 1.6420 ; + RECT 2.4070 1.3630 2.4570 1.6420 ; + RECT 2.1030 1.3630 2.1530 1.6420 ; + RECT 1.1910 1.4420 1.2410 1.6420 ; + RECT 1.4950 1.4420 1.5450 1.6420 ; + RECT 0.7350 1.3270 0.7850 1.6420 ; + RECT 3.0150 1.3030 3.0650 1.5720 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.3390 3.0610 1.3810 ; + RECT 3.0190 1.4310 3.0610 1.4730 ; + RECT 2.7150 1.4880 2.7570 1.5300 ; + RECT 2.7150 1.3960 2.7570 1.4380 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 1.0430 1.4880 1.0850 1.5300 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.1950 1.4880 1.2370 1.5300 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 0.7390 1.4880 0.7810 1.5300 ; + RECT 0.7390 1.3960 0.7810 1.4380 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9720 0.6940 3.1230 0.8280 ; + RECT 2.9720 0.6700 3.0220 0.6940 ; + RECT 2.8630 0.2170 2.9130 0.6200 ; + RECT 2.2550 0.2170 2.3050 0.6200 ; + RECT 2.9720 0.8280 3.0220 1.1890 ; + RECT 2.5590 0.1850 2.6090 0.6200 ; + RECT 1.9510 0.2170 2.0010 0.6200 ; + RECT 1.6470 0.1850 1.6970 0.6200 ; + RECT 1.6470 0.6200 3.0220 0.6700 ; + RECT 2.5590 1.2390 2.6090 1.4150 ; + RECT 2.8630 1.2390 2.9130 1.4150 ; + RECT 1.6470 1.1890 3.0220 1.2390 ; + RECT 2.2550 1.2390 2.3050 1.4140 ; + RECT 1.6470 1.2390 1.6970 1.4130 ; + RECT 1.9510 1.2390 2.0010 1.4130 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.3200 2.6050 1.3620 ; + RECT 2.5630 1.2280 2.6050 1.2700 ; + RECT 2.8670 1.3200 2.9090 1.3620 ; + RECT 2.8670 1.2280 2.9090 1.2700 ; + RECT 2.5630 0.2460 2.6050 0.2880 ; + RECT 1.9550 1.2260 1.9970 1.2680 ; + RECT 1.9550 1.3180 1.9970 1.3600 ; + RECT 1.9550 0.2610 1.9970 0.3030 ; + RECT 2.8670 0.2610 2.9090 0.3030 ; + RECT 2.2590 1.3190 2.3010 1.3610 ; + RECT 2.2590 1.2270 2.3010 1.2690 ; + RECT 1.6510 1.3180 1.6930 1.3600 ; + RECT 1.6510 1.2260 1.6930 1.2680 ; + RECT 1.6510 0.2460 1.6930 0.2880 ; + RECT 2.2590 0.2610 2.3010 0.3030 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 0.5870 1.4040 0.6290 1.4460 ; + RECT 0.5870 1.4960 0.6290 1.5380 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.5870 1.3120 0.6290 1.3540 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.2830 1.4040 0.3250 1.4460 ; + RECT 0.2830 1.4960 0.3250 1.5380 ; + RECT 0.2070 0.9280 0.2490 0.9700 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 2.4870 1.0370 2.5290 1.0790 ; + RECT 2.7910 1.0370 2.8330 1.0790 ; + RECT 0.2070 2.2170 0.2490 2.2590 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.6320 0.4770 0.6740 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.3590 0.9280 0.4010 0.9700 ; + RECT 0.2830 1.3120 0.3250 1.3540 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + RECT 1.8790 1.0370 1.9210 1.0790 ; + RECT 0.8910 1.4880 0.9330 1.5300 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 2.6390 1.0370 2.6810 1.0790 ; + RECT 2.0310 1.0370 2.0730 1.0790 ; + RECT 0.8910 0.2490 0.9330 0.2910 ; + RECT 2.1830 1.0370 2.2250 1.0790 ; + RECT 2.3350 1.0370 2.3770 1.0790 ; + RECT 0.8150 1.1600 0.8570 1.2020 ; + RECT 1.4230 1.0320 1.4650 1.0740 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 0.8150 0.1080 0.8570 0.1500 ; + RECT 1.3470 0.8330 1.3890 0.8750 ; + RECT 1.3470 1.2300 1.3890 1.2720 ; + RECT 0.6630 1.1600 0.7050 1.2020 ; + LAYER NWELL ; + RECT -0.1150 3.2240 3.4590 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 3.2290 2.3510 3.4590 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 -0.1150 3.4590 0.9930 ; + LAYER M1 ; + RECT 1.5350 1.0330 2.8840 1.0830 ; + RECT 1.3430 0.8010 1.5850 0.8510 ; + RECT 1.3430 1.2010 1.5850 1.2510 ; + RECT 1.3430 0.8510 1.3930 0.9170 ; + RECT 1.5350 0.8510 1.5850 1.0330 ; + RECT 1.3430 1.2510 1.3930 1.3250 ; + RECT 1.5350 1.0830 1.5850 1.2010 ; + RECT 1.2200 1.0280 1.4850 1.0780 ; + RECT 0.4310 0.7720 1.2700 0.8220 ; + RECT 1.2200 0.8220 1.2700 1.0280 ; + RECT 0.5830 0.2300 0.6330 0.7720 ; + RECT 0.4310 0.2300 0.4810 0.7720 ; + RECT 0.4310 1.2620 0.4810 1.4520 ; + RECT 0.4310 1.1980 0.5310 1.2620 ; + RECT 0.4810 0.8220 0.5310 1.1980 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.1860 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.1870 0.9240 0.4210 0.9740 ; + RECT 0.2790 1.5340 0.6330 1.5840 ; + RECT 0.5830 1.2920 0.6330 1.5340 ; + RECT 0.2790 1.2920 0.3290 1.5340 ; + RECT 0.8110 0.1880 0.9370 0.2380 ; + RECT 0.8110 0.0880 0.8610 0.1880 ; + RECT 0.8870 0.2380 0.9370 0.4210 ; + RECT 0.6370 1.1560 0.8610 1.2060 ; + RECT 0.8110 1.2190 0.9370 1.2690 ; + RECT 0.8870 1.2690 0.9370 1.5830 ; + RECT 0.8110 1.2060 0.8610 1.2190 ; + RECT 0.8110 1.1400 0.8610 1.1560 ; + LAYER PO ; + RECT 3.2530 0.0730 3.2830 2.7800 ; + RECT 2.0370 0.0680 2.0670 2.7800 ; + RECT 2.1890 0.0680 2.2190 2.7800 ; + RECT 2.3410 0.0680 2.3710 2.7800 ; + RECT 2.4930 0.0680 2.5230 2.7800 ; + RECT 2.6450 0.0680 2.6750 2.7800 ; + RECT 2.7970 0.0680 2.8270 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 2.9490 0.0680 2.9790 2.7800 ; + RECT 1.8850 0.0680 1.9150 2.7800 ; + RECT 0.9730 0.0890 1.0030 1.6690 ; + RECT 1.5810 0.0680 1.6110 2.7800 ; + RECT 1.2770 0.0680 1.3070 2.7800 ; + RECT 1.4290 0.0680 1.4590 2.7800 ; + RECT 3.1010 0.0730 3.1310 2.7800 ; + RECT 1.7330 0.0680 1.7630 2.7800 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + END +END LSDNENX8_HVT + +MACRO LSDNSSX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.064 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6750 0.4210 0.7250 ; + RECT 0.2490 0.7250 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.012 ; + END A + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.0640 1.7020 ; + RECT 0.2790 0.8820 0.3290 1.6420 ; + RECT 0.7350 0.9120 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 0.9070 0.3250 0.9490 ; + RECT 0.2830 0.9070 0.3250 0.9490 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.2830 0.9990 0.3250 1.0410 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.2830 0.9990 0.3250 1.0410 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.0640 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5480 ; + RECT 0.7350 0.0300 0.7850 0.4870 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4860 0.3250 0.5280 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.8120 0.8410 0.8620 ; + RECT 0.5830 0.5370 0.9670 0.5870 ; + RECT 0.7910 0.5870 0.9670 0.6630 ; + RECT 0.5830 0.3350 0.6330 0.5370 ; + RECT 0.5830 0.8620 0.6330 1.5460 ; + RECT 0.7910 0.6630 0.8410 0.8120 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.4470 0.6290 0.4890 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + END + ANTENNADIFFAREA 0.1081 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.1790 1.7730 ; + LAYER M1 ; + RECT 0.4910 0.6600 0.7250 0.7100 ; + RECT 0.4560 0.8870 0.5210 0.9370 ; + RECT 0.4710 0.4660 0.5210 0.9370 ; + RECT 0.4310 0.8870 0.4810 1.0610 ; + RECT 0.4310 0.8870 0.4740 0.9370 ; + RECT 0.4310 0.4660 0.4810 0.5710 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.9730 0.0710 1.0030 1.6060 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + LAYER CO ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 0.4350 0.4860 0.4770 0.5280 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + END +END LSDNSSX1_HVT + +MACRO LSDNSSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6750 0.4210 0.7250 ; + RECT 0.2490 0.7250 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.0255 ; + END A + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.2790 0.9280 0.3290 1.6420 ; + RECT 0.7350 0.9120 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.2830 1.2360 0.3250 1.2780 ; + RECT 0.2830 1.3280 0.3250 1.3700 ; + RECT 0.2830 1.1440 0.3250 1.1860 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.2830 1.0520 0.3250 1.0940 ; + RECT 0.2830 1.3280 0.3250 1.3700 ; + RECT 0.2830 1.1440 0.3250 1.1860 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2830 0.9600 0.3250 1.0020 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 0.9600 0.3250 1.0020 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.2830 1.2360 0.3250 1.2780 ; + RECT 0.2830 1.0520 0.3250 1.0940 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5480 ; + RECT 0.7350 0.0300 0.7850 0.4870 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + RECT 0.2830 0.4860 0.3250 0.5280 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.3940 0.3250 0.4360 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.8120 0.9930 0.8620 ; + RECT 0.5830 0.5370 1.1190 0.5870 ; + RECT 0.9430 0.5870 1.1190 0.6630 ; + RECT 0.5830 0.3350 0.6330 0.5370 ; + RECT 0.8870 0.3350 0.9370 0.5370 ; + RECT 0.5830 0.8620 0.6330 1.5460 ; + RECT 0.8870 0.8620 0.9370 1.5460 ; + RECT 0.9430 0.6630 0.9930 0.8120 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.8400 0.9330 0.8820 ; + RECT 0.8910 0.3550 0.9330 0.3970 ; + RECT 0.8910 0.9320 0.9330 0.9740 ; + RECT 0.8910 1.3000 0.9330 1.3420 ; + RECT 0.8910 1.3000 0.9330 1.3420 ; + RECT 0.8910 0.3550 0.9330 0.3970 ; + RECT 0.8910 0.8400 0.9330 0.8820 ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 0.8910 1.3920 0.9330 1.4340 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 0.8910 0.4470 0.9330 0.4890 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.4470 0.6290 0.4890 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + RECT 0.8910 1.2080 0.9330 1.2500 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + RECT 0.8910 1.2080 0.9330 1.2500 ; + RECT 0.8910 1.3920 0.9330 1.4340 ; + RECT 0.8910 0.9320 0.9330 0.9740 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.8910 1.4840 0.9330 1.5260 ; + RECT 0.8910 1.4840 0.9330 1.5260 ; + END + ANTENNADIFFAREA 0.2162 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER M1 ; + RECT 0.4910 0.6600 0.8770 0.7100 ; + RECT 0.4560 0.8870 0.5210 0.9370 ; + RECT 0.4710 0.4660 0.5210 0.9370 ; + RECT 0.4310 0.8870 0.4810 1.3370 ; + RECT 0.4310 0.3740 0.4810 0.5480 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 1.1250 0.0710 1.1550 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.9730 0.0710 1.0030 1.6060 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + LAYER CO ; + RECT 0.4350 0.3940 0.4770 0.4360 ; + RECT 0.4350 1.2750 0.4770 1.3170 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + RECT 0.4350 1.1830 0.4770 1.2250 ; + RECT 0.4350 1.0910 0.4770 1.1330 ; + RECT 0.4350 1.2750 0.4770 1.3170 ; + RECT 0.4350 1.1830 0.4770 1.2250 ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 0.4350 1.0910 0.4770 1.1330 ; + RECT 0.4350 0.4860 0.4770 0.5280 ; + END +END LSDNSSX2_HVT + +MACRO LSDNSSX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4210 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0318 ; + END A + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 1.0390 0.9120 1.0890 1.6420 ; + RECT 0.2790 0.8930 0.3290 1.6420 ; + RECT 0.7350 0.9120 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 0.2830 0.9220 0.3250 0.9640 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 1.0430 1.3000 1.0850 1.3420 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 0.9220 0.3250 0.9640 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.2830 1.1980 0.3250 1.2400 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.2830 1.0140 0.3250 1.0560 ; + RECT 0.2830 1.3820 0.3250 1.4240 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.1060 0.3250 1.1480 ; + RECT 0.7390 1.3000 0.7810 1.3420 ; + RECT 0.2830 1.0140 0.3250 1.0560 ; + RECT 0.7390 0.9320 0.7810 0.9740 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.7390 1.2080 0.7810 1.2500 ; + RECT 0.2830 1.2900 0.3250 1.3320 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.0240 0.7810 1.0660 ; + RECT 0.7390 1.4840 0.7810 1.5260 ; + RECT 0.7390 1.3920 0.7810 1.4340 ; + RECT 0.7390 1.1160 0.7810 1.1580 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2830 1.1980 0.3250 1.2400 ; + RECT 0.2830 1.1060 0.3250 1.1480 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.4740 0.3250 1.5160 ; + RECT 0.2830 1.2900 0.3250 1.3320 ; + RECT 0.2830 1.4740 0.3250 1.5160 ; + RECT 0.2830 1.3820 0.3250 1.4240 ; + RECT 1.0430 0.9320 1.0850 0.9740 ; + RECT 1.0430 0.9320 1.0850 0.9740 ; + RECT 1.0430 1.2080 1.0850 1.2500 ; + RECT 1.0430 1.2080 1.0850 1.2500 ; + RECT 1.0430 1.1160 1.0850 1.1580 ; + RECT 1.0430 1.3920 1.0850 1.4340 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 1.0430 1.4840 1.0850 1.5260 ; + RECT 1.0430 1.0240 1.0850 1.0660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDDL + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.4870 ; + RECT 0.2790 0.0300 0.3290 0.5510 ; + RECT 0.7350 0.0300 0.7850 0.4870 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3970 0.3250 0.4390 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + RECT 0.7390 0.4250 0.7810 0.4670 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.4890 0.3250 0.5310 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.4250 1.0850 0.4670 ; + RECT 1.0430 0.4250 1.0850 0.4670 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5830 0.8120 1.2970 0.8620 ; + RECT 0.5830 0.5370 1.4230 0.5870 ; + RECT 1.2470 0.5870 1.4230 0.6630 ; + RECT 1.1910 0.3350 1.2410 0.5370 ; + RECT 0.5830 0.3350 0.6330 0.5370 ; + RECT 0.8870 0.3350 0.9370 0.5370 ; + RECT 1.1910 0.8620 1.2410 1.5460 ; + RECT 0.5830 0.8620 0.6330 1.5460 ; + RECT 0.8870 0.8620 0.9370 1.5460 ; + RECT 1.2470 0.6630 1.2970 0.8120 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 1.1950 0.9320 1.2370 0.9740 ; + RECT 1.1950 1.3000 1.2370 1.3420 ; + RECT 1.1950 0.9320 1.2370 0.9740 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.2080 1.2370 1.2500 ; + RECT 0.8910 1.3920 0.9330 1.4340 ; + RECT 1.1950 1.0240 1.2370 1.0660 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 1.3920 1.2370 1.4340 ; + RECT 1.1950 1.4840 1.2370 1.5260 ; + RECT 1.1950 0.8400 1.2370 0.8820 ; + RECT 1.1950 0.3550 1.2370 0.3970 ; + RECT 1.1950 0.3550 1.2370 0.3970 ; + RECT 1.1950 0.8400 1.2370 0.8820 ; + RECT 1.1950 0.4470 1.2370 0.4890 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + RECT 0.5870 0.9320 0.6290 0.9740 ; + RECT 1.1950 1.1160 1.2370 1.1580 ; + RECT 0.8910 0.4470 0.9330 0.4890 ; + RECT 0.8910 0.8400 0.9330 0.8820 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.3550 0.6290 0.3970 ; + RECT 0.5870 0.4470 0.6290 0.4890 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.3000 0.6290 1.3420 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.2080 0.6290 1.2500 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.3920 0.6290 1.4340 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.4840 0.6290 1.5260 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 1.1160 0.6290 1.1580 ; + RECT 0.5870 1.0240 0.6290 1.0660 ; + RECT 0.5870 0.8400 0.6290 0.8820 ; + RECT 0.8910 1.2080 0.9330 1.2500 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + RECT 0.8910 1.2080 0.9330 1.2500 ; + RECT 0.8910 1.3920 0.9330 1.4340 ; + RECT 0.8910 0.9320 0.9330 0.9740 ; + RECT 0.8910 1.0240 0.9330 1.0660 ; + RECT 0.8910 1.1160 0.9330 1.1580 ; + RECT 0.8910 1.4840 0.9330 1.5260 ; + RECT 0.8910 1.4840 0.9330 1.5260 ; + RECT 0.8910 0.8400 0.9330 0.8820 ; + RECT 0.8910 0.3550 0.9330 0.3970 ; + RECT 0.8910 0.9320 0.9330 0.9740 ; + RECT 0.8910 1.3000 0.9330 1.3420 ; + RECT 0.8910 1.3000 0.9330 1.3420 ; + RECT 0.8910 0.3550 0.9330 0.3970 ; + RECT 1.1950 1.3000 1.2370 1.3420 ; + RECT 1.1950 1.2080 1.2370 1.2500 ; + END + ANTENNADIFFAREA 0.3456 ; + END Y + OBS + LAYER CO ; + RECT 0.4350 1.0910 0.4770 1.1330 ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + RECT 0.4350 0.9070 0.4770 0.9490 ; + RECT 0.4350 1.0910 0.4770 1.1330 ; + RECT 0.4350 0.4890 0.4770 0.5310 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.4350 0.9990 0.4770 1.0410 ; + RECT 0.4350 1.4590 0.4770 1.5010 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.4350 0.3970 0.4770 0.4390 ; + RECT 0.4350 1.3670 0.4770 1.4090 ; + RECT 0.4350 1.1830 0.4770 1.2250 ; + RECT 0.4350 1.2750 0.4770 1.3170 ; + RECT 0.4350 1.1830 0.4770 1.2250 ; + RECT 0.4350 1.3670 0.4770 1.4090 ; + RECT 0.4350 1.2750 0.4770 1.3170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.4910 0.6600 1.1810 0.7100 ; + RECT 0.4560 0.8870 0.5210 0.9370 ; + RECT 0.4710 0.4660 0.5210 0.9370 ; + RECT 0.4310 0.8870 0.4810 1.5210 ; + RECT 0.4310 0.3770 0.4810 0.5510 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 1.1250 0.0710 1.1550 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.9730 0.0710 1.0030 1.6060 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + RECT 1.2770 0.0710 1.3070 1.6060 ; + RECT 1.4290 0.0710 1.4590 1.6060 ; + END +END LSDNSSX4_HVT + +MACRO LASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.8 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.8000 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 3.4710 0.0300 3.5210 0.2200 ; + RECT 3.1670 0.0300 3.2170 0.2200 ; + RECT 2.8630 0.0300 2.9130 0.2200 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.7110 0.0300 2.7610 0.2440 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.4750 0.1580 3.5170 0.2000 ; + RECT 3.1710 0.1580 3.2130 0.2000 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 2.8670 0.1580 2.9090 0.2000 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0150 0.3950 3.5610 0.4450 ; + RECT 3.2890 1.0590 3.3990 1.1190 ; + RECT 3.0150 1.0590 3.0650 1.5460 ; + RECT 3.0150 1.0090 3.5610 1.0590 ; + RECT 3.0150 0.1480 3.0650 0.3950 ; + RECT 3.5110 0.4450 3.5610 1.0090 ; + END + PORT + LAYER CO ; + RECT 3.0190 0.1950 3.0610 0.2370 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 0.2870 3.0610 0.3290 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.8000 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.1930 2.1780 1.2430 ; + RECT 2.8630 1.1700 2.9130 1.6420 ; + RECT 3.4710 1.3570 3.5210 1.6420 ; + RECT 3.1670 1.1700 3.2170 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2430 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 1.8030 1.1970 1.8450 1.2390 ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3610 1.9210 1.4030 ; + END + ANTENNAGATEAREA 0.0261 ; + END SETB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.3030 0.2950 3.6610 0.3450 ; + RECT 3.4410 1.1610 3.5510 1.2210 ; + RECT 3.3190 1.2710 3.3690 1.5460 ; + RECT 3.3190 1.2210 3.6610 1.2710 ; + RECT 3.6110 0.3450 3.6610 1.2210 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 0.2990 3.3650 0.3410 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + OBS + LAYER CO ; + RECT 2.1070 0.4920 2.1490 0.5340 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.4000 2.1490 0.4420 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 0.7220 1.6170 0.7640 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 2.1070 1.0050 2.1490 1.0470 ; + RECT 2.4110 0.5000 2.4530 0.5420 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.5150 1.5410 0.5570 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.7220 2.2250 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.0050 1.5410 1.0470 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.5000 1.9970 0.5420 ; + RECT 2.2590 0.4990 2.3010 0.5410 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.9150 1.7730 ; + RECT 0.5320 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.9510 0.6040 3.1570 0.6540 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4800 2.0010 0.6040 ; + RECT 2.2950 0.6540 2.3450 0.8780 ; + RECT 1.4550 0.6060 1.5450 0.6560 ; + RECT 1.4950 0.3800 2.1530 0.4300 ; + RECT 1.4550 1.0010 2.1740 1.0510 ; + RECT 1.7070 0.6180 1.8480 0.6680 ; + RECT 2.1030 0.4300 2.1530 0.5540 ; + RECT 1.4950 0.4300 1.5450 0.6060 ; + RECT 1.4550 0.6560 1.5050 1.0010 ; + RECT 1.7980 0.4300 1.8480 0.6180 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 3.2430 0.6040 3.4610 0.6540 ; + RECT 2.2390 0.4950 3.2930 0.5450 ; + RECT 2.7270 0.8060 3.2930 0.8560 ; + RECT 3.2430 0.5450 3.2930 0.6040 ; + RECT 3.2430 0.6540 3.2930 0.8060 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.8560 2.7770 1.1180 ; + RECT 1.5550 0.7180 2.2450 0.7680 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + LAYER PO ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.1890 0.9320 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7960 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRX2_HVT + +MACRO LASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.192 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.6660 1.1190 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6680 1.0090 0.7100 ; + END + ANTENNAGATEAREA 0.0342 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.1920 0.0300 ; + RECT 0.8870 0.2880 2.4570 0.3380 ; + RECT 2.7110 0.0300 2.7610 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.8870 0.3380 0.9370 0.4620 ; + RECT 2.4070 0.0300 2.4570 0.2880 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.7150 0.1580 2.7570 0.2000 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8910 0.4000 0.9330 0.4420 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.2920 1.5410 0.3340 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.3080 0.9330 0.3500 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.4110 0.2590 2.4530 0.3010 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5590 1.0690 2.9430 1.1190 ; + RECT 2.8330 1.0090 2.9430 1.0690 ; + RECT 2.5590 0.1480 2.6090 0.3090 ; + RECT 2.8930 0.3590 2.9430 1.0090 ; + RECT 2.5590 0.3090 2.9430 0.3590 ; + RECT 2.5590 1.1190 2.6090 1.5460 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.1160 2.6050 1.1580 ; + RECT 2.5630 1.1160 2.6050 1.1580 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 2.5630 0.1950 2.6050 0.2370 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 0.2870 2.6050 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.1920 1.7020 ; + RECT 0.4310 1.0770 0.9370 1.1270 ; + RECT 1.6310 1.2650 2.0260 1.3150 ; + RECT 2.7110 1.1700 2.7610 1.6420 ; + RECT 2.4230 1.3780 2.4730 1.6420 ; + RECT 1.9760 1.3280 2.4730 1.3780 ; + RECT 0.5830 0.8740 0.6330 1.0770 ; + RECT 0.4310 0.8610 0.4810 1.0770 ; + RECT 0.8870 1.1270 0.9370 1.3430 ; + RECT 0.5590 1.1270 0.6090 1.6420 ; + RECT 1.9760 1.3150 2.0260 1.3280 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 0.8950 0.6290 0.9370 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.4350 1.0650 0.4770 1.1070 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 0.8910 1.2810 0.9330 1.3230 ; + RECT 1.6510 1.2690 1.6930 1.3110 ; + RECT 0.8910 1.1890 0.9330 1.2310 ; + RECT 2.4110 1.3320 2.4530 1.3740 ; + RECT 0.4350 0.8810 0.4770 0.9230 ; + RECT 0.4350 0.9730 0.4770 1.0150 ; + RECT 0.8910 1.0970 0.9330 1.1390 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.4650 1.7710 1.5750 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5130 1.7690 1.5550 ; + END + ANTENNAGATEAREA 0.018 ; + END SETB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 1.1610 3.0960 1.2210 ; + RECT 2.8470 0.1540 3.0950 0.2040 ; + RECT 2.8630 1.2210 3.0960 1.2710 ; + RECT 2.8630 1.2710 2.9130 1.5460 ; + RECT 3.0450 0.2040 3.0950 1.1610 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 0.1580 2.9090 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.3130 0.4050 1.4230 ; + RECT 0.3550 1.4230 0.4050 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5220 0.4010 1.5640 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 1.4230 0.7220 1.4650 0.7640 ; + RECT 1.3470 0.4500 1.3890 0.4920 ; + RECT 1.9550 1.1690 1.9970 1.2110 ; + RECT 2.2590 0.4140 2.3010 0.4560 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.8030 1.0690 1.8450 1.1110 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.4380 1.2370 0.4800 ; + RECT 1.3470 1.1690 1.3890 1.2110 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.4990 1.0690 1.5410 1.1110 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 1.1950 0.5300 1.2370 0.5720 ; + RECT 1.8030 0.5300 1.8450 0.5720 ; + RECT 2.1070 0.4130 2.1490 0.4550 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 1.2710 1.4320 1.3130 1.4740 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8790 0.1000 1.9210 0.1420 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 1.8790 1.4320 1.9210 1.4740 ; + RECT 1.9550 0.4140 1.9970 0.4560 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.0430 0.4220 1.0850 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 2.0310 0.7220 2.0730 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.2590 1.1700 2.3010 1.2120 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.3070 1.7730 ; + RECT 0.2280 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.7990 0.6040 2.7010 0.6540 ; + RECT 1.4790 1.0650 2.1930 1.1150 ; + RECT 1.7990 0.5100 1.8490 0.6040 ; + RECT 2.1430 0.6540 2.1930 1.0650 ; + RECT 1.5550 0.6180 1.6960 0.6680 ; + RECT 1.3430 0.4100 2.0170 0.4600 ; + RECT 1.3030 0.6060 1.3930 0.6560 ; + RECT 1.3030 1.1650 2.0220 1.2150 ; + RECT 1.6460 0.4600 1.6960 0.6180 ; + RECT 1.3430 0.4600 1.3930 0.6060 ; + RECT 1.3030 0.6560 1.3530 1.1650 ; + RECT 0.7350 0.0960 1.9720 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.1350 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 2.0870 0.4090 2.8370 0.4590 ; + RECT 2.4070 0.8060 2.8370 0.8560 ; + RECT 2.7870 0.4590 2.8370 0.8060 ; + RECT 2.0870 1.1660 2.4570 1.2160 ; + RECT 2.4070 0.8560 2.4570 1.1660 ; + RECT 1.4030 0.7180 2.0930 0.7680 ; + RECT 1.0390 1.3080 1.2410 1.3580 ; + RECT 1.0230 0.4180 1.2410 0.4680 ; + RECT 1.0390 1.1660 1.0890 1.3080 ; + RECT 1.1910 0.4680 1.2410 1.3080 ; + RECT 0.6590 1.4280 1.4850 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 1.8580 1.4280 2.0930 1.4780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 1.2770 1.0320 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.0370 1.0320 2.0670 1.6060 ; + RECT 2.0370 0.0680 2.0670 0.7960 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + END +END LASX1_HVT + +MACRO LASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.6660 1.1190 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6680 1.0090 0.7100 ; + END + ANTENNAGATEAREA 0.0342 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 0.8870 0.2880 2.4570 0.3380 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 3.1670 0.0300 3.2170 0.2200 ; + RECT 2.5590 0.0300 2.6090 0.2200 ; + RECT 2.8630 0.0300 2.9130 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.8870 0.3380 0.9370 0.4620 ; + RECT 2.4070 0.0300 2.4570 0.2880 ; + END + PORT + LAYER CO ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 3.1710 0.1580 3.2130 0.2000 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.8670 0.1580 2.9090 0.2000 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8910 0.4000 0.9330 0.4420 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4990 0.2920 1.5410 0.3340 ; + RECT 0.8910 0.3080 0.9330 0.3500 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.4110 0.2590 2.4530 0.3010 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7110 1.1190 2.7610 1.5460 ; + RECT 3.1370 1.0090 3.2570 1.0690 ; + RECT 2.7110 1.0690 3.2570 1.1190 ; + RECT 2.7110 0.1480 2.7610 0.3930 ; + RECT 3.2070 0.4430 3.2570 1.0090 ; + RECT 2.7110 0.3930 3.2570 0.4430 ; + END + PORT + LAYER CO ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 0.2870 2.7570 0.3290 ; + RECT 2.7150 1.1160 2.7570 1.1580 ; + RECT 2.7150 1.1160 2.7570 1.1580 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 0.1950 2.7570 0.2370 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 0.4310 1.0770 0.9370 1.1270 ; + RECT 1.6310 1.2650 2.0260 1.3150 ; + RECT 2.5590 1.1700 2.6090 1.6420 ; + RECT 2.8630 1.1700 2.9130 1.6420 ; + RECT 3.1670 1.3630 3.2170 1.6420 ; + RECT 2.4230 1.3780 2.4730 1.6420 ; + RECT 1.9760 1.3280 2.4730 1.3780 ; + RECT 0.5830 0.8740 0.6330 1.0770 ; + RECT 0.4310 0.8610 0.4810 1.0770 ; + RECT 0.8870 1.1270 0.9370 1.3430 ; + RECT 0.5590 1.1270 0.6090 1.6420 ; + RECT 1.9760 1.3150 2.0260 1.3280 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.2690 1.6930 1.3110 ; + RECT 0.8910 1.1890 0.9330 1.2310 ; + RECT 2.4110 1.3320 2.4530 1.3740 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.5870 0.8950 0.6290 0.9370 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.4350 1.0650 0.4770 1.1070 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.4350 0.8810 0.4770 0.9230 ; + RECT 0.4350 0.9730 0.4770 1.0150 ; + RECT 0.8910 1.0970 0.9330 1.1390 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 0.8910 1.2810 0.9330 1.3230 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.4650 1.7710 1.5750 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.5130 1.7690 1.5550 ; + END + ANTENNAGATEAREA 0.018 ; + END SETB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9990 0.2920 3.3990 0.3420 ; + RECT 3.0150 1.2210 3.4000 1.2710 ; + RECT 3.2900 1.1610 3.4000 1.2210 ; + RECT 3.0150 1.2710 3.0650 1.5460 ; + RECT 3.3490 0.3420 3.3990 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 0.2960 3.0610 0.3380 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.3130 0.4050 1.4230 ; + RECT 0.3550 1.4230 0.4050 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5220 0.4010 1.5640 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.0430 0.4220 1.0850 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 1.4230 0.7220 1.4650 0.7640 ; + RECT 1.3470 0.4500 1.3890 0.4920 ; + RECT 1.9550 1.1690 1.9970 1.2110 ; + RECT 2.2590 0.4980 2.3010 0.5400 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.8030 1.0690 1.8450 1.1110 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.4380 1.2370 0.4800 ; + RECT 1.3470 1.1690 1.3890 1.2110 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 2.0310 0.7220 2.0730 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.2590 1.1700 2.3010 1.2120 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.4990 1.0690 1.5410 1.1110 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.1950 0.5300 1.2370 0.5720 ; + RECT 1.8030 0.5300 1.8450 0.5720 ; + RECT 2.1070 0.4970 2.1490 0.5390 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 1.2710 1.4320 1.3130 1.4740 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8790 0.1000 1.9210 0.1420 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 1.8790 1.4320 1.9210 1.4740 ; + RECT 1.9550 0.4140 1.9970 0.4560 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.6110 1.7730 ; + RECT 0.2280 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.7990 0.6040 2.8530 0.6540 ; + RECT 1.4790 1.0650 2.1930 1.1150 ; + RECT 1.7990 0.5100 1.8490 0.6040 ; + RECT 2.1430 0.6540 2.1930 1.0650 ; + RECT 1.5550 0.6180 1.6960 0.6680 ; + RECT 1.3430 0.4100 2.0170 0.4600 ; + RECT 1.3030 0.6060 1.3930 0.6560 ; + RECT 1.3030 1.1650 2.0220 1.2150 ; + RECT 1.6460 0.4600 1.6960 0.6180 ; + RECT 1.3430 0.4600 1.3930 0.6060 ; + RECT 1.3030 0.6560 1.3530 1.1650 ; + RECT 0.7350 0.0960 1.9720 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.1350 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 2.9390 0.6040 3.1570 0.6540 ; + RECT 2.4070 0.8060 2.9890 0.8560 ; + RECT 2.0870 0.4930 2.9890 0.5430 ; + RECT 2.9390 0.6540 2.9890 0.8060 ; + RECT 2.9390 0.5430 2.9890 0.6040 ; + RECT 2.0870 1.1660 2.4570 1.2160 ; + RECT 2.4070 0.8560 2.4570 1.1660 ; + RECT 1.4030 0.7180 2.0930 0.7680 ; + RECT 1.0390 1.3080 1.2410 1.3580 ; + RECT 1.0230 0.4180 1.2410 0.4680 ; + RECT 1.0390 1.1660 1.0890 1.3080 ; + RECT 1.1910 0.4680 1.2410 1.3080 ; + RECT 0.6590 1.4280 1.4850 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 1.8580 1.4280 2.0930 1.4780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 1.2770 1.0320 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.0370 1.0320 2.0670 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.0370 0.0680 2.0670 0.7960 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + END +END LASX2_HVT + +MACRO LATCHX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.6660 1.1190 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6680 1.0090 0.7100 ; + END + ANTENNAGATEAREA 0.0312 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 0.8870 0.3040 2.3050 0.3540 ; + RECT 2.5590 0.0300 2.6090 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.8870 0.3540 0.9370 0.4780 ; + RECT 2.2550 0.0300 2.3050 0.3040 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.5630 0.1580 2.6050 0.2000 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 1.4990 0.3080 1.5410 0.3500 ; + RECT 0.8910 0.3240 0.9330 0.3660 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.2590 0.2920 2.3010 0.3340 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.4070 1.0690 2.7910 1.1190 ; + RECT 2.6810 1.0090 2.7910 1.0690 ; + RECT 2.4070 0.1480 2.4570 0.3090 ; + RECT 2.7410 0.3590 2.7910 1.0090 ; + RECT 2.4070 0.3090 2.7910 0.3590 ; + RECT 2.4070 1.1190 2.4570 1.5460 ; + END + PORT + LAYER CO ; + RECT 2.4110 1.3920 2.4530 1.4340 ; + RECT 2.4110 1.3000 2.4530 1.3420 ; + RECT 2.4110 1.3920 2.4530 1.4340 ; + RECT 2.4110 1.4840 2.4530 1.5260 ; + RECT 2.4110 1.3000 2.4530 1.3420 ; + RECT 2.4110 1.1160 2.4530 1.1580 ; + RECT 2.4110 1.1160 2.4530 1.1580 ; + RECT 2.4110 0.2870 2.4530 0.3290 ; + RECT 2.4110 1.2080 2.4530 1.2500 ; + RECT 2.4110 1.2080 2.4530 1.2500 ; + RECT 2.4110 0.1950 2.4530 0.2370 ; + RECT 2.4110 1.4840 2.4530 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 1.4950 1.4210 1.7120 1.4710 ; + RECT 0.4310 1.0770 0.9370 1.1270 ; + RECT 2.5590 1.1700 2.6090 1.6420 ; + RECT 2.2550 1.0840 2.3050 1.6420 ; + RECT 1.4950 1.1920 1.5450 1.4210 ; + RECT 1.6620 1.4710 1.7120 1.6420 ; + RECT 0.5830 0.8740 0.6330 1.0770 ; + RECT 0.8870 1.1270 0.9370 1.3430 ; + RECT 0.4310 0.8610 0.4810 1.0770 ; + RECT 0.5430 1.1270 0.5930 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.2590 1.2140 2.3010 1.2560 ; + RECT 0.4350 0.9730 0.4770 1.0150 ; + RECT 0.8910 1.0970 0.9330 1.1390 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 0.5870 0.8950 0.6290 0.9370 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 2.2590 1.2140 2.3010 1.2560 ; + RECT 0.4350 1.0650 0.4770 1.1070 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 2.2590 1.3060 2.3010 1.3480 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.2590 1.3060 2.3010 1.3480 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 0.8910 1.2810 0.9330 1.3230 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 0.8910 1.1890 0.9330 1.2310 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.4350 0.8810 0.4770 0.9230 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8340 1.1610 2.9440 1.2210 ; + RECT 2.6950 0.1540 2.9430 0.2040 ; + RECT 2.7110 1.2210 2.9440 1.2710 ; + RECT 2.7110 1.2710 2.7610 1.5460 ; + RECT 2.8930 0.2040 2.9430 1.1610 ; + END + PORT + LAYER CO ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 0.1580 2.7570 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.3130 0.4050 1.4230 ; + RECT 0.3550 1.4230 0.4050 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5220 0.4010 1.5640 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 1.8790 0.2000 1.9210 0.2420 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 1.4230 0.2000 1.4650 0.2420 ; + RECT 1.3470 0.9140 1.3890 0.9560 ; + RECT 1.8030 1.1400 1.8450 1.1820 ; + RECT 1.3470 0.4300 1.3890 0.4720 ; + RECT 1.8030 1.0480 1.8450 1.0900 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.2830 0.2450 0.3250 0.2870 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.4380 1.2370 0.4800 ; + RECT 1.3470 1.0060 1.3890 1.0480 ; + RECT 1.1950 1.0420 1.2370 1.0840 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.9120 1.6930 0.9540 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 1.1950 0.5300 1.2370 0.5720 ; + RECT 0.2830 0.5210 0.3250 0.5630 ; + RECT 0.2830 0.4290 0.3250 0.4710 ; + RECT 0.2830 0.3370 0.3250 0.3790 ; + RECT 2.1070 0.8120 2.1490 0.8540 ; + RECT 1.1950 0.9500 1.2370 0.9920 ; + RECT 1.6510 0.5240 1.6930 0.5660 ; + RECT 1.9550 0.5290 1.9970 0.5710 ; + RECT 1.9550 0.8120 1.9970 0.8540 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + RECT 1.8030 0.4140 1.8450 0.4560 ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + RECT 1.1950 0.8580 1.2370 0.9000 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 1.3470 0.5220 1.3890 0.5640 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.0430 0.4220 1.0850 0.4640 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 1.4230 1.5300 1.4650 1.5720 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 1.3470 0.8220 1.3890 0.8640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.1550 1.7730 ; + RECT 0.2280 0.6770 0.5320 0.6790 ; + LAYER M1 ; + RECT 2.1630 0.6600 2.5490 0.7100 ; + RECT 1.6310 0.9080 2.3030 0.9580 ; + RECT 1.6310 0.5180 1.7390 0.5680 ; + RECT 2.2530 0.7100 2.3030 0.9080 ; + RECT 1.6890 0.5680 1.7390 0.9080 ; + RECT 1.3430 0.4100 2.6850 0.4600 ; + RECT 2.6350 0.4600 2.6850 0.6700 ; + RECT 1.3430 0.4600 1.3930 1.0280 ; + RECT 1.4980 0.6180 1.6390 0.6680 ; + RECT 1.3430 1.0280 1.8490 1.0780 ; + RECT 1.4980 0.4600 1.5480 0.6180 ; + RECT 1.7990 1.0780 1.8490 1.2020 ; + RECT 0.7350 0.0960 2.0930 0.1460 ; + RECT 0.7350 0.5180 0.8250 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8250 0.7680 ; + RECT 0.7750 0.5680 0.8250 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.1350 ; + RECT 0.2790 0.2250 0.3290 0.6180 ; + RECT 1.0390 1.3080 1.2410 1.3580 ; + RECT 1.0230 0.4180 1.2410 0.4680 ; + RECT 1.0390 1.1660 1.0890 1.3080 ; + RECT 1.1910 0.4680 1.2410 1.3080 ; + RECT 0.6430 1.5260 1.4850 1.5760 ; + RECT 1.9350 0.8080 2.1820 0.8580 ; + RECT 1.9350 0.5250 2.1690 0.5750 ; + RECT 1.9350 0.5750 1.9850 0.8080 ; + RECT 1.4030 0.1960 1.9410 0.2460 ; + RECT 1.8580 1.5260 2.0930 1.5760 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.2770 0.7420 1.3070 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.7420 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6420 ; + END +END LATCHX1_HVT + +MACRO LATCHX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.6660 1.1190 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6680 1.0090 0.7100 ; + END + ANTENNAGATEAREA 0.0312 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.8870 0.3040 2.3050 0.3540 ; + RECT 3.0150 0.0300 3.0650 0.2200 ; + RECT 2.7110 0.0300 2.7610 0.2200 ; + RECT 2.4070 0.0300 2.4570 0.2200 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.8870 0.3540 0.9370 0.4780 ; + RECT 2.2550 0.0300 2.3050 0.3040 ; + END + PORT + LAYER CO ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0190 0.1580 3.0610 0.2000 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.4110 0.1580 2.4530 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 2.7150 0.1580 2.7570 0.2000 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.8910 0.4160 0.9330 0.4580 ; + RECT 1.4990 0.3080 1.5410 0.3500 ; + RECT 0.8910 0.3240 0.9330 0.3660 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.2590 0.2920 2.3010 0.3340 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8330 1.0590 2.9430 1.1190 ; + RECT 2.5590 1.0090 3.1050 1.0590 ; + RECT 2.5590 1.0590 2.6090 1.5460 ; + RECT 2.5590 0.1480 2.6090 0.4600 ; + RECT 3.0550 0.5100 3.1050 1.0090 ; + RECT 2.5590 0.4600 3.1050 0.5100 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.1950 2.6050 0.2370 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.3920 2.6050 1.4340 ; + RECT 2.5630 0.2870 2.6050 0.3290 ; + RECT 2.5630 1.4840 2.6050 1.5260 ; + RECT 2.5630 1.3000 2.6050 1.3420 ; + RECT 2.5630 1.1160 2.6050 1.1580 ; + RECT 2.5630 1.1160 2.6050 1.1580 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + RECT 2.5630 1.2080 2.6050 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 1.4950 1.4210 1.7120 1.4710 ; + RECT 0.4310 1.0770 0.9370 1.1270 ; + RECT 2.2550 1.0840 2.3050 1.6420 ; + RECT 3.0150 1.3620 3.0650 1.6420 ; + RECT 2.4070 1.1700 2.4570 1.6420 ; + RECT 2.7110 1.1700 2.7610 1.6420 ; + RECT 1.4950 1.1920 1.5450 1.4210 ; + RECT 1.6620 1.4710 1.7120 1.6420 ; + RECT 0.5830 0.8740 0.6330 1.0770 ; + RECT 0.4310 0.8610 0.4810 1.0770 ; + RECT 0.8870 1.1270 0.9370 1.3430 ; + RECT 0.5430 1.1270 0.5930 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.2590 1.3060 2.3010 1.3480 ; + RECT 0.5870 0.9870 0.6290 1.0290 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 0.8910 1.2810 0.9330 1.3230 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 0.8910 1.1890 0.9330 1.2310 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 2.4110 1.2080 2.4530 1.2500 ; + RECT 2.4110 1.3000 2.4530 1.3420 ; + RECT 2.4110 1.4840 2.4530 1.5260 ; + RECT 2.4110 1.3000 2.4530 1.3420 ; + RECT 2.4110 1.3920 2.4530 1.4340 ; + RECT 2.4110 1.3920 2.4530 1.4340 ; + RECT 2.4110 1.4840 2.4530 1.5260 ; + RECT 2.4110 1.2080 2.4530 1.2500 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5870 1.0790 0.6290 1.1210 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 0.5870 0.8950 0.6290 0.9370 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.2590 1.3980 2.3010 1.4400 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 2.2590 1.2140 2.3010 1.2560 ; + RECT 0.4350 1.0650 0.4770 1.1070 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.4350 0.8810 0.4770 0.9230 ; + RECT 2.2590 1.2140 2.3010 1.2560 ; + RECT 0.4350 0.9730 0.4770 1.0150 ; + RECT 0.8910 1.0970 0.9330 1.1390 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 2.2590 1.3060 2.3010 1.3480 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 1.1610 3.0950 1.2210 ; + RECT 2.8630 1.2710 2.9130 1.5460 ; + RECT 2.8630 1.2210 3.2690 1.2710 ; + RECT 3.2190 0.4020 3.2690 1.2210 ; + RECT 2.8470 0.3520 3.2690 0.4020 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 0.3560 2.9090 0.3980 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.3130 0.4050 1.4230 ; + RECT 0.3550 1.4230 0.4050 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5220 0.4010 1.5640 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 1.8790 1.5300 1.9210 1.5720 ; + RECT 1.8030 0.4140 1.8450 0.4560 ; + RECT 2.0310 1.5300 2.0730 1.5720 ; + RECT 1.1950 0.8580 1.2370 0.9000 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 1.3470 0.5220 1.3890 0.5640 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.0430 0.4220 1.0850 0.4640 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 1.4230 0.2000 1.4650 0.2420 ; + RECT 1.3470 0.9140 1.3890 0.9560 ; + RECT 1.8030 1.1400 1.8450 1.1820 ; + RECT 1.3470 0.4300 1.3890 0.4720 ; + RECT 1.8030 1.0480 1.8450 1.0900 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.2830 0.2450 0.3250 0.2870 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.4380 1.2370 0.4800 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 1.4230 1.5300 1.4650 1.5720 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 1.3470 0.8220 1.3890 0.8640 ; + RECT 1.8790 0.2000 1.9210 0.2420 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.5210 0.3250 0.5630 ; + RECT 0.2830 0.4290 0.3250 0.4710 ; + RECT 0.2830 0.3370 0.3250 0.3790 ; + RECT 2.1070 0.8120 2.1490 0.8540 ; + RECT 1.1950 0.9500 1.2370 0.9920 ; + RECT 1.3470 1.0060 1.3890 1.0480 ; + RECT 1.1950 1.0420 1.2370 1.0840 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.9120 1.6930 0.9540 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 1.1950 0.5300 1.2370 0.5720 ; + RECT 1.6510 0.5240 1.6930 0.5660 ; + RECT 1.9550 0.5290 1.9970 0.5710 ; + RECT 1.9550 0.8120 1.9970 0.8540 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4590 1.7730 ; + RECT 0.2280 0.6770 0.5320 0.6790 ; + LAYER M1 ; + RECT 2.3750 0.6040 3.0050 0.6100 ; + RECT 2.7710 0.6100 3.0050 0.6540 ; + RECT 2.3750 0.5600 2.8210 0.6040 ; + RECT 1.3430 0.4600 1.3930 1.0280 ; + RECT 1.4980 0.6180 1.6390 0.6680 ; + RECT 1.3430 1.0280 1.8490 1.0780 ; + RECT 1.4980 0.4600 1.5480 0.6180 ; + RECT 1.7990 1.0780 1.8490 1.2020 ; + RECT 1.3430 0.4100 2.4250 0.4600 ; + RECT 2.3750 0.4600 2.4250 0.5600 ; + RECT 2.1630 0.6600 2.7010 0.7100 ; + RECT 1.6310 0.9080 2.3030 0.9580 ; + RECT 1.6310 0.5180 1.7390 0.5680 ; + RECT 2.2530 0.7100 2.3030 0.9080 ; + RECT 1.6890 0.5680 1.7390 0.9080 ; + RECT 0.7350 0.0960 2.0930 0.1460 ; + RECT 0.7350 0.5180 0.8250 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7180 0.8250 0.7680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7750 0.5680 0.8250 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.1350 ; + RECT 0.2790 0.2250 0.3290 0.6180 ; + RECT 1.0390 1.3080 1.2410 1.3580 ; + RECT 1.0230 0.4180 1.2410 0.4680 ; + RECT 1.0390 1.1660 1.0890 1.3080 ; + RECT 1.1910 0.4680 1.2410 1.3080 ; + RECT 0.6430 1.5260 1.4850 1.5760 ; + RECT 1.9350 0.8080 2.1820 0.8580 ; + RECT 1.9350 0.5250 2.1690 0.5750 ; + RECT 1.9350 0.5750 1.9850 0.8080 ; + RECT 1.4030 0.1960 1.9410 0.2460 ; + RECT 1.8580 1.5260 2.0930 1.5760 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 1.2770 0.7420 1.3070 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 1.8850 0.7420 1.9150 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6420 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + END +END LATCHX2_HVT + +MACRO LNANDX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0390 0.1060 1.0890 0.5530 ; + RECT 0.4910 1.0170 1.1290 1.0670 ; + RECT 1.0090 0.5530 1.1290 0.6630 ; + RECT 1.0390 1.0670 1.0890 1.5510 ; + RECT 0.7350 1.0670 0.7850 1.5510 ; + RECT 1.0790 0.6630 1.1290 1.0170 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.1370 1.0850 0.1790 ; + RECT 1.0430 0.2290 1.0850 0.2710 ; + RECT 1.0430 0.3210 1.0850 0.3630 ; + RECT 1.0430 0.4130 1.0850 0.4550 ; + RECT 1.0430 1.4890 1.0850 1.5310 ; + RECT 1.0430 1.3970 1.0850 1.4390 ; + RECT 1.0430 1.3050 1.0850 1.3470 ; + RECT 0.7390 1.3050 0.7810 1.3470 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 0.5110 1.0210 0.5530 1.0630 ; + END + ANTENNADIFFAREA 0.086 ; + END QN + + PIN RIN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.8870 0.9680 0.9370 ; + RECT 0.8570 0.9370 0.9680 0.9670 ; + RECT 0.8570 0.8570 0.9680 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8910 0.8570 0.9330 ; + END + ANTENNAGATEAREA 0.0216 ; + END RIN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + RECT 0.5830 0.1060 0.6330 0.7050 ; + RECT 0.3880 1.1230 0.4810 1.1730 ; + RECT 0.3880 0.7350 1.0290 0.7850 ; + RECT 0.4310 1.1730 0.4810 1.5510 ; + RECT 0.3880 0.7850 0.4380 1.1230 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7390 1.0090 0.7810 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.5870 0.4130 0.6290 0.4550 ; + RECT 0.5870 0.3210 0.6290 0.3630 ; + RECT 0.5870 0.2290 0.6290 0.2710 ; + RECT 0.5870 0.1370 0.6290 0.1790 ; + END + ANTENNADIFFAREA 0.0737 ; + END Q + + PIN SIN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0216 ; + END SIN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 0.8870 1.2850 0.9370 1.6420 ; + RECT 0.2790 1.2850 0.3290 1.6420 ; + RECT 0.5830 1.2850 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.4890 0.9330 1.5310 ; + RECT 0.8910 1.3970 0.9330 1.4390 ; + RECT 0.8910 1.3050 0.9330 1.3470 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3050 0.3250 1.3470 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.3050 0.6290 1.3470 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.4750 ; + RECT 0.2790 0.0300 0.3290 0.4750 ; + END + PORT + LAYER CO ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.7390 0.1370 0.7810 0.1790 ; + RECT 0.7390 0.4130 0.7810 0.4550 ; + RECT 0.7390 0.3210 0.7810 0.3630 ; + RECT 0.7390 0.2290 0.7810 0.2710 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.2290 0.3250 0.2710 ; + RECT 0.2830 0.3210 0.3250 0.3630 ; + RECT 0.2830 0.4130 0.3250 0.4550 ; + RECT 0.2830 0.1370 0.3250 0.1790 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.0910 0.6790 1.4590 1.7810 ; + LAYER PO ; + RECT 1.2770 0.0670 1.3070 1.6010 ; + RECT 0.9730 0.0670 1.0030 1.6010 ; + RECT 0.8210 0.0670 0.8510 1.6010 ; + RECT 1.1250 0.0670 1.1550 1.6010 ; + RECT 0.6690 0.0670 0.6990 1.6010 ; + RECT 0.3650 0.0670 0.3950 1.6010 ; + RECT 0.5170 0.0670 0.5470 1.6010 ; + RECT 0.2130 0.0670 0.2430 1.6010 ; + RECT 0.0610 0.0670 0.0910 1.6010 ; + END +END LNANDX1_HVT + +MACRO LNANDX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN RIN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.8870 1.3330 0.9370 ; + RECT 1.1610 0.9370 1.2710 0.9670 ; + RECT 1.1610 0.8570 1.2710 0.8870 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.8910 1.3130 0.9330 ; + RECT 1.1190 0.8910 1.1610 0.9330 ; + END + ANTENNAGATEAREA 0.0432 ; + END RIN + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.8570 1.7520 0.9670 ; + RECT 1.0390 1.1290 1.0890 1.5670 ; + RECT 1.0390 1.0790 1.7520 1.1290 ; + RECT 1.4950 0.5020 1.5450 0.5440 ; + RECT 1.4950 0.4520 1.7520 0.5020 ; + RECT 1.7020 0.7880 1.7520 0.8570 ; + RECT 0.6430 0.7380 1.7520 0.7880 ; + RECT 1.7020 0.5020 1.7520 0.7380 ; + RECT 1.6470 1.1290 1.6970 1.5670 ; + RECT 1.3430 1.1290 1.3930 1.5670 ; + RECT 1.7020 0.9670 1.7520 1.0790 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.6510 1.4900 1.6930 1.5320 ; + RECT 1.6510 1.3980 1.6930 1.4400 ; + RECT 1.6510 1.3060 1.6930 1.3480 ; + RECT 1.3470 1.3060 1.3890 1.3480 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.4990 0.4820 1.5410 0.5240 ; + RECT 0.6630 0.7420 0.7050 0.7840 ; + RECT 0.8150 0.7420 0.8570 0.7840 ; + END + ANTENNADIFFAREA 0.1253 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2390 1.0790 0.7850 1.1290 ; + RECT 0.2390 0.6050 1.6370 0.6550 ; + RECT 0.2390 0.7050 0.3590 0.8150 ; + RECT 0.4310 1.1290 0.4810 1.5670 ; + RECT 0.7350 1.1290 0.7850 1.5670 ; + RECT 0.2390 0.8150 0.2890 1.0790 ; + RECT 0.7350 0.6550 0.7850 0.6630 ; + RECT 0.7350 0.4620 0.7850 0.6050 ; + RECT 0.2390 0.6550 0.2890 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.4900 0.4770 1.5320 ; + RECT 0.4350 1.3980 0.4770 1.4400 ; + RECT 0.4350 1.3060 0.4770 1.3480 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 0.7390 1.4900 0.7810 1.5320 ; + RECT 1.4230 0.6090 1.4650 0.6510 ; + RECT 1.5750 0.6090 1.6170 0.6510 ; + RECT 0.7390 0.4820 0.7810 0.5240 ; + END + ANTENNADIFFAREA 0.113 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.4020 ; + RECT 0.4310 0.0300 0.4810 0.4020 ; + END + PORT + LAYER CO ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1950 0.2330 1.2370 0.2750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1950 0.1410 1.2370 0.1830 ; + RECT 1.1950 0.3250 1.2370 0.3670 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.2330 0.4770 0.2750 ; + RECT 0.4350 0.3250 0.4770 0.3670 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.4950 1.2860 1.5450 1.6420 ; + RECT 1.1910 1.2860 1.2410 1.6420 ; + RECT 0.8870 1.2860 0.9370 1.6420 ; + RECT 0.2790 1.2860 0.3290 1.6420 ; + RECT 0.5830 1.2860 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.2830 1.3060 0.3250 1.3480 ; + RECT 0.2830 1.4900 0.3250 1.5320 ; + RECT 0.2830 1.3980 0.3250 1.4400 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 0.8910 1.4900 0.9330 1.5320 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1950 1.3060 1.2370 1.3480 ; + RECT 1.1950 1.3980 1.2370 1.4400 ; + RECT 1.1950 1.4900 1.2370 1.5320 ; + RECT 1.4990 1.4900 1.5410 1.5320 ; + RECT 1.4990 1.3980 1.5410 1.4400 ; + RECT 1.4990 1.3060 1.5410 1.3480 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.4900 0.6290 1.5320 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + END + END VDD + + PIN SIN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.8870 0.5730 0.9370 ; + RECT 0.4010 0.9370 0.5110 0.9670 ; + RECT 0.4010 0.8570 0.5110 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8910 0.4010 0.9330 ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.0432 ; + END SIN + OBS + LAYER NWELL ; + RECT -0.0910 0.6790 2.0670 1.7800 ; + LAYER M1 ; + RECT 1.3430 0.1860 1.6970 0.2360 ; + RECT 1.6470 0.2360 1.6970 0.4020 ; + RECT 1.3430 0.2360 1.3930 0.5050 ; + RECT 1.0390 0.5050 1.3930 0.5550 ; + RECT 1.0390 0.1060 1.0890 0.5050 ; + RECT 0.8870 0.2360 0.9370 0.4020 ; + RECT 0.5830 0.1860 0.9370 0.2360 ; + RECT 0.2790 0.5050 0.6330 0.5550 ; + RECT 0.5830 0.2360 0.6330 0.5050 ; + RECT 0.2790 0.1060 0.3290 0.5050 ; + LAYER PO ; + RECT 1.8850 0.0710 1.9150 1.6030 ; + RECT 1.4290 0.0710 1.4590 1.6020 ; + RECT 1.1250 0.0710 1.1550 1.6030 ; + RECT 1.2770 0.0710 1.3070 1.6020 ; + RECT 1.5810 0.0710 1.6110 1.6020 ; + RECT 1.7330 0.0710 1.7630 1.6020 ; + RECT 0.9730 0.0710 1.0030 1.6020 ; + RECT 0.0610 0.0710 0.0910 1.6020 ; + RECT 0.8210 0.0710 0.8510 1.6020 ; + RECT 0.2130 0.0710 0.2430 1.6020 ; + RECT 0.5170 0.0710 0.5470 1.6020 ; + RECT 0.3650 0.0710 0.3950 1.6020 ; + RECT 0.6690 0.0710 0.6990 1.6020 ; + LAYER CO ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 1.0430 0.4170 1.0850 0.4590 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 1.3470 0.2330 1.3890 0.2750 ; + RECT 1.3470 0.3250 1.3890 0.3670 ; + RECT 1.3470 0.4170 1.3890 0.4590 ; + RECT 1.6510 0.2330 1.6930 0.2750 ; + RECT 1.6510 0.3250 1.6930 0.3670 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + END +END LNANDX2_HVT + +MACRO LSDNENCLSSX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.7050 0.8150 0.8150 ; + RECT 0.6140 0.6490 0.8150 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6560 0.7050 0.6980 ; + END + ANTENNAGATEAREA 0.0366 ; + END EN + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5530 0.3590 0.6520 ; + RECT 0.2490 0.6520 0.4230 0.7080 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0370 0.8140 1.0930 1.5120 ; + RECT 0.7310 0.1260 0.7870 0.5160 ; + RECT 1.0370 0.7580 1.2720 0.8140 ; + RECT 1.1620 0.8140 1.2720 0.8150 ; + RECT 0.7310 0.5160 1.1750 0.5280 ; + RECT 0.7310 0.5280 1.2030 0.5720 ; + RECT 1.0350 0.1610 1.0910 0.5160 ; + RECT 1.1470 0.5720 1.2030 0.7050 ; + RECT 1.1470 0.7050 1.2720 0.7580 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2220 1.0850 0.2640 ; + RECT 1.0430 0.3140 1.0850 0.3560 ; + RECT 1.0430 1.4110 1.0850 1.4530 ; + RECT 1.0430 1.3190 1.0850 1.3610 ; + RECT 1.0430 1.2270 1.0850 1.2690 ; + RECT 1.0430 0.8590 1.0850 0.9010 ; + RECT 1.0430 0.9510 1.0850 0.9930 ; + RECT 1.0430 1.1350 1.0850 1.1770 ; + RECT 1.0430 1.0430 1.0850 1.0850 ; + RECT 1.0430 0.4060 1.0850 0.4480 ; + RECT 0.7390 0.2220 0.7810 0.2640 ; + RECT 0.7390 0.3140 0.7810 0.3560 ; + RECT 0.7390 0.4060 0.7810 0.4480 ; + END + ANTENNADIFFAREA 0.1672 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.5800 0.0300 0.6360 0.2690 ; + RECT 0.8840 0.0300 0.9400 0.4180 ; + RECT 0.2760 0.0300 0.3320 0.2600 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8910 0.2220 0.9330 0.2640 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.1790 0.3250 0.2210 ; + RECT 0.5870 0.1900 0.6290 0.2320 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 0.4280 1.2830 0.4840 1.6420 ; + RECT 0.5830 1.2580 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.2810 0.6290 1.3230 ; + RECT 0.5870 1.3730 0.6290 1.4150 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDDL + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4810 1.7730 ; + LAYER M1 ; + RECT 0.8820 0.6370 1.0320 0.6930 ; + RECT 0.2760 0.8840 0.9380 0.9400 ; + RECT 0.8820 0.6930 0.9380 0.8840 ; + RECT 0.2760 0.9400 0.3320 1.0310 ; + RECT 0.2760 0.7710 0.3320 0.8840 ; + RECT 0.4280 0.4480 0.4840 0.5380 ; + RECT 0.4280 0.5380 0.5300 0.5960 ; + RECT 0.4740 0.5960 0.5300 0.8840 ; + RECT 0.7350 1.2540 0.9370 1.3040 ; + RECT 0.7350 1.3040 0.7850 1.4690 ; + RECT 0.8870 1.3040 0.9370 1.4690 ; + LAYER PO ; + RECT 0.6690 0.0670 0.6990 1.6210 ; + RECT 0.0610 0.0670 0.0910 1.6210 ; + RECT 0.2130 0.0670 0.2430 1.6210 ; + RECT 0.8210 0.0670 0.8510 1.6210 ; + RECT 0.5170 0.0670 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.1250 0.0670 1.1550 1.6070 ; + RECT 1.2770 0.0670 1.3070 1.6070 ; + RECT 0.9730 0.0670 1.0030 1.6070 ; + LAYER CO ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 0.9670 0.6440 1.0090 0.6860 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 0.2830 0.9510 0.3250 0.9930 ; + RECT 0.2830 0.8590 0.3250 0.9010 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + END +END LSDNENCLSSX1_HVT + +MACRO LSDNENCLSSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7040 0.7050 0.8150 0.8160 ; + RECT 0.6140 0.6490 0.8150 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6560 0.7050 0.6980 ; + END + ANTENNAGATEAREA 0.0366 ; + END EN + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2470 0.5510 0.3600 0.6520 ; + RECT 0.2470 0.6520 0.4230 0.7080 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7310 0.1260 0.7870 0.5160 ; + RECT 1.0360 0.7980 1.4240 0.8540 ; + RECT 1.2940 0.7000 1.4240 0.7980 ; + RECT 1.0360 0.8540 1.0920 1.2040 ; + RECT 1.0350 0.1610 1.0910 0.5160 ; + RECT 0.7310 0.5160 1.3220 0.5280 ; + RECT 0.7310 0.5280 1.3500 0.5720 ; + RECT 1.2940 0.5720 1.3500 0.7000 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8590 1.0850 0.9010 ; + RECT 1.0430 0.2220 1.0850 0.2640 ; + RECT 1.0430 1.0430 1.0850 1.0850 ; + RECT 1.0430 0.3140 1.0850 0.3560 ; + RECT 1.0430 1.1350 1.0850 1.1770 ; + RECT 1.0430 0.9510 1.0850 0.9930 ; + RECT 1.0430 0.4060 1.0850 0.4480 ; + RECT 0.7390 0.2220 0.7810 0.2640 ; + RECT 0.7390 0.3140 0.7810 0.3560 ; + RECT 0.7390 0.4060 0.7810 0.4480 ; + END + ANTENNADIFFAREA 0.1916 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.5800 0.0300 0.6360 0.2690 ; + RECT 0.8840 0.0300 0.9400 0.4180 ; + RECT 0.2760 0.0300 0.3320 0.2600 ; + RECT 1.1870 0.0300 1.2430 0.3950 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.1900 0.6290 0.2320 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1950 0.2220 1.2370 0.2640 ; + RECT 1.1950 0.3140 1.2370 0.3560 ; + RECT 0.8910 0.2220 0.9330 0.2640 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2830 0.1790 0.3250 0.2210 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.4280 1.2830 0.4840 1.6420 ; + RECT 0.5830 1.2580 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.2810 0.6290 1.3230 ; + RECT 0.5870 1.3730 0.6290 1.4150 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDDL + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6330 1.7730 ; + LAYER M1 ; + RECT 1.1880 1.3040 1.2440 1.5220 ; + RECT 1.1880 0.9310 1.2440 1.2540 ; + RECT 0.7350 1.2540 1.2440 1.3040 ; + RECT 0.8870 1.3040 0.9370 1.4690 ; + RECT 0.7350 1.3040 0.7850 1.4690 ; + RECT 0.8820 0.6370 1.1840 0.6930 ; + RECT 0.2760 0.8840 0.9380 0.9400 ; + RECT 0.8820 0.6930 0.9380 0.8840 ; + RECT 0.2760 0.9400 0.3320 1.0310 ; + RECT 0.2760 0.7710 0.3320 0.8840 ; + RECT 0.4280 0.4480 0.4840 0.5380 ; + RECT 0.4280 0.5380 0.5300 0.5960 ; + RECT 0.4740 0.5960 0.5300 0.8840 ; + LAYER PO ; + RECT 0.6690 0.0670 0.6990 1.6210 ; + RECT 0.0610 0.0670 0.0910 1.6210 ; + RECT 0.2130 0.0670 0.2430 1.6210 ; + RECT 0.8210 0.0670 0.8510 1.6210 ; + RECT 0.5170 0.0670 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.1250 0.0670 1.1550 1.6070 ; + RECT 1.2770 0.0670 1.3070 1.6070 ; + RECT 0.9730 0.0670 1.0030 1.6070 ; + RECT 1.4290 0.0670 1.4590 1.6070 ; + LAYER CO ; + RECT 1.1950 1.4110 1.2370 1.4530 ; + RECT 1.1950 1.3190 1.2370 1.3610 ; + RECT 1.1950 1.2270 1.2370 1.2690 ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 1.1950 0.9510 1.2370 0.9930 ; + RECT 1.1950 1.1350 1.2370 1.1770 ; + RECT 1.1950 1.0430 1.2370 1.0850 ; + RECT 1.1190 0.6440 1.1610 0.6860 ; + RECT 0.9670 0.6440 1.0090 0.6860 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 0.2830 0.9510 0.3250 0.9930 ; + RECT 0.2830 0.8590 0.3250 0.9010 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + END +END LSDNENCLSSX2_HVT + +MACRO LSDNENCLSSX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7310 0.5160 1.7090 0.5720 ; + RECT 0.7310 0.1260 0.7870 0.5160 ; + RECT 1.0360 0.8150 1.7100 0.8540 ; + RECT 1.6170 0.7050 1.7270 0.7980 ; + RECT 1.0360 0.7980 1.7270 0.8150 ; + RECT 1.0350 0.1610 1.0910 0.5160 ; + RECT 1.3400 0.1610 1.3960 0.5160 ; + RECT 1.3400 0.8540 1.3960 1.2090 ; + RECT 1.0360 0.8540 1.0920 1.2090 ; + RECT 1.6530 0.5720 1.7090 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3140 0.7810 0.3560 ; + RECT 0.7390 0.4060 0.7810 0.4480 ; + RECT 1.0430 0.8590 1.0850 0.9010 ; + RECT 1.3470 1.0430 1.3890 1.0850 ; + RECT 1.3470 0.4060 1.3890 0.4480 ; + RECT 1.3470 0.9510 1.3890 0.9930 ; + RECT 1.3470 1.1350 1.3890 1.1770 ; + RECT 1.0430 0.2220 1.0850 0.2640 ; + RECT 1.3470 0.8590 1.3890 0.9010 ; + RECT 1.0430 1.0430 1.0850 1.0850 ; + RECT 1.0430 0.3140 1.0850 0.3560 ; + RECT 1.3470 0.3140 1.3890 0.3560 ; + RECT 1.3470 0.2220 1.3890 0.2640 ; + RECT 1.0430 1.1350 1.0850 1.1770 ; + RECT 1.0430 0.9510 1.0850 0.9930 ; + RECT 1.0430 0.4060 1.0850 0.4480 ; + RECT 0.7390 0.2220 0.7810 0.2640 ; + END + ANTENNADIFFAREA 0.3404 ; + END Y + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6140 0.6490 0.8160 0.7050 ; + RECT 0.7040 0.7050 0.8160 0.8160 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6560 0.7050 0.6980 ; + END + ANTENNAGATEAREA 0.0366 ; + END EN + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2500 0.6520 0.4230 0.7080 ; + RECT 0.2500 0.5520 0.3600 0.6520 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.5800 0.0300 0.6360 0.2690 ; + RECT 0.8840 0.0300 0.9400 0.4180 ; + RECT 0.2760 0.0300 0.3320 0.2600 ; + RECT 1.1870 0.0300 1.2430 0.3950 ; + RECT 1.4920 0.0300 1.5480 0.3950 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2830 0.1790 0.3250 0.2210 ; + RECT 0.5870 0.1900 0.6290 0.2320 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1950 0.2220 1.2370 0.2640 ; + RECT 1.1950 0.3140 1.2370 0.3560 ; + RECT 0.8910 0.2220 0.9330 0.2640 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + RECT 1.4990 0.3140 1.5410 0.3560 ; + RECT 1.4990 0.2220 1.5410 0.2640 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.4280 1.2830 0.4840 1.6420 ; + RECT 0.5830 1.2580 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.2810 0.6290 1.3230 ; + RECT 0.5870 1.3730 0.6290 1.4150 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDDL + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9370 1.7730 ; + LAYER M1 ; + RECT 1.4920 1.3490 1.5480 1.5220 ; + RECT 1.4920 1.1980 1.5480 1.2990 ; + RECT 0.7350 1.2990 1.5480 1.3040 ; + RECT 0.8870 1.3040 1.5480 1.3490 ; + RECT 0.7350 1.3040 0.7850 1.4690 ; + RECT 0.7350 1.2540 0.9370 1.2990 ; + RECT 0.8870 1.3490 0.9370 1.4690 ; + RECT 1.1880 1.3490 1.2440 1.5220 ; + RECT 1.1880 1.1960 1.2440 1.2990 ; + RECT 0.8820 0.6370 1.5000 0.6930 ; + RECT 0.2760 0.8840 0.9380 0.9400 ; + RECT 0.8820 0.6930 0.9380 0.8840 ; + RECT 0.2760 0.9400 0.3320 1.0310 ; + RECT 0.2760 0.7710 0.3320 0.8840 ; + RECT 0.4280 0.4480 0.4840 0.5380 ; + RECT 0.4280 0.5380 0.5300 0.5960 ; + RECT 0.4740 0.5960 0.5300 0.8840 ; + LAYER PO ; + RECT 1.5810 0.0670 1.6110 1.6070 ; + RECT 0.6690 0.0670 0.6990 1.6210 ; + RECT 0.0610 0.0670 0.0910 1.6210 ; + RECT 0.2130 0.0670 0.2430 1.6210 ; + RECT 0.8210 0.0670 0.8510 1.6210 ; + RECT 0.5170 0.0670 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.1250 0.0670 1.1550 1.6070 ; + RECT 1.2770 0.0670 1.3070 1.6070 ; + RECT 0.9730 0.0670 1.0030 1.6070 ; + RECT 1.7330 0.0670 1.7630 1.6070 ; + RECT 1.4290 0.0670 1.4590 1.6070 ; + LAYER CO ; + RECT 0.2830 0.9510 0.3250 0.9930 ; + RECT 0.2830 0.8590 0.3250 0.9010 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 1.1950 1.4110 1.2370 1.4530 ; + RECT 1.1950 1.3190 1.2370 1.3610 ; + RECT 1.1950 1.2270 1.2370 1.2690 ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 1.4990 1.2270 1.5410 1.2690 ; + RECT 1.1190 0.6440 1.1610 0.6860 ; + RECT 1.4990 1.3190 1.5410 1.3610 ; + RECT 1.2710 0.6440 1.3130 0.6860 ; + RECT 1.4230 0.6440 1.4650 0.6860 ; + RECT 0.9670 0.6440 1.0090 0.6860 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 1.4990 1.4110 1.5410 1.4530 ; + END +END LSDNENCLSSX4_HVT + +MACRO LSDNENCLSSX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7310 0.5160 2.2690 0.5720 ; + RECT 0.7310 0.1260 0.7870 0.5160 ; + RECT 2.2140 0.7340 2.3350 0.7980 ; + RECT 1.6440 0.8540 1.7000 1.2090 ; + RECT 1.9480 0.8540 2.0040 1.2090 ; + RECT 1.3400 0.8540 1.3960 1.2090 ; + RECT 1.0360 0.8540 1.0920 1.2090 ; + RECT 1.0360 0.7980 2.3350 0.8540 ; + RECT 1.9480 0.1610 2.0040 0.5160 ; + RECT 1.6440 0.1610 1.7000 0.5160 ; + RECT 1.0350 0.1610 1.0910 0.5160 ; + RECT 1.3400 0.1610 1.3960 0.5160 ; + RECT 2.2130 0.5720 2.2690 0.7050 ; + RECT 2.2130 0.7050 2.3350 0.7340 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.4060 1.3890 0.4480 ; + RECT 1.3470 0.9510 1.3890 0.9930 ; + RECT 1.3470 1.1350 1.3890 1.1770 ; + RECT 1.0430 0.2220 1.0850 0.2640 ; + RECT 1.3470 0.8590 1.3890 0.9010 ; + RECT 1.0430 1.0430 1.0850 1.0850 ; + RECT 1.0430 0.3140 1.0850 0.3560 ; + RECT 1.3470 0.3140 1.3890 0.3560 ; + RECT 1.3470 0.2220 1.3890 0.2640 ; + RECT 1.0430 1.1350 1.0850 1.1770 ; + RECT 1.0430 0.9510 1.0850 0.9930 ; + RECT 1.0430 0.4060 1.0850 0.4480 ; + RECT 0.7390 0.2220 0.7810 0.2640 ; + RECT 1.9550 0.2220 1.9970 0.2640 ; + RECT 1.9550 0.3140 1.9970 0.3560 ; + RECT 0.7390 0.3140 0.7810 0.3560 ; + RECT 0.7390 0.4060 0.7810 0.4480 ; + RECT 1.6510 1.0240 1.6930 1.0660 ; + RECT 1.6510 0.9320 1.6930 0.9740 ; + RECT 1.9550 0.9320 1.9970 0.9740 ; + RECT 1.9550 1.0240 1.9970 1.0660 ; + RECT 1.6510 0.2220 1.6930 0.2640 ; + RECT 1.6510 0.3140 1.6930 0.3560 ; + RECT 1.0430 0.8590 1.0850 0.9010 ; + RECT 1.3470 1.0430 1.3890 1.0850 ; + END + ANTENNADIFFAREA 0.638 ; + END Y + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6140 0.6490 0.8150 0.7050 ; + RECT 0.7040 0.7050 0.8150 0.8160 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6560 0.7050 0.6980 ; + END + ANTENNAGATEAREA 0.0366 ; + END EN + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5520 0.3590 0.6520 ; + RECT 0.2490 0.6520 0.4230 0.7080 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 0.5800 0.0300 0.6360 0.2690 ; + RECT 2.1010 0.0300 2.1570 0.3950 ; + RECT 0.8840 0.0300 0.9400 0.4180 ; + RECT 0.2760 0.0300 0.3320 0.2600 ; + RECT 1.1870 0.0300 1.2430 0.3950 ; + RECT 1.4920 0.0300 1.5480 0.3950 ; + RECT 1.7960 0.0300 1.8520 0.3950 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.2220 1.2370 0.2640 ; + RECT 1.1950 0.3140 1.2370 0.3560 ; + RECT 0.8910 0.2220 0.9330 0.2640 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + RECT 1.4990 0.3140 1.5410 0.3560 ; + RECT 1.4990 0.2220 1.5410 0.2640 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2830 0.1790 0.3250 0.2210 ; + RECT 0.5870 0.1900 0.6290 0.2320 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.1070 0.3140 2.1490 0.3560 ; + RECT 2.1070 0.2220 2.1490 0.2640 ; + RECT 1.8030 0.3140 1.8450 0.3560 ; + RECT 1.8030 0.2220 1.8450 0.2640 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 0.4280 1.2830 0.4840 1.6420 ; + RECT 0.5830 1.2580 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.2810 0.6290 1.3230 ; + RECT 0.5870 1.3730 0.6290 1.4150 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.4350 1.3050 0.4770 1.3470 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + END + END VDDL + OBS + LAYER CO ; + RECT 1.1950 1.4110 1.2370 1.4530 ; + RECT 1.1950 1.3190 1.2370 1.3610 ; + RECT 1.1950 1.2270 1.2370 1.2690 ; + RECT 0.7390 1.3980 0.7810 1.4400 ; + RECT 1.4990 1.2270 1.5410 1.2690 ; + RECT 1.1190 0.6440 1.1610 0.6860 ; + RECT 1.4990 1.3190 1.5410 1.3610 ; + RECT 1.2710 0.6440 1.3130 0.6860 ; + RECT 1.4230 0.6440 1.4650 0.6860 ; + RECT 0.9670 0.6440 1.0090 0.6860 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 1.4990 1.4110 1.5410 1.4530 ; + RECT 1.8790 0.6440 1.9210 0.6860 ; + RECT 1.7270 0.6440 1.7690 0.6860 ; + RECT 0.2830 0.9510 0.3250 0.9930 ; + RECT 0.2830 0.8590 0.3250 0.9010 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 2.1070 1.2300 2.1490 1.2720 ; + RECT 2.1070 1.3220 2.1490 1.3640 ; + RECT 2.1070 1.4140 2.1490 1.4560 ; + RECT 2.0310 0.6440 2.0730 0.6860 ; + RECT 1.8030 1.4130 1.8450 1.4550 ; + RECT 1.8030 1.2290 1.8450 1.2710 ; + RECT 1.8030 1.3210 1.8450 1.3630 ; + RECT 1.5750 0.6440 1.6170 0.6860 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.5450 1.7730 ; + LAYER M1 ; + RECT 2.1000 1.3490 2.1560 1.5220 ; + RECT 2.1000 1.1980 2.1560 1.2990 ; + RECT 0.7350 1.2990 2.1560 1.3040 ; + RECT 0.8870 1.3040 2.1560 1.3490 ; + RECT 0.7350 1.3040 0.7850 1.4690 ; + RECT 0.7350 1.2540 0.9370 1.2990 ; + RECT 0.8870 1.3490 0.9370 1.4690 ; + RECT 1.1880 1.3490 1.2440 1.5220 ; + RECT 1.1880 1.1960 1.2440 1.2990 ; + RECT 1.4920 1.3490 1.5480 1.5220 ; + RECT 1.4920 1.1980 1.5480 1.2990 ; + RECT 1.7960 1.3490 1.8520 1.5220 ; + RECT 1.7960 1.1960 1.8520 1.2990 ; + RECT 0.8820 0.6370 2.1070 0.6930 ; + RECT 0.2760 0.8840 0.9380 0.9400 ; + RECT 0.8820 0.6930 0.9380 0.8840 ; + RECT 0.2760 0.9400 0.3320 1.0310 ; + RECT 0.2760 0.7710 0.3320 0.8840 ; + RECT 0.4280 0.4480 0.4840 0.5380 ; + RECT 0.4280 0.5380 0.5300 0.5960 ; + RECT 0.4740 0.5960 0.5300 0.8840 ; + LAYER PO ; + RECT 2.3410 0.0670 2.3710 1.6070 ; + RECT 1.5810 0.0670 1.6110 1.6070 ; + RECT 0.6690 0.0670 0.6990 1.6210 ; + RECT 0.0610 0.0670 0.0910 1.6210 ; + RECT 0.2130 0.0670 0.2430 1.6210 ; + RECT 0.8210 0.0670 0.8510 1.6210 ; + RECT 0.5170 0.0670 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.1250 0.0670 1.1550 1.6070 ; + RECT 1.2770 0.0670 1.3070 1.6070 ; + RECT 0.9730 0.0670 1.0030 1.6070 ; + RECT 1.7330 0.0670 1.7630 1.6070 ; + RECT 2.1890 0.0670 2.2190 1.6070 ; + RECT 2.0370 0.0670 2.0670 1.6070 ; + RECT 1.8850 0.0670 1.9150 1.6070 ; + RECT 1.4290 0.0670 1.4590 1.6070 ; + END +END LSDNENCLSSX8_HVT + +MACRO LSDNENCLX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 1.1470 1.1330 1.2810 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.1880 1.0090 1.2300 ; + END + ANTENNAGATEAREA 0.048 ; + END EN + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.7990 0.0300 1.8490 0.4850 ; + RECT 1.0390 0.0300 1.0890 0.5050 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.0430 0.4430 1.0850 0.4850 ; + RECT 1.0430 0.3510 1.0850 0.3930 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.8030 0.3740 1.8450 0.4160 ; + RECT 1.8030 0.2820 1.8450 0.3240 ; + END + END VDDL + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.1280 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.1910 2.5200 1.2410 3.0100 ; + RECT 0.8870 2.5250 0.9370 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.5450 0.9330 2.5870 ; + RECT 0.8910 2.6370 0.9330 2.6790 ; + RECT 1.1950 2.6320 1.2370 2.6740 ; + RECT 1.1950 2.5400 1.2370 2.5820 ; + END + END VDDH + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.7690 1.7020 1.8790 1.7720 ; + RECT 1.7690 1.5720 1.8790 1.6420 ; + RECT 1.0390 1.5170 1.0890 1.6420 ; + RECT 0.5830 1.5060 0.6330 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 1.7990 1.3030 1.8490 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + RECT 1.0430 1.5430 1.0850 1.5850 ; + RECT 0.5870 1.5370 0.6290 1.5790 ; + RECT 1.8030 1.3390 1.8450 1.3810 ; + RECT 1.8030 1.4310 1.8450 1.4730 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7850 0.8420 0.9870 0.9760 ; + RECT 0.7850 0.8310 0.8350 0.8420 ; + RECT 0.4310 0.7810 0.8350 0.8310 ; + RECT 0.7350 1.5340 0.9370 1.5840 ; + RECT 0.7350 1.5840 0.7850 1.5900 ; + RECT 0.7350 0.2300 0.7850 0.7810 ; + RECT 0.4310 0.2280 0.4810 0.7810 ; + RECT 0.8870 1.5840 0.9370 1.5910 ; + RECT 0.8870 1.4960 0.9370 1.5340 ; + RECT 0.7350 1.2620 0.7850 1.5340 ; + RECT 0.7850 0.9760 0.8350 1.1980 ; + RECT 0.7350 1.1980 0.8350 1.2620 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3560 0.7810 0.3980 ; + RECT 0.7390 0.2640 0.7810 0.3060 ; + RECT 0.7390 0.5400 0.7810 0.5820 ; + RECT 0.7390 0.4480 0.7810 0.4900 ; + RECT 0.7390 0.6320 0.7810 0.6740 ; + RECT 0.4350 0.6320 0.4770 0.6740 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.7240 0.4770 0.7660 ; + RECT 0.8910 1.5290 0.9330 1.5710 ; + RECT 0.7390 1.5280 0.7810 1.5700 ; + END + ANTENNADIFFAREA 0.1734 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7940 2.2130 1.1600 2.2630 ; + RECT 0.9970 2.2630 1.1600 2.3650 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.2170 0.8570 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER NWELL ; + RECT -0.1150 3.2240 2.2430 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.0130 2.3510 2.2430 3.2240 ; + RECT 0.5750 2.2340 1.4250 2.7640 ; + RECT -0.1150 -0.1150 2.2430 0.9930 ; + LAYER M1 ; + RECT 0.8870 0.6640 1.2410 0.7140 ; + RECT 1.1910 0.2300 1.2410 0.6640 ; + RECT 0.5830 0.0880 0.9370 0.1380 ; + RECT 0.8870 0.1380 0.9370 0.6640 ; + RECT 0.5830 0.1380 0.6330 0.6310 ; + RECT 0.9470 1.0280 1.1810 1.0780 ; + RECT 0.6750 2.4230 1.0890 2.4730 ; + RECT 1.0390 2.4730 1.0890 2.6900 ; + RECT 0.6750 2.2630 0.7250 2.4230 ; + RECT 0.4900 2.2130 0.7250 2.2630 ; + RECT 0.6750 2.1280 0.7250 2.2130 ; + RECT 0.7350 2.4730 0.7850 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.6750 2.0780 0.9370 2.1280 ; + RECT 0.4910 1.0280 0.7250 1.0780 ; + LAYER PO ; + RECT 1.7330 0.0690 1.7630 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.8210 1.7710 0.8510 2.7820 ; + RECT 0.9730 0.0890 1.0030 1.6690 ; + RECT 1.5810 0.0690 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.0370 0.0740 2.0670 2.7800 ; + RECT 0.9730 1.7690 1.0030 2.7820 ; + RECT 0.8210 0.0780 0.8510 1.6700 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.6690 0.0890 0.6990 2.7810 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + LAYER CO ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.6630 1.0320 0.7050 1.0740 ; + RECT 1.1950 0.5400 1.2370 0.5820 ; + RECT 0.5110 2.2170 0.5530 2.2590 ; + RECT 1.0430 2.5100 1.0850 2.5520 ; + RECT 1.1190 1.0320 1.1610 1.0740 ; + RECT 1.1950 0.6320 1.2370 0.6740 ; + RECT 0.9670 1.0320 1.0090 1.0740 ; + RECT 1.0430 2.6020 1.0850 2.6440 ; + RECT 1.1950 0.3560 1.2370 0.3980 ; + RECT 1.1950 0.2640 1.2370 0.3060 ; + RECT 1.1950 0.4480 1.2370 0.4900 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 0.8910 0.4480 0.9330 0.4900 ; + RECT 0.8910 0.5400 0.9330 0.5820 ; + RECT 0.8910 0.6320 0.9330 0.6740 ; + RECT 0.5110 1.0320 0.5530 1.0740 ; + END +END LSDNENCLX1_HVT + +MACRO LSDNENCLX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.9210 1.7020 2.0310 1.7720 ; + RECT 1.9210 1.5720 2.0310 1.6420 ; + RECT 0.8870 1.5170 0.9370 1.6420 ; + RECT 1.6470 1.3630 1.6970 1.6420 ; + RECT 1.3430 1.5240 1.3930 1.6420 ; + RECT 0.4310 1.4970 0.4810 1.6420 ; + RECT 1.0390 1.7020 1.0890 2.1290 ; + RECT 1.9510 1.3030 2.0010 1.5720 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.3470 1.5440 1.3890 1.5860 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + RECT 1.0430 2.0540 1.0850 2.0960 ; + RECT 0.4350 1.5280 0.4770 1.5700 ; + END + END VSS + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7900 1.1200 0.9810 1.2710 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.1610 0.8570 1.2030 ; + END + ANTENNAGATEAREA 0.063 ; + END EN + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.3450 ; + RECT 0.8870 0.0300 0.9370 0.5050 ; + RECT 1.3430 0.0300 1.3930 0.3450 ; + RECT 1.9510 0.0300 2.0010 0.4850 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.2800 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8910 0.4430 0.9330 0.4850 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.8910 0.3510 0.9330 0.3930 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.3470 0.2610 1.3890 0.3030 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.9550 0.3740 1.9970 0.4160 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.9550 0.2820 1.9970 0.3240 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.6510 0.2610 1.6930 0.3030 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VDDL + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 2.2800 3.0700 ; + RECT 1.6170 3.0700 1.7270 3.1400 ; + RECT 1.6170 2.9400 1.7270 3.0100 ; + RECT 1.3430 2.5200 1.3930 3.0100 ; + RECT 1.0390 2.5250 1.0890 3.0100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.6320 1.3890 2.6740 ; + RECT 1.3470 2.5400 1.3890 2.5820 ; + RECT 1.0430 2.5450 1.0850 2.5870 ; + RECT 1.0430 2.6370 1.0850 2.6790 ; + END + END VDDH + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9080 0.6940 2.0590 0.8280 ; + RECT 1.9080 0.6700 1.9580 0.6940 ; + RECT 1.7990 0.2170 1.8490 0.6200 ; + RECT 1.9080 0.8280 1.9580 1.1880 ; + RECT 1.4950 0.1850 1.5450 0.6200 ; + RECT 1.4950 0.6200 1.9580 0.6700 ; + RECT 1.4950 1.1880 1.9580 1.2380 ; + RECT 1.7990 1.2380 1.8490 1.5740 ; + RECT 1.4950 1.2380 1.5450 1.5740 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 0.2460 1.5410 0.2880 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 0.2610 1.8450 0.3030 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9460 2.2130 1.3120 2.2630 ; + RECT 1.1490 2.2630 1.3120 2.3650 ; + END + PORT + LAYER CO ; + RECT 1.1190 2.2170 1.1610 2.2590 ; + RECT 0.9670 2.2170 1.0090 2.2590 ; + END + ANTENNAGATEAREA 0.0261 ; + END A + OBS + LAYER CO ; + RECT 0.2830 0.6320 0.3250 0.6740 ; + RECT 1.1950 2.5100 1.2370 2.5520 ; + RECT 0.7390 0.2640 0.7810 0.3060 ; + RECT 1.2710 1.0320 1.3130 1.0740 ; + RECT 1.1950 2.6020 1.2370 2.6440 ; + RECT 0.7390 0.3560 0.7810 0.3980 ; + RECT 1.1950 0.6590 1.2370 0.7010 ; + RECT 0.7390 0.4480 0.7810 0.4900 ; + RECT 0.7390 0.5400 0.7810 0.5820 ; + RECT 1.1950 1.2990 1.2370 1.3410 ; + RECT 0.7390 0.6320 0.7810 0.6740 ; + RECT 1.0430 0.5400 1.0850 0.5820 ; + RECT 1.0430 0.6320 1.0850 0.6740 ; + RECT 1.0430 0.3560 1.0850 0.3980 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.0430 0.4480 1.0850 0.4900 ; + RECT 0.2830 0.2640 0.3250 0.3060 ; + RECT 0.2830 0.3560 0.3250 0.3980 ; + RECT 1.0430 1.5220 1.0850 1.5640 ; + RECT 0.2830 0.7240 0.3250 0.7660 ; + RECT 0.3590 1.0320 0.4010 1.0740 ; + RECT 0.5870 0.3560 0.6290 0.3980 ; + RECT 0.5870 0.2640 0.6290 0.3060 ; + RECT 0.4350 0.2640 0.4770 0.3060 ; + RECT 0.5870 0.5400 0.6290 0.5820 ; + RECT 0.4350 0.3560 0.4770 0.3980 ; + RECT 0.4350 0.4480 0.4770 0.4900 ; + RECT 0.5870 0.4480 0.6290 0.4900 ; + RECT 0.5870 0.6320 0.6290 0.6740 ; + RECT 0.4350 0.5400 0.4770 0.5820 ; + RECT 0.5110 1.0320 0.5530 1.0740 ; + RECT 0.7390 1.5220 0.7810 1.5640 ; + RECT 0.8910 2.0190 0.9330 2.0610 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.9670 1.0050 1.0090 1.0470 ; + RECT 0.8150 1.0050 0.8570 1.0470 ; + RECT 0.2830 0.4480 0.3250 0.4900 ; + RECT 0.5870 1.5210 0.6290 1.5630 ; + RECT 0.2830 0.5400 0.3250 0.5820 ; + RECT 0.3590 2.2170 0.4010 2.2590 ; + RECT 1.5750 1.0370 1.6170 1.0790 ; + RECT 1.7270 1.0370 1.7690 1.0790 ; + LAYER NWELL ; + RECT -0.1150 3.2240 2.3950 3.4590 ; + RECT -0.1150 2.3510 0.1150 3.2240 ; + RECT 2.1650 2.3510 2.3950 3.2240 ; + RECT 0.5750 2.2340 1.6170 2.7640 ; + RECT -0.1150 -0.1150 2.3950 0.9930 ; + LAYER M1 ; + RECT 1.3830 1.0330 1.8200 1.0830 ; + RECT 1.1910 1.2010 1.4330 1.2510 ; + RECT 1.1720 0.6550 1.4330 0.7050 ; + RECT 1.1910 1.2510 1.2410 1.3610 ; + RECT 1.3830 1.0830 1.4330 1.2010 ; + RECT 1.3830 0.7050 1.4330 1.0330 ; + RECT 1.0390 0.2300 1.0890 0.6640 ; + RECT 0.7350 0.6640 1.0890 0.7140 ; + RECT 0.4310 0.0880 0.7850 0.1380 ; + RECT 0.4310 0.1380 0.4810 0.6310 ; + RECT 0.7350 0.1380 0.7850 0.6640 ; + RECT 0.8270 2.4230 1.2410 2.4730 ; + RECT 1.1910 2.4730 1.2410 2.6900 ; + RECT 0.8870 1.9760 0.9370 2.0780 ; + RECT 0.8270 2.0780 0.9370 2.1280 ; + RECT 0.8870 2.4730 0.9370 2.6900 ; + RECT 0.3380 2.2130 0.8770 2.2630 ; + RECT 0.8270 2.2850 0.8770 2.4230 ; + RECT 0.7660 2.2630 0.8770 2.2850 ; + RECT 0.8270 2.1280 0.8770 2.1840 ; + RECT 0.7660 2.1840 0.8770 2.2130 ; + RECT 0.6330 0.9010 1.2700 0.9510 ; + RECT 1.2200 1.0280 1.3330 1.0780 ; + RECT 0.5830 1.3700 1.0890 1.4200 ; + RECT 1.2200 0.9510 1.2700 1.0280 ; + RECT 1.0390 1.4200 1.0890 1.5840 ; + RECT 0.2790 0.2280 0.3290 0.7810 ; + RECT 0.5830 0.2300 0.6330 0.7810 ; + RECT 0.2790 0.7810 0.6830 0.8310 ; + RECT 0.6330 0.8310 0.6830 0.9010 ; + RECT 0.5830 1.4200 0.6330 1.5830 ; + RECT 0.7350 1.4200 0.7850 1.5840 ; + RECT 0.5830 1.2620 0.6330 1.3700 ; + RECT 0.6330 0.9510 0.6830 1.1980 ; + RECT 0.5830 1.1980 0.6830 1.2620 ; + RECT 0.7950 1.0010 1.0290 1.0510 ; + RECT 0.3390 1.0280 0.5730 1.0780 ; + LAYER PO ; + RECT 0.6690 0.0780 0.6990 2.7810 ; + RECT 1.8850 0.0690 1.9150 2.7800 ; + RECT 0.3650 0.0890 0.3950 2.7800 ; + RECT 1.1250 0.0870 1.1550 2.7780 ; + RECT 0.9730 0.0780 1.0030 1.7850 ; + RECT 2.0370 0.0690 2.0670 2.7800 ; + RECT 1.5810 0.0660 1.6110 2.7800 ; + RECT 1.2770 0.0750 1.3070 2.7800 ; + RECT 1.4290 0.0750 1.4590 2.7800 ; + RECT 2.1890 0.0740 2.2190 2.7800 ; + RECT 0.8210 0.0780 0.8510 2.7800 ; + RECT 1.7330 0.0660 1.7630 2.7800 ; + RECT 0.2130 0.0890 0.2430 2.7800 ; + RECT 0.9730 1.9210 1.0030 2.7820 ; + RECT 0.0610 0.0890 0.0910 2.7800 ; + RECT 0.5170 0.0890 0.5470 2.7800 ; + END +END LSDNENCLX2_HVT + +MACRO ISOLORAOX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0186 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 0.4310 1.1960 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + END + END VDD + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7070 1.3430 1.9410 1.3930 ; + RECT 1.7690 1.3930 1.8790 1.4230 ; + RECT 1.7690 1.3130 1.8790 1.3430 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3470 1.9210 1.3890 ; + RECT 1.7270 1.3470 1.7690 1.3890 ; + END + ANTENNAGATEAREA 0.0318 ; + END ISO + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 2.8630 0.0300 2.9130 0.2030 ; + RECT 3.1670 0.0300 3.2170 0.2030 ; + RECT 0.4310 0.0300 0.4810 0.2950 ; + RECT 1.6470 0.0300 1.6970 0.2130 ; + RECT 2.5590 0.0300 2.6090 0.2030 ; + RECT 1.9510 0.0300 2.0010 0.2160 ; + RECT 1.3430 0.0300 1.3930 0.2240 ; + RECT 2.2550 0.0300 2.3050 0.2030 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.8670 0.1410 2.9090 0.1830 ; + RECT 3.1710 0.1410 3.2130 0.1830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 2.5630 0.1410 2.6050 0.1830 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.2590 0.1410 2.3010 0.1830 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.2330 0.4770 0.2750 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.4410 0.4010 3.5510 0.4780 ; + RECT 3.3190 0.4780 3.5520 0.5280 ; + RECT 3.3190 0.1790 3.3690 0.2530 ; + RECT 3.0150 0.1790 3.0650 0.2530 ; + RECT 2.1030 0.2530 3.5110 0.3030 ; + RECT 2.1030 0.1790 2.1530 0.2530 ; + RECT 2.7110 0.1790 2.7610 0.2530 ; + RECT 2.4070 0.1790 2.4570 0.2530 ; + RECT 3.0150 0.7070 3.0650 1.0230 ; + RECT 2.4070 0.7070 2.4570 1.0230 ; + RECT 2.7110 0.7070 2.7610 1.0230 ; + RECT 2.1030 0.7070 2.1530 1.0230 ; + RECT 3.4610 0.3030 3.5110 0.4010 ; + RECT 2.1030 0.6570 3.3690 0.7070 ; + RECT 3.3190 0.7070 3.3690 1.0230 ; + RECT 3.3190 0.5280 3.3690 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.8690 2.1490 0.9110 ; + RECT 2.7150 0.7770 2.7570 0.8190 ; + RECT 3.3230 0.8690 3.3650 0.9110 ; + RECT 3.3230 0.7770 3.3650 0.8190 ; + RECT 3.3230 0.6850 3.3650 0.7270 ; + RECT 3.3230 0.1990 3.3650 0.2410 ; + RECT 2.7150 0.8690 2.7570 0.9110 ; + RECT 3.0190 0.8690 3.0610 0.9110 ; + RECT 2.7150 0.1990 2.7570 0.2410 ; + RECT 2.7150 0.9610 2.7570 1.0030 ; + RECT 2.4110 0.6850 2.4530 0.7270 ; + RECT 2.4110 0.7770 2.4530 0.8190 ; + RECT 2.4110 0.1990 2.4530 0.2410 ; + RECT 2.1070 0.1990 2.1490 0.2410 ; + RECT 2.4110 0.8690 2.4530 0.9110 ; + RECT 2.4110 0.9610 2.4530 1.0030 ; + RECT 2.1070 0.9610 2.1490 1.0030 ; + RECT 2.1070 0.6850 2.1490 0.7270 ; + RECT 2.1070 0.7770 2.1490 0.8190 ; + RECT 3.0190 0.1990 3.0610 0.2410 ; + RECT 3.0190 0.6850 3.0610 0.7270 ; + RECT 3.0190 0.9610 3.0610 1.0030 ; + RECT 3.0190 0.7770 3.0610 0.8190 ; + RECT 3.3230 0.9610 3.3650 1.0030 ; + RECT 2.7150 0.6850 2.7570 0.7270 ; + END + ANTENNADIFFAREA 0.3476 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 3.4410 0.7050 3.5510 0.8150 ; + RECT 3.4710 0.6830 3.5210 0.7050 ; + RECT 1.7990 1.0730 3.5210 1.1330 ; + RECT 3.4710 0.8150 3.5210 1.0730 ; + RECT 2.8630 0.7620 2.9130 1.0730 ; + RECT 3.1670 0.7620 3.2170 1.0730 ; + RECT 1.7990 0.8540 1.8490 1.0730 ; + RECT 2.5590 0.7620 2.6090 1.0730 ; + RECT 2.2550 0.7620 2.3050 1.0730 ; + END + PORT + LAYER CO ; + RECT 3.4750 0.7950 3.5170 0.8370 ; + RECT 3.4750 0.7030 3.5170 0.7450 ; + RECT 3.4750 0.7950 3.5170 0.8370 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 2.8670 0.7820 2.9090 0.8240 ; + RECT 2.8670 0.9660 2.9090 1.0080 ; + RECT 2.8670 0.8740 2.9090 0.9160 ; + RECT 3.1710 0.8740 3.2130 0.9160 ; + RECT 2.5630 0.9660 2.6050 1.0080 ; + RECT 3.1710 0.9660 3.2130 1.0080 ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 3.1710 0.7820 3.2130 0.8240 ; + RECT 3.4750 0.7030 3.5170 0.7450 ; + END + END VDDG + OBS + LAYER CO ; + RECT 2.6390 0.3810 2.6810 0.4230 ; + RECT 1.9550 0.6840 1.9970 0.7260 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 2.1830 0.3810 2.2250 0.4230 ; + RECT 2.3350 0.3810 2.3770 0.4230 ; + RECT 1.9550 0.9600 1.9970 1.0020 ; + RECT 2.4870 0.3810 2.5290 0.4230 ; + RECT 1.4230 1.3470 1.4650 1.3890 ; + RECT 2.9430 0.3810 2.9850 0.4230 ; + RECT 2.7910 0.3810 2.8330 0.4230 ; + RECT 3.0950 0.3810 3.1370 0.4230 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 3.2470 0.3810 3.2890 0.4230 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.9550 0.7760 1.9970 0.8180 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 1.5750 1.3470 1.6170 1.3890 ; + RECT 0.5110 0.9850 0.5530 1.0270 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.4990 0.1460 1.5410 0.1880 ; + RECT 1.9550 0.8680 1.9970 0.9100 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + LAYER NWELL ; + RECT -0.0910 1.5430 4.5230 1.7730 ; + RECT -0.0910 0.6790 0.7190 1.5430 ; + RECT 4.2350 0.6790 4.5230 1.5430 ; + RECT 1.1790 0.4530 3.7750 1.0830 ; + LAYER M1 ; + RECT 0.2390 0.9810 0.5730 1.0310 ; + RECT 0.2390 0.5040 0.3290 0.5540 ; + RECT 0.2790 0.1210 0.3290 0.5040 ; + RECT 0.2390 0.5540 0.2890 0.9810 ; + RECT 0.2390 1.0310 0.2890 1.0960 ; + RECT 0.2790 1.1700 0.3290 1.5540 ; + RECT 0.2390 1.0960 0.3290 1.1700 ; + RECT 0.7950 1.3430 1.6370 1.3930 ; + RECT 0.5830 0.5240 0.6730 0.5740 ; + RECT 0.5830 0.1140 0.6330 0.5240 ; + RECT 0.5830 1.1700 0.6330 1.5610 ; + RECT 0.5830 1.1200 0.6730 1.1700 ; + RECT 0.7950 0.6970 0.8450 0.6980 ; + RECT 0.6230 0.7480 0.6730 1.1200 ; + RECT 0.6230 0.6980 0.8450 0.7480 ; + RECT 0.6230 0.5740 0.6730 0.6980 ; + RECT 0.7950 0.7480 0.8450 1.3430 ; + RECT 1.6470 0.6640 2.0010 0.7140 ; + RECT 1.9510 0.7140 2.0010 1.0220 ; + RECT 1.3430 0.7620 1.3930 1.0780 ; + RECT 1.6470 0.7140 1.6970 1.0780 ; + RECT 1.3430 1.0780 1.6970 1.1280 ; + RECT 1.4950 0.3770 3.3090 0.4270 ; + RECT 1.4950 0.4270 1.5450 1.0280 ; + RECT 1.4950 0.1260 1.5450 0.3770 ; + RECT 1.7990 0.1310 1.8490 0.3770 ; + LAYER PO ; + RECT 4.3170 0.0710 4.3470 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 2.4930 0.0710 2.5230 1.6040 ; + RECT 4.1650 0.0710 4.1950 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 1.8850 0.0710 1.9150 1.6040 ; + RECT 1.7330 0.0710 1.7630 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6040 ; + RECT 3.1010 0.0710 3.1310 1.6040 ; + RECT 2.3410 0.0710 2.3710 1.6040 ; + RECT 2.6450 0.0710 2.6750 1.6040 ; + RECT 2.7970 0.0710 2.8270 1.6040 ; + RECT 2.9490 0.0710 2.9790 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 3.5570 0.0710 3.5870 1.6040 ; + RECT 3.2530 0.0710 3.2830 1.6040 ; + RECT 3.8610 0.0710 3.8910 1.6040 ; + RECT 4.0130 0.0710 4.0430 1.6040 ; + RECT 3.7090 0.0710 3.7390 1.6040 ; + RECT 3.4050 0.0710 3.4350 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6040 ; + END +END ISOLORAOX4_HVT + +MACRO ISOLORAOX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.928 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.9610 0.4010 5.0710 0.4780 ; + RECT 4.8390 0.4780 5.0720 0.5280 ; + RECT 2.7110 0.1790 2.7610 0.2530 ; + RECT 2.4070 0.2530 5.0310 0.3030 ; + RECT 2.4070 0.1790 2.4570 0.2530 ; + RECT 3.3190 0.1790 3.3690 0.2530 ; + RECT 3.6230 0.1790 3.6730 0.2530 ; + RECT 3.0150 0.1790 3.0650 0.2530 ; + RECT 3.9270 0.1790 3.9770 0.2530 ; + RECT 4.2310 0.1790 4.2810 0.2530 ; + RECT 4.8390 0.1790 4.8890 0.2530 ; + RECT 4.5350 0.1790 4.5850 0.2530 ; + RECT 3.0150 0.5860 3.0650 1.0280 ; + RECT 2.4070 0.5860 2.4570 1.0280 ; + RECT 3.6230 0.5860 3.6730 1.0280 ; + RECT 3.3190 0.5860 3.3690 1.0280 ; + RECT 2.7110 0.5860 2.7610 1.0280 ; + RECT 4.5350 0.5860 4.5850 1.0280 ; + RECT 4.2310 0.5860 4.2810 1.0280 ; + RECT 3.9270 0.5860 3.9770 1.0280 ; + RECT 4.9810 0.3030 5.0310 0.4010 ; + RECT 2.4070 0.5360 4.8890 0.5860 ; + RECT 4.8390 0.5860 4.8890 1.0280 ; + RECT 4.8390 0.5280 4.8890 0.5360 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.9660 2.4530 1.0080 ; + RECT 2.7150 0.8740 2.7570 0.9160 ; + RECT 3.3230 0.1990 3.3650 0.2410 ; + RECT 3.0190 0.1990 3.0610 0.2410 ; + RECT 3.0190 0.6900 3.0610 0.7320 ; + RECT 3.0190 0.7820 3.0610 0.8240 ; + RECT 3.3230 0.8740 3.3650 0.9160 ; + RECT 3.3230 0.7820 3.3650 0.8240 ; + RECT 3.3230 0.9660 3.3650 1.0080 ; + RECT 3.3230 0.6900 3.3650 0.7320 ; + RECT 4.8430 0.1990 4.8850 0.2410 ; + RECT 3.9310 0.6900 3.9730 0.7320 ; + RECT 2.7150 0.6900 2.7570 0.7320 ; + RECT 3.6270 0.9660 3.6690 1.0080 ; + RECT 3.0190 0.8740 3.0610 0.9160 ; + RECT 3.6270 0.8740 3.6690 0.9160 ; + RECT 2.7150 0.9660 2.7570 1.0080 ; + RECT 3.6270 0.1990 3.6690 0.2410 ; + RECT 3.6270 0.7820 3.6690 0.8240 ; + RECT 2.7150 0.1990 2.7570 0.2410 ; + RECT 2.7150 0.7820 2.7570 0.8240 ; + RECT 4.2350 0.7820 4.2770 0.8240 ; + RECT 4.2350 0.1990 4.2770 0.2410 ; + RECT 2.4110 0.6900 2.4530 0.7320 ; + RECT 3.0190 0.9660 3.0610 1.0080 ; + RECT 3.9310 0.8740 3.9730 0.9160 ; + RECT 3.9310 0.9660 3.9730 1.0080 ; + RECT 4.8430 0.7820 4.8850 0.8240 ; + RECT 2.4110 0.7820 2.4530 0.8240 ; + RECT 2.4110 0.1990 2.4530 0.2410 ; + RECT 4.8430 0.6900 4.8850 0.7320 ; + RECT 3.6270 0.6900 3.6690 0.7320 ; + RECT 4.2350 0.9660 4.2770 1.0080 ; + RECT 4.2350 0.6900 4.2770 0.7320 ; + RECT 2.4110 0.8740 2.4530 0.9160 ; + RECT 4.2350 0.8740 4.2770 0.9160 ; + RECT 4.8430 0.8740 4.8850 0.9160 ; + RECT 4.5390 0.1990 4.5810 0.2410 ; + RECT 4.5390 0.6900 4.5810 0.7320 ; + RECT 4.5390 0.9660 4.5810 1.0080 ; + RECT 4.5390 0.7820 4.5810 0.8240 ; + RECT 4.8430 0.9660 4.8850 1.0080 ; + RECT 4.5390 0.8740 4.5810 0.9160 ; + RECT 3.9310 0.7820 3.9730 0.8240 ; + RECT 3.9310 0.1990 3.9730 0.2410 ; + END + ANTENNADIFFAREA 0.6452 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.9280 0.0300 ; + RECT 2.5590 0.0300 2.6090 0.2030 ; + RECT 0.4310 0.0300 0.4810 0.2950 ; + RECT 3.4710 0.0300 3.5210 0.2030 ; + RECT 3.1670 0.0300 3.2170 0.2030 ; + RECT 2.8630 0.0300 2.9130 0.2030 ; + RECT 1.6470 0.0300 1.6970 0.2130 ; + RECT 1.9510 0.0300 2.0010 0.2160 ; + RECT 1.3430 0.0300 1.3930 0.2240 ; + RECT 2.2550 0.0300 2.3050 0.2130 ; + RECT 4.6870 0.0300 4.7370 0.2030 ; + RECT 4.3830 0.0300 4.4330 0.2030 ; + RECT 4.0790 0.0300 4.1290 0.2030 ; + RECT 3.7750 0.0300 3.8250 0.2030 ; + END + PORT + LAYER CO ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.2590 0.1510 2.3010 0.1930 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.4750 0.1410 3.5170 0.1830 ; + RECT 0.4350 0.2330 0.4770 0.2750 ; + RECT 2.8670 0.1410 2.9090 0.1830 ; + RECT 4.6910 0.1410 4.7330 0.1830 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 4.0830 0.1410 4.1250 0.1830 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.5630 0.1410 2.6050 0.1830 ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 4.3870 0.1410 4.4290 0.1830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.7790 0.1410 3.8210 0.1830 ; + RECT 3.1710 0.1410 3.2130 0.1830 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.8590 1.3430 2.2450 1.3930 ; + RECT 1.9210 1.3930 2.0310 1.4230 ; + RECT 1.9210 1.3130 2.0310 1.3430 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3470 1.9210 1.3890 ; + RECT 2.1830 1.3470 2.2250 1.3890 ; + RECT 2.0310 1.3470 2.0730 1.3890 ; + END + ANTENNAGATEAREA 0.0504 ; + END ISO + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.9280 1.7020 ; + RECT 0.4310 1.1960 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0186 ; + END D + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 4.9610 0.7050 5.0710 0.8150 ; + RECT 4.9910 0.6830 5.0410 0.7050 ; + RECT 1.9510 1.0820 5.0410 1.1420 ; + RECT 4.9910 0.8150 5.0410 1.0820 ; + RECT 2.8630 0.6700 2.9130 1.0820 ; + RECT 2.5590 0.6700 2.6090 1.0820 ; + RECT 1.9510 0.6700 2.0010 1.0820 ; + RECT 3.4710 0.6700 3.5210 1.0820 ; + RECT 3.1670 0.6700 3.2170 1.0820 ; + RECT 4.6870 0.6700 4.7370 1.0820 ; + RECT 2.2550 0.6700 2.3050 1.0820 ; + RECT 4.3830 0.6700 4.4330 1.0820 ; + RECT 3.7750 0.6700 3.8250 1.0820 ; + RECT 4.0790 0.6700 4.1290 1.0820 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 2.5630 0.9660 2.6050 1.0080 ; + RECT 3.1710 0.6900 3.2130 0.7320 ; + RECT 2.8670 0.6900 2.9090 0.7320 ; + RECT 2.5630 0.6900 2.6050 0.7320 ; + RECT 3.7790 0.6900 3.8210 0.7320 ; + RECT 3.4750 0.6900 3.5170 0.7320 ; + RECT 4.0830 0.6900 4.1250 0.7320 ; + RECT 4.3870 0.6900 4.4290 0.7320 ; + RECT 4.6910 0.6900 4.7330 0.7320 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 3.7790 0.8740 3.8210 0.9160 ; + RECT 4.9950 0.7950 5.0370 0.8370 ; + RECT 4.9950 0.7030 5.0370 0.7450 ; + RECT 3.4750 0.9660 3.5170 1.0080 ; + RECT 3.4750 0.8740 3.5170 0.9160 ; + RECT 3.4750 0.7820 3.5170 0.8240 ; + RECT 3.7790 0.7820 3.8210 0.8240 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 2.8670 0.7820 2.9090 0.8240 ; + RECT 4.9950 0.7030 5.0370 0.7450 ; + RECT 4.9950 0.7950 5.0370 0.8370 ; + RECT 4.0830 0.8740 4.1250 0.9160 ; + RECT 4.0830 0.7820 4.1250 0.8240 ; + RECT 2.8670 0.8740 2.9090 0.9160 ; + RECT 3.7790 0.9660 3.8210 1.0080 ; + RECT 2.8670 0.9660 2.9090 1.0080 ; + RECT 1.9550 0.8740 1.9970 0.9160 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 4.6910 0.7820 4.7330 0.8240 ; + RECT 3.1710 0.7820 3.2130 0.8240 ; + RECT 4.3870 0.7820 4.4290 0.8240 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 4.3870 0.9660 4.4290 1.0080 ; + RECT 4.3870 0.8740 4.4290 0.9160 ; + RECT 4.0830 0.9660 4.1250 1.0080 ; + RECT 4.6910 0.8740 4.7330 0.9160 ; + RECT 4.6910 0.9660 4.7330 1.0080 ; + RECT 3.1710 0.8740 3.2130 0.9160 ; + RECT 3.1710 0.9660 3.2130 1.0080 ; + RECT 1.9550 0.9660 1.9970 1.0080 ; + END + END VDDG + OBS + LAYER CO ; + RECT 3.5510 0.3810 3.5930 0.4230 ; + RECT 2.4870 0.3810 2.5290 0.4230 ; + RECT 2.6390 0.3810 2.6810 0.4230 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 1.7270 1.3470 1.7690 1.3890 ; + RECT 1.3470 0.6900 1.3890 0.7320 ; + RECT 1.4990 0.6900 1.5410 0.7320 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 2.1070 0.1460 2.1490 0.1880 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 2.1070 0.8740 2.1490 0.9160 ; + RECT 3.3990 0.3810 3.4410 0.4230 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 2.7910 0.3810 2.8330 0.4230 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 1.4990 0.1460 1.5410 0.1880 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 3.8550 0.3810 3.8970 0.4230 ; + RECT 3.7030 0.3810 3.7450 0.4230 ; + RECT 4.0070 0.3810 4.0490 0.4230 ; + RECT 2.1070 0.9660 2.1490 1.0080 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 0.5110 0.9850 0.5530 1.0270 ; + RECT 1.5750 1.3470 1.6170 1.3890 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 4.6150 0.3810 4.6570 0.4230 ; + RECT 1.4230 1.3470 1.4650 1.3890 ; + RECT 4.1590 0.3810 4.2010 0.4230 ; + RECT 4.4630 0.3810 4.5050 0.4230 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 2.9430 0.3810 2.9850 0.4230 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 3.2470 0.3810 3.2890 0.4230 ; + RECT 4.3110 0.3810 4.3530 0.4230 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 4.7670 0.3810 4.8090 0.4230 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 3.0950 0.3810 3.1370 0.4230 ; + LAYER NWELL ; + RECT 1.1790 0.4530 5.2950 1.0830 ; + RECT -0.0910 1.5430 6.0420 1.7730 ; + RECT -0.0910 0.6790 0.7190 1.5430 ; + RECT 5.7550 0.6790 6.0420 1.5430 ; + LAYER M1 ; + RECT 0.7950 1.3430 1.7890 1.3930 ; + RECT 0.5830 0.5240 0.6730 0.5740 ; + RECT 0.5830 0.1140 0.6330 0.5240 ; + RECT 0.7950 0.6970 0.8450 0.6980 ; + RECT 0.6230 0.6980 0.8450 0.7480 ; + RECT 0.6230 0.5740 0.6730 0.6980 ; + RECT 0.6230 0.7480 0.6730 1.1200 ; + RECT 0.5830 1.1700 0.6330 1.5610 ; + RECT 0.5830 1.1200 0.6730 1.1700 ; + RECT 0.7950 0.7480 0.8450 1.3430 ; + RECT 0.2390 0.9810 0.5730 1.0310 ; + RECT 0.2390 0.5040 0.3290 0.5540 ; + RECT 0.2790 0.1210 0.3290 0.5040 ; + RECT 0.2390 0.5540 0.2890 0.9810 ; + RECT 0.2390 1.0310 0.2890 1.0960 ; + RECT 0.2790 1.1700 0.3290 1.5540 ; + RECT 0.2390 1.0960 0.3290 1.1700 ; + RECT 1.4950 0.3770 4.8290 0.4270 ; + RECT 1.4950 0.1260 1.5450 0.3770 ; + RECT 1.3430 0.6020 1.3930 1.1070 ; + RECT 1.4950 0.4270 1.5450 0.5520 ; + RECT 1.3430 0.5520 1.6970 0.6020 ; + RECT 1.7990 0.1310 1.8490 0.3770 ; + RECT 1.6470 0.6020 1.6970 1.0280 ; + RECT 2.1030 0.1260 2.1530 0.3770 ; + RECT 2.1030 0.6120 2.1530 1.0290 ; + RECT 1.7990 0.5620 2.1530 0.6120 ; + RECT 1.4950 1.0790 1.8490 1.1290 ; + RECT 1.4950 0.6530 1.5450 1.0790 ; + RECT 1.7990 0.6120 1.8490 1.0790 ; + LAYER PO ; + RECT 2.0370 0.0710 2.0670 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6040 ; + RECT 4.9250 0.0710 4.9550 1.6040 ; + RECT 2.6450 0.0710 2.6750 1.6040 ; + RECT 3.4050 0.0710 3.4350 1.6040 ; + RECT 2.4930 0.0710 2.5230 1.6040 ; + RECT 1.7330 0.0710 1.7630 1.6040 ; + RECT 1.8850 0.0710 1.9150 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 2.7970 0.0710 2.8270 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6040 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 2.3410 0.0720 2.3710 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 3.7090 0.0710 3.7390 1.6040 ; + RECT 4.0130 0.0710 4.0430 1.6040 ; + RECT 4.4690 0.0710 4.4990 1.6040 ; + RECT 4.6210 0.0710 4.6510 1.6040 ; + RECT 4.3170 0.0710 4.3470 1.6040 ; + RECT 4.1650 0.0710 4.1950 1.6040 ; + RECT 3.5570 0.0710 3.5870 1.6040 ; + RECT 3.8610 0.0710 3.8910 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 3.2530 0.0710 3.2830 1.6040 ; + RECT 3.1010 0.0710 3.1310 1.6040 ; + RECT 2.9490 0.0710 2.9790 1.6040 ; + RECT 5.0770 0.0710 5.1070 1.6040 ; + RECT 5.2290 0.0710 5.2590 1.6040 ; + RECT 5.3810 0.0710 5.4110 1.6040 ; + RECT 5.5330 0.0710 5.5630 1.6040 ; + RECT 5.6850 0.0710 5.7150 1.6040 ; + RECT 5.8370 0.0710 5.8670 1.6040 ; + RECT 4.7730 0.0710 4.8030 1.6040 ; + END +END ISOLORAOX8_HVT + +MACRO ISOLORX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.5830 0.6630 0.6330 ; + RECT 0.5530 0.5530 0.6630 0.5830 ; + RECT 0.5530 0.6330 0.6630 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5860 0.5530 0.6280 ; + END + ANTENNAGATEAREA 0.0306 ; + END D + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5880 0.4010 0.6300 ; + END + ANTENNAGATEAREA 0.0306 ; + END ISO + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.4730 1.0430 0.5230 ; + RECT 0.9930 0.5530 1.1190 0.6630 ; + RECT 0.8870 0.1270 0.9370 0.4730 ; + RECT 0.9930 0.5230 1.0430 0.5530 ; + RECT 0.8870 0.9110 0.9370 1.5540 ; + RECT 0.9930 0.6630 1.0430 0.8610 ; + RECT 0.8870 0.8610 1.0430 0.9110 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.4230 0.9330 0.4650 ; + RECT 0.8910 1.0300 0.9330 1.0720 ; + RECT 0.8910 0.9380 0.9330 0.9800 ; + RECT 0.8910 0.1470 0.9330 0.1890 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 0.8910 0.2390 0.9330 0.2810 ; + RECT 0.8910 0.3310 0.9330 0.3730 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.2090 ; + RECT 0.7350 0.0300 0.7850 0.3010 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.7390 0.1470 0.7810 0.1890 ; + RECT 0.7390 0.2390 0.7810 0.2810 ; + RECT 0.4350 0.1470 0.4770 0.1890 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.2790 0.8150 0.3290 1.6420 ; + RECT 0.7350 0.9170 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.9370 0.7810 0.9790 ; + RECT 0.7390 1.0290 0.7810 1.0710 ; + RECT 0.2830 0.8380 0.3250 0.8800 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 0.9370 0.3250 0.9790 ; + RECT 0.2830 1.0290 0.3250 1.0710 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 0.7390 1.3060 0.7810 1.3480 ; + RECT 0.7390 1.2140 0.7810 1.2560 ; + RECT 0.7390 1.1210 0.7810 1.1630 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2830 1.1210 0.3250 1.1630 ; + RECT 0.2830 1.2140 0.3250 1.2560 ; + RECT 0.2830 1.3060 0.3250 1.3480 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3310 1.7730 ; + LAYER M1 ; + RECT 0.7420 0.6580 0.8770 0.7080 ; + RECT 0.7130 0.3810 0.7630 0.8140 ; + RECT 0.2790 0.1270 0.3290 0.4170 ; + RECT 0.2790 0.3810 0.7330 0.4310 ; + RECT 0.5830 0.7640 0.7320 0.8140 ; + RECT 0.5830 0.1270 0.6330 0.4170 ; + RECT 0.5830 0.7640 0.6330 1.5540 ; + LAYER PO ; + RECT 0.5170 0.0770 0.5470 1.6040 ; + RECT 0.3650 0.0770 0.3950 1.6040 ; + RECT 0.6690 0.0770 0.6990 1.6040 ; + RECT 0.2130 0.0770 0.2430 1.6040 ; + RECT 0.8210 0.0770 0.8510 1.6040 ; + RECT 0.0610 0.0770 0.0910 1.6040 ; + RECT 0.9730 0.0770 1.0030 1.6040 ; + RECT 1.1250 0.0770 1.1550 1.6040 ; + LAYER CO ; + RECT 0.5870 0.8410 0.6290 0.8830 ; + RECT 0.8150 0.6620 0.8570 0.7040 ; + RECT 0.5870 0.2390 0.6290 0.2810 ; + RECT 0.5870 1.1240 0.6290 1.1660 ; + RECT 0.5870 0.1470 0.6290 0.1890 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.2830 0.1470 0.3250 0.1890 ; + RECT 0.2830 0.2390 0.3250 0.2810 ; + RECT 0.5870 1.0320 0.6290 1.0740 ; + RECT 0.5870 0.9400 0.6290 0.9820 ; + END +END ISOLORX1_HVT + +MACRO ISOLORX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.5830 0.6630 0.6330 ; + RECT 0.5530 0.5530 0.6630 0.5830 ; + RECT 0.5530 0.6330 0.6630 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5870 0.5530 0.6290 ; + END + ANTENNAGATEAREA 0.0306 ; + END D + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.7860 1.2110 0.8360 ; + RECT 0.8870 0.5530 1.2710 0.6030 ; + RECT 1.1610 0.6030 1.2710 0.6630 ; + RECT 0.8870 0.8360 0.9370 1.5560 ; + RECT 1.1610 0.6630 1.2110 0.7860 ; + RECT 0.8870 0.2080 0.9370 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.9420 0.9330 0.9840 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 0.8910 0.5060 0.9330 0.5480 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + RECT 0.8910 1.0340 0.9330 1.0760 ; + RECT 0.8910 1.4940 0.9330 1.5360 ; + RECT 0.8910 1.4020 0.9330 1.4440 ; + RECT 0.8910 1.3100 0.9330 1.3520 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 0.8910 0.8500 0.9330 0.8920 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 1.0390 0.9220 1.0890 1.6420 ; + RECT 0.7350 0.9220 0.7850 1.6420 ; + RECT 0.2790 0.7380 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0340 0.3250 1.0760 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.0430 1.4940 1.0850 1.5360 ; + RECT 1.0430 1.4020 1.0850 1.4440 ; + RECT 1.0430 1.3100 1.0850 1.3520 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 0.9420 0.3250 0.9840 ; + RECT 0.7390 1.4940 0.7810 1.5360 ; + RECT 0.7390 1.4020 0.7810 1.4440 ; + RECT 0.7390 1.3100 0.7810 1.3520 ; + RECT 0.7390 1.2180 0.7810 1.2600 ; + RECT 1.0430 0.9420 1.0850 0.9840 ; + RECT 0.7390 1.1260 0.7810 1.1680 ; + RECT 1.0430 1.0340 1.0850 1.0760 ; + RECT 1.0430 1.1260 1.0850 1.1680 ; + RECT 0.7390 0.9420 0.7810 0.9840 ; + RECT 0.2830 0.7580 0.3250 0.8000 ; + RECT 0.7390 1.0340 0.7810 1.0760 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.2180 1.0850 1.2600 ; + RECT 0.2830 1.4940 0.3250 1.5360 ; + RECT 0.2830 1.4020 0.3250 1.4440 ; + RECT 0.2830 1.3100 0.3250 1.3520 ; + RECT 0.2830 1.2180 0.3250 1.2600 ; + RECT 0.2830 1.1260 0.3250 1.1680 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 0.8500 0.3250 0.8920 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.3170 ; + RECT 1.0390 0.0300 1.0890 0.3170 ; + RECT 0.4310 0.0300 0.4810 0.3170 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.1630 0.7810 0.2050 ; + RECT 1.0430 0.1630 1.0850 0.2050 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.2550 0.4770 0.2970 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.7390 0.2550 0.7810 0.2970 ; + RECT 1.0430 0.2550 1.0850 0.2970 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.4350 0.1630 0.4770 0.2050 ; + END + END VSS + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0306 ; + END ISO + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4830 1.7730 ; + LAYER M1 ; + RECT 0.7360 0.6600 1.0290 0.7100 ; + RECT 0.5830 0.8000 0.7630 0.8500 ; + RECT 0.7130 0.4570 0.7630 0.8500 ; + RECT 0.2780 0.4320 0.7630 0.4820 ; + RECT 0.2790 0.1430 0.3290 0.4330 ; + RECT 0.5830 0.8000 0.6330 1.5560 ; + RECT 0.5830 0.1430 0.6330 0.4330 ; + LAYER PO ; + RECT 1.1250 0.0930 1.1550 1.6060 ; + RECT 0.9730 0.0930 1.0030 1.6060 ; + RECT 0.8210 0.0930 0.8510 1.6060 ; + RECT 1.2770 0.0930 1.3070 1.6060 ; + RECT 0.0610 0.0930 0.0910 1.6060 ; + RECT 0.2130 0.0930 0.2430 1.6060 ; + RECT 0.6690 0.0930 0.6990 1.6060 ; + RECT 0.3650 0.0930 0.3950 1.6060 ; + RECT 0.5170 0.0930 0.5470 1.6060 ; + LAYER CO ; + RECT 0.5870 0.1630 0.6290 0.2050 ; + RECT 0.5870 1.1260 0.6290 1.1680 ; + RECT 0.5870 0.2550 0.6290 0.2970 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.5870 1.0340 0.6290 1.0760 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.5870 0.9420 0.6290 0.9840 ; + RECT 0.2830 0.2550 0.3250 0.2970 ; + RECT 0.2830 0.1630 0.3250 0.2050 ; + RECT 0.5870 0.8500 0.6290 0.8920 ; + RECT 0.5870 1.4940 0.6290 1.5360 ; + RECT 0.5870 1.4020 0.6290 1.4440 ; + RECT 0.5870 1.3100 0.6290 1.3520 ; + RECT 0.5870 1.2180 0.6290 1.2600 ; + END +END ISOLORX2_HVT + +MACRO ISOLORX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.2790 0.7360 0.3290 1.6420 ; + RECT 1.3430 0.9200 1.3930 1.6420 ; + RECT 0.7350 0.9200 0.7850 1.6420 ; + RECT 1.0390 0.9200 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0320 0.3250 1.0740 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.7390 1.3080 0.7810 1.3500 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.3470 1.0320 1.3890 1.0740 ; + RECT 1.3470 1.4920 1.3890 1.5340 ; + RECT 1.3470 1.1240 1.3890 1.1660 ; + RECT 1.0430 0.9400 1.0850 0.9820 ; + RECT 1.0430 1.4000 1.0850 1.4420 ; + RECT 0.7390 0.9400 0.7810 0.9820 ; + RECT 0.7390 1.2160 0.7810 1.2580 ; + RECT 0.7390 1.0320 0.7810 1.0740 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.3470 1.3080 1.3890 1.3500 ; + RECT 1.3470 1.4000 1.3890 1.4420 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.3470 0.9400 1.3890 0.9820 ; + RECT 1.3470 1.2160 1.3890 1.2580 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.0430 1.0320 1.0850 1.0740 ; + RECT 1.0430 1.2160 1.0850 1.2580 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 1.0430 1.1240 1.0850 1.1660 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.1240 0.7810 1.1660 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 1.0430 1.4920 1.0850 1.5340 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 0.8480 0.3250 0.8900 ; + RECT 0.2830 0.7560 0.3250 0.7980 ; + RECT 0.2830 1.1240 0.3250 1.1660 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2830 0.9400 0.3250 0.9820 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.3170 ; + RECT 1.3430 0.0300 1.3930 0.4090 ; + RECT 1.0390 0.0300 1.0890 0.4090 ; + RECT 0.7350 0.0300 0.7850 0.3170 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.1630 0.4770 0.2050 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.2550 0.4770 0.2970 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.7390 0.2550 0.7810 0.2970 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.7390 0.1630 0.7810 0.2050 ; + RECT 1.3470 0.1630 1.3890 0.2050 ; + RECT 1.3470 0.3470 1.3890 0.3890 ; + RECT 1.3470 0.2550 1.3890 0.2970 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.0430 0.1630 1.0850 0.2050 ; + RECT 1.0430 0.3470 1.0850 0.3890 ; + RECT 1.0430 0.2550 1.0850 0.2970 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0306 ; + END ISO + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.5830 0.6630 0.6330 ; + RECT 0.5530 0.6330 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5860 0.5530 0.6280 ; + END + ANTENNAGATEAREA 0.0306 ; + END D + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.5450 1.4680 0.5530 ; + RECT 0.8870 0.5530 1.5750 0.5950 ; + RECT 0.8870 0.7580 1.4680 0.8080 ; + RECT 1.1910 0.1430 1.2410 0.5450 ; + RECT 0.8870 0.1430 0.9370 0.5450 ; + RECT 1.1910 0.8080 1.2410 1.5540 ; + RECT 0.8870 0.8080 0.9370 1.5540 ; + RECT 1.4180 0.6630 1.4680 0.7580 ; + RECT 1.4180 0.5950 1.5750 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 1.1950 0.1630 1.2370 0.2050 ; + RECT 0.8910 0.4410 0.9330 0.4830 ; + RECT 0.8910 0.2550 0.9330 0.2970 ; + RECT 0.8910 1.2160 0.9330 1.2580 ; + RECT 1.1950 0.3470 1.2370 0.3890 ; + RECT 1.1950 0.4410 1.2370 0.4830 ; + RECT 1.1950 0.2550 1.2370 0.2970 ; + RECT 1.1950 1.4000 1.2370 1.4420 ; + RECT 1.1950 1.4920 1.2370 1.5340 ; + RECT 1.1950 1.1240 1.2370 1.1660 ; + RECT 1.1950 1.3080 1.2370 1.3500 ; + RECT 1.1950 1.2160 1.2370 1.2580 ; + RECT 1.1950 0.9400 1.2370 0.9820 ; + RECT 0.8910 1.0320 0.9330 1.0740 ; + RECT 0.8910 1.1240 0.9330 1.1660 ; + RECT 0.8910 0.3470 0.9330 0.3890 ; + RECT 1.1950 1.0320 1.2370 1.0740 ; + RECT 0.8910 1.3080 0.9330 1.3500 ; + RECT 0.8910 0.9400 0.9330 0.9820 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 0.8910 0.1630 0.9330 0.2050 ; + END + ANTENNADIFFAREA 0.2976 ; + END Q + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.7500 0.6580 1.3330 0.7080 ; + RECT 0.2790 0.1430 0.3290 0.4510 ; + RECT 0.5830 0.8340 0.6330 1.5540 ; + RECT 0.5830 0.1430 0.6330 0.4510 ; + RECT 0.5830 0.7950 0.7630 0.8450 ; + RECT 0.7130 0.4520 0.7630 0.8450 ; + RECT 0.2780 0.4260 0.7630 0.4760 ; + LAYER PO ; + RECT 0.2130 0.0930 0.2430 1.6040 ; + RECT 1.5810 0.0930 1.6110 1.6040 ; + RECT 1.2770 0.0930 1.3070 1.6040 ; + RECT 0.3650 0.0930 0.3950 1.6040 ; + RECT 0.6690 0.0930 0.6990 1.6040 ; + RECT 1.4290 0.0930 1.4590 1.6040 ; + RECT 0.5170 0.0930 0.5470 1.6040 ; + RECT 0.0610 0.0930 0.0910 1.6040 ; + RECT 1.1250 0.0930 1.1550 1.6040 ; + RECT 0.9730 0.0930 1.0030 1.6040 ; + RECT 0.8210 0.0930 0.8510 1.6040 ; + LAYER CO ; + RECT 0.5870 0.1630 0.6290 0.2050 ; + RECT 0.5870 1.1240 0.6290 1.1660 ; + RECT 0.5870 0.8480 0.6290 0.8900 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.5870 0.9400 0.6290 0.9820 ; + RECT 0.5870 1.0320 0.6290 1.0740 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.8150 0.6620 0.8570 0.7040 ; + RECT 0.2830 0.2550 0.3250 0.2970 ; + RECT 0.2830 0.1630 0.3250 0.2050 ; + RECT 1.2710 0.6620 1.3130 0.7040 ; + RECT 1.1190 0.6620 1.1610 0.7040 ; + RECT 0.9670 0.6620 1.0090 0.7040 ; + RECT 0.5870 0.2550 0.6290 0.2970 ; + END +END ISOLORX4_HVT + +MACRO ISOLORX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.2960 ; + RECT 1.1910 0.0300 1.2410 0.3980 ; + RECT 1.4950 0.0300 1.5450 0.3980 ; + RECT 2.1030 0.0300 2.1530 0.3980 ; + RECT 1.7990 0.0300 1.8490 0.3980 ; + RECT 0.7350 0.0300 0.7850 0.2960 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.1420 0.4770 0.1840 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.4350 0.2340 0.4770 0.2760 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.8030 0.2440 1.8450 0.2860 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.1950 0.2440 1.2370 0.2860 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.7390 0.1420 0.7810 0.1840 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 0.7390 0.2340 0.7810 0.2760 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.5830 0.5730 0.6330 ; + RECT 0.4010 0.6330 0.5110 0.6630 ; + RECT 0.4010 0.5530 0.5110 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + RECT 0.5110 0.5870 0.5530 0.6290 ; + END + ANTENNAGATEAREA 0.0612 ; + END D + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3750 0.5530 2.4880 0.6630 ; + RECT 1.9510 0.1150 2.0010 0.4910 ; + RECT 2.2550 0.1150 2.3050 0.4910 ; + RECT 1.0390 0.1150 1.0890 0.4910 ; + RECT 1.3430 0.1150 1.3930 0.4910 ; + RECT 1.6470 0.1150 1.6970 0.4910 ; + RECT 2.3750 0.5410 2.4250 0.5530 ; + RECT 1.0390 0.4910 2.4250 0.5410 ; + RECT 2.3750 0.3320 2.4250 0.4910 ; + RECT 2.2550 0.8950 2.3050 1.5440 ; + RECT 1.0390 0.8950 1.0890 1.5440 ; + RECT 1.9510 0.8950 2.0010 1.5440 ; + RECT 1.3430 0.8950 1.3930 1.5440 ; + RECT 1.6470 0.8950 1.6970 1.5440 ; + RECT 1.0390 0.8450 2.4250 0.8950 ; + RECT 2.3750 0.6630 2.4250 0.8450 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 1.9550 1.2980 1.9970 1.3400 ; + RECT 2.2590 0.2440 2.3010 0.2860 ; + RECT 1.6510 0.2440 1.6930 0.2860 ; + RECT 1.9550 1.2980 1.9970 1.3400 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.9550 1.2060 1.9970 1.2480 ; + RECT 1.9550 1.2060 1.9970 1.2480 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.9550 1.1140 1.9970 1.1560 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 2.2590 1.2980 2.3010 1.3400 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 2.2590 1.4820 2.3010 1.5240 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 2.2590 1.3900 2.3010 1.4320 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.9550 0.2420 1.9970 0.2840 ; + RECT 2.2590 1.2980 2.3010 1.3400 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.9550 0.9300 1.9970 0.9720 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 1.9550 0.9300 1.9970 0.9720 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 2.2590 1.4820 2.3010 1.5240 ; + RECT 2.2590 1.3900 2.3010 1.4320 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 2.2590 0.1520 2.3010 0.1940 ; + RECT 1.9550 1.1140 1.9970 1.1560 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.9550 1.0220 1.9970 1.0640 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.9550 1.0220 1.9970 1.0640 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + END + ANTENNADIFFAREA 0.6952 ; + END Q + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6430 0.5830 0.8770 0.6330 ; + RECT 0.7050 0.6330 0.8150 0.6630 ; + RECT 0.7050 0.5530 0.8150 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.5870 0.8570 0.6290 ; + RECT 0.6630 0.5870 0.7050 0.6290 ; + END + ANTENNAGATEAREA 0.0612 ; + END ISO + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 1.7990 1.0020 1.8490 1.6420 ; + RECT 2.1030 1.0020 2.1530 1.6420 ; + RECT 1.4950 1.0020 1.5450 1.6420 ; + RECT 1.1910 1.0020 1.2410 1.6420 ; + RECT 0.7350 1.0120 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.8030 1.4820 1.8450 1.5240 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1070 1.3900 2.1490 1.4320 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.8030 1.2980 1.8450 1.3400 ; + RECT 2.1070 1.3900 2.1490 1.4320 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.8030 1.1140 1.8450 1.1560 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.8030 1.2060 1.8450 1.2480 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.8030 1.3900 1.8450 1.4320 ; + RECT 1.8030 1.3900 1.8450 1.4320 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.8030 1.2980 1.8450 1.3400 ; + RECT 1.8030 1.4820 1.8450 1.5240 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 0.7390 1.0320 0.7810 1.0740 ; + RECT 1.8030 1.2060 1.8450 1.2480 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.8030 1.1140 1.8450 1.1560 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 2.1070 1.4820 2.1490 1.5240 ; + RECT 2.1070 1.4820 2.1490 1.5240 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.8030 1.0220 1.8450 1.0640 ; + RECT 0.7390 1.3080 0.7810 1.3500 ; + RECT 0.7390 1.2160 0.7810 1.2580 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 0.7390 1.1240 0.7810 1.1660 ; + RECT 2.1070 1.0220 2.1490 1.0640 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.1070 1.0220 2.1490 1.0640 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.8030 1.0220 1.8450 1.0640 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + END + END VDD + OBS + LAYER CO ; + RECT 0.2830 1.1240 0.3250 1.1660 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.2830 0.1420 0.3250 0.1840 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 1.1190 0.5950 1.1610 0.6370 ; + RECT 2.1830 0.5950 2.2250 0.6370 ; + RECT 1.2710 0.5950 1.3130 0.6370 ; + RECT 2.0310 0.5950 2.0730 0.6370 ; + RECT 1.8790 0.5950 1.9210 0.6370 ; + RECT 1.4230 0.5950 1.4650 0.6370 ; + RECT 1.7270 0.5950 1.7690 0.6370 ; + RECT 0.2830 1.0320 0.3250 1.0740 ; + RECT 0.2830 0.9400 0.3250 0.9820 ; + RECT 0.2830 0.8480 0.3250 0.8900 ; + RECT 0.5870 0.2340 0.6290 0.2760 ; + RECT 0.5870 0.1420 0.6290 0.1840 ; + RECT 0.5870 1.1240 0.6290 1.1660 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.5870 1.0320 0.6290 1.0740 ; + RECT 1.5750 0.5950 1.6170 0.6370 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.4350 0.8480 0.4770 0.8900 ; + RECT 0.4350 1.0320 0.4770 1.0740 ; + RECT 0.8910 1.0320 0.9330 1.0740 ; + RECT 0.4350 1.1240 0.4770 1.1660 ; + RECT 0.4350 0.9400 0.4770 0.9820 ; + RECT 0.8910 1.3080 0.9330 1.3500 ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 0.8910 1.2160 0.9330 1.2580 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 0.8910 0.2340 0.9330 0.2760 ; + RECT 0.8910 1.1240 0.9330 1.1660 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.7170 1.7730 ; + LAYER M1 ; + RECT 0.8870 0.8910 0.9370 1.5540 ; + RECT 0.5830 0.8410 0.9370 0.8910 ; + RECT 0.2790 0.8280 0.3290 1.5340 ; + RECT 0.5830 0.8910 0.6330 1.5340 ; + RECT 0.2790 1.5340 0.6330 1.5840 ; + RECT 0.9310 0.5910 2.2450 0.6410 ; + RECT 0.2790 0.1220 0.3290 0.4320 ; + RECT 0.5830 0.1220 0.6330 0.4320 ; + RECT 0.4310 0.7900 0.4810 1.4620 ; + RECT 0.2790 0.4320 0.9810 0.4820 ; + RECT 0.8870 0.1220 0.9370 0.4320 ; + RECT 0.9310 0.4820 0.9810 0.5910 ; + RECT 0.4310 0.7400 0.9810 0.7900 ; + RECT 0.9310 0.6410 0.9810 0.7400 ; + LAYER PO ; + RECT 0.5170 0.0720 0.5470 1.6040 ; + RECT 0.3650 0.0720 0.3950 1.6040 ; + RECT 0.9730 0.0720 1.0030 1.6040 ; + RECT 0.2130 0.0720 0.2430 1.6040 ; + RECT 2.4930 0.0720 2.5230 1.6040 ; + RECT 0.0610 0.0720 0.0910 1.6040 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6040 ; + RECT 2.1890 0.0720 2.2190 1.6040 ; + RECT 2.3410 0.0720 2.3710 1.6040 ; + RECT 1.8850 0.0720 1.9150 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + RECT 0.8210 0.0720 0.8510 1.6040 ; + RECT 0.6690 0.0720 0.6990 1.6040 ; + END +END ISOLORX8_HVT + +MACRO LARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9630 0.8570 1.1190 0.9670 ; + RECT 0.9630 0.6830 1.0130 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7100 1.0090 0.7520 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.8710 0.3180 2.6090 0.3680 ; + RECT 2.8630 0.0300 2.9130 0.2200 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 2.5590 0.0300 2.6090 0.3180 ; + END + PORT + LAYER CO ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.8670 0.1580 2.9090 0.2000 ; + RECT 1.6510 0.3220 1.6930 0.3640 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8910 0.3220 0.9330 0.3640 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.5630 0.2830 2.6050 0.3250 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7110 1.0690 3.0950 1.1190 ; + RECT 2.9850 1.0090 3.0950 1.0690 ; + RECT 2.7110 0.1480 2.7610 0.3090 ; + RECT 3.0450 0.3590 3.0950 1.0090 ; + RECT 2.7110 0.3090 3.0950 0.3590 ; + RECT 2.7110 1.1190 2.7610 1.5460 ; + END + PORT + LAYER CO ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.1160 2.7570 1.1580 ; + RECT 2.7150 1.1160 2.7570 1.1580 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 0.2870 2.7570 0.3290 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 0.1950 2.7570 0.2370 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 0.4310 1.2780 1.1050 1.3280 ; + RECT 1.6310 1.3280 2.6490 1.3780 ; + RECT 2.8630 1.1700 2.9130 1.6420 ; + RECT 0.5830 0.7750 0.6330 1.2780 ; + RECT 0.4310 0.7750 0.4810 1.2780 ; + RECT 0.4910 1.3280 0.5410 1.6420 ; + RECT 2.5990 1.3780 2.6490 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.9900 0.4770 1.0320 ; + RECT 0.4350 1.1740 0.4770 1.2160 ; + RECT 0.5870 0.8980 0.6290 0.9400 ; + RECT 0.4350 0.8060 0.4770 0.8480 ; + RECT 0.4350 0.8980 0.4770 0.9400 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.4350 1.0820 0.4770 1.1240 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5870 1.1740 0.6290 1.2160 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.5870 1.0820 0.6290 1.1240 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.2660 0.6290 1.3080 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.5870 0.9900 0.6290 1.0320 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 1.0430 1.2820 1.0850 1.3240 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.4350 1.2660 0.4770 1.3080 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 0.5870 0.8060 0.6290 0.8480 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.6510 1.3320 1.6930 1.3740 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.4110 1.3320 2.4530 1.3740 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1380 1.1610 3.2480 1.2210 ; + RECT 2.9990 0.1540 3.2470 0.2040 ; + RECT 3.0150 1.2210 3.2480 1.2710 ; + RECT 3.0150 1.2710 3.0650 1.5460 ; + RECT 3.1970 0.2040 3.2470 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 0.1580 3.0610 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0465 ; + END RSTB + OBS + LAYER CO ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.0310 0.2000 2.0730 0.2420 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 1.5750 0.2000 1.6170 0.2420 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.9550 1.1820 1.9970 1.2240 ; + RECT 2.2590 0.4230 2.3010 0.4650 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.5630 1.1820 2.6050 1.2240 ; + RECT 1.1950 1.0680 1.2370 1.1100 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 1.4990 1.1930 1.5410 1.2350 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.3470 1.2850 1.3890 1.3270 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.8030 0.8820 1.8450 0.9240 ; + RECT 0.2830 0.2940 0.3250 0.3360 ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.4320 1.1610 1.4740 ; + RECT 1.8030 0.5220 1.8450 0.5640 ; + RECT 2.1070 0.4220 2.1490 0.4640 ; + RECT 2.1070 1.1820 2.1490 1.2240 ; + RECT 2.2590 1.1820 2.3010 1.2240 ; + RECT 1.3470 1.1930 1.3890 1.2350 ; + RECT 1.4230 1.5320 1.4650 1.5740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.1830 0.1000 2.2250 0.1420 ; + RECT 1.9550 0.4220 1.9970 0.4640 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 2.0310 1.5320 2.0730 1.5740 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + RECT 0.8910 1.1600 0.9330 1.2020 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.2830 0.3900 0.3250 0.4320 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.2830 0.2020 0.3250 0.2440 ; + RECT 1.4990 1.2850 1.5410 1.3270 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 0.8910 1.0680 0.9330 1.1100 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 1.5750 1.5320 1.6170 1.5740 ; + RECT 1.4990 0.5300 1.5410 0.5720 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4590 1.7730 ; + LAYER M1 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 0.8870 1.1780 1.3930 1.2280 ; + RECT 1.3430 1.2280 1.3930 1.3500 ; + RECT 1.3430 0.4680 1.3930 1.1780 ; + RECT 1.1910 1.0350 1.2410 1.1780 ; + RECT 0.8870 1.0350 0.9370 1.1780 ; + RECT 1.7830 0.5180 1.9010 0.5680 ; + RECT 1.7830 0.8780 2.1930 0.9280 ; + RECT 1.8510 0.6040 2.8590 0.6540 ; + RECT 1.8510 0.5680 1.9010 0.6040 ; + RECT 2.1430 0.6540 2.1930 0.8780 ; + RECT 1.4950 0.4180 2.0170 0.4680 ; + RECT 1.4950 1.1780 2.0220 1.2280 ; + RECT 1.6680 0.6180 1.7890 0.6680 ; + RECT 1.6680 0.4680 1.7180 0.6180 ; + RECT 1.4950 1.2280 1.5450 1.3500 ; + RECT 1.4950 0.4680 1.5450 1.1780 ; + RECT 0.7350 0.0960 2.2550 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3150 ; + RECT 0.2790 0.1820 0.3290 0.6180 ; + RECT 1.0990 1.4280 2.5490 1.4780 ; + RECT 2.0870 0.4180 2.9890 0.4680 ; + RECT 2.5750 0.8060 2.9890 0.8560 ; + RECT 2.9390 0.4680 2.9890 0.8060 ; + RECT 2.0870 1.1780 2.6250 1.2280 ; + RECT 2.5750 0.8560 2.6250 1.1780 ; + RECT 0.6380 1.5280 1.6370 1.5780 ; + RECT 1.5550 0.1960 2.0930 0.2460 ; + RECT 2.0100 1.5280 2.2450 1.5780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.0370 0.0680 2.0670 0.6420 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.0370 1.0320 2.0670 1.6060 ; + RECT 1.4290 1.0320 1.4590 1.6060 ; + END +END LARX1_HVT + +MACRO LARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9630 0.8570 1.1190 0.9670 ; + RECT 0.9630 0.6830 1.0130 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7100 1.0090 0.7520 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 0.8710 0.3180 2.6090 0.3680 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 2.7110 0.0300 2.7610 0.2200 ; + RECT 3.0150 0.0300 3.0650 0.2200 ; + RECT 3.3190 0.0300 3.3690 0.2200 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 2.5590 0.0300 2.6090 0.3180 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.7150 0.1580 2.7570 0.2000 ; + RECT 3.0190 0.1580 3.0610 0.2000 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.3230 0.1580 3.3650 0.2000 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.6510 0.3220 1.6930 0.3640 ; + RECT 0.8910 0.3220 0.9330 0.3640 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.5630 0.2830 2.6050 0.3250 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8630 1.1190 2.9130 1.5460 ; + RECT 3.2890 1.0090 3.4090 1.0690 ; + RECT 2.8630 1.0690 3.4090 1.1190 ; + RECT 2.8630 0.1480 2.9130 0.4040 ; + RECT 3.3590 0.4540 3.4090 1.0090 ; + RECT 2.8630 0.4040 3.4090 0.4540 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 0.2870 2.9090 0.3290 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.1950 2.9090 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 0.4310 1.2780 1.1050 1.3280 ; + RECT 1.6310 1.3280 2.6490 1.3780 ; + RECT 2.7110 1.1700 2.7610 1.6420 ; + RECT 3.0150 1.1700 3.0650 1.6420 ; + RECT 3.3190 1.3720 3.3690 1.6420 ; + RECT 0.4310 0.7750 0.4810 1.2780 ; + RECT 0.5830 0.7750 0.6330 1.2780 ; + RECT 0.4910 1.3280 0.5410 1.6420 ; + RECT 2.5990 1.3780 2.6490 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.9900 0.6290 1.0320 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 1.0430 1.2820 1.0850 1.3240 ; + RECT 0.4350 1.2660 0.4770 1.3080 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 0.5870 0.8060 0.6290 0.8480 ; + RECT 1.6510 1.3320 1.6930 1.3740 ; + RECT 2.4110 1.3320 2.4530 1.3740 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.3000 2.7570 1.3420 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.3920 2.7570 1.4340 ; + RECT 2.7150 1.4840 2.7570 1.5260 ; + RECT 2.7150 1.2080 2.7570 1.2500 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.0820 0.4770 1.1240 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.1740 0.6290 1.2160 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 0.4350 0.9900 0.4770 1.0320 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 0.4350 1.1740 0.4770 1.2160 ; + RECT 0.5870 0.8980 0.6290 0.9400 ; + RECT 0.4350 0.8060 0.4770 0.8480 ; + RECT 0.4350 0.8980 0.4770 0.9400 ; + RECT 0.5870 1.2660 0.6290 1.3080 ; + RECT 0.5870 1.0820 0.6290 1.1240 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1670 1.2210 3.5860 1.2710 ; + RECT 3.1510 0.3040 3.5860 0.3540 ; + RECT 3.1670 1.2710 3.2170 1.5460 ; + RECT 3.4410 1.2710 3.5860 1.4230 ; + RECT 3.5360 0.3540 3.5860 1.2210 ; + END + PORT + LAYER CO ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 0.3080 3.2130 0.3500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0465 ; + END RSTB + OBS + LAYER CO ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 2.0310 1.5320 2.0730 1.5740 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + RECT 0.8910 1.1600 0.9330 1.2020 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.2830 0.3900 0.3250 0.4320 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.2830 0.2020 0.3250 0.2440 ; + RECT 1.4990 1.2850 1.5410 1.3270 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 0.8910 1.0680 0.9330 1.1100 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 1.5750 0.2000 1.6170 0.2420 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.9550 1.1820 1.9970 1.2240 ; + RECT 2.2590 0.5090 2.3010 0.5510 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.5630 1.1820 2.6050 1.2240 ; + RECT 1.1950 1.0680 1.2370 1.1100 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 1.4990 1.1930 1.5410 1.2350 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.3470 1.2850 1.3890 1.3270 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 1.5750 1.5320 1.6170 1.5740 ; + RECT 1.4990 0.5300 1.5410 0.5720 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.0310 0.2000 2.0730 0.2420 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 2.2590 1.1820 2.3010 1.2240 ; + RECT 1.3470 1.1930 1.3890 1.2350 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.8030 0.8820 1.8450 0.9240 ; + RECT 0.2830 0.2940 0.3250 0.3360 ; + RECT 2.7910 0.6080 2.8330 0.6500 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.4320 1.1610 1.4740 ; + RECT 1.8030 0.5220 1.8450 0.5640 ; + RECT 2.1070 0.5080 2.1490 0.5500 ; + RECT 2.1070 1.1820 2.1490 1.2240 ; + RECT 1.4230 1.5320 1.4650 1.5740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.3350 0.6080 2.3770 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.1830 0.1000 2.2250 0.1420 ; + RECT 1.9550 0.4220 1.9970 0.4640 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.7630 1.7730 ; + LAYER M1 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 0.8870 1.1780 1.3930 1.2280 ; + RECT 1.3430 1.2280 1.3930 1.3500 ; + RECT 1.3430 0.4680 1.3930 1.1780 ; + RECT 1.1910 1.0350 1.2410 1.1780 ; + RECT 0.8870 1.0350 0.9370 1.1780 ; + RECT 1.7830 0.5180 1.9010 0.5680 ; + RECT 1.7830 0.8780 2.1930 0.9280 ; + RECT 1.8510 0.6040 3.0050 0.6540 ; + RECT 1.8510 0.5680 1.9010 0.6040 ; + RECT 2.1430 0.6540 2.1930 0.8780 ; + RECT 1.4950 0.4180 2.0170 0.4680 ; + RECT 1.4950 1.1780 2.0220 1.2280 ; + RECT 1.6680 0.6180 1.7890 0.6680 ; + RECT 1.6680 0.4680 1.7180 0.6180 ; + RECT 1.4950 1.2280 1.5450 1.3500 ; + RECT 1.4950 0.4680 1.5450 1.1780 ; + RECT 0.7350 0.0960 2.2550 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 3.0910 0.6040 3.3090 0.6540 ; + RECT 2.0870 0.5040 3.1410 0.5540 ; + RECT 2.5750 0.8060 3.1410 0.8560 ; + RECT 3.0910 0.5540 3.1410 0.6040 ; + RECT 3.0910 0.6540 3.1410 0.8060 ; + RECT 2.0870 1.1780 2.6250 1.2280 ; + RECT 2.5750 0.8560 2.6250 1.1780 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3150 ; + RECT 0.2790 0.1820 0.3290 0.6180 ; + RECT 1.0990 1.4280 2.5490 1.4780 ; + RECT 0.6380 1.5280 1.6370 1.5780 ; + RECT 1.5550 0.1960 2.0930 0.2460 ; + RECT 2.0100 1.5280 2.2450 1.5780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.0370 0.0680 2.0670 0.6420 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.0370 1.0320 2.0670 1.6060 ; + RECT 1.4290 1.0320 1.4590 1.6060 ; + END +END LARX2_HVT + +MACRO LASRNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 3.0150 0.2330 3.1050 0.2830 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.2550 0.0300 2.3050 0.2440 ; + RECT 3.0150 0.2830 3.0650 0.6140 ; + RECT 3.0550 0.0300 3.1050 0.2330 ; + END + PORT + LAYER CO ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 3.0190 0.3630 3.0610 0.4050 ; + RECT 3.0190 0.3630 3.0610 0.4050 ; + RECT 3.0190 0.4550 3.0610 0.4970 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.0190 0.5470 3.0610 0.5890 ; + RECT 3.0190 0.5470 3.0610 0.5890 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 3.0190 0.2710 3.0610 0.3130 ; + RECT 3.0190 0.2710 3.0610 0.3130 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 3.0190 0.4550 3.0610 0.4970 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8630 0.7050 3.0950 0.7550 ; + RECT 2.9850 0.7550 3.0950 0.8150 ; + RECT 2.8630 0.7550 2.9130 1.5460 ; + RECT 2.8630 0.2770 2.9130 0.7050 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.4360 2.9090 0.4780 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.3440 2.9090 0.3860 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.1930 2.1780 1.2430 ; + RECT 3.0150 1.1700 3.0650 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2430 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 1.8030 1.1970 1.8450 1.2390 ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3610 1.9210 1.4030 ; + END + ANTENNAGATEAREA 0.0261 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + OBS + LAYER CO ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.7220 2.2250 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.9430 0.1000 2.9850 0.1420 ; + RECT 1.5750 0.7220 1.6170 0.7640 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 2.1070 1.0050 2.1490 1.0470 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.0050 1.5410 1.0470 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.5000 1.9970 0.5420 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.1070 0.4920 2.1490 0.5340 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.4000 2.1490 0.4420 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.5150 1.5410 0.5570 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4590 1.7730 ; + RECT 0.5320 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.9510 0.6040 2.5520 0.6540 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4800 2.0010 0.6040 ; + RECT 2.2950 0.6540 2.3450 0.8780 ; + RECT 1.4550 0.6060 1.5450 0.6560 ; + RECT 1.4950 0.3800 2.1530 0.4300 ; + RECT 1.4550 1.0010 2.1740 1.0510 ; + RECT 1.7070 0.6180 1.8480 0.6680 ; + RECT 2.1030 0.4300 2.1530 0.5540 ; + RECT 1.4950 0.4300 1.5450 0.6060 ; + RECT 1.4550 0.6560 1.5050 1.0010 ; + RECT 1.7980 0.4300 1.8480 0.6180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 1.5550 0.7180 2.2450 0.7680 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.2390 0.4090 2.7770 0.4590 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.4590 2.7770 1.1180 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + RECT 2.4670 0.0960 3.0050 0.1460 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.1890 0.9320 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7960 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRNX1_HVT + +MACRO LASRNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 3.0150 0.2330 3.2570 0.2830 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.2550 0.0300 2.3050 0.2440 ; + RECT 3.0150 0.2830 3.0650 0.6140 ; + RECT 3.2070 0.0300 3.2570 0.2330 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.0190 0.3630 3.0610 0.4050 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.0190 0.3630 3.0610 0.4050 ; + RECT 3.0190 0.4550 3.0610 0.4970 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.0190 0.5470 3.0610 0.5890 ; + RECT 3.0190 0.5470 3.0610 0.5890 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 3.0190 0.2710 3.0610 0.3130 ; + RECT 3.0190 0.2710 3.0610 0.3130 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 3.0190 0.4550 3.0610 0.4970 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 0.7550 3.0950 0.8150 ; + RECT 3.1670 0.7550 3.2170 1.5460 ; + RECT 3.1670 0.3680 3.2170 0.7050 ; + RECT 2.8630 0.7050 3.2170 0.7550 ; + RECT 2.8630 0.7550 2.9130 1.5460 ; + RECT 2.8630 0.2770 2.9130 0.7050 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 0.4320 3.2130 0.4740 ; + RECT 3.1710 0.5240 3.2130 0.5660 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 2.8670 0.4360 2.9090 0.4780 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.3440 2.9090 0.3860 ; + END + ANTENNADIFFAREA 0.2488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.1930 2.1780 1.2430 ; + RECT 3.0150 0.9120 3.0650 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2430 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.8030 1.1970 1.8450 1.2390 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + RECT 3.0190 0.9320 3.0610 0.9740 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0190 0.9320 3.0610 0.9740 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.0240 3.0610 1.0660 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.0240 3.0610 1.0660 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3610 1.9210 1.4030 ; + END + ANTENNAGATEAREA 0.0261 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + OBS + LAYER CO ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.4000 2.1490 0.4420 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.5150 1.5410 0.5570 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 2.9430 0.1000 2.9850 0.1420 ; + RECT 1.5750 0.7220 1.6170 0.7640 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 2.1070 1.0050 2.1490 1.0470 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.0050 1.5410 1.0470 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.7220 2.2250 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.5000 1.9970 0.5420 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.1070 0.4920 2.1490 0.5340 ; + RECT 2.4870 0.1000 2.5290 0.1420 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.6110 1.7730 ; + RECT 0.5320 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.9510 0.6040 2.5520 0.6540 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4800 2.0010 0.6040 ; + RECT 2.2950 0.6540 2.3450 0.8780 ; + RECT 1.4550 0.6060 1.5450 0.6560 ; + RECT 1.4950 0.3800 2.1530 0.4300 ; + RECT 1.4550 1.0010 2.1740 1.0510 ; + RECT 1.7070 0.6180 1.8480 0.6680 ; + RECT 2.1030 0.4300 2.1530 0.5540 ; + RECT 1.4950 0.4300 1.5450 0.6060 ; + RECT 1.4550 0.6560 1.5050 1.0010 ; + RECT 1.7980 0.4300 1.8480 0.6180 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 2.4670 0.0960 3.1570 0.1460 ; + RECT 1.5550 0.7180 2.2450 0.7680 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.2390 0.4090 2.7770 0.4590 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.4590 2.7770 1.1180 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.1890 0.9320 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7960 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRNX2_HVT + +MACRO LASRQX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 2.8630 0.0300 2.9130 0.4880 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.2550 0.0300 2.3050 0.2440 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.8670 0.2900 2.9090 0.3320 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.8670 0.2900 2.9090 0.3320 ; + RECT 2.8670 0.3820 2.9090 0.4240 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 2.8670 0.1980 2.9090 0.2400 ; + RECT 2.8670 0.1980 2.9090 0.2400 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 2.8670 0.3820 2.9090 0.4240 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 0.7050 3.1850 0.8150 ; + RECT 3.0150 0.8150 3.0650 1.5460 ; + RECT 3.0150 0.4730 3.1850 0.5230 ; + RECT 3.0150 0.2770 3.0650 0.4730 ; + RECT 3.1350 0.5230 3.1850 0.7050 ; + END + PORT + LAYER CO ; + RECT 3.0190 0.4360 3.0610 0.4780 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 0.3440 3.0610 0.3860 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.2030 2.1780 1.2530 ; + RECT 2.8630 1.1700 2.9130 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2530 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.8030 1.2070 1.8450 1.2490 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4540 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3920 1.9210 1.4340 ; + END + ANTENNAGATEAREA 0.0285 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0465 ; + END RSTB + OBS + LAYER CO ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 1.5750 0.6700 1.6170 0.7120 ; + RECT 1.4990 0.3870 1.5410 0.4290 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.4640 1.9970 0.5060 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.5700 2.5290 0.6120 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.1070 0.4400 2.1490 0.4820 ; + RECT 1.7270 0.5700 1.7690 0.6120 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.3480 2.1490 0.3900 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.4790 1.5410 0.5210 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 2.9430 0.5880 2.9850 0.6300 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.6700 2.2250 0.7120 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.4590 1.7730 ; + RECT 0.5320 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.9510 0.5660 2.5520 0.6160 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4440 2.0010 0.5660 ; + RECT 2.2950 0.6160 2.3450 0.8780 ; + RECT 1.7070 0.5660 1.8480 0.6160 ; + RECT 1.4950 0.3440 2.1690 0.3940 ; + RECT 1.4550 0.5660 1.5450 0.6160 ; + RECT 1.4550 1.1030 2.1740 1.1530 ; + RECT 2.1030 0.3940 2.1530 0.5020 ; + RECT 1.7980 0.3940 1.8480 0.5660 ; + RECT 1.4950 0.3940 1.5450 0.5660 ; + RECT 1.4550 0.6160 1.5050 1.1030 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 1.5550 0.6660 2.2450 0.7160 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.7270 0.5840 3.0050 0.6340 ; + RECT 2.2390 0.4090 2.7770 0.4590 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.4590 2.7770 0.5840 ; + RECT 2.7270 0.6340 2.7770 1.1180 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + LAYER PO ; + RECT 2.1890 1.0320 2.2190 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7440 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRQX1_HVT + +MACRO LASRQX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 2.8630 0.0300 2.9130 0.4880 ; + RECT 3.1670 0.0300 3.2170 0.3520 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.2550 0.0300 2.3050 0.2440 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.1710 0.1980 3.2130 0.2400 ; + RECT 3.1710 0.2900 3.2130 0.3320 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.1710 0.2900 3.2130 0.3320 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.8670 0.2900 2.9090 0.3320 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.8670 0.2900 2.9090 0.3320 ; + RECT 2.8670 0.3820 2.9090 0.4240 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 3.1710 0.1980 3.2130 0.2400 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 2.8670 0.1980 2.9090 0.2400 ; + RECT 2.8670 0.1980 2.9090 0.2400 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 2.8670 0.3820 2.9090 0.4240 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 0.7050 3.2570 0.7550 ; + RECT 2.9850 0.7550 3.1850 0.8150 ; + RECT 3.0150 0.2770 3.0650 0.4730 ; + RECT 3.2070 0.5230 3.2570 0.7050 ; + RECT 3.0150 0.4730 3.2570 0.5230 ; + RECT 3.0150 0.8150 3.0650 1.5460 ; + END + PORT + LAYER CO ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 1.1160 3.0610 1.1580 ; + RECT 3.0190 0.4360 3.0610 0.4780 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 0.3440 3.0610 0.3860 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.2030 2.1780 1.2530 ; + RECT 3.1670 1.1700 3.2170 1.6420 ; + RECT 2.8630 1.1700 2.9130 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2530 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.8030 1.2070 1.8450 1.2490 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4540 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3920 1.9210 1.4340 ; + END + ANTENNAGATEAREA 0.0285 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0465 ; + END RSTB + OBS + LAYER CO ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.4790 1.5410 0.5210 ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 2.9430 0.5880 2.9850 0.6300 ; + RECT 1.5750 0.6700 1.6170 0.7120 ; + RECT 1.4990 0.3870 1.5410 0.4290 ; + RECT 2.1070 1.1070 2.1490 1.1490 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.1070 1.5410 1.1490 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.4640 1.9970 0.5060 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.6700 2.2250 0.7120 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.5700 2.5290 0.6120 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.1070 0.4400 2.1490 0.4820 ; + RECT 1.7270 0.5700 1.7690 0.6120 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.3480 2.1490 0.3900 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 3.0950 0.5880 3.1370 0.6300 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.6110 1.7730 ; + RECT 0.5320 0.6770 0.8210 0.6790 ; + LAYER M1 ; + RECT 1.9510 0.5660 2.5520 0.6160 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4440 2.0010 0.5660 ; + RECT 2.2950 0.6160 2.3450 0.8780 ; + RECT 1.7070 0.5660 1.8480 0.6160 ; + RECT 1.4950 0.3440 2.1690 0.3940 ; + RECT 1.4550 0.5660 1.5450 0.6160 ; + RECT 1.4550 1.1030 2.1740 1.1530 ; + RECT 2.1030 0.3940 2.1530 0.5020 ; + RECT 1.7980 0.3940 1.8480 0.5660 ; + RECT 1.4950 0.3940 1.5450 0.5660 ; + RECT 1.4550 0.6160 1.5050 1.1030 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 2.7270 0.5840 3.1570 0.6340 ; + RECT 2.2390 0.4090 2.7770 0.4590 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.4590 2.7770 0.5840 ; + RECT 2.7270 0.6340 2.7770 1.1180 ; + RECT 1.5550 0.6660 2.2450 0.7160 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + LAYER PO ; + RECT 2.1890 1.0320 2.2190 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7440 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRQX2_HVT + +MACRO LASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.7880 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7900 1.0090 0.8320 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 0.8870 0.2440 2.7610 0.2940 ; + RECT 3.0150 0.0300 3.0650 0.2200 ; + RECT 0.4310 0.0300 0.4810 0.4500 ; + RECT 0.5830 0.0300 0.6330 0.4500 ; + RECT 0.8870 0.2940 0.9370 0.4210 ; + RECT 2.7110 0.2940 2.7610 0.3540 ; + RECT 2.7110 0.0300 2.7610 0.2440 ; + END + PORT + LAYER CO ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.5870 0.2920 0.6290 0.3340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.0190 0.1580 3.0610 0.2000 ; + RECT 0.8910 0.3560 0.9330 0.3980 ; + RECT 1.6510 0.2480 1.6930 0.2900 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.8910 0.2640 0.9330 0.3060 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.7150 0.2830 2.7570 0.3250 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.5870 0.2000 0.6290 0.2420 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8630 1.0690 3.2470 1.1190 ; + RECT 3.1370 1.0090 3.2470 1.0690 ; + RECT 2.8630 0.1480 2.9130 0.3090 ; + RECT 3.1970 0.3590 3.2470 1.0090 ; + RECT 2.8630 0.3090 3.2470 0.3590 ; + RECT 2.8630 1.1190 2.9130 1.5460 ; + END + PORT + LAYER CO ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.3920 2.9090 1.4340 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + RECT 2.8670 1.3000 2.9090 1.3420 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.1160 2.9090 1.1580 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.2870 2.9090 0.3290 ; + RECT 2.8670 1.2080 2.9090 1.2500 ; + RECT 2.8670 0.1950 2.9090 0.2370 ; + RECT 2.8670 1.4840 2.9090 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 2.1280 1.3280 2.8010 1.3780 ; + RECT 0.4310 1.3040 1.1050 1.3540 ; + RECT 1.7830 1.1930 2.1780 1.2430 ; + RECT 3.0150 1.1700 3.0650 1.6420 ; + RECT 2.7510 1.3780 2.8010 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 0.4910 1.3540 0.5410 1.6420 ; + RECT 2.1280 1.2430 2.1780 1.3280 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3920 3.0610 1.4340 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.0190 1.4840 3.0610 1.5260 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 3.0190 1.3000 3.0610 1.3420 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 3.0190 1.2080 3.0610 1.2500 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.8030 1.1970 1.8450 1.2390 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.5630 1.3320 2.6050 1.3740 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.3130 1.9230 1.4230 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3610 1.9210 1.4030 ; + END + ANTENNAGATEAREA 0.0261 ; + END SETB + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2890 1.1610 3.3990 1.2210 ; + RECT 3.1510 0.1540 3.3990 0.2040 ; + RECT 3.1670 1.2210 3.3990 1.2710 ; + RECT 3.1670 1.2710 3.2170 1.5460 ; + RECT 3.3490 0.2040 3.3990 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 0.1580 3.2130 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.5530 1.2710 0.6660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + OBS + LAYER CO ; + RECT 0.7390 0.2260 0.7810 0.2680 ; + RECT 0.2830 1.2200 0.3250 1.2620 ; + RECT 2.1830 0.7220 2.2250 0.7640 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.5750 0.7220 1.6170 0.7640 ; + RECT 1.4990 0.4230 1.5410 0.4650 ; + RECT 2.1070 1.0050 2.1490 1.0470 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 2.7150 1.1220 2.7570 1.1640 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.9550 0.8820 1.9970 0.9240 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.3470 0.4380 1.3890 0.4800 ; + RECT 1.4990 1.0050 1.5410 1.0470 ; + RECT 1.3470 1.2910 1.3890 1.3330 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.6510 0.8820 1.6930 0.9240 ; + RECT 0.8910 1.1700 0.9330 1.2120 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.3470 0.5300 1.3890 0.5720 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.9550 0.5000 1.9970 0.5420 ; + RECT 2.4110 1.1220 2.4530 1.1640 ; + RECT 1.3470 1.1990 1.3890 1.2410 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 2.2590 1.1220 2.3010 1.1640 ; + RECT 1.4230 1.4320 1.4650 1.4740 ; + RECT 0.2830 1.1280 0.3250 1.1700 ; + RECT 0.2830 0.9440 0.3250 0.9860 ; + RECT 2.4870 0.6080 2.5290 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.1070 0.4920 2.1490 0.5340 ; + RECT 1.7270 0.6220 1.7690 0.6640 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 2.0310 1.4320 2.0730 1.4740 ; + RECT 2.1070 0.4000 2.1490 0.4420 ; + RECT 2.1830 1.4320 2.2250 1.4740 ; + RECT 1.3470 1.1070 1.3890 1.1490 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 0.2830 1.0360 0.3250 1.0780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.3220 0.7810 0.3640 ; + RECT 1.1950 0.4220 1.2370 0.4640 ; + RECT 0.6630 1.5220 0.7050 1.5640 ; + RECT 1.5750 1.4320 1.6170 1.4740 ; + RECT 1.4990 0.5150 1.5410 0.5570 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.6110 1.7730 ; + RECT 0.5320 0.6770 0.8360 0.6790 ; + LAYER M1 ; + RECT 1.4550 0.6060 1.5450 0.6560 ; + RECT 1.4950 0.3800 2.1530 0.4300 ; + RECT 1.4550 1.0010 2.1740 1.0510 ; + RECT 1.7070 0.6180 1.8480 0.6680 ; + RECT 2.1030 0.4300 2.1530 0.5540 ; + RECT 1.4950 0.4300 1.5450 0.6060 ; + RECT 1.4550 0.6560 1.5050 1.0010 ; + RECT 1.7980 0.4300 1.8480 0.6180 ; + RECT 1.9510 0.6040 3.0110 0.6540 ; + RECT 1.6300 0.8780 2.3450 0.9280 ; + RECT 1.9510 0.4800 2.0010 0.6040 ; + RECT 2.2950 0.6540 2.3450 0.8780 ; + RECT 0.7350 0.0960 2.1240 0.1460 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.1460 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 1.3540 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 1.5550 0.7180 2.2450 0.7680 ; + RECT 1.1910 1.3080 1.3930 1.3580 ; + RECT 0.8700 1.1660 1.2410 1.2160 ; + RECT 1.1750 0.4180 1.3930 0.4680 ; + RECT 1.1910 1.2160 1.2410 1.3080 ; + RECT 1.3430 0.4680 1.3930 1.3080 ; + RECT 1.0990 1.5280 2.7010 1.5780 ; + RECT 2.2390 0.4090 3.1410 0.4590 ; + RECT 2.7270 0.8060 3.1410 0.8560 ; + RECT 3.0910 0.4590 3.1410 0.8060 ; + RECT 2.2390 1.1180 2.7770 1.1680 ; + RECT 2.7270 0.8560 2.7770 1.1180 ; + RECT 0.6590 1.4280 1.6370 1.4780 ; + RECT 0.6590 1.4780 0.7090 1.5840 ; + RECT 2.0100 1.4280 2.2450 1.4780 ; + LAYER PO ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.1890 0.9320 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.6420 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 0.7960 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.4290 0.8820 1.4590 1.6060 ; + END +END LASRX1_HVT + +MACRO INVX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.472 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 5.1480 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 5.0710 0.6640 5.1130 0.7060 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 2.7910 0.6640 2.8330 0.7060 ; + RECT 4.4630 0.6640 4.5050 0.7060 ; + RECT 4.9190 0.6640 4.9610 0.7060 ; + RECT 4.6150 0.6640 4.6570 0.7060 ; + RECT 4.7670 0.6640 4.8090 0.7060 ; + RECT 3.8550 0.6640 3.8970 0.7060 ; + RECT 4.3110 0.6640 4.3530 0.7060 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 4.1590 0.6640 4.2010 0.7060 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + END + ANTENNAGATEAREA 1.1712 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.4720 1.7020 ; + RECT 4.9910 0.9920 5.0410 1.6420 ; + RECT 4.6870 0.9920 4.7370 1.6420 ; + RECT 4.3830 0.9920 4.4330 1.6420 ; + RECT 4.0790 0.9920 4.1290 1.6420 ; + RECT 3.7750 0.9920 3.8250 1.6420 ; + RECT 3.4710 0.9920 3.5210 1.6420 ; + RECT 3.1670 0.9920 3.2170 1.6420 ; + RECT 2.8630 0.9920 2.9130 1.6420 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.4720 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 4.9910 0.0300 5.0410 0.4100 ; + RECT 4.6870 0.0300 4.7370 0.4100 ; + RECT 4.3830 0.0300 4.4330 0.4100 ; + RECT 4.0790 0.0300 4.1290 0.4100 ; + RECT 3.7750 0.0300 3.8250 0.4100 ; + RECT 3.4710 0.0300 3.5210 0.4100 ; + RECT 3.1670 0.0300 3.2170 0.4100 ; + RECT 2.8630 0.0300 2.9130 0.4100 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.4750 0.2410 3.5170 0.2830 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 4.9950 0.2410 5.0370 0.2830 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 4.6910 0.2410 4.7330 0.2830 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.2410 3.2130 0.2830 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.2410 2.9090 0.2830 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.3870 0.2410 4.4290 0.2830 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.2410 4.1250 0.2830 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.7790 0.2410 3.8210 0.2830 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.8920 5.2520 0.9420 ; + RECT 5.2020 0.5870 5.3750 0.6630 ; + RECT 5.1430 0.1160 5.1930 0.5370 ; + RECT 4.8390 0.1160 4.8890 0.5370 ; + RECT 4.5350 0.1160 4.5850 0.5370 ; + RECT 4.2310 0.1160 4.2810 0.5370 ; + RECT 3.9270 0.1160 3.9770 0.5370 ; + RECT 3.6230 0.1160 3.6730 0.5370 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 3.0150 0.1160 3.0650 0.5370 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 2.4070 0.1160 2.4570 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.1170 1.5450 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 2.1030 0.1160 2.1530 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 5.1430 0.9420 5.1930 1.5640 ; + RECT 4.8390 0.9420 4.8890 1.5640 ; + RECT 4.5350 0.9420 4.5850 1.5640 ; + RECT 4.2310 0.9420 4.2810 1.5640 ; + RECT 3.9270 0.9420 3.9770 1.5640 ; + RECT 3.6230 0.9420 3.6730 1.5640 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 3.0150 0.9420 3.0650 1.5640 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 2.4070 0.9420 2.4570 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5640 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5650 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 5.2020 0.6630 5.2520 0.8920 ; + RECT 0.2790 0.5370 5.3750 0.5870 ; + END + PORT + LAYER CO ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 0.2430 5.1890 0.2850 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.4270 5.1890 0.4690 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.2430 4.8850 0.2850 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.8430 0.4270 4.8850 0.4690 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.4270 3.0610 0.4690 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 4.5390 0.4270 4.5810 0.4690 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.2430 4.5810 0.2850 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 0.4270 4.2770 0.4690 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 4.2350 0.2430 4.2770 0.2850 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 3.9310 0.2430 3.9730 0.2850 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.4270 3.9730 0.4690 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 0.2430 3.6690 0.2850 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 0.4270 3.6690 0.4690 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.4280 1.5410 0.4700 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.2430 2.4530 0.2850 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.4270 2.4530 0.4690 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.2430 3.0610 0.2850 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 0.2430 2.1490 0.2850 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.4270 2.1490 0.4690 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + END + ANTENNADIFFAREA 2.4808 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 5.5870 1.7730 ; + LAYER PO ; + RECT 5.3810 0.0690 5.4110 1.6060 ; + RECT 5.2290 0.0690 5.2590 1.6060 ; + RECT 5.0770 0.0690 5.1070 1.6060 ; + RECT 4.9250 0.0690 4.9550 1.6060 ; + RECT 4.6210 0.0690 4.6510 1.6060 ; + RECT 4.7730 0.0690 4.8030 1.6060 ; + RECT 4.3170 0.0690 4.3470 1.6060 ; + RECT 4.4690 0.0690 4.4990 1.6060 ; + RECT 4.1650 0.0690 4.1950 1.6060 ; + RECT 4.0130 0.0690 4.0430 1.6060 ; + RECT 3.8610 0.0690 3.8910 1.6060 ; + RECT 3.7090 0.0690 3.7390 1.6060 ; + RECT 3.4050 0.0690 3.4350 1.6060 ; + RECT 3.5570 0.0690 3.5870 1.6060 ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 3.1010 0.0690 3.1310 1.6060 ; + RECT 3.2530 0.0690 3.2830 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.2130 0.0690 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.0610 0.0690 0.0910 1.6060 ; + END +END INVX32_HVT + +MACRO INVX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.8920 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.1464 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9420 0.5870 1.1190 0.6630 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.9420 0.6630 0.9920 0.8920 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.2790 0.5370 1.1190 0.5870 ; + RECT 0.2790 0.8920 0.9920 0.9420 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 1.3310 1.7730 ; + LAYER PO ; + RECT 1.1250 0.0650 1.1550 1.6000 ; + RECT 0.9730 0.0650 1.0030 1.6000 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + END +END INVX4_HVT + +MACRO INVX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 1.5000 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + END + ANTENNAGATEAREA 0.2928 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5510 0.5870 1.7270 0.6630 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5650 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 1.5510 0.6630 1.6010 0.8920 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.1170 1.5450 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.2790 0.8920 1.6010 0.9420 ; + RECT 0.2790 0.5370 1.7270 0.5870 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.4280 1.5410 0.4700 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER PO ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.2130 0.0690 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.0610 0.0690 0.0910 1.6060 ; + END +END INVX8_HVT + +MACRO ISOLANDAOX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.344 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5550 1.3430 1.7890 1.3930 ; + RECT 1.6170 1.3930 1.7270 1.4230 ; + RECT 1.6170 1.3130 1.7270 1.3430 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.3470 1.6170 1.3890 ; + RECT 1.7270 1.3470 1.7690 1.3890 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.3440 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.3440 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.3000 ; + RECT 1.0390 0.0300 1.0890 0.3260 ; + RECT 2.1030 0.2650 2.1530 0.3980 ; + RECT 2.2950 0.0300 2.3450 0.2150 ; + RECT 2.1030 0.2150 2.3450 0.2650 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.3470 0.2380 1.3890 0.2800 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + END + END VSS + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 2.3770 0.7050 2.4870 0.8150 ; + RECT 2.4020 0.6830 2.4620 0.7050 ; + RECT 2.1030 0.9860 2.4620 1.0360 ; + RECT 2.4020 0.8150 2.4620 0.9860 ; + RECT 1.0390 0.6710 1.0890 1.1950 ; + RECT 1.3430 0.8540 1.3930 1.1950 ; + RECT 1.6470 0.8540 1.6970 1.1950 ; + RECT 1.0370 1.2260 2.4570 1.2550 ; + RECT 1.0370 1.1950 2.4620 1.2260 ; + RECT 2.1030 0.6170 2.1530 0.9860 ; + RECT 2.4020 1.0360 2.4620 1.1950 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.7030 2.4530 0.7450 ; + RECT 1.0430 0.7300 1.0850 0.7720 ; + RECT 2.1070 0.6380 2.1490 0.6800 ; + RECT 2.1070 0.8220 2.1490 0.8640 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 2.4110 0.7950 2.4530 0.8370 ; + RECT 2.4110 0.7950 2.4530 0.8370 ; + RECT 2.4110 0.7030 2.4530 0.7450 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 1.0430 0.9140 1.0850 0.9560 ; + RECT 1.0430 0.8220 1.0850 0.8640 ; + RECT 2.1070 0.9140 2.1490 0.9560 ; + RECT 2.1070 0.7300 2.1490 0.7720 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + END + END VDDG + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.4060 1.1190 0.4560 ; + RECT 1.0090 0.4010 1.1190 0.4060 ; + RECT 1.0090 0.4560 1.1190 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.4100 1.0090 0.4520 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2550 0.6130 2.3470 0.6630 ; + RECT 2.2550 0.3160 2.3050 0.4010 ; + RECT 1.9510 0.5100 2.0010 0.9760 ; + RECT 1.9510 0.2240 2.0010 0.4600 ; + RECT 2.2550 0.6630 2.3050 0.9360 ; + RECT 1.9510 0.4600 2.3470 0.5100 ; + RECT 2.2970 0.5110 2.3470 0.6130 ; + RECT 2.2250 0.5100 2.3470 0.5110 ; + RECT 2.2250 0.4010 2.3470 0.4600 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.3360 1.9970 0.3780 ; + RECT 1.9550 0.6380 1.9970 0.6800 ; + RECT 1.9550 0.7300 1.9970 0.7720 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 1.9550 0.2440 1.9970 0.2860 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 1.9550 0.9140 1.9970 0.9560 ; + RECT 1.9550 0.8220 1.9970 0.8640 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.2710 1.3320 1.3130 1.3740 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 0.8910 0.6380 0.9330 0.6800 ; + RECT 1.6510 0.2080 1.6930 0.2500 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 0.9140 0.9330 0.9560 ; + RECT 1.1950 0.8560 1.2370 0.8980 ; + RECT 0.8910 0.8220 0.9330 0.8640 ; + RECT 0.8910 0.7300 0.9330 0.7720 ; + RECT 2.0310 1.0900 2.0730 1.1320 ; + RECT 1.1950 0.2380 1.2370 0.2800 ; + RECT 1.8030 0.1460 1.8450 0.1880 ; + RECT 1.8030 0.2380 1.8450 0.2800 ; + RECT 1.4990 0.1460 1.5410 0.1880 ; + RECT 1.4990 0.2380 1.5410 0.2800 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.1950 0.1460 1.2370 0.1880 ; + RECT 2.1830 1.0900 2.2250 1.1320 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.4230 1.3320 1.4650 1.3740 ; + RECT 1.1950 0.9480 1.2370 0.9900 ; + LAYER NWELL ; + RECT 0.8060 0.4530 2.7110 1.0830 ; + RECT -0.0910 1.5430 3.4590 1.7730 ; + RECT -0.0910 0.6790 0.3460 1.5430 ; + RECT 3.1710 0.6790 3.4590 1.5430 ; + LAYER M1 ; + RECT 1.4950 0.0880 1.8490 0.1380 ; + RECT 1.1910 0.3500 1.5450 0.4000 ; + RECT 1.7990 0.1380 1.8490 0.3000 ; + RECT 1.4950 0.1380 1.5450 0.3500 ; + RECT 1.1910 0.1260 1.2410 0.3500 ; + RECT 1.7990 1.0860 2.2590 1.1360 ; + RECT 1.1910 0.7670 1.2410 1.0180 ; + RECT 1.4950 0.7670 1.5450 1.0280 ; + RECT 1.6470 0.1880 1.6970 0.7170 ; + RECT 1.1910 0.7170 1.8490 0.7670 ; + RECT 1.7990 0.7670 1.8490 1.0860 ; + RECT 0.8870 1.3280 1.4850 1.3780 ; + RECT 0.8470 0.5210 0.9370 0.5710 ; + RECT 0.8470 0.2890 0.9370 0.3390 ; + RECT 0.8870 0.5710 0.9370 1.3280 ; + RECT 0.8870 0.1210 0.9370 0.2890 ; + RECT 0.8470 0.3390 0.8970 0.5210 ; + LAYER PO ; + RECT 3.2530 0.0710 3.2830 1.6030 ; + RECT 3.1010 0.0710 3.1310 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 1.5810 0.0710 1.6110 1.6030 ; + RECT 1.7330 0.0710 1.7630 1.6040 ; + RECT 2.6450 0.0720 2.6750 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6030 ; + RECT 1.1250 0.0710 1.1550 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 2.1890 0.0720 2.2190 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 2.9490 0.0720 2.9790 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 2.7970 0.0720 2.8270 1.6030 ; + RECT 1.2770 0.0710 1.3070 1.6030 ; + RECT 1.8850 0.0720 1.9150 1.6040 ; + RECT 2.3410 0.0710 2.3710 1.6030 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 2.4930 0.0720 2.5230 1.6040 ; + END +END ISOLANDAOX1_HVT + +MACRO ISOLANDAOX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.4070 0.5110 2.4570 1.0280 ; + RECT 2.4070 0.1880 2.4570 0.4010 ; + RECT 1.7990 0.4610 2.4870 0.5110 ; + RECT 2.3770 0.4010 2.4870 0.4610 ; + RECT 1.7990 0.5110 1.8490 1.0280 ; + RECT 1.7990 0.1870 1.8490 0.4610 ; + RECT 2.1030 0.5110 2.1530 1.0280 ; + RECT 2.1030 0.1880 2.1530 0.4610 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 2.4110 0.3000 2.4530 0.3420 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 2.1070 0.8740 2.1490 0.9160 ; + RECT 2.4110 0.8740 2.4530 0.9160 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 2.4110 0.9660 2.4530 1.0080 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 2.4110 0.6900 2.4530 0.7320 ; + RECT 2.1070 0.3000 2.1490 0.3420 ; + RECT 1.8030 0.2070 1.8450 0.2490 ; + RECT 2.4110 0.2080 2.4530 0.2500 ; + RECT 2.1070 0.2080 2.1490 0.2500 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 1.8030 0.2990 1.8450 0.3410 ; + RECT 2.4110 0.7820 2.4530 0.8240 ; + RECT 2.1070 0.9660 2.1490 1.0080 ; + END + ANTENNADIFFAREA 0.1988 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 2.5290 0.7050 2.6390 0.8150 ; + RECT 2.5540 0.6830 2.6140 0.7050 ; + RECT 0.8850 1.3180 2.6090 1.3470 ; + RECT 0.8850 1.2870 2.6140 1.3180 ; + RECT 1.9510 0.6700 2.0010 1.0780 ; + RECT 2.2550 0.6700 2.3050 1.0780 ; + RECT 1.9510 1.0780 2.6140 1.1280 ; + RECT 2.5540 0.8150 2.6140 1.0780 ; + RECT 1.1910 0.8540 1.2410 1.2870 ; + RECT 0.8870 0.6710 0.9370 1.2870 ; + RECT 1.4950 0.8540 1.5450 1.2870 ; + RECT 2.5540 1.1280 2.6140 1.2870 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.7950 2.6050 0.8370 ; + RECT 2.5630 0.7030 2.6050 0.7450 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 0.8910 0.8220 0.9330 0.8640 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 2.5630 0.7950 2.6050 0.8370 ; + RECT 0.8910 0.9140 0.9330 0.9560 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.1950 0.9660 1.2370 1.0080 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 2.5630 0.7030 2.6050 0.7450 ; + RECT 0.8910 0.7300 0.9330 0.7720 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 1.9550 0.8740 1.9970 0.9160 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 1.9550 0.9660 1.9970 1.0080 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4030 1.4570 1.6370 1.5070 ; + RECT 1.4650 1.5070 1.5750 1.5750 ; + RECT 1.4650 1.4270 1.5750 1.4570 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.4610 1.6170 1.5030 ; + RECT 1.4230 1.4610 1.4650 1.5030 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + END + END VDD + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.4060 0.9670 0.4560 ; + RECT 0.8570 0.4010 0.9670 0.4060 ; + RECT 0.8570 0.4560 0.9670 0.5110 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.4100 0.8570 0.4520 ; + END + ANTENNAGATEAREA 0.0198 ; + END ISO + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.3260 ; + RECT 0.8870 0.0300 0.9370 0.3260 ; + RECT 1.9510 0.1380 2.0010 0.3610 ; + RECT 2.2550 0.1380 2.3050 0.3610 ; + RECT 2.4480 0.0300 2.4980 0.0880 ; + RECT 1.9510 0.1370 2.4880 0.1380 ; + RECT 1.9510 0.0880 2.4980 0.1370 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.2990 2.3010 0.3410 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.9550 0.2990 1.9970 0.3410 ; + RECT 1.1950 0.1460 1.2370 0.1880 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.9550 0.2070 1.9970 0.2490 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.2590 0.2070 2.3010 0.2490 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1950 0.2380 1.2370 0.2800 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 0.7390 0.9140 0.7810 0.9560 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 2.0310 1.1860 2.0730 1.2280 ; + RECT 1.8790 1.1860 1.9210 1.2280 ; + RECT 0.7390 0.7300 0.7810 0.7720 ; + RECT 0.7390 0.8220 0.7810 0.8640 ; + RECT 1.4990 0.2080 1.5410 0.2500 ; + RECT 1.0430 0.8560 1.0850 0.8980 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 1.2710 1.4060 1.3130 1.4480 ; + RECT 1.0430 0.9480 1.0850 0.9900 ; + RECT 1.1190 1.4060 1.1610 1.4480 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 0.7390 0.6380 0.7810 0.6800 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.0430 0.2640 1.0850 0.3060 ; + RECT 1.0430 0.1720 1.0850 0.2140 ; + RECT 1.3470 0.1720 1.3890 0.2140 ; + RECT 1.3470 0.2640 1.3890 0.3060 ; + RECT 1.6510 0.1720 1.6930 0.2140 ; + RECT 1.6510 0.2640 1.6930 0.3060 ; + RECT 2.3350 1.1860 2.3770 1.2280 ; + RECT 2.1830 1.1860 2.2250 1.2280 ; + LAYER NWELL ; + RECT -0.0910 1.5430 3.6110 1.7730 ; + RECT -0.0910 0.6790 0.1940 1.5430 ; + RECT 3.3230 0.6790 3.6110 1.5430 ; + RECT 0.6540 0.4530 2.8630 1.0830 ; + LAYER M1 ; + RECT 1.0390 0.3760 1.3930 0.4260 ; + RECT 1.3430 0.0880 1.6970 0.1380 ; + RECT 1.6470 0.1380 1.6970 0.3260 ; + RECT 1.3430 0.1380 1.3930 0.3760 ; + RECT 1.0390 0.1520 1.0890 0.3760 ; + RECT 0.7350 1.4020 1.3330 1.4520 ; + RECT 0.6950 0.2890 0.7850 0.3390 ; + RECT 0.6950 0.5210 0.7850 0.5710 ; + RECT 0.7350 0.1210 0.7850 0.2890 ; + RECT 0.6950 0.3390 0.7450 0.5210 ; + RECT 0.7350 0.5710 0.7850 1.4020 ; + RECT 1.6470 1.1820 2.3970 1.2320 ; + RECT 1.0390 0.7670 1.0890 1.0180 ; + RECT 1.3430 0.7670 1.3930 1.0280 ; + RECT 1.4950 0.1880 1.5450 0.7170 ; + RECT 1.6470 0.7670 1.6970 1.1820 ; + RECT 1.0390 0.7170 1.6970 0.7670 ; + LAYER PO ; + RECT 3.4050 0.0720 3.4350 1.6040 ; + RECT 2.3410 0.0720 2.3710 1.6040 ; + RECT 3.1010 0.0720 3.1310 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 1.8850 0.0720 1.9150 1.6030 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6030 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6030 ; + RECT 2.6450 0.0720 2.6750 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 2.7970 0.0720 2.8270 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 2.9490 0.0710 2.9790 1.6030 ; + RECT 2.0370 0.0720 2.0670 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 2.4930 0.0720 2.5230 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 3.2530 0.0720 3.2830 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + END +END ISOLANDAOX2_HVT + +MACRO ISOLANDAOX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.104 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 3.1370 0.7050 3.2470 0.8150 ; + RECT 3.1620 0.6830 3.2220 0.7050 ; + RECT 1.9510 1.0780 3.2220 1.1280 ; + RECT 3.1620 0.8150 3.2220 1.0780 ; + RECT 1.4950 0.8540 1.5450 1.3090 ; + RECT 1.1910 0.8540 1.2410 1.3090 ; + RECT 0.8870 0.6710 0.9370 1.3090 ; + RECT 0.8850 1.3640 3.1900 1.3690 ; + RECT 0.8850 1.3090 3.2220 1.3640 ; + RECT 1.9510 0.6700 2.0010 1.0780 ; + RECT 2.5590 0.6700 2.6090 1.0780 ; + RECT 2.2550 0.6700 2.3050 1.0780 ; + RECT 2.8630 0.6700 2.9130 1.0780 ; + RECT 3.1620 1.1280 3.2220 1.3090 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.9660 1.9970 1.0080 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 0.8910 0.7300 0.9330 0.7720 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 2.8670 0.7820 2.9090 0.8240 ; + RECT 2.8670 0.6900 2.9090 0.7320 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 2.5630 0.6900 2.6050 0.7320 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 1.9550 0.8740 1.9970 0.9160 ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.8670 0.8740 2.9090 0.9160 ; + RECT 3.1710 0.7030 3.2130 0.7450 ; + RECT 3.1710 0.7030 3.2130 0.7450 ; + RECT 2.5630 0.9660 2.6050 1.0080 ; + RECT 0.8910 0.9140 0.9330 0.9560 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 2.8670 0.9660 2.9090 1.0080 ; + RECT 3.1710 0.7950 3.2130 0.8370 ; + RECT 3.1710 0.7950 3.2130 0.8370 ; + RECT 1.1950 0.9660 1.2370 1.0080 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 0.8910 0.8220 0.9330 0.8640 ; + END + END VDDG + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7990 0.4610 3.0950 0.5110 ; + RECT 2.9850 0.4010 3.0950 0.4610 ; + RECT 3.0150 0.5110 3.0650 1.0280 ; + RECT 1.7990 0.5110 1.8490 1.0280 ; + RECT 1.7990 0.2240 1.8490 0.4610 ; + RECT 2.4070 0.5110 2.4570 1.0280 ; + RECT 2.4070 0.2240 2.4570 0.4610 ; + RECT 2.7110 0.5110 2.7610 1.0280 ; + RECT 2.7110 0.2240 2.7610 0.4610 ; + RECT 2.1030 0.5110 2.1530 1.0280 ; + RECT 2.1030 0.2240 2.1530 0.4610 ; + RECT 3.0150 0.2240 3.0650 0.4010 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.6900 2.4530 0.7320 ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 2.4110 0.3360 2.4530 0.3780 ; + RECT 2.4110 0.9660 2.4530 1.0080 ; + RECT 2.1070 0.9660 2.1490 1.0080 ; + RECT 2.4110 0.8740 2.4530 0.9160 ; + RECT 2.1070 0.8740 2.1490 0.9160 ; + RECT 1.8030 0.2440 1.8450 0.2860 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 2.4110 0.2440 2.4530 0.2860 ; + RECT 2.7150 0.2440 2.7570 0.2860 ; + RECT 3.0190 0.2440 3.0610 0.2860 ; + RECT 3.0190 0.7820 3.0610 0.8240 ; + RECT 3.0190 0.3360 3.0610 0.3780 ; + RECT 3.0190 0.9660 3.0610 1.0080 ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 2.7150 0.9660 2.7570 1.0080 ; + RECT 2.7150 0.8740 2.7570 0.9160 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 2.7150 0.7820 2.7570 0.8240 ; + RECT 2.7150 0.3360 2.7570 0.3780 ; + RECT 2.7150 0.6900 2.7570 0.7320 ; + RECT 3.0190 0.6900 3.0610 0.7320 ; + RECT 3.0190 0.8740 3.0610 0.9160 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 2.4110 0.7820 2.4530 0.8240 ; + END + ANTENNADIFFAREA 0.3476 ; + END Q + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.4060 0.9670 0.4560 ; + RECT 0.8570 0.4560 0.9670 0.5110 ; + RECT 0.8570 0.4010 0.9670 0.4060 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.4100 0.8570 0.4520 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.1040 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.3980 ; + RECT 2.5590 0.0300 2.6090 0.3980 ; + RECT 2.8630 0.0300 2.9130 0.3980 ; + RECT 2.2550 0.0300 2.3050 0.3980 ; + RECT 1.1910 0.0300 1.2410 0.3000 ; + RECT 0.8870 0.0300 0.9370 0.3260 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.9550 0.3360 1.9970 0.3780 ; + RECT 1.1950 0.2380 1.2370 0.2800 ; + RECT 1.1950 0.1460 1.2370 0.1880 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.2590 0.2440 2.3010 0.2860 ; + RECT 2.5630 0.2440 2.6050 0.2860 ; + RECT 2.8670 0.2440 2.9090 0.2860 ; + RECT 1.9550 0.2440 1.9970 0.2860 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.8670 0.3360 2.9090 0.3780 ; + RECT 2.5630 0.3360 2.6050 0.3780 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.1040 1.7020 ; + END + PORT + LAYER CO ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4030 1.4440 1.6370 1.4940 ; + RECT 1.4650 1.4940 1.5750 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.4480 1.4650 1.4900 ; + RECT 1.5750 1.4480 1.6170 1.4900 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + OBS + LAYER CO ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 1.0430 0.1460 1.0850 0.1880 ; + RECT 1.0430 0.2380 1.0850 0.2800 ; + RECT 0.7390 0.7300 0.7810 0.7720 ; + RECT 0.7390 0.8220 0.7810 0.8640 ; + RECT 1.0430 0.8560 1.0850 0.8980 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.4990 0.2080 1.5410 0.2500 ; + RECT 0.7390 0.6380 0.7810 0.6800 ; + RECT 2.3350 1.2080 2.3770 1.2500 ; + RECT 2.1830 1.2080 2.2250 1.2500 ; + RECT 2.4870 1.2080 2.5290 1.2500 ; + RECT 2.6390 1.2080 2.6810 1.2500 ; + RECT 2.7910 1.2080 2.8330 1.2500 ; + RECT 2.9430 1.2080 2.9850 1.2500 ; + RECT 1.2710 1.4330 1.3130 1.4750 ; + RECT 1.0430 0.9480 1.0850 0.9900 ; + RECT 1.1190 1.4330 1.1610 1.4750 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 1.6510 0.1460 1.6930 0.1880 ; + RECT 1.6510 0.2380 1.6930 0.2800 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 1.3470 0.2380 1.3890 0.2800 ; + RECT 0.7390 0.9140 0.7810 0.9560 ; + RECT 1.8790 1.2080 1.9210 1.2500 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 2.0310 1.2080 2.0730 1.2500 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + LAYER NWELL ; + RECT -0.0910 1.5430 4.2250 1.7730 ; + RECT -0.0910 0.6790 0.1940 1.5430 ; + RECT 3.9310 0.6790 4.2250 1.5430 ; + RECT 0.6540 0.4530 3.4710 1.0830 ; + LAYER M1 ; + RECT 1.3430 0.0880 1.6970 0.1380 ; + RECT 1.0390 0.3500 1.3930 0.4000 ; + RECT 1.6470 0.1380 1.6970 0.3000 ; + RECT 1.3430 0.1380 1.3930 0.3500 ; + RECT 1.0390 0.1260 1.0890 0.3500 ; + RECT 1.6470 1.2040 3.0050 1.2540 ; + RECT 1.0390 0.7670 1.0890 1.0180 ; + RECT 1.3430 0.7670 1.3930 1.0280 ; + RECT 1.4950 0.1880 1.5450 0.7170 ; + RECT 1.6470 0.7670 1.6970 1.2040 ; + RECT 1.0390 0.7170 1.6970 0.7670 ; + RECT 0.7350 1.4290 1.3330 1.4790 ; + RECT 0.6950 0.2890 0.7850 0.3390 ; + RECT 0.6950 0.5210 0.7850 0.5710 ; + RECT 0.7350 0.1210 0.7850 0.2890 ; + RECT 0.6950 0.3390 0.7450 0.5210 ; + RECT 0.7350 0.5710 0.7850 1.4290 ; + LAYER PO ; + RECT 0.2130 0.0720 0.2430 1.6100 ; + RECT 0.0610 0.0720 0.0910 1.6100 ; + RECT 4.0130 0.0720 4.0430 1.6100 ; + RECT 3.8610 0.0720 3.8910 1.6100 ; + RECT 3.1010 0.0720 3.1310 1.6040 ; + RECT 3.2530 0.0720 3.2830 1.6030 ; + RECT 3.4050 0.0720 3.4350 1.6100 ; + RECT 3.5570 0.0720 3.5870 1.6100 ; + RECT 2.9490 0.0720 2.9790 1.6100 ; + RECT 2.3410 0.0720 2.3710 1.6040 ; + RECT 1.8850 0.0720 1.9150 1.6030 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6030 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6030 ; + RECT 2.6450 0.0720 2.6750 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 2.7970 0.0720 2.8270 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6100 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 2.4930 0.0720 2.5230 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6030 ; + RECT 3.7090 0.0720 3.7390 1.6100 ; + END +END ISOLANDAOX4_HVT + +MACRO ISOLANDAOX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.32 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7990 0.4610 4.3100 0.5110 ; + RECT 4.2000 0.4010 4.3100 0.4610 ; + RECT 4.2310 0.5110 4.2810 1.0280 ; + RECT 2.1030 0.5110 2.1530 1.0280 ; + RECT 2.1030 0.1260 2.1530 0.4610 ; + RECT 1.7990 0.5110 1.8490 1.0280 ; + RECT 1.7990 0.1260 1.8490 0.4610 ; + RECT 2.4070 0.5110 2.4570 1.0280 ; + RECT 2.4070 0.1260 2.4570 0.4610 ; + RECT 2.7110 0.5110 2.7610 1.0280 ; + RECT 2.7110 0.1260 2.7610 0.4610 ; + RECT 3.0150 0.5110 3.0650 1.0280 ; + RECT 3.0150 0.1260 3.0650 0.4610 ; + RECT 3.6230 0.5110 3.6730 1.0280 ; + RECT 3.6230 0.1260 3.6730 0.4610 ; + RECT 3.3190 0.5110 3.3690 1.0280 ; + RECT 3.3190 0.1260 3.3690 0.4610 ; + RECT 3.9270 0.5110 3.9770 1.0280 ; + RECT 3.9270 0.1260 3.9770 0.4610 ; + RECT 4.2310 0.1260 4.2810 0.4010 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.6900 2.1490 0.7320 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 2.1070 0.8740 2.1490 0.9160 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 2.1070 0.7820 2.1490 0.8240 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 2.1070 0.9660 2.1490 1.0080 ; + RECT 3.0190 0.2380 3.0610 0.2800 ; + RECT 3.9310 0.8740 3.9730 0.9160 ; + RECT 2.1070 0.2380 2.1490 0.2800 ; + RECT 2.4110 0.1460 2.4530 0.1880 ; + RECT 1.8030 0.2380 1.8450 0.2800 ; + RECT 1.8030 0.1460 1.8450 0.1880 ; + RECT 2.1070 0.1460 2.1490 0.1880 ; + RECT 4.2350 0.6900 4.2770 0.7320 ; + RECT 4.2350 0.8740 4.2770 0.9160 ; + RECT 2.4110 0.2380 2.4530 0.2800 ; + RECT 4.2350 0.9660 4.2770 1.0080 ; + RECT 4.2350 0.7820 4.2770 0.8240 ; + RECT 3.3230 0.8740 3.3650 0.9160 ; + RECT 3.0190 0.7820 3.0610 0.8240 ; + RECT 2.4110 0.6900 2.4530 0.7320 ; + RECT 2.7150 0.9660 2.7570 1.0080 ; + RECT 3.0190 0.8740 3.0610 0.9160 ; + RECT 2.4110 0.7820 2.4530 0.8240 ; + RECT 3.3230 0.9660 3.3650 1.0080 ; + RECT 2.4110 0.9660 2.4530 1.0080 ; + RECT 3.6270 0.9660 3.6690 1.0080 ; + RECT 3.6270 0.8740 3.6690 0.9160 ; + RECT 3.0190 0.1460 3.0610 0.1880 ; + RECT 4.2350 0.1460 4.2770 0.1880 ; + RECT 4.2350 0.2380 4.2770 0.2800 ; + RECT 3.6270 0.2380 3.6690 0.2800 ; + RECT 3.3230 0.1460 3.3650 0.1880 ; + RECT 3.3230 0.2380 3.3650 0.2800 ; + RECT 3.9310 0.1460 3.9730 0.1880 ; + RECT 3.9310 0.2380 3.9730 0.2800 ; + RECT 3.6270 0.1460 3.6690 0.1880 ; + RECT 2.7150 0.7820 2.7570 0.8240 ; + RECT 3.6270 0.7820 3.6690 0.8240 ; + RECT 3.6270 0.6900 3.6690 0.7320 ; + RECT 3.9310 0.6900 3.9730 0.7320 ; + RECT 2.7150 0.8740 2.7570 0.9160 ; + RECT 3.0190 0.6900 3.0610 0.7320 ; + RECT 2.7150 0.6900 2.7570 0.7320 ; + RECT 3.0190 0.9660 3.0610 1.0080 ; + RECT 2.4110 0.8740 2.4530 0.9160 ; + RECT 3.3230 0.6900 3.3650 0.7320 ; + RECT 2.7150 0.1460 2.7570 0.1880 ; + RECT 3.3230 0.7820 3.3650 0.8240 ; + RECT 2.7150 0.2380 2.7570 0.2800 ; + RECT 3.9310 0.9660 3.9730 1.0080 ; + RECT 3.9310 0.7820 3.9730 0.8240 ; + END + ANTENNADIFFAREA 0.6452 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 4.3530 0.7050 4.4630 0.8150 ; + RECT 4.3830 0.6830 4.4330 0.7050 ; + RECT 0.8850 1.3640 4.4060 1.3690 ; + RECT 0.8850 1.3090 4.4330 1.3640 ; + RECT 1.9510 0.6700 2.0010 1.0780 ; + RECT 2.2550 0.6700 2.3050 1.0780 ; + RECT 3.1670 0.6700 3.2170 1.0780 ; + RECT 3.4710 0.6700 3.5210 1.0780 ; + RECT 3.7750 0.6700 3.8250 1.0780 ; + RECT 4.0790 0.6700 4.1290 1.0780 ; + RECT 2.5590 0.6700 2.6090 1.0780 ; + RECT 2.8630 0.6700 2.9130 1.0780 ; + RECT 1.9510 1.0780 4.4330 1.1280 ; + RECT 4.3830 0.8150 4.4330 1.0780 ; + RECT 1.4950 0.8540 1.5450 1.3090 ; + RECT 0.8870 0.6710 0.9370 1.3090 ; + RECT 1.1910 0.8540 1.2410 1.3090 ; + RECT 4.3830 1.1280 4.4330 1.3090 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 1.9550 0.6900 1.9970 0.7320 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 3.1710 0.7820 3.2130 0.8240 ; + RECT 2.8670 0.7820 2.9090 0.8240 ; + RECT 2.8670 0.6900 2.9090 0.7320 ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 2.5630 0.6900 2.6050 0.7320 ; + RECT 3.1710 0.6900 3.2130 0.7320 ; + RECT 2.8670 0.8740 2.9090 0.9160 ; + RECT 3.1710 0.8740 3.2130 0.9160 ; + RECT 4.0830 0.6900 4.1250 0.7320 ; + RECT 3.4750 0.8740 3.5170 0.9160 ; + RECT 3.4750 0.6900 3.5170 0.7320 ; + RECT 3.7790 0.6900 3.8210 0.7320 ; + RECT 3.7790 0.8740 3.8210 0.9160 ; + RECT 3.7790 0.7820 3.8210 0.8240 ; + RECT 3.4750 0.7820 3.5170 0.8240 ; + RECT 4.0830 0.8740 4.1250 0.9160 ; + RECT 4.0830 0.7820 4.1250 0.8240 ; + RECT 1.1950 0.9660 1.2370 1.0080 ; + RECT 2.5630 0.9660 2.6050 1.0080 ; + RECT 1.9550 0.9660 1.9970 1.0080 ; + RECT 3.1710 0.9660 3.2130 1.0080 ; + RECT 4.3870 0.7030 4.4290 0.7450 ; + RECT 0.8910 0.9140 0.9330 0.9560 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.1950 0.8740 1.2370 0.9160 ; + RECT 0.8910 0.7300 0.9330 0.7720 ; + RECT 4.3870 0.7950 4.4290 0.8370 ; + RECT 4.3870 0.7030 4.4290 0.7450 ; + RECT 4.3870 0.7950 4.4290 0.8370 ; + RECT 0.8910 0.8220 0.9330 0.8640 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 1.9550 0.7820 1.9970 0.8240 ; + RECT 1.9550 0.8740 1.9970 0.9160 ; + RECT 4.0830 0.9660 4.1250 1.0080 ; + RECT 2.8670 0.9660 2.9090 1.0080 ; + RECT 3.7790 0.9660 3.8210 1.0080 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 3.4750 0.9660 3.5170 1.0080 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4030 1.4440 1.6370 1.4940 ; + RECT 1.4650 1.4940 1.5750 1.5750 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.4480 1.4650 1.4900 ; + RECT 1.5750 1.4480 1.6170 1.4900 ; + END + ANTENNAGATEAREA 0.0264 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.3260 1.7020 ; + END + PORT + LAYER CO ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.3200 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.3000 ; + RECT 1.9510 0.0300 2.0010 0.3510 ; + RECT 2.5590 0.0300 2.6090 0.3510 ; + RECT 2.8630 0.0300 2.9130 0.3510 ; + RECT 3.4710 0.0300 3.5210 0.3510 ; + RECT 3.1670 0.0300 3.2170 0.3510 ; + RECT 4.0790 0.0300 4.1290 0.3510 ; + RECT 3.7750 0.0300 3.8250 0.3510 ; + RECT 2.2550 0.0300 2.3050 0.3510 ; + RECT 0.8870 0.0300 0.9370 0.3260 ; + END + PORT + LAYER CO ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.5630 0.2380 2.6050 0.2800 ; + RECT 2.2590 0.2380 2.3010 0.2800 ; + RECT 1.9550 0.1460 1.9970 0.1880 ; + RECT 1.9550 0.2380 1.9970 0.2800 ; + RECT 2.8670 0.1460 2.9090 0.1880 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1950 0.1460 1.2370 0.1880 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1950 0.2380 1.2370 0.2800 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 2.2590 0.1460 2.3010 0.1880 ; + RECT 4.0830 0.1460 4.1250 0.1880 ; + RECT 4.0830 0.2380 4.1250 0.2800 ; + RECT 3.7790 0.1460 3.8210 0.1880 ; + RECT 3.7790 0.2380 3.8210 0.2800 ; + RECT 3.4750 0.2380 3.5170 0.2800 ; + RECT 3.4750 0.1460 3.5170 0.1880 ; + RECT 2.5630 0.1460 2.6050 0.1880 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.8670 0.2380 2.9090 0.2800 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.1710 0.2380 3.2130 0.2800 ; + RECT 3.1710 0.1460 3.2130 0.1880 ; + END + END VSS + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.4060 0.9670 0.4560 ; + RECT 0.8570 0.4560 0.9670 0.5110 ; + RECT 0.8570 0.4010 0.9670 0.4060 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.4100 0.8570 0.4520 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + OBS + LAYER CO ; + RECT 1.0430 0.9480 1.0850 0.9900 ; + RECT 1.2710 1.4330 1.3130 1.4750 ; + RECT 0.7390 0.6380 0.7810 0.6800 ; + RECT 1.4990 0.2080 1.5410 0.2500 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.0430 0.8560 1.0850 0.8980 ; + RECT 0.7390 0.8220 0.7810 0.8640 ; + RECT 0.7390 0.7300 0.7810 0.7720 ; + RECT 1.0430 0.2380 1.0850 0.2800 ; + RECT 1.0430 0.1460 1.0850 0.1880 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 3.7030 1.2080 3.7450 1.2500 ; + RECT 3.8550 1.2080 3.8970 1.2500 ; + RECT 3.0950 1.2080 3.1370 1.2500 ; + RECT 4.0070 1.2080 4.0490 1.2500 ; + RECT 3.3990 1.2080 3.4410 1.2500 ; + RECT 2.9430 1.2080 2.9850 1.2500 ; + RECT 3.2470 1.2080 3.2890 1.2500 ; + RECT 2.7910 1.2080 2.8330 1.2500 ; + RECT 2.6390 1.2080 2.6810 1.2500 ; + RECT 3.5510 1.2080 3.5930 1.2500 ; + RECT 4.1590 1.2080 4.2010 1.2500 ; + RECT 2.4870 1.2080 2.5290 1.2500 ; + RECT 2.1830 1.2080 2.2250 1.2500 ; + RECT 2.3350 1.2080 2.3770 1.2500 ; + RECT 1.3470 0.2380 1.3890 0.2800 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 1.6510 0.2380 1.6930 0.2800 ; + RECT 1.6510 0.1460 1.6930 0.1880 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 2.0310 1.2080 2.0730 1.2500 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 1.8790 1.2080 1.9210 1.2500 ; + RECT 0.7390 0.9140 0.7810 0.9560 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 1.1190 1.4330 1.1610 1.4750 ; + LAYER NWELL ; + RECT 0.6540 0.4530 4.6870 1.0830 ; + RECT -0.0910 1.5430 5.4410 1.7730 ; + RECT -0.0910 0.6790 0.1940 1.5430 ; + RECT 5.1470 0.6790 5.4410 1.5430 ; + LAYER M1 ; + RECT 1.6470 1.2040 4.2210 1.2540 ; + RECT 1.0390 0.7670 1.0890 1.0180 ; + RECT 1.3430 0.7670 1.3930 1.0280 ; + RECT 1.4950 0.1880 1.5450 0.7170 ; + RECT 1.0390 0.7170 1.6970 0.7670 ; + RECT 1.6470 0.7670 1.6970 1.2040 ; + RECT 0.7350 1.4290 1.3330 1.4790 ; + RECT 0.6950 0.5210 0.7850 0.5710 ; + RECT 0.6950 0.2890 0.7850 0.3390 ; + RECT 0.7350 0.5710 0.7850 1.4290 ; + RECT 0.7350 0.1210 0.7850 0.2890 ; + RECT 0.6950 0.3390 0.7450 0.5210 ; + RECT 1.3430 0.0880 1.6970 0.1380 ; + RECT 1.0390 0.3500 1.3930 0.4000 ; + RECT 1.6470 0.1380 1.6970 0.3000 ; + RECT 1.3430 0.1380 1.3930 0.3500 ; + RECT 1.0390 0.1260 1.0890 0.3500 ; + LAYER PO ; + RECT 4.9250 0.0710 4.9550 1.6100 ; + RECT 3.1010 0.0710 3.1310 1.6040 ; + RECT 3.2530 0.0710 3.2830 1.6030 ; + RECT 3.4050 0.0710 3.4350 1.6100 ; + RECT 3.5570 0.0700 3.5870 1.6030 ; + RECT 3.7090 0.0710 3.7390 1.6040 ; + RECT 3.8610 0.0710 3.8910 1.6040 ; + RECT 4.0130 0.0710 4.0430 1.6030 ; + RECT 4.1650 0.0710 4.1950 1.6100 ; + RECT 1.4290 0.0710 1.4590 1.6030 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 2.4930 0.0710 2.5230 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6030 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 2.0370 0.0710 2.0670 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 2.7970 0.0710 2.8270 1.6100 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 2.6450 0.0710 2.6750 1.6030 ; + RECT 1.1250 0.0710 1.1550 1.6030 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 2.1890 0.0700 2.2190 1.6030 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 1.8850 0.0710 1.9150 1.6030 ; + RECT 2.3410 0.0710 2.3710 1.6040 ; + RECT 2.9490 0.0710 2.9790 1.6100 ; + RECT 4.7730 0.0710 4.8030 1.6100 ; + RECT 4.6210 0.0710 4.6510 1.6100 ; + RECT 4.4690 0.0710 4.4990 1.6030 ; + RECT 4.3170 0.0710 4.3470 1.6040 ; + RECT 5.0770 0.0710 5.1070 1.6100 ; + RECT 5.2290 0.0710 5.2590 1.6100 ; + RECT 0.2130 0.0710 0.2430 1.6100 ; + RECT 0.0610 0.0710 0.0910 1.6100 ; + END +END ISOLANDAOX8_HVT + +MACRO ISOLANDX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.2990 ; + RECT 0.5830 0.0300 0.6330 0.4790 ; + RECT 1.0390 0.0300 1.0890 0.5030 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3200 0.6290 0.3620 ; + RECT 1.0430 0.1450 1.0850 0.1870 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.2370 0.3250 0.2790 ; + RECT 0.2830 0.1450 0.3250 0.1870 ; + RECT 0.2830 0.1450 0.3250 0.1870 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.0430 0.4210 1.0850 0.4630 ; + RECT 0.5870 0.2280 0.6290 0.2700 ; + RECT 0.5870 0.1360 0.6290 0.1780 ; + RECT 1.0430 0.2370 1.0850 0.2790 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.0430 0.3290 1.0850 0.3710 ; + RECT 1.0430 0.1450 1.0850 0.1870 ; + RECT 1.0430 0.3290 1.0850 0.3710 ; + RECT 0.5870 0.4120 0.6290 0.4540 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.2790 1.1860 0.3290 1.6420 ; + RECT 0.7350 1.1950 0.7850 1.6420 ; + RECT 1.0390 0.8180 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 0.7390 1.4910 0.7810 1.5330 ; + RECT 0.7390 1.3990 0.7810 1.4410 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 0.7390 1.2150 0.7810 1.2570 ; + RECT 0.7390 1.3070 0.7810 1.3490 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.8870 0.8770 0.9370 ; + RECT 0.7050 0.9370 0.8150 0.9670 ; + RECT 0.7050 0.8570 0.8150 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.8910 0.8570 0.9330 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.5470 1.3490 0.5530 ; + RECT 1.1910 0.5530 1.4230 0.5970 ; + RECT 1.1910 0.1170 1.2410 0.5470 ; + RECT 1.1910 0.8080 1.2410 1.5590 ; + RECT 1.1910 0.7580 1.3280 0.8080 ; + RECT 1.2780 0.6630 1.3280 0.7580 ; + RECT 1.2780 0.5970 1.4230 0.6630 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1450 1.2370 0.1870 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.1450 1.2370 0.1870 ; + RECT 1.1950 0.2370 1.2370 0.2790 ; + RECT 1.1950 0.3290 1.2370 0.3710 ; + RECT 1.1950 0.3290 1.2370 0.3710 ; + RECT 1.1950 0.4210 1.2370 0.4630 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER NWELL ; + RECT -0.0910 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.4710 0.6970 0.7250 0.7470 ; + RECT 0.4310 0.1170 0.4810 0.4580 ; + RECT 0.4310 0.4580 0.5210 0.5080 ; + RECT 0.4310 0.8590 0.5210 0.9090 ; + RECT 0.4310 0.9090 0.4810 1.5590 ; + RECT 0.4710 0.5080 0.5210 0.6970 ; + RECT 0.4710 0.7470 0.5210 0.8590 ; + RECT 0.8870 0.6560 1.1810 0.7060 ; + RECT 0.5830 1.1430 0.6330 1.5680 ; + RECT 0.8870 0.1060 0.9370 0.6560 ; + RECT 0.8870 1.1430 0.9370 1.5680 ; + RECT 0.5830 1.0930 0.9880 1.1430 ; + RECT 0.9380 0.7060 0.9880 1.0930 ; + LAYER PO ; + RECT 0.3650 0.0670 0.3950 1.6050 ; + RECT 0.2130 0.0660 0.2430 1.6030 ; + RECT 0.5170 0.0670 0.5470 1.6030 ; + RECT 0.9730 0.0660 1.0030 1.6030 ; + RECT 1.1250 0.0670 1.1550 1.6050 ; + RECT 1.4290 0.0670 1.4590 1.6030 ; + RECT 1.2770 0.0670 1.3070 1.6030 ; + RECT 0.0610 0.0660 0.0910 1.6030 ; + RECT 0.8210 0.0660 0.8510 1.6030 ; + RECT 0.6690 0.0660 0.6990 1.6030 ; + LAYER CO ; + RECT 0.8910 1.3990 0.9330 1.4410 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 0.8910 1.2150 0.9330 1.2570 ; + RECT 0.8910 1.3070 0.9330 1.3490 ; + RECT 0.5870 1.2150 0.6290 1.2570 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + RECT 0.6630 0.7010 0.7050 0.7430 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 0.2370 0.4770 0.2790 ; + RECT 0.4350 0.1450 0.4770 0.1870 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 0.1450 0.4770 0.1870 ; + RECT 1.1190 0.6600 1.1610 0.7020 ; + RECT 0.8910 1.4910 0.9330 1.5330 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 0.8910 0.1360 0.9330 0.1780 ; + RECT 0.8910 0.4120 0.9330 0.4540 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + END +END ISOLANDX1_HVT + +MACRO ISOLANDX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.3030 ; + RECT 0.5830 0.0300 0.6330 0.4790 ; + RECT 1.1910 0.0300 1.2410 0.3980 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.2440 1.2370 0.2860 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.2790 1.1860 0.3290 1.6420 ; + RECT 0.7350 1.1950 0.7850 1.6420 ; + RECT 1.1910 0.8080 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 0.7390 1.3070 0.7810 1.3490 ; + RECT 0.7390 1.2150 0.7810 1.2570 ; + RECT 0.7390 1.3990 0.7810 1.4410 ; + RECT 0.7390 1.4910 0.7810 1.5330 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.7350 0.8770 0.7850 ; + RECT 0.7050 0.7850 0.8150 0.8150 ; + RECT 0.7050 0.7050 0.8150 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7390 0.8570 0.7810 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4350 0.5530 1.5750 0.6630 ; + RECT 1.3430 0.1300 1.3930 0.5030 ; + RECT 1.0390 0.1300 1.0890 0.5030 ; + RECT 1.0390 0.5030 1.4850 0.5530 ; + RECT 1.0390 0.7580 1.0890 1.5440 ; + RECT 1.3430 0.7580 1.3930 1.5440 ; + RECT 1.0390 0.7080 1.4850 0.7580 ; + RECT 1.4350 0.6630 1.4850 0.7080 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 0.4260 1.3890 0.4680 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + END + ANTENNADIFFAREA 0.2488 ; + END Q + OBS + LAYER CO ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.1190 0.6120 1.1610 0.6540 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 1.2710 0.6120 1.3130 0.6540 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + RECT 0.8910 1.2150 0.9330 1.2570 ; + RECT 0.8910 1.3070 0.9330 1.3490 ; + RECT 0.5870 1.2150 0.6290 1.2570 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + RECT 0.8910 1.3990 0.9330 1.4410 ; + RECT 0.8910 1.4910 0.9330 1.5330 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + LAYER NWELL ; + RECT -0.0910 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.4710 0.5960 0.7250 0.6460 ; + RECT 0.4310 0.4580 0.5220 0.5080 ; + RECT 0.4310 0.8590 0.5220 0.9090 ; + RECT 0.4310 0.1170 0.4810 0.4580 ; + RECT 0.4310 0.9090 0.4810 1.5590 ; + RECT 0.4710 0.6460 0.5210 0.8590 ; + RECT 0.4710 0.5080 0.5210 0.5960 ; + RECT 0.9270 0.6080 1.3330 0.6580 ; + RECT 0.5830 0.9890 0.6330 1.5530 ; + RECT 0.8870 0.1210 0.9370 0.5240 ; + RECT 0.8870 0.9890 0.9370 1.5530 ; + RECT 0.6080 0.9390 0.9770 0.9400 ; + RECT 0.5830 0.9400 0.9770 0.9890 ; + RECT 0.9270 0.6580 0.9770 0.9390 ; + RECT 0.8870 0.5240 0.9770 0.5740 ; + RECT 0.9270 0.5740 0.9770 0.6080 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6100 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6030 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6030 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + END +END ISOLANDX2_HVT + +MACRO ISOLANDX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7320 0.5530 1.8790 0.6630 ; + RECT 1.0390 0.1150 1.0890 0.4830 ; + RECT 1.0390 0.4830 1.7820 0.5330 ; + RECT 1.0390 0.7430 1.0890 1.5440 ; + RECT 1.0390 0.6930 1.7820 0.7430 ; + RECT 1.3430 0.1150 1.3930 0.4830 ; + RECT 1.6470 0.1150 1.6970 0.4830 ; + RECT 1.3430 0.7430 1.3930 1.5440 ; + RECT 1.6470 0.7430 1.6970 1.5440 ; + RECT 1.7320 0.6630 1.7820 0.6930 ; + RECT 1.7320 0.5330 1.7820 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 1.6510 0.8380 1.6930 0.8800 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.6510 0.4280 1.6930 0.4700 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.2440 1.6930 0.2860 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.3470 0.4260 1.3890 0.4680 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.6510 0.8380 1.6930 0.8800 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + END + ANTENNADIFFAREA 0.3972 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.2790 1.1860 0.3290 1.6420 ; + RECT 1.1910 0.8180 1.2410 1.6420 ; + RECT 1.4950 0.8180 1.5450 1.6420 ; + RECT 0.7350 1.2880 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 0.7390 1.3080 0.7810 1.3500 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.3030 ; + RECT 1.1910 0.0300 1.2410 0.4130 ; + RECT 0.5830 0.0300 0.6330 0.4800 ; + RECT 1.4950 0.0300 1.5450 0.4130 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.2340 0.6290 0.2760 ; + RECT 0.5870 0.4180 0.6290 0.4600 ; + RECT 0.5870 0.3260 0.6290 0.3680 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5870 0.1420 0.6290 0.1840 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.2440 1.2370 0.2860 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.7350 0.8770 0.7850 ; + RECT 0.7050 0.7850 0.8150 0.8150 ; + RECT 0.7050 0.7050 0.8150 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7400 0.8570 0.7820 ; + END + ANTENNAGATEAREA 0.0228 ; + END D + OBS + LAYER CO ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.8910 0.3260 0.9330 0.3680 ; + RECT 0.8910 0.4180 0.9330 0.4600 ; + RECT 0.8910 0.2340 0.9330 0.2760 ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + RECT 0.8910 1.3080 0.9330 1.3500 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 1.5750 0.5950 1.6170 0.6370 ; + RECT 1.1190 0.5950 1.1610 0.6370 ; + RECT 1.4230 0.5950 1.4650 0.6370 ; + RECT 1.2710 0.5950 1.3130 0.6370 ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + LAYER NWELL ; + RECT -0.0910 0.6790 2.0910 1.7730 ; + LAYER M1 ; + RECT 0.4710 0.5960 0.7250 0.6460 ; + RECT 0.4310 0.8590 0.5220 0.9090 ; + RECT 0.4310 0.4580 0.5220 0.5080 ; + RECT 0.4310 0.9090 0.4810 1.5590 ; + RECT 0.4310 0.1170 0.4810 0.4580 ; + RECT 0.4710 0.6460 0.5210 0.8590 ; + RECT 0.4710 0.5080 0.5210 0.5960 ; + RECT 0.8870 0.5910 1.6370 0.6410 ; + RECT 0.5830 0.9170 0.6330 1.5540 ; + RECT 0.8870 0.1220 0.9370 0.5910 ; + RECT 0.8870 0.9170 0.9370 1.5540 ; + RECT 0.5830 0.8670 0.9780 0.9170 ; + RECT 0.9280 0.6410 0.9780 0.8670 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6040 ; + RECT 1.8850 0.0720 1.9150 1.6040 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + END +END ISOLANDX4_HVT + +MACRO ISOLANDX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.7350 0.8770 0.7850 ; + RECT 0.7050 0.7850 0.8150 0.8150 ; + RECT 0.7050 0.7050 0.8150 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7400 0.8570 0.7820 ; + END + ANTENNAGATEAREA 0.0234 ; + END D + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.7990 0.0300 1.8490 0.4130 ; + RECT 2.1030 0.0300 2.1530 0.4130 ; + RECT 0.2790 0.0300 0.3290 0.3030 ; + RECT 1.4950 0.0300 1.5450 0.4130 ; + RECT 1.1910 0.0300 1.2410 0.4130 ; + RECT 0.5830 0.0300 0.6330 0.4800 ; + END + PORT + LAYER CO ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 0.5870 0.1420 0.6290 0.1840 ; + RECT 0.5870 0.3260 0.6290 0.3680 ; + RECT 0.5870 0.4180 0.6290 0.4600 ; + RECT 0.5870 0.2340 0.6290 0.2760 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.8030 0.2440 1.8450 0.2860 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.2440 1.2370 0.2860 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 1.7990 0.8180 1.8490 1.6420 ; + RECT 2.1030 0.8180 2.1530 1.6420 ; + RECT 0.2790 1.1860 0.3290 1.6420 ; + RECT 0.7350 1.1960 0.7850 1.6420 ; + RECT 1.4950 0.8180 1.5450 1.6420 ; + RECT 1.1910 0.8180 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.8030 0.9300 1.8450 0.9720 ; + RECT 1.8030 0.9300 1.8450 0.9720 ; + RECT 1.8030 1.0220 1.8450 1.0640 ; + RECT 1.8030 1.0220 1.8450 1.0640 ; + RECT 2.1070 1.0220 2.1490 1.0640 ; + RECT 2.1070 1.0220 2.1490 1.0640 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1070 0.9300 2.1490 0.9720 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1070 0.9300 2.1490 0.9720 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8030 1.2060 1.8450 1.2480 ; + RECT 1.8030 1.2060 1.8450 1.2480 ; + RECT 1.8030 1.2980 1.8450 1.3400 ; + RECT 1.8030 1.4820 1.8450 1.5240 ; + RECT 1.8030 1.3900 1.8450 1.4320 ; + RECT 1.8030 1.1140 1.8450 1.1560 ; + RECT 1.8030 1.3900 1.8450 1.4320 ; + RECT 1.8030 1.2980 1.8450 1.3400 ; + RECT 1.8030 1.4820 1.8450 1.5240 ; + RECT 1.8030 1.1140 1.8450 1.1560 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 2.1070 1.2980 2.1490 1.3400 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 2.1070 1.2060 2.1490 1.2480 ; + RECT 2.1070 1.4820 2.1490 1.5240 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 2.1070 1.4820 2.1490 1.5240 ; + RECT 2.1070 1.3900 2.1490 1.4320 ; + RECT 2.1070 1.1140 2.1490 1.1560 ; + RECT 2.1070 1.3900 2.1490 1.4320 ; + RECT 1.8030 0.8380 1.8450 0.8800 ; + RECT 1.8030 0.8380 1.8450 0.8800 ; + RECT 2.1070 0.8380 2.1490 0.8800 ; + RECT 2.1070 0.8380 2.1490 0.8800 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 0.7390 1.2160 0.7810 1.2580 ; + RECT 0.7390 1.4000 0.7810 1.4420 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 0.7390 1.3080 0.7810 1.3500 ; + RECT 0.7390 1.4920 0.7810 1.5340 ; + RECT 0.2830 1.4820 0.3250 1.5240 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.3900 0.3250 1.4320 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2830 1.2980 0.3250 1.3400 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 0.2830 1.2060 0.3250 1.2480 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + END + END VDD + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3750 0.5530 2.4870 0.6630 ; + RECT 1.9510 0.1150 2.0010 0.4830 ; + RECT 2.2550 0.1150 2.3050 0.4830 ; + RECT 1.6470 0.1150 1.6970 0.4830 ; + RECT 1.3430 0.1150 1.3930 0.4830 ; + RECT 1.0390 0.1150 1.0890 0.4830 ; + RECT 1.9510 0.7530 2.0010 1.5440 ; + RECT 2.2550 0.7530 2.3050 1.5440 ; + RECT 1.6470 0.7530 1.6970 1.5440 ; + RECT 1.3430 0.7530 1.3930 1.5440 ; + RECT 1.0390 0.7530 1.0890 1.5440 ; + RECT 1.0390 0.7030 2.4250 0.7530 ; + RECT 2.3750 0.6630 2.4250 0.7030 ; + RECT 1.0390 0.4830 2.4250 0.5330 ; + RECT 2.3750 0.5330 2.4250 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 1.6510 0.9300 1.6930 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + RECT 1.6510 1.0220 1.6930 1.0640 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.9550 1.0220 1.9970 1.0640 ; + RECT 1.9550 1.0220 1.9970 1.0640 ; + RECT 1.9550 0.9300 1.9970 0.9720 ; + RECT 1.9550 0.9300 1.9970 0.9720 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.6510 0.2440 1.6930 0.2860 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.1520 1.6930 0.1940 ; + RECT 1.6510 0.3360 1.6930 0.3780 ; + RECT 1.6510 0.4280 1.6930 0.4700 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.3470 0.2420 1.3890 0.2840 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + RECT 1.3470 0.1500 1.3890 0.1920 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.9550 1.2980 1.9970 1.3400 ; + RECT 2.2590 1.2980 2.3010 1.3400 ; + RECT 2.2590 1.2980 2.3010 1.3400 ; + RECT 2.2590 1.4820 2.3010 1.5240 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.9550 1.2980 1.9970 1.3400 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 1.9550 1.1140 1.9970 1.1560 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 1.9550 1.2060 1.9970 1.2480 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 2.2590 1.3900 2.3010 1.4320 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 2.2590 1.4820 2.3010 1.5240 ; + RECT 2.2590 1.3900 2.3010 1.4320 ; + RECT 1.9550 1.2060 1.9970 1.2480 ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 1.9550 1.1140 1.9970 1.1560 ; + RECT 1.9550 0.4260 1.9970 0.4680 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.9550 0.2420 1.9970 0.2840 ; + RECT 2.2590 0.4280 2.3010 0.4700 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.1520 2.3010 0.1940 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.2440 2.3010 0.2860 ; + RECT 2.2590 0.8380 2.3010 0.8800 ; + RECT 2.2590 0.8380 2.3010 0.8800 ; + RECT 1.9550 0.8380 1.9970 0.8800 ; + RECT 1.9550 0.8380 1.9970 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.6510 0.8380 1.6930 0.8800 ; + RECT 1.6510 0.8380 1.6930 0.8800 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 1.6510 1.2060 1.6930 1.2480 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.6510 1.1140 1.6930 1.1560 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 1.3470 0.4260 1.3890 0.4680 ; + RECT 1.3470 0.3340 1.3890 0.3760 ; + END + ANTENNADIFFAREA 0.6952 ; + END Q + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.5830 0.4210 0.6330 ; + RECT 0.2490 0.5530 0.3590 0.5830 ; + RECT 0.2490 0.6330 0.3590 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.5870 0.4010 0.6290 ; + END + ANTENNAGATEAREA 0.0186 ; + END ISO + OBS + LAYER CO ; + RECT 1.5750 0.5950 1.6170 0.6370 ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + RECT 0.8910 0.2340 0.9330 0.2760 ; + RECT 0.8910 0.4180 0.9330 0.4600 ; + RECT 0.8910 0.3260 0.9330 0.3680 ; + RECT 0.8910 0.1420 0.9330 0.1840 ; + RECT 0.8910 1.3080 0.9330 1.3500 ; + RECT 2.1830 0.5950 2.2250 0.6370 ; + RECT 2.0310 0.5950 2.0730 0.6370 ; + RECT 1.8790 0.5950 1.9210 0.6370 ; + RECT 1.7270 0.5950 1.7690 0.6370 ; + RECT 0.8910 1.2160 0.9330 1.2580 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.8910 1.4000 0.9330 1.4420 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.8910 1.4920 0.9330 1.5340 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.3900 0.4770 1.4320 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + RECT 1.1190 0.5950 1.1610 0.6370 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 1.4230 0.5950 1.4650 0.6370 ; + RECT 0.4350 1.2060 0.4770 1.2480 ; + RECT 0.4350 1.2980 0.4770 1.3400 ; + RECT 1.2710 0.5950 1.3130 0.6370 ; + RECT 0.4350 1.4820 0.4770 1.5240 ; + LAYER NWELL ; + RECT -0.0910 0.6790 2.6750 1.7730 ; + LAYER M1 ; + RECT 0.4710 0.5960 0.7250 0.6460 ; + RECT 0.4310 0.4580 0.5220 0.5080 ; + RECT 0.4310 0.8590 0.5220 0.9090 ; + RECT 0.4310 0.1170 0.4810 0.4580 ; + RECT 0.4310 0.9090 0.4810 1.5590 ; + RECT 0.4710 0.6460 0.5210 0.8590 ; + RECT 0.4710 0.5080 0.5210 0.5960 ; + RECT 0.8870 0.5910 2.3250 0.6410 ; + RECT 0.5830 0.9170 0.6330 1.5540 ; + RECT 0.8870 0.9170 0.9370 1.5540 ; + RECT 0.5830 0.8670 0.9770 0.9170 ; + RECT 0.8870 0.1220 0.9370 0.5910 ; + RECT 0.9270 0.6410 0.9770 0.8670 ; + LAYER PO ; + RECT 2.4930 0.0720 2.5230 1.6040 ; + RECT 2.3410 0.0720 2.3710 1.6040 ; + RECT 2.1890 0.0720 2.2190 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 1.7330 0.0720 1.7630 1.6040 ; + RECT 1.8850 0.0720 1.9150 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + END +END ISOLANDX8_HVT + +MACRO ISOLORAOX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 2.5290 0.7050 2.6390 0.8150 ; + RECT 2.5590 0.6830 2.6090 0.7050 ; + RECT 1.7990 1.0730 2.6090 1.1330 ; + RECT 2.5590 0.8150 2.6090 1.0730 ; + RECT 1.7990 0.8540 1.8490 1.0730 ; + RECT 2.2550 0.7620 2.3050 1.0730 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.7030 2.6050 0.7450 ; + RECT 2.5630 0.7950 2.6050 0.8370 ; + RECT 2.5630 0.7030 2.6050 0.7450 ; + RECT 2.5630 0.7950 2.6050 0.8370 ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + END + END VDDG + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0186 ; + END D + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 0.4310 1.1960 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7070 1.3430 1.9410 1.3930 ; + RECT 1.7690 1.3930 1.8790 1.4230 ; + RECT 1.7690 1.3130 1.8790 1.3430 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.3470 1.7690 1.3890 ; + RECT 1.8790 1.3470 1.9210 1.3890 ; + END + ANTENNAGATEAREA 0.0312 ; + END ISO + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.2130 ; + RECT 1.3430 0.0300 1.3930 0.2130 ; + RECT 1.9510 0.0300 2.0010 0.2130 ; + RECT 2.2550 0.0300 2.3050 0.2130 ; + RECT 0.4310 0.0300 0.4810 0.2950 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 2.2590 0.1510 2.3010 0.1930 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.2330 0.4770 0.2750 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5290 0.4010 2.6390 0.4910 ; + RECT 2.4070 0.4910 2.6400 0.5410 ; + RECT 2.4070 0.1310 2.4570 0.2630 ; + RECT 2.1030 0.2630 2.5990 0.3130 ; + RECT 2.1030 0.1310 2.1530 0.2630 ; + RECT 2.1030 0.7070 2.1530 1.0230 ; + RECT 2.5490 0.3130 2.5990 0.4010 ; + RECT 2.1030 0.6570 2.4570 0.7070 ; + RECT 2.4070 0.7070 2.4570 1.0230 ; + RECT 2.4070 0.5410 2.4570 0.6570 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.7770 2.4530 0.8190 ; + RECT 2.4110 0.6850 2.4530 0.7270 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.4110 0.8690 2.4530 0.9110 ; + RECT 2.4110 0.9610 2.4530 1.0030 ; + RECT 2.1070 0.9610 2.1490 1.0030 ; + RECT 2.1070 0.6850 2.1490 0.7270 ; + RECT 2.1070 0.7770 2.1490 0.8190 ; + RECT 2.1070 0.8690 2.1490 0.9110 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 1.4230 1.3470 1.4650 1.3890 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 1.5750 1.3470 1.6170 1.3890 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 0.5110 0.9850 0.5530 1.0270 ; + RECT 2.3350 0.3810 2.3770 0.4230 ; + RECT 1.9550 0.6850 1.9970 0.7270 ; + RECT 1.9550 0.7770 1.9970 0.8190 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.9550 0.9610 1.9970 1.0030 ; + RECT 1.9550 0.8690 1.9970 0.9110 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 2.1830 0.3810 2.2250 0.4230 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + LAYER NWELL ; + RECT -0.0910 1.5430 3.6110 1.7730 ; + RECT -0.0910 0.6790 0.7190 1.5430 ; + RECT 3.3230 0.6790 3.6110 1.5430 ; + RECT 1.1790 0.4530 2.8630 1.0830 ; + LAYER M1 ; + RECT 0.7950 1.3430 1.6370 1.3930 ; + RECT 0.5830 0.5230 0.6730 0.5730 ; + RECT 0.5830 0.1140 0.6330 0.5230 ; + RECT 0.7950 0.6970 0.8450 0.6980 ; + RECT 0.6230 0.6980 0.8450 0.7480 ; + RECT 0.6230 0.5730 0.6730 0.6980 ; + RECT 0.6230 0.7480 0.6730 1.1200 ; + RECT 0.5830 1.1700 0.6330 1.5610 ; + RECT 0.5830 1.1200 0.6730 1.1700 ; + RECT 0.7950 0.7480 0.8450 1.3430 ; + RECT 0.2390 0.9810 0.5730 1.0310 ; + RECT 0.2390 0.5040 0.3290 0.5540 ; + RECT 0.2790 0.1210 0.3290 0.5040 ; + RECT 0.2790 1.1700 0.3290 1.5540 ; + RECT 0.2390 1.0960 0.3290 1.1700 ; + RECT 0.2390 1.0310 0.2890 1.0960 ; + RECT 0.2390 0.5540 0.2890 0.9810 ; + RECT 1.9510 0.7150 2.0010 1.0230 ; + RECT 1.6470 0.6650 2.0010 0.7150 ; + RECT 1.3430 1.0780 1.6970 1.1280 ; + RECT 1.3430 0.7620 1.3930 1.0780 ; + RECT 1.6470 0.7150 1.6970 1.0780 ; + RECT 1.4950 0.3770 2.3970 0.4270 ; + RECT 1.4950 0.4270 1.5450 1.0280 ; + RECT 1.4950 0.1260 1.5450 0.3770 ; + RECT 1.7990 0.1310 1.8490 0.3770 ; + LAYER PO ; + RECT 3.1010 0.0720 3.1310 1.6040 ; + RECT 2.9490 0.0720 2.9790 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6040 ; + RECT 2.4930 0.0710 2.5230 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 1.8850 0.0710 1.9150 1.6040 ; + RECT 1.7330 0.0710 1.7630 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6040 ; + RECT 2.3410 0.0710 2.3710 1.6040 ; + RECT 2.6450 0.0720 2.6750 1.6040 ; + RECT 2.7970 0.0720 2.8270 1.6040 ; + RECT 3.4050 0.0720 3.4350 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 3.2530 0.0720 3.2830 1.6040 ; + END +END ISOLORAOX1_HVT + +MACRO ISOLORAOX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.8 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.8330 0.4010 2.9430 0.4780 ; + RECT 2.1030 0.5580 2.1530 1.0220 ; + RECT 2.7110 0.1310 2.7610 0.2630 ; + RECT 2.1030 0.2630 2.9030 0.3130 ; + RECT 2.1030 0.1310 2.1530 0.2630 ; + RECT 2.4070 0.1310 2.4570 0.2630 ; + RECT 2.4070 0.5580 2.4570 1.0220 ; + RECT 2.8530 0.3130 2.9030 0.4010 ; + RECT 2.7110 0.5580 2.7610 1.0220 ; + RECT 2.1030 0.5280 2.7610 0.5580 ; + RECT 2.1240 0.5080 2.9440 0.5090 ; + RECT 2.7110 0.4780 2.9440 0.5080 ; + RECT 2.1030 0.5090 2.9440 0.5280 ; + END + PORT + LAYER CO ; + RECT 2.7150 0.7760 2.7570 0.8180 ; + RECT 2.7150 0.6840 2.7570 0.7260 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 0.8680 2.7570 0.9100 ; + RECT 2.7150 0.9600 2.7570 1.0020 ; + RECT 2.1070 0.8680 2.1490 0.9100 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.6840 2.4530 0.7260 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 2.1070 0.9600 2.1490 1.0020 ; + RECT 2.4110 0.7760 2.4530 0.8180 ; + RECT 2.4110 0.8680 2.4530 0.9100 ; + RECT 2.1070 0.6840 2.1490 0.7260 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 0.7760 2.1490 0.8180 ; + END + ANTENNADIFFAREA 0.1988 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.8000 0.0300 ; + RECT 2.5590 0.0300 2.6090 0.2130 ; + RECT 2.2550 0.0300 2.3050 0.2130 ; + RECT 0.4310 0.0300 0.4810 0.2950 ; + RECT 1.6470 0.0300 1.6970 0.2130 ; + RECT 1.9510 0.0300 2.0010 0.2130 ; + RECT 1.3430 0.0300 1.3930 0.2130 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.5630 0.1510 2.6050 0.1930 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.2590 0.1510 2.3010 0.1930 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.2330 0.4770 0.2750 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN ISO + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7070 1.3430 1.9410 1.3930 ; + RECT 1.7690 1.3930 1.8790 1.4230 ; + RECT 1.7690 1.3130 1.8790 1.3430 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.3470 1.9210 1.3890 ; + RECT 1.7270 1.3470 1.7690 1.3890 ; + END + ANTENNAGATEAREA 0.0312 ; + END ISO + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.8000 1.7020 ; + RECT 0.4310 1.1960 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7350 0.5110 0.7850 ; + RECT 0.4010 0.7850 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0186 ; + END D + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 2.8330 0.7050 2.9430 0.8150 ; + RECT 2.8630 0.6830 2.9130 0.7050 ; + RECT 1.7990 1.0730 2.9130 1.1330 ; + RECT 2.8630 0.8150 2.9130 1.0730 ; + RECT 2.5590 0.6700 2.6090 1.0730 ; + RECT 2.2550 0.6700 2.3050 1.0730 ; + RECT 1.7990 0.6700 1.8490 1.0730 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.8740 2.3010 0.9160 ; + RECT 2.5630 0.6900 2.6050 0.7320 ; + RECT 2.2590 0.6900 2.3010 0.7320 ; + RECT 1.8030 0.7820 1.8450 0.8240 ; + RECT 1.8030 0.6900 1.8450 0.7320 ; + RECT 2.5630 0.8740 2.6050 0.9160 ; + RECT 2.5630 0.9660 2.6050 1.0080 ; + RECT 2.5630 0.7820 2.6050 0.8240 ; + RECT 1.8030 0.8740 1.8450 0.9160 ; + RECT 2.8670 0.7030 2.9090 0.7450 ; + RECT 2.8670 0.7950 2.9090 0.8370 ; + RECT 2.2590 0.7820 2.3010 0.8240 ; + RECT 2.8670 0.7950 2.9090 0.8370 ; + RECT 2.8670 0.7030 2.9090 0.7450 ; + RECT 1.8030 0.9660 1.8450 1.0080 ; + RECT 2.2590 0.9660 2.3010 1.0080 ; + END + END VDDG + OBS + LAYER CO ; + RECT 1.6510 0.8740 1.6930 0.9160 ; + RECT 1.9550 0.8680 1.9970 0.9100 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.3470 0.6900 1.3890 0.7320 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 1.4990 0.6900 1.5410 0.7320 ; + RECT 2.4870 0.3810 2.5290 0.4230 ; + RECT 1.9550 0.9600 1.9970 1.0020 ; + RECT 1.6510 0.6900 1.6930 0.7320 ; + RECT 0.5110 0.9850 0.5530 1.0270 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 1.4990 0.9660 1.5410 1.0080 ; + RECT 2.6390 0.3810 2.6810 0.4230 ; + RECT 1.3470 0.9660 1.3890 1.0080 ; + RECT 2.3350 0.3810 2.3770 0.4230 ; + RECT 2.1830 0.3810 2.2250 0.4230 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.9550 0.7760 1.9970 0.8180 ; + RECT 1.9550 0.6840 1.9970 0.7260 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 1.5750 1.3470 1.6170 1.3890 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 1.4230 1.3470 1.4650 1.3890 ; + RECT 1.6510 0.7820 1.6930 0.8240 ; + RECT 1.6510 0.9660 1.6930 1.0080 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + LAYER NWELL ; + RECT -0.0910 1.5430 3.9150 1.7730 ; + RECT -0.0910 0.6790 0.7190 1.5430 ; + RECT 3.6270 0.6790 3.9150 1.5430 ; + RECT 1.1790 0.4530 3.1670 1.0830 ; + LAYER M1 ; + RECT 0.2390 0.9810 0.5730 1.0310 ; + RECT 0.2390 0.5040 0.3290 0.5540 ; + RECT 0.2790 0.1210 0.3290 0.5040 ; + RECT 0.2390 0.5540 0.2890 0.9810 ; + RECT 0.2390 1.0310 0.2890 1.0960 ; + RECT 0.2790 1.1700 0.3290 1.5540 ; + RECT 0.2390 1.0960 0.3290 1.1700 ; + RECT 0.7950 1.3430 1.6370 1.3930 ; + RECT 0.5830 0.5240 0.6730 0.5740 ; + RECT 0.5830 0.1140 0.6330 0.5240 ; + RECT 0.5830 1.1700 0.6330 1.5610 ; + RECT 0.5830 1.1200 0.6730 1.1700 ; + RECT 0.7950 0.6970 0.8450 0.6980 ; + RECT 0.6230 0.7480 0.6730 1.1200 ; + RECT 0.6230 0.6980 0.8450 0.7480 ; + RECT 0.6230 0.5740 0.6730 0.6980 ; + RECT 0.7950 0.7480 0.8450 1.3430 ; + RECT 1.9510 0.5940 2.0010 1.0220 ; + RECT 1.6470 0.5440 2.0010 0.5940 ; + RECT 1.3430 1.0780 1.6970 1.1280 ; + RECT 1.3430 0.6700 1.3930 1.0780 ; + RECT 1.6470 0.5940 1.6970 1.0780 ; + RECT 1.4950 0.3770 2.7010 0.4270 ; + RECT 1.4950 0.4270 1.5450 1.0280 ; + RECT 1.4950 0.1260 1.5450 0.3770 ; + RECT 1.7990 0.1310 1.8490 0.3770 ; + LAYER PO ; + RECT 3.7090 0.0710 3.7390 1.6040 ; + RECT 2.4930 0.0710 2.5230 1.6040 ; + RECT 1.4290 0.0710 1.4590 1.6040 ; + RECT 1.5810 0.0710 1.6110 1.6040 ; + RECT 1.8850 0.0710 1.9150 1.6040 ; + RECT 1.7330 0.0710 1.7630 1.6040 ; + RECT 2.1890 0.0710 2.2190 1.6040 ; + RECT 3.2530 0.0710 3.2830 1.6040 ; + RECT 3.5570 0.0710 3.5870 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 2.9490 0.0710 2.9790 1.6040 ; + RECT 2.7970 0.0710 2.8270 1.6040 ; + RECT 2.6450 0.0710 2.6750 1.6040 ; + RECT 2.3410 0.0710 2.3710 1.6040 ; + RECT 3.1010 0.0710 3.1310 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 3.4050 0.0710 3.4350 1.6040 ; + RECT 1.1250 0.0710 1.1550 1.6040 ; + RECT 1.2770 0.0710 1.3070 1.6040 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 2.0370 0.0720 2.0670 1.6040 ; + RECT 0.8210 0.0710 0.8510 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + END +END ISOLORAOX2_HVT + +MACRO HEADX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8310 1.2410 0.8810 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 1.1950 0.9450 1.2370 0.9870 ; + RECT 1.1950 1.0370 1.2370 1.0790 ; + RECT 1.1950 1.1290 1.2370 1.1710 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.0390 1.0150 1.0890 1.6420 ; + RECT 1.3430 1.0150 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1290 1.0850 1.1710 ; + RECT 1.3470 1.0350 1.3890 1.0770 ; + RECT 1.3470 1.1290 1.3890 1.1710 ; + RECT 1.0430 1.0350 1.0850 1.0770 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 1.3330 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5750 0.6790 1.5530 2.6650 ; + LAYER PO ; + RECT 1.8850 0.0710 1.9150 1.6050 ; + RECT 1.7330 0.0710 1.7630 1.6050 ; + RECT 1.5810 0.0710 1.6110 1.6050 ; + RECT 1.8850 1.7390 1.9150 3.2730 ; + RECT 1.7330 1.7390 1.7630 3.2730 ; + RECT 1.5810 1.7390 1.6110 3.2730 ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6050 ; + RECT 0.3650 0.0710 0.3950 1.6050 ; + RECT 0.5170 0.0710 0.5470 1.6050 ; + RECT 0.6690 1.7390 0.6990 3.2730 ; + RECT 0.8210 1.7390 0.8510 3.2730 ; + RECT 0.9730 1.7390 1.0030 3.2730 ; + RECT 1.1250 1.7390 1.1550 3.2730 ; + RECT 0.6690 0.0710 0.6990 1.6050 ; + RECT 0.8210 0.0710 0.8510 1.6050 ; + RECT 0.9730 0.0710 1.0030 1.6050 ; + RECT 1.4290 0.0710 1.4590 1.6050 ; + RECT 1.2770 0.0710 1.3070 1.6210 ; + RECT 1.2770 1.7390 1.3070 3.2730 ; + RECT 1.1250 0.0710 1.1550 1.6210 ; + RECT 1.4290 1.7390 1.4590 3.2730 ; + END +END HEADX2_HVT + +MACRO HEADX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.688 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 5.7510 0.8810 5.8010 1.5610 ; + RECT 0.7350 0.8310 5.8010 0.8810 ; + RECT 1.7990 0.8810 1.8490 1.5610 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 3.3190 0.8810 3.3690 1.5610 ; + RECT 3.6230 0.8810 3.6730 1.5610 ; + RECT 3.9270 0.8810 3.9770 1.5610 ; + RECT 4.2310 0.8810 4.2810 1.5610 ; + RECT 4.5350 0.8810 4.5850 1.5610 ; + RECT 4.8390 0.8810 4.8890 1.5610 ; + RECT 5.1430 0.8810 5.1930 1.5610 ; + RECT 5.4470 0.8810 5.4970 1.5610 ; + RECT 2.1030 0.8810 2.1530 1.5610 ; + RECT 2.4070 0.8810 2.4570 1.5610 ; + RECT 2.7110 0.8810 2.7610 1.5610 ; + RECT 3.0150 0.8810 3.0650 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.4070 3.9730 1.4490 ; + RECT 3.9310 1.0370 3.9730 1.0790 ; + RECT 3.9310 1.3130 3.9730 1.3550 ; + RECT 3.9310 1.4990 3.9730 1.5410 ; + RECT 3.9310 1.1290 3.9730 1.1710 ; + RECT 4.2350 1.1290 4.2770 1.1710 ; + RECT 4.2350 1.4990 4.2770 1.5410 ; + RECT 1.1950 0.8530 1.2370 0.8950 ; + RECT 1.1950 0.9450 1.2370 0.9870 ; + RECT 1.4990 0.8530 1.5410 0.8950 ; + RECT 1.4990 0.9450 1.5410 0.9870 ; + RECT 1.8030 0.8530 1.8450 0.8950 ; + RECT 1.8030 0.9450 1.8450 0.9870 ; + RECT 2.1070 0.8530 2.1490 0.8950 ; + RECT 2.1070 0.9450 2.1490 0.9870 ; + RECT 2.4110 0.8530 2.4530 0.8950 ; + RECT 2.4110 0.9450 2.4530 0.9870 ; + RECT 2.7150 0.8530 2.7570 0.8950 ; + RECT 2.7150 0.9450 2.7570 0.9870 ; + RECT 3.0190 0.8530 3.0610 0.8950 ; + RECT 3.0190 0.9450 3.0610 0.9870 ; + RECT 3.3230 0.8530 3.3650 0.8950 ; + RECT 3.3230 0.9450 3.3650 0.9870 ; + RECT 3.6270 0.8530 3.6690 0.8950 ; + RECT 3.6270 0.9450 3.6690 0.9870 ; + RECT 3.9310 0.8530 3.9730 0.8950 ; + RECT 3.9310 0.9450 3.9730 0.9870 ; + RECT 4.2350 0.8530 4.2770 0.8950 ; + RECT 4.2350 0.9450 4.2770 0.9870 ; + RECT 4.5390 0.8530 4.5810 0.8950 ; + RECT 4.5390 0.9450 4.5810 0.9870 ; + RECT 4.8430 0.8530 4.8850 0.8950 ; + RECT 4.8430 0.9450 4.8850 0.9870 ; + RECT 5.1470 0.8530 5.1890 0.8950 ; + RECT 5.1470 0.9450 5.1890 0.9870 ; + RECT 5.4510 0.8530 5.4930 0.8950 ; + RECT 5.4510 0.9450 5.4930 0.9870 ; + RECT 5.7550 0.8530 5.7970 0.8950 ; + RECT 5.7550 0.9450 5.7970 0.9870 ; + RECT 4.8430 1.0370 4.8850 1.0790 ; + RECT 4.8430 1.3130 4.8850 1.3550 ; + RECT 4.8430 1.4990 4.8850 1.5410 ; + RECT 4.8430 1.1290 4.8850 1.1710 ; + RECT 5.1470 1.2210 5.1890 1.2630 ; + RECT 5.1470 1.4070 5.1890 1.4490 ; + RECT 5.1470 1.0370 5.1890 1.0790 ; + RECT 5.1470 1.3130 5.1890 1.3550 ; + RECT 5.1470 1.4990 5.1890 1.5410 ; + RECT 5.1470 1.1290 5.1890 1.1710 ; + RECT 5.4510 1.1290 5.4930 1.1710 ; + RECT 5.4510 1.4990 5.4930 1.5410 ; + RECT 5.4510 1.3130 5.4930 1.3550 ; + RECT 5.4510 1.0370 5.4930 1.0790 ; + RECT 5.4510 1.4070 5.4930 1.4490 ; + RECT 5.4510 1.2210 5.4930 1.2630 ; + RECT 2.1070 1.1290 2.1490 1.1710 ; + RECT 2.1070 1.4990 2.1490 1.5410 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 2.1070 1.0370 2.1490 1.0790 ; + RECT 2.1070 1.4070 2.1490 1.4490 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 2.4110 1.4070 2.4530 1.4490 ; + RECT 2.4110 1.2210 2.4530 1.2630 ; + RECT 2.4110 1.3130 2.4530 1.3550 ; + RECT 2.4110 1.4990 2.4530 1.5410 ; + RECT 2.4110 1.1290 2.4530 1.1710 ; + RECT 2.4110 1.0370 2.4530 1.0790 ; + RECT 2.7150 1.2210 2.7570 1.2630 ; + RECT 2.7150 1.4070 2.7570 1.4490 ; + RECT 2.7150 1.0370 2.7570 1.0790 ; + RECT 2.7150 1.3130 2.7570 1.3550 ; + RECT 2.7150 1.4990 2.7570 1.5410 ; + RECT 2.7150 1.1290 2.7570 1.1710 ; + RECT 3.3230 1.1290 3.3650 1.1710 ; + RECT 3.3230 1.4990 3.3650 1.5410 ; + RECT 3.3230 1.3130 3.3650 1.3550 ; + RECT 3.3230 1.0370 3.3650 1.0790 ; + RECT 3.3230 1.4070 3.3650 1.4490 ; + RECT 3.3230 1.2210 3.3650 1.2630 ; + RECT 3.6270 1.3130 3.6690 1.3550 ; + RECT 3.6270 1.4990 3.6690 1.5410 ; + RECT 3.6270 1.1290 3.6690 1.1710 ; + RECT 3.6270 1.2210 3.6690 1.2630 ; + RECT 3.6270 1.4070 3.6690 1.4490 ; + RECT 3.6270 1.0370 3.6690 1.0790 ; + RECT 3.9310 1.2210 3.9730 1.2630 ; + RECT 3.0190 1.1290 3.0610 1.1710 ; + RECT 3.0190 1.4990 3.0610 1.5410 ; + RECT 3.0190 1.3130 3.0610 1.3550 ; + RECT 3.0190 1.2210 3.0610 1.2630 ; + RECT 3.0190 1.4070 3.0610 1.4490 ; + RECT 3.0190 1.0370 3.0610 1.0790 ; + RECT 5.7550 1.1290 5.7970 1.1710 ; + RECT 5.7550 1.4990 5.7970 1.5410 ; + RECT 5.7550 1.3130 5.7970 1.3550 ; + RECT 5.7550 1.0370 5.7970 1.0790 ; + RECT 5.7550 1.4070 5.7970 1.4490 ; + RECT 5.7550 1.2210 5.7970 1.2630 ; + RECT 1.8030 1.2210 1.8450 1.2630 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 1.8030 1.0370 1.8450 1.0790 ; + RECT 1.8030 1.1290 1.8450 1.1710 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.3130 1.8450 1.3550 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.4990 1.0370 1.5410 1.0790 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + RECT 1.1950 1.0370 1.2370 1.0790 ; + RECT 1.4990 1.1290 1.5410 1.1710 ; + RECT 1.1950 1.1290 1.2370 1.1710 ; + RECT 4.2350 1.3130 4.2770 1.3550 ; + RECT 4.2350 1.0370 4.2770 1.0790 ; + RECT 4.2350 1.4070 4.2770 1.4490 ; + RECT 4.2350 1.2210 4.2770 1.2630 ; + RECT 4.5390 1.1290 4.5810 1.1710 ; + RECT 4.5390 1.4990 4.5810 1.5410 ; + RECT 4.5390 1.3130 4.5810 1.3550 ; + RECT 4.5390 1.0370 4.5810 1.0790 ; + RECT 4.5390 1.4070 4.5810 1.4490 ; + RECT 4.5390 1.2210 4.5810 1.2630 ; + RECT 4.8430 1.2210 4.8850 1.2630 ; + RECT 4.8430 1.4070 4.8850 1.4490 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.6880 1.7020 ; + RECT 1.9510 1.0170 2.0010 1.6420 ; + RECT 1.0390 1.0170 1.0890 1.6420 ; + RECT 1.6470 1.0170 1.6970 1.6420 ; + RECT 1.3430 1.0170 1.3930 1.6420 ; + RECT 5.9030 0.8330 5.9530 1.6420 ; + RECT 5.5990 1.0170 5.6490 1.6420 ; + RECT 5.2950 1.0170 5.3450 1.6420 ; + RECT 4.9910 1.0170 5.0410 1.6420 ; + RECT 4.6870 1.0170 4.7370 1.6420 ; + RECT 4.3830 1.0170 4.4330 1.6420 ; + RECT 4.0790 1.0170 4.1290 1.6420 ; + RECT 3.7750 1.0170 3.8250 1.6420 ; + RECT 3.4710 1.0170 3.5210 1.6420 ; + RECT 3.1670 1.0170 3.2170 1.6420 ; + RECT 2.8630 1.0170 2.9130 1.6420 ; + RECT 2.5590 1.0170 2.6090 1.6420 ; + RECT 2.2550 1.0170 2.3050 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 3.7790 1.1290 3.8210 1.1710 ; + RECT 3.7790 1.0370 3.8210 1.0790 ; + RECT 4.0830 1.0370 4.1250 1.0790 ; + RECT 4.0830 1.1290 4.1250 1.1710 ; + RECT 4.3870 1.0370 4.4290 1.0790 ; + RECT 4.3870 1.1290 4.4290 1.1710 ; + RECT 5.6030 1.0370 5.6450 1.0790 ; + RECT 5.2990 1.1290 5.3410 1.1710 ; + RECT 5.6030 1.1290 5.6450 1.1710 ; + RECT 5.9070 0.9450 5.9490 0.9870 ; + RECT 5.9070 0.8530 5.9490 0.8950 ; + RECT 5.9070 1.0370 5.9490 1.0790 ; + RECT 5.9070 1.1290 5.9490 1.1710 ; + RECT 1.3470 1.0370 1.3890 1.0790 ; + RECT 1.3470 1.1290 1.3890 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.9550 1.0370 1.9970 1.0790 ; + RECT 1.9550 1.1290 1.9970 1.1710 ; + RECT 2.2590 1.1290 2.3010 1.1710 ; + RECT 2.2590 1.0370 2.3010 1.0790 ; + RECT 2.5630 1.1290 2.6050 1.1710 ; + RECT 2.5630 1.0370 2.6050 1.0790 ; + RECT 2.8670 1.0370 2.9090 1.0790 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 3.1710 1.0370 3.2130 1.0790 ; + RECT 3.4750 1.4990 3.5170 1.5410 ; + RECT 3.4750 1.3130 3.5170 1.3550 ; + RECT 3.4750 1.4070 3.5170 1.4490 ; + RECT 3.4750 1.2210 3.5170 1.2630 ; + RECT 3.1710 1.2210 3.2130 1.2630 ; + RECT 3.1710 1.4070 3.2130 1.4490 ; + RECT 3.1710 1.3130 3.2130 1.3550 ; + RECT 3.1710 1.4990 3.2130 1.5410 ; + RECT 2.8670 1.2210 2.9090 1.2630 ; + RECT 2.8670 1.4070 2.9090 1.4490 ; + RECT 2.8670 1.3130 2.9090 1.3550 ; + RECT 2.8670 1.4990 2.9090 1.5410 ; + RECT 2.5630 1.4990 2.6050 1.5410 ; + RECT 2.5630 1.3130 2.6050 1.3550 ; + RECT 2.5630 1.4070 2.6050 1.4490 ; + RECT 2.5630 1.2210 2.6050 1.2630 ; + RECT 5.2990 1.0370 5.3410 1.0790 ; + RECT 4.9950 1.0370 5.0370 1.0790 ; + RECT 4.9950 1.1290 5.0370 1.1710 ; + RECT 5.9070 1.4990 5.9490 1.5410 ; + RECT 5.9070 1.3130 5.9490 1.3550 ; + RECT 5.9070 1.4070 5.9490 1.4490 ; + RECT 5.9070 1.2210 5.9490 1.2630 ; + RECT 5.6030 1.2210 5.6450 1.2630 ; + RECT 5.6030 1.4070 5.6450 1.4490 ; + RECT 5.6030 1.3130 5.6450 1.3550 ; + RECT 5.6030 1.4990 5.6450 1.5410 ; + RECT 5.2990 1.2210 5.3410 1.2630 ; + RECT 5.2990 1.4070 5.3410 1.4490 ; + RECT 5.2990 1.3130 5.3410 1.3550 ; + RECT 5.2990 1.4990 5.3410 1.5410 ; + RECT 4.9950 1.4990 5.0370 1.5410 ; + RECT 4.9950 1.3130 5.0370 1.3550 ; + RECT 4.9950 1.4070 5.0370 1.4490 ; + RECT 4.9950 1.2210 5.0370 1.2630 ; + RECT 4.6910 1.4990 4.7330 1.5410 ; + RECT 4.6910 1.3130 4.7330 1.3550 ; + RECT 4.6910 1.4070 4.7330 1.4490 ; + RECT 4.6910 1.2210 4.7330 1.2630 ; + RECT 4.3870 1.2210 4.4290 1.2630 ; + RECT 4.3870 1.4070 4.4290 1.4490 ; + RECT 4.3870 1.3130 4.4290 1.3550 ; + RECT 4.3870 1.4990 4.4290 1.5410 ; + RECT 4.0830 1.2210 4.1250 1.2630 ; + RECT 4.0830 1.4070 4.1250 1.4490 ; + RECT 4.0830 1.3130 4.1250 1.3550 ; + RECT 4.0830 1.4990 4.1250 1.5410 ; + RECT 3.7790 1.4990 3.8210 1.5410 ; + RECT 3.7790 1.3130 3.8210 1.3550 ; + RECT 3.7790 1.4070 3.8210 1.4490 ; + RECT 3.7790 1.2210 3.8210 1.2630 ; + RECT 2.2590 1.4990 2.3010 1.5410 ; + RECT 2.2590 1.3130 2.3010 1.3550 ; + RECT 2.2590 1.4070 2.3010 1.4490 ; + RECT 2.2590 1.2210 2.3010 1.2630 ; + RECT 1.9550 1.2210 1.9970 1.2630 ; + RECT 1.9550 1.4070 1.9970 1.4490 ; + RECT 1.9550 1.3130 1.9970 1.3550 ; + RECT 1.9550 1.4990 1.9970 1.5410 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.0430 1.0370 1.0850 1.0790 ; + RECT 1.0430 1.1290 1.0850 1.1710 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 4.6910 1.1290 4.7330 1.1710 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 4.6910 1.0370 4.7330 1.0790 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.6880 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 6.6880 3.3740 ; + END + PORT + LAYER CO ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 5.0710 3.3230 5.1130 3.3650 ; + RECT 5.2230 3.3230 5.2650 3.3650 ; + RECT 6.4390 3.3230 6.4810 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 6.5910 3.3230 6.6330 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 5.8310 3.3230 5.8730 3.3650 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 5.3750 3.3230 5.4170 3.3650 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 5.8930 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 5.6790 0.6790 5.7210 0.7210 ; + RECT 5.5270 0.6790 5.5690 0.7210 ; + RECT 5.3750 0.6790 5.4170 0.7210 ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 2.3350 0.6790 2.3770 0.7210 ; + RECT 2.4870 0.6790 2.5290 0.7210 ; + RECT 2.6390 0.6790 2.6810 0.7210 ; + RECT 2.7910 0.6790 2.8330 0.7210 ; + RECT 2.9430 0.6790 2.9850 0.7210 ; + RECT 3.0950 0.6790 3.1370 0.7210 ; + RECT 3.2470 0.6790 3.2890 0.7210 ; + RECT 3.3990 0.6790 3.4410 0.7210 ; + RECT 3.5510 0.6790 3.5930 0.7210 ; + RECT 3.7030 0.6790 3.7450 0.7210 ; + RECT 3.8550 0.6790 3.8970 0.7210 ; + RECT 4.0070 0.6790 4.0490 0.7210 ; + RECT 4.1590 0.6790 4.2010 0.7210 ; + RECT 4.3110 0.6790 4.3530 0.7210 ; + RECT 4.4630 0.6790 4.5050 0.7210 ; + RECT 4.6150 0.6790 4.6570 0.7210 ; + RECT 4.7670 0.6790 4.8090 0.7210 ; + RECT 4.9190 0.6790 4.9610 0.7210 ; + RECT 5.0710 0.6790 5.1130 0.7210 ; + RECT 5.2230 0.6790 5.2650 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 2.0310 0.6790 2.0730 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 5.8310 0.6790 5.8730 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5750 0.6790 6.1130 2.6650 ; + LAYER PO ; + RECT 2.6450 0.5330 2.6750 1.6210 ; + RECT 2.4930 0.5330 2.5230 1.6210 ; + RECT 3.5570 0.5330 3.5870 1.6210 ; + RECT 2.3410 0.5330 2.3710 1.6210 ; + RECT 2.1890 0.5330 2.2190 1.6210 ; + RECT 2.0370 0.5330 2.0670 1.6210 ; + RECT 1.8850 0.5330 1.9150 1.6210 ; + RECT 0.6690 0.5330 0.6990 1.6210 ; + RECT 1.5810 0.5330 1.6110 1.6210 ; + RECT 0.8210 0.5330 0.8510 1.6210 ; + RECT 0.9730 0.5330 1.0030 1.6210 ; + RECT 1.4290 0.5330 1.4590 1.6210 ; + RECT 1.7330 0.5330 1.7630 1.6210 ; + RECT 1.1250 0.5330 1.1550 1.6210 ; + RECT 1.2770 0.5330 1.3070 1.6210 ; + RECT 3.7090 0.5330 3.7390 1.6210 ; + RECT 3.8610 0.5330 3.8910 1.6210 ; + RECT 4.0130 0.5330 4.0430 1.6210 ; + RECT 4.1650 0.5330 4.1950 1.6210 ; + RECT 4.3170 0.5330 4.3470 1.6210 ; + RECT 4.4690 0.5330 4.4990 1.6210 ; + RECT 4.6210 0.5330 4.6510 1.6210 ; + RECT 4.7730 0.5330 4.8030 1.6210 ; + RECT 4.9250 0.5330 4.9550 1.6210 ; + RECT 5.0770 0.5330 5.1070 1.6210 ; + RECT 5.2290 0.5330 5.2590 1.6210 ; + RECT 5.3810 0.5330 5.4110 1.6210 ; + RECT 5.5330 0.5330 5.5630 1.6210 ; + RECT 5.6850 0.5330 5.7150 1.6210 ; + RECT 5.8370 0.5330 5.8670 1.6210 ; + RECT 5.9890 0.5330 6.0190 1.6210 ; + RECT 3.4050 0.5330 3.4350 1.6210 ; + RECT 3.2530 0.5330 3.2830 1.6210 ; + RECT 3.1010 0.5330 3.1310 1.6210 ; + RECT 2.9490 0.5330 2.9790 1.6210 ; + RECT 2.7970 0.5330 2.8270 1.6210 ; + RECT 0.3650 0.0710 0.3950 1.6050 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6050 ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 6.1410 0.0710 6.1710 1.6050 ; + RECT 6.1410 1.7390 6.1710 3.2730 ; + RECT 6.2930 0.0710 6.3230 1.6050 ; + RECT 6.2930 1.7390 6.3230 3.2730 ; + RECT 6.4450 0.0710 6.4750 1.6050 ; + RECT 6.4450 1.7390 6.4750 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6050 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + END +END HEADX32_HVT + +MACRO HEADX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 0.7350 0.8310 1.5450 0.8810 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.0370 1.2370 1.0790 ; + RECT 1.4990 1.1290 1.5410 1.1710 ; + RECT 1.1950 1.1290 1.2370 1.1710 ; + RECT 1.1950 0.9450 1.2370 0.9870 ; + RECT 1.4990 0.9450 1.5410 0.9870 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.4990 1.0370 1.5410 1.0790 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 1.0390 1.0170 1.0890 1.6420 ; + RECT 1.6470 0.8330 1.6970 1.6420 ; + RECT 1.3430 1.0170 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0370 1.6930 1.0790 ; + RECT 1.3470 1.0370 1.3890 1.0790 ; + RECT 1.3470 1.1290 1.3890 1.1710 ; + RECT 1.0430 1.0370 1.0850 1.0790 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.6510 0.9450 1.6930 0.9870 ; + RECT 1.6510 0.8530 1.6930 0.8950 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.0430 1.1290 1.0850 1.1710 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.4320 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 1.6370 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5760 0.6790 1.8570 2.6650 ; + LAYER PO ; + RECT 2.1890 1.7370 2.2190 3.2730 ; + RECT 2.1890 0.0710 2.2190 1.6070 ; + RECT 2.0370 1.7370 2.0670 3.2730 ; + RECT 2.0370 0.0710 2.0670 1.6070 ; + RECT 1.8850 0.0710 1.9150 1.6070 ; + RECT 1.8850 1.7370 1.9150 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6070 ; + RECT 0.2130 1.7370 0.2430 3.2730 ; + RECT 0.3650 1.7370 0.3950 3.2730 ; + RECT 0.3650 0.0710 0.3950 1.6070 ; + RECT 0.6690 0.5330 0.6990 1.6210 ; + RECT 1.5810 0.5330 1.6110 1.6210 ; + RECT 0.8210 0.5330 0.8510 1.6210 ; + RECT 0.9730 0.5330 1.0030 1.6210 ; + RECT 1.4290 0.5330 1.4590 1.6210 ; + RECT 1.7330 0.5330 1.7630 1.6210 ; + RECT 1.1250 0.5330 1.1550 1.6210 ; + RECT 1.2770 0.5330 1.3070 1.6210 ; + RECT 0.5170 1.7370 0.5470 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6070 ; + END +END HEADX4_HVT + +MACRO HEADX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6700 1.0090 0.8600 1.1190 ; + RECT 0.7390 1.1190 0.7890 1.1650 ; + RECT 2.1070 0.8810 2.1570 1.5610 ; + RECT 0.7390 0.8310 2.1570 0.8810 ; + RECT 1.8030 0.8810 1.8530 1.5610 ; + RECT 1.4990 0.8810 1.5490 1.5610 ; + RECT 1.1950 0.8810 1.2450 1.5610 ; + RECT 0.7390 0.8810 0.7890 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.1110 1.1290 2.1530 1.1710 ; + RECT 2.1110 1.4990 2.1530 1.5410 ; + RECT 2.1110 1.3130 2.1530 1.3550 ; + RECT 2.1110 1.0370 2.1530 1.0790 ; + RECT 2.1110 1.4070 2.1530 1.4490 ; + RECT 2.1110 1.2210 2.1530 1.2630 ; + RECT 1.8070 1.2210 1.8490 1.2630 ; + RECT 1.8070 1.4070 1.8490 1.4490 ; + RECT 1.8070 1.0370 1.8490 1.0790 ; + RECT 1.8070 1.1290 1.8490 1.1710 ; + RECT 1.8070 1.4990 1.8490 1.5410 ; + RECT 1.8070 1.3130 1.8490 1.3550 ; + RECT 1.5030 1.2210 1.5450 1.2630 ; + RECT 1.5030 1.4070 1.5450 1.4490 ; + RECT 1.5030 1.0370 1.5450 1.0790 ; + RECT 1.1990 1.2210 1.2410 1.2630 ; + RECT 0.7430 1.1030 0.7850 1.1450 ; + RECT 1.5030 1.3130 1.5450 1.3550 ; + RECT 0.7430 1.0110 0.7850 1.0530 ; + RECT 1.1990 1.4990 1.2410 1.5410 ; + RECT 1.1990 1.3130 1.2410 1.3550 ; + RECT 1.1990 1.4070 1.2410 1.4490 ; + RECT 1.5030 1.4990 1.5450 1.5410 ; + RECT 1.1990 1.0370 1.2410 1.0790 ; + RECT 1.5030 1.1290 1.5450 1.1710 ; + RECT 1.1990 1.1290 1.2410 1.1710 ; + RECT 2.1110 0.9450 2.1530 0.9870 ; + RECT 1.8070 0.9450 1.8490 0.9870 ; + RECT 1.5030 0.9450 1.5450 0.9870 ; + RECT 1.1990 0.9450 1.2410 0.9870 ; + RECT 2.1110 0.8530 2.1530 0.8950 ; + RECT 1.1990 0.8530 1.2410 0.8950 ; + RECT 1.5030 0.8530 1.5450 0.8950 ; + RECT 1.8070 0.8530 1.8490 0.8950 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 2.2590 0.8330 2.3090 1.6420 ; + RECT 1.9550 1.0170 2.0050 1.6420 ; + RECT 1.0430 1.0170 1.0930 1.6420 ; + RECT 1.6510 1.0170 1.7010 1.6420 ; + RECT 1.3470 1.0170 1.3970 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.2630 1.4990 2.3050 1.5410 ; + RECT 2.2630 1.3130 2.3050 1.3550 ; + RECT 2.2630 1.4070 2.3050 1.4490 ; + RECT 2.2630 1.2210 2.3050 1.2630 ; + RECT 1.9590 1.2210 2.0010 1.2630 ; + RECT 1.9590 1.4070 2.0010 1.4490 ; + RECT 1.9590 1.3130 2.0010 1.3550 ; + RECT 1.9590 1.4990 2.0010 1.5410 ; + RECT 1.6550 1.2210 1.6970 1.2630 ; + RECT 1.6550 1.4070 1.6970 1.4490 ; + RECT 1.6550 1.3130 1.6970 1.3550 ; + RECT 1.3510 1.2210 1.3930 1.2630 ; + RECT 1.0470 1.4990 1.0890 1.5410 ; + RECT 1.0470 1.4070 1.0890 1.4490 ; + RECT 1.0470 1.3130 1.0890 1.3550 ; + RECT 1.0470 1.2210 1.0890 1.2630 ; + RECT 1.3510 1.3130 1.3930 1.3550 ; + RECT 1.3510 1.4070 1.3930 1.4490 ; + RECT 1.3510 1.4990 1.3930 1.5410 ; + RECT 1.6550 1.4990 1.6970 1.5410 ; + RECT 2.2630 0.8530 2.3050 0.8950 ; + RECT 2.2630 0.9450 2.3050 0.9870 ; + RECT 1.9590 1.1290 2.0010 1.1710 ; + RECT 1.9590 1.0370 2.0010 1.0790 ; + RECT 1.6550 1.1290 1.6970 1.1710 ; + RECT 1.6550 1.0370 1.6970 1.0790 ; + RECT 1.3510 1.1290 1.3930 1.1710 ; + RECT 1.3510 1.0370 1.3930 1.0790 ; + RECT 1.0470 1.0370 1.0890 1.0790 ; + RECT 1.0470 1.1290 1.0890 1.1710 ; + RECT 2.2630 1.0370 2.3050 1.0790 ; + RECT 2.2630 1.1290 2.3050 1.1710 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.0400 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1030 0.6700 2.2490 0.7300 ; + RECT 1.1240 0.5530 1.3140 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.7310 0.6790 1.7730 0.7210 ; + RECT 1.8830 0.6790 1.9250 0.7210 ; + RECT 2.0350 0.6790 2.0770 0.7210 ; + RECT 1.4270 0.6790 1.4690 0.7210 ; + RECT 1.2750 0.6790 1.3170 0.7210 ; + RECT 2.1870 0.6790 2.2290 0.7210 ; + RECT 1.1230 0.6790 1.1650 0.7210 ; + RECT 1.5790 0.6790 1.6210 0.7210 ; + END + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5750 0.6790 2.4650 2.6650 ; + LAYER PO ; + RECT 2.9490 1.7390 2.9790 3.2730 ; + RECT 2.7970 1.7390 2.8270 3.2730 ; + RECT 2.6450 1.7390 2.6750 3.2730 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6050 ; + RECT 0.3650 0.0710 0.3950 1.6050 ; + RECT 0.2130 0.0710 0.2430 1.6050 ; + RECT 2.9490 0.0710 2.9790 1.6050 ; + RECT 2.7970 0.0710 2.8270 1.6050 ; + RECT 2.3450 0.5330 2.3750 1.6210 ; + RECT 2.1930 0.5330 2.2230 1.6210 ; + RECT 2.0410 0.5330 2.0710 1.6210 ; + RECT 1.8890 0.5330 1.9190 1.6210 ; + RECT 0.6730 0.5330 0.7030 1.6210 ; + RECT 1.5850 0.5330 1.6150 1.6210 ; + RECT 0.8250 0.5330 0.8550 1.6210 ; + RECT 0.9770 0.5330 1.0070 1.6210 ; + RECT 1.4330 0.5330 1.4630 1.6210 ; + RECT 1.7370 0.5330 1.7670 1.6210 ; + RECT 1.1290 0.5330 1.1590 1.6210 ; + RECT 1.2810 0.5330 1.3110 1.6210 ; + RECT 2.6450 0.0710 2.6750 1.6050 ; + END +END HEADX8_HVT + +MACRO IBUFFX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.952 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.9520 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 3.4710 0.9920 3.5210 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 3.1670 0.9920 3.2170 1.6420 ; + RECT 2.8630 0.9920 2.9130 1.6420 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.9520 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 3.4710 0.0300 3.5210 0.4100 ; + RECT 3.1670 0.0300 3.2170 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 2.8630 0.0300 2.9130 0.4100 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.4750 0.2410 3.5170 0.2830 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 2.8670 0.2410 2.9090 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.2410 3.2130 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + END + END VSS + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4360 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.6820 0.5870 3.8550 0.6630 ; + RECT 3.6230 0.9420 3.6730 1.5640 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 3.0150 0.9420 3.0650 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5640 ; + RECT 2.4070 0.9420 2.4570 1.5650 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 3.6820 0.6630 3.7320 0.8920 ; + RECT 3.6230 0.1160 3.6730 0.5370 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 2.1030 0.1160 2.1530 0.5370 ; + RECT 2.4070 0.1170 2.4570 0.5370 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 3.0150 0.1160 3.0650 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.1160 1.5450 0.5370 ; + RECT 1.4950 0.9420 1.5450 1.5640 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 1.1910 0.8920 3.7320 0.9420 ; + RECT 1.1910 0.5370 3.8550 0.5870 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.3030 3.0610 1.3450 ; + RECT 3.0190 1.4870 3.0610 1.5290 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.2110 3.0610 1.2530 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 3.0190 1.1190 3.0610 1.1610 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 0.4270 2.1490 0.4690 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.2430 2.1490 0.2850 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.4110 0.1520 2.4530 0.1940 ; + RECT 2.4110 0.2440 2.4530 0.2860 ; + RECT 2.4110 0.3360 2.4530 0.3780 ; + RECT 2.4110 0.3360 2.4530 0.3780 ; + RECT 2.4110 0.4280 2.4530 0.4700 ; + RECT 2.4110 0.1520 2.4530 0.1940 ; + RECT 2.4110 1.3040 2.4530 1.3460 ; + RECT 2.4110 1.3040 2.4530 1.3460 ; + RECT 2.4110 1.2120 2.4530 1.2540 ; + RECT 2.4110 1.2120 2.4530 1.2540 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 0.4270 3.6690 0.4690 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 0.2430 3.6690 0.2850 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 2.4110 1.1200 2.4530 1.1620 ; + RECT 2.4110 1.3960 2.4530 1.4380 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 2.4110 1.4880 2.4530 1.5300 ; + RECT 2.4110 1.0280 2.4530 1.0700 ; + RECT 2.4110 1.1200 2.4530 1.1620 ; + RECT 2.4110 1.0280 2.4530 1.0700 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 0.2430 3.0610 0.2850 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.3350 3.0610 0.3770 ; + RECT 3.0190 0.4270 3.0610 0.4690 ; + RECT 3.0190 0.1510 3.0610 0.1930 ; + RECT 3.0190 1.3950 3.0610 1.4370 ; + RECT 3.0190 1.0270 3.0610 1.0690 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + END + ANTENNADIFFAREA 1.2904 ; + END Y + OBS + LAYER CO ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.7910 0.6640 2.8330 0.7060 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.0670 1.7730 ; + LAYER M1 ; + RECT 1.0940 0.6600 3.6280 0.7100 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.5830 0.5370 1.1410 0.5870 ; + RECT 1.0910 0.6050 1.1440 0.6330 ; + RECT 1.0940 0.6330 1.1440 0.6600 ; + RECT 1.0910 0.5870 1.1410 0.6050 ; + RECT 1.0940 0.7100 1.1440 0.8420 ; + RECT 1.0900 0.8740 1.1400 0.8920 ; + RECT 1.0900 0.8420 1.1440 0.8740 ; + RECT 0.5830 0.8920 1.1400 0.9420 ; + RECT 0.4870 0.6600 1.0440 0.7100 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.2790 0.8920 0.5330 0.9420 ; + RECT 0.4830 0.8320 0.5370 0.8700 ; + RECT 0.2790 0.5370 0.5330 0.5870 ; + RECT 0.4870 0.7100 0.5370 0.8320 ; + RECT 0.4830 0.8700 0.5330 0.8920 ; + RECT 0.4870 0.6420 0.5370 0.6600 ; + RECT 0.4830 0.5870 0.5330 0.6070 ; + RECT 0.4830 0.6070 0.5370 0.6420 ; + LAYER PO ; + RECT 3.8610 0.0690 3.8910 1.6060 ; + RECT 3.5570 0.0690 3.5870 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 3.2530 0.0690 3.2830 1.6060 ; + RECT 3.1010 0.0690 3.1310 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 3.4050 0.0690 3.4350 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 3.7090 0.0690 3.7390 1.6060 ; + END +END IBUFFX16_HVT + +MACRO IBUFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4360 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0186 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.2790 0.8650 0.3290 1.6420 ; + RECT 0.5830 0.8930 0.6330 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.5870 1.1140 0.6290 1.1560 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 0.9920 0.3250 1.0340 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.5870 1.1140 0.6290 1.1560 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.5870 0.9290 0.6290 0.9710 ; + RECT 0.5870 0.9290 0.6290 0.9710 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5870 1.0220 0.6290 1.0640 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.5870 1.0220 0.6290 1.0640 ; + RECT 0.2830 0.9920 0.3250 1.0340 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.2830 0.9000 0.3250 0.9420 ; + RECT 0.2830 0.9000 0.3250 0.9420 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5600 ; + RECT 0.5830 0.0300 0.6330 0.5540 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 0.5870 0.3970 0.6290 0.4390 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.4700 0.3250 0.5120 ; + RECT 0.2830 0.4700 0.3250 0.5120 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3050 0.6290 0.3470 ; + RECT 0.5870 0.4890 0.6290 0.5310 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.3780 0.3250 0.4200 ; + RECT 0.2830 0.3780 0.3250 0.4200 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.5370 1.4230 0.5870 ; + RECT 1.2470 0.5870 1.4230 0.6630 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.2470 0.6630 1.2970 0.8920 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.8870 0.8920 1.2970 0.9420 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + OBS + LAYER CO ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.7390 0.4890 0.7810 0.5310 ; + RECT 0.7390 0.3970 0.7810 0.4390 ; + RECT 0.7390 0.3970 0.7810 0.4390 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.4350 1.0860 0.4770 1.1280 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 0.4350 0.9020 0.4770 0.9440 ; + RECT 0.4350 0.9940 0.4770 1.0360 ; + RECT 0.4350 1.0860 0.4770 1.1280 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 0.4350 0.4830 0.4770 0.5250 ; + RECT 0.4350 0.9940 0.4770 1.0360 ; + RECT 0.4350 0.3910 0.4770 0.4330 ; + RECT 0.4350 0.9020 0.4770 0.9440 ; + RECT 0.7390 0.8350 0.7810 0.8770 ; + RECT 0.4350 0.3910 0.4770 0.4330 ; + RECT 0.7390 0.3050 0.7810 0.3470 ; + RECT 0.7390 0.8350 0.7810 0.8770 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.4870 0.6600 0.7400 0.7100 ; + RECT 0.4310 0.3620 0.4810 0.5320 ; + RECT 0.4310 0.5320 0.5330 0.5820 ; + RECT 0.4870 0.7100 0.5370 0.7750 ; + RECT 0.4310 0.7750 0.5370 0.8250 ; + RECT 0.4310 0.8250 0.4810 1.1650 ; + RECT 0.4870 0.6300 0.5370 0.6600 ; + RECT 0.4830 0.5820 0.5330 0.6070 ; + RECT 0.4830 0.6070 0.5370 0.6300 ; + RECT 0.7910 0.6600 1.1960 0.7100 ; + RECT 0.7350 0.7760 0.8410 0.8260 ; + RECT 0.7350 0.8260 0.7850 1.1910 ; + RECT 0.7350 0.5320 0.8370 0.5820 ; + RECT 0.7910 0.7100 0.8410 0.7760 ; + RECT 0.7350 0.2850 0.7850 0.5320 ; + RECT 0.7910 0.6410 0.8410 0.6600 ; + RECT 0.7870 0.5820 0.8370 0.6070 ; + RECT 0.7870 0.6070 0.8410 0.6410 ; + LAYER PO ; + RECT 0.0610 0.0690 0.0910 1.6060 ; + RECT 0.2130 0.0690 0.2430 1.6060 ; + RECT 0.3650 0.0710 0.3950 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 1.4290 0.0710 1.4590 1.6060 ; + RECT 1.2770 0.0710 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + END +END IBUFFX2_HVT + +MACRO IBUFFX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.992 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.5880 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0732 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.9920 1.7020 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 2.8630 0.9920 2.9130 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 6.5110 0.9920 6.5610 1.6420 ; + RECT 6.2070 0.9920 6.2570 1.6420 ; + RECT 5.9030 0.9920 5.9530 1.6420 ; + RECT 5.5990 0.9920 5.6490 1.6420 ; + RECT 5.2950 0.9920 5.3450 1.6420 ; + RECT 4.9910 0.9920 5.0410 1.6420 ; + RECT 4.6870 0.9920 4.7370 1.6420 ; + RECT 4.3830 0.9920 4.4330 1.6420 ; + RECT 4.0790 0.9920 4.1290 1.6420 ; + RECT 3.7750 0.9920 3.8250 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 3.4710 0.9920 3.5210 1.6420 ; + RECT 3.1670 0.9920 3.2170 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 6.5150 1.3030 6.5570 1.3450 ; + RECT 6.5150 1.3030 6.5570 1.3450 ; + RECT 6.5150 1.1190 6.5570 1.1610 ; + RECT 6.5150 1.0270 6.5570 1.0690 ; + RECT 6.5150 1.0270 6.5570 1.0690 ; + RECT 6.5150 1.1190 6.5570 1.1610 ; + RECT 6.5150 1.2110 6.5570 1.2530 ; + RECT 6.5150 1.2110 6.5570 1.2530 ; + RECT 6.5150 1.3950 6.5570 1.4370 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.6910 1.0270 4.7330 1.0690 ; + RECT 4.6910 1.1190 4.7330 1.1610 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.6910 1.3030 4.7330 1.3450 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.3870 1.3030 4.4290 1.3450 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.0270 4.4290 1.0690 ; + RECT 4.3870 1.1190 4.4290 1.1610 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.2110 4.4290 1.2530 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.4870 4.4290 1.5290 ; + RECT 4.3870 1.3950 4.4290 1.4370 ; + RECT 6.5150 1.4870 6.5570 1.5290 ; + RECT 6.5150 1.4870 6.5570 1.5290 ; + RECT 6.5150 1.3950 6.5570 1.4370 ; + RECT 6.2110 1.0270 6.2530 1.0690 ; + RECT 6.2110 1.1190 6.2530 1.1610 ; + RECT 6.2110 1.3030 6.2530 1.3450 ; + RECT 6.2110 1.3030 6.2530 1.3450 ; + RECT 6.2110 1.3950 6.2530 1.4370 ; + RECT 6.2110 1.4870 6.2530 1.5290 ; + RECT 6.2110 1.4870 6.2530 1.5290 ; + RECT 6.2110 1.3950 6.2530 1.4370 ; + RECT 6.2110 1.2110 6.2530 1.2530 ; + RECT 6.2110 1.2110 6.2530 1.2530 ; + RECT 6.2110 1.1190 6.2530 1.1610 ; + RECT 6.2110 1.0270 6.2530 1.0690 ; + RECT 5.9070 1.3950 5.9490 1.4370 ; + RECT 5.9070 1.4870 5.9490 1.5290 ; + RECT 5.9070 1.4870 5.9490 1.5290 ; + RECT 5.9070 1.3950 5.9490 1.4370 ; + RECT 5.9070 1.2110 5.9490 1.2530 ; + RECT 5.9070 1.2110 5.9490 1.2530 ; + RECT 5.9070 1.1190 5.9490 1.1610 ; + RECT 5.9070 1.0270 5.9490 1.0690 ; + RECT 5.9070 1.0270 5.9490 1.0690 ; + RECT 5.9070 1.1190 5.9490 1.1610 ; + RECT 5.9070 1.3030 5.9490 1.3450 ; + RECT 5.9070 1.3030 5.9490 1.3450 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 5.6030 1.3030 5.6450 1.3450 ; + RECT 5.6030 1.3030 5.6450 1.3450 ; + RECT 5.6030 1.1190 5.6450 1.1610 ; + RECT 5.6030 1.0270 5.6450 1.0690 ; + RECT 5.6030 1.0270 5.6450 1.0690 ; + RECT 5.6030 1.1190 5.6450 1.1610 ; + RECT 5.6030 1.2110 5.6450 1.2530 ; + RECT 5.6030 1.2110 5.6450 1.2530 ; + RECT 5.6030 1.3950 5.6450 1.4370 ; + RECT 5.6030 1.4870 5.6450 1.5290 ; + RECT 5.6030 1.4870 5.6450 1.5290 ; + RECT 5.6030 1.3950 5.6450 1.4370 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.4870 5.0370 1.5290 ; + RECT 4.9950 1.3950 5.0370 1.4370 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.2110 5.0370 1.2530 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.0270 5.0370 1.0690 ; + RECT 4.9950 1.1190 5.0370 1.1610 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.9950 1.3030 5.0370 1.3450 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.4870 4.7330 1.5290 ; + RECT 4.6910 1.3950 4.7330 1.4370 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.6910 1.2110 4.7330 1.2530 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 4.0830 1.2110 4.1250 1.2530 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.0270 4.1250 1.0690 ; + RECT 4.0830 1.4870 4.1250 1.5290 ; + RECT 4.0830 1.3950 4.1250 1.4370 ; + RECT 4.0830 1.1190 4.1250 1.1610 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 4.0830 1.3030 4.1250 1.3450 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.3030 3.8210 1.3450 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 3.7790 1.0270 3.8210 1.0690 ; + RECT 3.7790 1.1190 3.8210 1.1610 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.2110 3.8210 1.2530 ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 3.7790 1.4870 3.8210 1.5290 ; + RECT 3.7790 1.3950 3.8210 1.4370 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.2110 2.9090 1.2530 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 2.8670 1.0270 2.9090 1.0690 ; + RECT 2.8670 1.4870 2.9090 1.5290 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.0270 3.2130 1.0690 ; + RECT 3.1710 1.1190 3.2130 1.1610 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.2110 3.2130 1.2530 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.4870 3.2130 1.5290 ; + RECT 3.1710 1.3950 3.2130 1.4370 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 3.1710 1.3030 3.2130 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 2.8670 1.3950 2.9090 1.4370 ; + RECT 2.8670 1.1190 2.9090 1.1610 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 2.8670 1.3030 2.9090 1.3450 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.9920 0.0300 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 2.8630 0.0300 2.9130 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 6.5110 0.0300 6.5610 0.4100 ; + RECT 6.2070 0.0300 6.2570 0.4100 ; + RECT 5.9030 0.0300 5.9530 0.4100 ; + RECT 5.5990 0.0300 5.6490 0.4100 ; + RECT 5.2950 0.0300 5.3450 0.4100 ; + RECT 4.9910 0.0300 5.0410 0.4100 ; + RECT 4.6870 0.0300 4.7370 0.4100 ; + RECT 4.3830 0.0300 4.4330 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 4.0790 0.0300 4.1290 0.4100 ; + RECT 3.7750 0.0300 3.8250 0.4100 ; + RECT 3.4710 0.0300 3.5210 0.4100 ; + RECT 3.1670 0.0300 3.2170 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.1490 6.5570 0.1910 ; + RECT 6.5150 0.2410 6.5570 0.2830 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 4.6910 0.2410 4.7330 0.2830 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.3330 4.4290 0.3750 ; + RECT 4.3870 0.1490 4.4290 0.1910 ; + RECT 4.3870 0.2410 4.4290 0.2830 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 6.5150 0.3330 6.5570 0.3750 ; + RECT 6.5150 0.1490 6.5570 0.1910 ; + RECT 6.2110 0.2410 6.2530 0.2830 ; + RECT 6.2110 0.1490 6.2530 0.1910 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 6.2110 0.1490 6.2530 0.1910 ; + RECT 6.2110 0.3330 6.2530 0.3750 ; + RECT 5.9070 0.1490 5.9490 0.1910 ; + RECT 5.9070 0.3330 5.9490 0.3750 ; + RECT 5.9070 0.2410 5.9490 0.2830 ; + RECT 5.9070 0.1490 5.9490 0.1910 ; + RECT 5.9070 0.3330 5.9490 0.3750 ; + RECT 5.6030 0.3330 5.6450 0.3750 ; + RECT 5.6030 0.1490 5.6450 0.1910 ; + RECT 5.6030 0.3330 5.6450 0.3750 ; + RECT 5.6030 0.1490 5.6450 0.1910 ; + RECT 5.6030 0.2410 5.6450 0.2830 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 5.2990 0.2410 5.3410 0.2830 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 4.9950 0.2410 5.0370 0.2830 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.9950 0.1490 5.0370 0.1910 ; + RECT 4.9950 0.3330 5.0370 0.3750 ; + RECT 4.6910 0.1490 4.7330 0.1910 ; + RECT 4.6910 0.3330 4.7330 0.3750 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.0830 0.2410 4.1250 0.2830 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 4.0830 0.1490 4.1250 0.1910 ; + RECT 4.0830 0.3330 4.1250 0.3750 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.7790 0.3330 3.8210 0.3750 ; + RECT 3.7790 0.1490 3.8210 0.1910 ; + RECT 3.7790 0.2410 3.8210 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.8670 0.2410 2.9090 0.2830 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.2410 3.5170 0.2830 ; + RECT 3.1710 0.2410 3.2130 0.2830 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 3.1710 0.1490 3.2130 0.1910 ; + RECT 3.1710 0.3330 3.2130 0.3750 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 2.8670 0.1490 2.9090 0.1910 ; + RECT 2.8670 0.3330 2.9090 0.3750 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7990 0.8920 6.7720 0.9420 ; + RECT 6.7220 0.5870 6.8950 0.6630 ; + RECT 3.0150 0.1170 3.0650 0.5370 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 3.6230 0.1160 3.6730 0.5370 ; + RECT 2.4070 0.1160 2.4570 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 2.1030 0.1160 2.1530 0.5370 ; + RECT 6.6630 0.1160 6.7130 0.5370 ; + RECT 6.3590 0.1160 6.4090 0.5370 ; + RECT 6.0550 0.1160 6.1050 0.5370 ; + RECT 5.7510 0.1160 5.8010 0.5370 ; + RECT 5.4470 0.1160 5.4970 0.5370 ; + RECT 5.1430 0.1160 5.1930 0.5370 ; + RECT 4.8390 0.1160 4.8890 0.5370 ; + RECT 4.5350 0.1160 4.5850 0.5370 ; + RECT 4.2310 0.1160 4.2810 0.5370 ; + RECT 3.9270 0.1160 3.9770 0.5370 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 3.0150 0.9420 3.0650 1.5650 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5640 ; + RECT 2.4070 0.9420 2.4570 1.5640 ; + RECT 6.6630 0.9420 6.7130 1.5640 ; + RECT 6.3590 0.9420 6.4090 1.5640 ; + RECT 6.0550 0.9420 6.1050 1.5640 ; + RECT 5.7510 0.9420 5.8010 1.5640 ; + RECT 5.4470 0.9420 5.4970 1.5640 ; + RECT 5.1430 0.9420 5.1930 1.5640 ; + RECT 4.8390 0.9420 4.8890 1.5640 ; + RECT 4.5350 0.9420 4.5850 1.5640 ; + RECT 4.2310 0.9420 4.2810 1.5640 ; + RECT 3.9270 0.9420 3.9770 1.5640 ; + RECT 3.6230 0.9420 3.6730 1.5640 ; + RECT 6.7220 0.6630 6.7720 0.8920 ; + RECT 1.7990 0.5370 6.8950 0.5870 ; + END + PORT + LAYER CO ; + RECT 6.6670 0.1510 6.7090 0.1930 ; + RECT 6.6670 0.2430 6.7090 0.2850 ; + RECT 6.6670 0.3350 6.7090 0.3770 ; + RECT 6.6670 0.3350 6.7090 0.3770 ; + RECT 6.6670 0.4270 6.7090 0.4690 ; + RECT 6.6670 0.1510 6.7090 0.1930 ; + RECT 6.6670 1.3950 6.7090 1.4370 ; + RECT 6.6670 1.0270 6.7090 1.0690 ; + RECT 6.6670 1.0270 6.7090 1.0690 ; + RECT 6.6670 1.4870 6.7090 1.5290 ; + RECT 6.6670 1.3030 6.7090 1.3450 ; + RECT 6.6670 1.3030 6.7090 1.3450 ; + RECT 6.6670 1.4870 6.7090 1.5290 ; + RECT 6.6670 1.2110 6.7090 1.2530 ; + RECT 6.6670 1.2110 6.7090 1.2530 ; + RECT 4.5390 0.2430 4.5810 0.2850 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.3350 4.5810 0.3770 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 4.5390 0.4270 4.5810 0.4690 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.3030 4.5810 1.3450 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.2110 4.5810 1.2530 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.1190 4.5810 1.1610 ; + RECT 4.5390 1.0270 4.5810 1.0690 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.4870 4.5810 1.5290 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 4.5390 1.3950 4.5810 1.4370 ; + RECT 6.6670 1.3950 6.7090 1.4370 ; + RECT 6.6670 1.1190 6.7090 1.1610 ; + RECT 6.6670 1.1190 6.7090 1.1610 ; + RECT 6.3630 1.3030 6.4050 1.3450 ; + RECT 6.3630 1.0270 6.4050 1.0690 ; + RECT 6.3630 1.0270 6.4050 1.0690 ; + RECT 6.3630 1.3950 6.4050 1.4370 ; + RECT 6.3630 1.4870 6.4050 1.5290 ; + RECT 6.3630 1.4870 6.4050 1.5290 ; + RECT 6.3630 1.3950 6.4050 1.4370 ; + RECT 6.3630 0.1510 6.4050 0.1930 ; + RECT 6.3630 0.3350 6.4050 0.3770 ; + RECT 6.3630 0.3350 6.4050 0.3770 ; + RECT 6.3630 0.2430 6.4050 0.2850 ; + RECT 6.3630 0.1510 6.4050 0.1930 ; + RECT 6.3630 0.4270 6.4050 0.4690 ; + RECT 6.3630 1.1190 6.4050 1.1610 ; + RECT 6.3630 1.1190 6.4050 1.1610 ; + RECT 6.3630 1.2110 6.4050 1.2530 ; + RECT 6.3630 1.2110 6.4050 1.2530 ; + RECT 6.3630 1.3030 6.4050 1.3450 ; + RECT 6.0590 1.1190 6.1010 1.1610 ; + RECT 6.0590 1.1190 6.1010 1.1610 ; + RECT 6.0590 1.3950 6.1010 1.4370 ; + RECT 6.0590 1.2110 6.1010 1.2530 ; + RECT 6.0590 1.2110 6.1010 1.2530 ; + RECT 6.0590 1.4870 6.1010 1.5290 ; + RECT 6.0590 1.3030 6.1010 1.3450 ; + RECT 6.0590 1.3030 6.1010 1.3450 ; + RECT 6.0590 1.4870 6.1010 1.5290 ; + RECT 6.0590 1.0270 6.1010 1.0690 ; + RECT 6.0590 1.0270 6.1010 1.0690 ; + RECT 6.0590 1.3950 6.1010 1.4370 ; + RECT 6.0590 0.1510 6.1010 0.1930 ; + RECT 6.0590 0.4270 6.1010 0.4690 ; + RECT 6.0590 0.3350 6.1010 0.3770 ; + RECT 6.0590 0.3350 6.1010 0.3770 ; + RECT 6.0590 0.2430 6.1010 0.2850 ; + RECT 6.0590 0.1510 6.1010 0.1930 ; + RECT 5.7550 1.3030 5.7970 1.3450 ; + RECT 5.7550 1.2110 5.7970 1.2530 ; + RECT 5.7550 1.2110 5.7970 1.2530 ; + RECT 5.7550 1.1190 5.7970 1.1610 ; + RECT 5.7550 1.1190 5.7970 1.1610 ; + RECT 5.7550 0.4270 5.7970 0.4690 ; + RECT 5.7550 0.1510 5.7970 0.1930 ; + RECT 5.7550 0.2430 5.7970 0.2850 ; + RECT 5.7550 0.3350 5.7970 0.3770 ; + RECT 5.7550 0.3350 5.7970 0.3770 ; + RECT 5.7550 0.1510 5.7970 0.1930 ; + RECT 5.7550 1.3950 5.7970 1.4370 ; + RECT 5.7550 1.4870 5.7970 1.5290 ; + RECT 5.7550 1.4870 5.7970 1.5290 ; + RECT 5.7550 1.3950 5.7970 1.4370 ; + RECT 5.7550 1.0270 5.7970 1.0690 ; + RECT 5.7550 1.0270 5.7970 1.0690 ; + RECT 5.7550 1.3030 5.7970 1.3450 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.4510 0.2430 5.4930 0.2850 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + RECT 5.4510 0.4270 5.4930 0.4690 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 0.4270 4.2770 0.4690 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.0270 4.2770 1.0690 ; + RECT 4.2350 0.3350 4.2770 0.3770 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 0.2430 4.2770 0.2850 ; + RECT 4.2350 1.4870 4.2770 1.5290 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 0.1510 4.2770 0.1930 ; + RECT 4.2350 1.1190 4.2770 1.1610 ; + RECT 4.2350 1.3950 4.2770 1.4370 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.2110 4.2770 1.2530 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 4.2350 1.3030 4.2770 1.3450 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 3.9310 0.2430 3.9730 0.2850 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.3350 3.9730 0.3770 ; + RECT 3.9310 0.1510 3.9730 0.1930 ; + RECT 3.9310 0.4270 3.9730 0.4690 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.2430 5.1890 0.2850 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 0.4270 5.1890 0.4690 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 1.1190 4.8850 1.1610 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.2110 4.8850 1.2530 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.3030 4.8850 1.3450 ; + RECT 4.8430 1.4870 4.8850 1.5290 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.0270 4.8850 1.0690 ; + RECT 4.8430 1.3950 4.8850 1.4370 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.8430 0.4270 4.8850 0.4690 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.3350 4.8850 0.3770 ; + RECT 4.8430 0.2430 4.8850 0.2850 ; + RECT 4.8430 0.1510 4.8850 0.1930 ; + RECT 4.5390 0.1510 4.5810 0.1930 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 3.0190 0.1520 3.0610 0.1940 ; + RECT 3.0190 0.2440 3.0610 0.2860 ; + RECT 3.0190 0.3360 3.0610 0.3780 ; + RECT 3.0190 0.3360 3.0610 0.3780 ; + RECT 3.0190 0.4280 3.0610 0.4700 ; + RECT 3.0190 0.1520 3.0610 0.1940 ; + RECT 3.0190 1.3040 3.0610 1.3460 ; + RECT 3.0190 1.3040 3.0610 1.3460 ; + RECT 3.0190 1.2120 3.0610 1.2540 ; + RECT 3.0190 1.2120 3.0610 1.2540 ; + RECT 3.0190 1.3960 3.0610 1.4380 ; + RECT 3.0190 1.1200 3.0610 1.1620 ; + RECT 3.0190 1.3960 3.0610 1.4380 ; + RECT 3.0190 1.4880 3.0610 1.5300 ; + RECT 3.0190 1.4880 3.0610 1.5300 ; + RECT 3.0190 1.0280 3.0610 1.0700 ; + RECT 3.0190 1.1200 3.0610 1.1620 ; + RECT 3.0190 1.0280 3.0610 1.0700 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.3030 3.9730 1.3450 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.2110 3.9730 1.2530 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.1190 3.9730 1.1610 ; + RECT 3.9310 1.0270 3.9730 1.0690 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.3950 3.9730 1.4370 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.9310 1.4870 3.9730 1.5290 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.1070 0.2430 2.1490 0.2850 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 0.2430 3.6690 0.2850 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 0.4270 3.6690 0.4690 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.2430 2.4530 0.2850 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.4270 2.4530 0.4690 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.4270 2.1490 0.4690 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + END + ANTENNADIFFAREA 2.4808 ; + END Y + OBS + LAYER CO ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 6.5910 0.6640 6.6330 0.7060 ; + RECT 5.2230 0.6640 5.2650 0.7060 ; + RECT 4.9190 0.6640 4.9610 0.7060 ; + RECT 5.0710 0.6640 5.1130 0.7060 ; + RECT 4.7670 0.6640 4.8090 0.7060 ; + RECT 4.6150 0.6640 4.6570 0.7060 ; + RECT 4.4630 0.6640 4.5050 0.7060 ; + RECT 4.3110 0.6640 4.3530 0.7060 ; + RECT 5.9830 0.6640 6.0250 0.7060 ; + RECT 6.4390 0.6640 6.4810 0.7060 ; + RECT 6.1350 0.6640 6.1770 0.7060 ; + RECT 6.2870 0.6640 6.3290 0.7060 ; + RECT 5.3750 0.6640 5.4170 0.7060 ; + RECT 5.8310 0.6640 5.8730 0.7060 ; + RECT 5.5270 0.6640 5.5690 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 5.6790 0.6640 5.7210 0.7060 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 3.7030 0.6640 3.7450 0.7060 ; + RECT 4.1590 0.6640 4.2010 0.7060 ; + RECT 3.8550 0.6640 3.8970 0.7060 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.2470 0.6640 3.2890 0.7060 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.7910 0.6640 2.8330 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 2.9430 0.6640 2.9850 0.7060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 7.1070 1.7730 ; + LAYER M1 ; + RECT 1.7020 0.6600 6.6680 0.7100 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.9420 1.5450 1.5640 ; + RECT 1.4950 0.1160 1.5450 0.5370 ; + RECT 0.8870 0.5370 1.7490 0.5870 ; + RECT 1.7020 0.7100 1.7520 0.8180 ; + RECT 1.6990 0.8740 1.7490 0.8920 ; + RECT 1.6990 0.8180 1.7520 0.8740 ; + RECT 1.6990 0.5870 1.7490 0.6050 ; + RECT 1.7020 0.6310 1.7520 0.6600 ; + RECT 1.6990 0.6050 1.7520 0.6310 ; + RECT 0.8870 0.8920 1.7490 0.9420 ; + RECT 0.6390 0.6600 1.6520 0.7100 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.2790 0.5370 0.6890 0.5870 ; + RECT 0.6390 0.5870 0.6890 0.6600 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.6390 0.7100 0.6890 0.8920 ; + RECT 0.2790 0.8920 0.6890 0.9420 ; + LAYER PO ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 6.9010 0.0690 6.9310 1.6060 ; + RECT 6.7490 0.0690 6.7790 1.6060 ; + RECT 6.5970 0.0690 6.6270 1.6060 ; + RECT 6.4450 0.0690 6.4750 1.6060 ; + RECT 6.1410 0.0690 6.1710 1.6060 ; + RECT 6.2930 0.0690 6.3230 1.6060 ; + RECT 5.8370 0.0690 5.8670 1.6060 ; + RECT 5.9890 0.0690 6.0190 1.6060 ; + RECT 5.6850 0.0690 5.7150 1.6060 ; + RECT 5.5330 0.0690 5.5630 1.6060 ; + RECT 5.3810 0.0690 5.4110 1.6060 ; + RECT 5.2290 0.0690 5.2590 1.6060 ; + RECT 4.9250 0.0690 4.9550 1.6060 ; + RECT 5.0770 0.0690 5.1070 1.6060 ; + RECT 4.3170 0.0690 4.3470 1.6060 ; + RECT 4.4690 0.0690 4.4990 1.6060 ; + RECT 4.1650 0.0690 4.1950 1.6060 ; + RECT 4.6210 0.0690 4.6510 1.6060 ; + RECT 4.7730 0.0690 4.8030 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 3.1010 0.0690 3.1310 1.6060 ; + RECT 3.2530 0.0690 3.2830 1.6060 ; + RECT 3.8610 0.0690 3.8910 1.6060 ; + RECT 3.7090 0.0690 3.7390 1.6060 ; + RECT 3.5570 0.0690 3.5870 1.6060 ; + RECT 3.4050 0.0690 3.4350 1.6060 ; + RECT 4.0130 0.0690 4.0430 1.6060 ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + END +END IBUFFX32_HVT + +MACRO IBUFFX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4360 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0186 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.2790 0.8650 0.3290 1.6420 ; + RECT 0.5830 0.9870 0.6330 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2830 0.9920 0.3250 1.0340 ; + RECT 0.2830 0.9000 0.3250 0.9420 ; + RECT 0.2830 0.9000 0.3250 0.9420 ; + RECT 0.2830 0.9920 0.3250 1.0340 ; + RECT 0.5870 1.2980 0.6290 1.3400 ; + RECT 0.5870 1.2980 0.6290 1.3400 ; + RECT 0.5870 1.1140 0.6290 1.1560 ; + RECT 0.5870 1.3900 0.6290 1.4320 ; + RECT 0.5870 1.4820 0.6290 1.5240 ; + RECT 0.5870 1.0220 0.6290 1.0640 ; + RECT 0.5870 1.4820 0.6290 1.5240 ; + RECT 0.5870 1.0220 0.6290 1.0640 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.5870 1.3900 0.6290 1.4320 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.5870 1.1140 0.6290 1.1560 ; + RECT 0.5870 1.2060 0.6290 1.2480 ; + RECT 0.5870 1.2060 0.6290 1.2480 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5590 ; + RECT 0.5830 0.0300 0.6330 0.4050 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 0.2830 0.4820 0.3250 0.5240 ; + RECT 0.2830 0.3900 0.3250 0.4320 ; + RECT 0.2830 0.3900 0.3250 0.4320 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5870 0.3280 0.6290 0.3700 ; + RECT 0.5870 0.1440 0.6290 0.1860 ; + RECT 0.5870 0.3280 0.6290 0.3700 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5870 0.1440 0.6290 0.1860 ; + RECT 0.5870 0.2360 0.6290 0.2780 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.5500 0.5870 1.7270 0.6630 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 1.5500 0.6630 1.6000 0.8920 ; + RECT 1.4950 0.1160 1.5450 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5640 ; + RECT 0.8870 0.5370 1.7270 0.5870 ; + RECT 0.8870 0.8920 1.6000 0.9420 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + OBS + LAYER CO ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.4350 0.3890 0.4770 0.4310 ; + RECT 0.4350 0.3890 0.4770 0.4310 ; + RECT 0.4350 0.4810 0.4770 0.5230 ; + RECT 0.4350 0.8570 0.4770 0.8990 ; + RECT 0.4350 0.9490 0.4770 0.9910 ; + RECT 0.4350 0.8570 0.4770 0.8990 ; + RECT 0.4350 1.0410 0.4770 1.0830 ; + RECT 0.4350 1.0410 0.4770 1.0830 ; + RECT 0.4350 0.9490 0.4770 0.9910 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 0.1460 0.7810 0.1880 ; + RECT 0.7390 0.2380 0.7810 0.2800 ; + RECT 0.7390 0.3300 0.7810 0.3720 ; + RECT 0.7390 0.3300 0.7810 0.3720 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 0.4220 0.7810 0.4640 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.7390 0.1460 0.7810 0.1880 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + LAYER NWELL ; + RECT -0.1120 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.4870 0.6600 0.7400 0.7100 ; + RECT 0.4310 0.8220 0.5370 0.8720 ; + RECT 0.4310 0.8720 0.4810 1.1180 ; + RECT 0.4830 0.6090 0.5370 0.6370 ; + RECT 0.4870 0.6370 0.5370 0.6600 ; + RECT 0.4310 0.3540 0.4810 0.5320 ; + RECT 0.4830 0.5820 0.5330 0.6090 ; + RECT 0.4310 0.5320 0.5330 0.5820 ; + RECT 0.4870 0.7100 0.5370 0.8220 ; + RECT 0.7910 0.6600 1.5000 0.7100 ; + RECT 0.7350 0.8720 0.7850 1.5590 ; + RECT 0.7350 0.8220 0.8410 0.8720 ; + RECT 0.7910 0.7100 0.8410 0.8220 ; + RECT 0.7350 0.5320 0.8370 0.5820 ; + RECT 0.7350 0.1110 0.7850 0.5320 ; + RECT 0.7870 0.5820 0.8370 0.6090 ; + RECT 0.7910 0.6370 0.8410 0.6600 ; + RECT 0.7870 0.6090 0.8410 0.6370 ; + LAYER PO ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 1.7330 0.0650 1.7630 1.6000 ; + RECT 1.5810 0.0650 1.6110 1.6000 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + END +END IBUFFX4_HVT + +MACRO IBUFFX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4360 0.7300 ; + RECT 0.2490 0.7300 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0237 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 1.7990 0.9920 1.8490 1.6420 ; + RECT 2.1030 0.9920 2.1530 1.6420 ; + RECT 1.4950 0.9920 1.5450 1.6420 ; + RECT 1.1910 0.9920 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4870 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 1.7990 0.0300 1.8490 0.4100 ; + RECT 2.1030 0.0300 2.1530 0.4100 ; + RECT 1.4950 0.0300 1.5450 0.4100 ; + RECT 1.1910 0.0300 1.2410 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.4100 0.4770 0.4520 ; + RECT 0.4350 0.4100 0.4770 0.4520 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.4350 0.3180 0.4770 0.3600 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.3180 0.4770 0.3600 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.4990 0.2410 1.5410 0.2830 ; + RECT 1.4990 0.1490 1.5410 0.1910 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.4990 0.1490 1.5410 0.1910 ; + RECT 1.4990 0.3330 1.5410 0.3750 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 1.8030 0.1490 1.8450 0.1910 ; + RECT 1.8030 0.3330 1.8450 0.3750 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8030 0.1490 1.8450 0.1910 ; + RECT 1.8030 0.2410 1.8450 0.2830 ; + RECT 2.1070 0.2410 2.1490 0.2830 ; + RECT 2.1070 0.1490 2.1490 0.1910 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1950 0.2410 1.2370 0.2830 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1070 0.3330 2.1490 0.3750 ; + RECT 2.1070 0.1490 2.1490 0.1910 ; + RECT 2.1070 0.3330 2.1490 0.3750 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3110 0.5870 2.4870 0.6630 ; + RECT 1.9510 0.9420 2.0010 1.5640 ; + RECT 2.2550 0.9420 2.3050 1.5650 ; + RECT 1.0390 0.9420 1.0890 1.5640 ; + RECT 2.3110 0.6630 2.3610 0.8920 ; + RECT 1.9510 0.1160 2.0010 0.5370 ; + RECT 2.2550 0.1170 2.3050 0.5370 ; + RECT 1.6470 0.1160 1.6970 0.5370 ; + RECT 1.0390 0.1160 1.0890 0.5370 ; + RECT 1.3430 0.1160 1.3930 0.5370 ; + RECT 1.3430 0.9420 1.3930 1.5640 ; + RECT 1.6470 0.9420 1.6970 1.5640 ; + RECT 1.0390 0.8920 2.3610 0.9420 ; + RECT 1.0390 0.5370 2.4870 0.5870 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.6510 0.2430 1.6930 0.2850 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.3350 1.6930 0.3770 ; + RECT 1.6510 0.4270 1.6930 0.4690 ; + RECT 1.6510 0.1510 1.6930 0.1930 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.2430 1.0850 0.2850 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.4270 1.0850 0.4690 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 1.9550 0.4270 1.9970 0.4690 ; + RECT 1.9550 0.3350 1.9970 0.3770 ; + RECT 1.9550 0.3350 1.9970 0.3770 ; + RECT 1.9550 0.2430 1.9970 0.2850 ; + RECT 1.9550 0.1510 1.9970 0.1930 ; + RECT 2.2590 0.1520 2.3010 0.1940 ; + RECT 2.2590 0.2440 2.3010 0.2860 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.4280 2.3010 0.4700 ; + RECT 2.2590 0.1520 2.3010 0.1940 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.3470 0.2430 1.3890 0.2850 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + END + ANTENNADIFFAREA 0.6952 ; + END Y + OBS + LAYER CO ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.5090 0.3250 0.5510 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7730 ; + LAYER M1 ; + RECT 0.9430 0.6600 2.2600 0.7100 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.9390 0.8500 0.9930 0.8700 ; + RECT 0.9430 0.7100 0.9930 0.8500 ; + RECT 0.5830 0.5370 0.9890 0.5870 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.9390 0.8700 0.9890 0.8920 ; + RECT 0.9390 0.5870 0.9890 0.6090 ; + RECT 0.9430 0.6420 0.9930 0.6600 ; + RECT 0.9390 0.6090 0.9930 0.6420 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.5830 0.8920 0.9890 0.9420 ; + RECT 0.4870 0.6600 0.8920 0.7100 ; + RECT 0.2790 0.9420 0.3290 1.2880 ; + RECT 0.2790 0.3050 0.3290 0.5370 ; + RECT 0.2790 0.5370 0.5330 0.5870 ; + RECT 0.2790 0.8920 0.5330 0.9420 ; + RECT 0.4830 0.6090 0.5370 0.6490 ; + RECT 0.4870 0.6490 0.5370 0.6600 ; + RECT 0.4830 0.5870 0.5330 0.6090 ; + RECT 0.4870 0.7100 0.5370 0.8110 ; + RECT 0.4830 0.8700 0.5330 0.8920 ; + RECT 0.4830 0.8110 0.5370 0.8700 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + END +END IBUFFX8_HVT + +MACRO INVX0_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.7300 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0237 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.2790 0.9280 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1320 0.3250 1.1740 ; + RECT 0.2830 1.1320 0.3250 1.1740 ; + RECT 0.2830 1.2240 0.3250 1.2660 ; + RECT 0.2830 1.2240 0.3250 1.2660 ; + RECT 0.2830 0.9480 0.3250 0.9900 ; + RECT 0.2830 0.9480 0.3250 0.9900 ; + RECT 0.2830 1.0400 0.3250 1.0820 ; + RECT 0.2830 1.0400 0.3250 1.0820 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.5640 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.3180 0.3250 0.3600 ; + RECT 0.2830 0.3180 0.3250 0.3600 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + RECT 0.2830 0.5020 0.3250 0.5440 ; + RECT 0.2830 0.4100 0.3250 0.4520 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4310 0.3050 0.4810 0.5370 ; + RECT 0.4310 0.8230 0.5210 0.8730 ; + RECT 0.4310 0.8730 0.4810 1.2880 ; + RECT 0.4710 0.5870 0.6630 0.6630 ; + RECT 0.4710 0.6630 0.5210 0.8230 ; + RECT 0.4310 0.5370 0.6630 0.5870 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.4170 0.4770 0.4590 ; + RECT 0.4350 0.4170 0.4770 0.4590 ; + RECT 0.4350 0.5090 0.4770 0.5510 ; + RECT 0.4350 0.5090 0.4770 0.5510 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.3250 0.4770 0.3670 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + END + ANTENNADIFFAREA 0.0805 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER PO ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + END +END INVX0_HVT + +MACRO INVX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 2.7160 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.6640 1.6170 0.7060 ; + RECT 2.0310 0.6640 2.0730 0.7060 ; + RECT 1.7270 0.6640 1.7690 0.7060 ; + RECT 1.8790 0.6640 1.9210 0.7060 ; + RECT 1.4230 0.6640 1.4650 0.7060 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.3350 0.6640 2.3770 0.7060 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 0.6630 0.6640 0.7050 0.7060 ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + RECT 1.1190 0.6640 1.1610 0.7060 ; + RECT 1.2710 0.6640 1.3130 0.7060 ; + RECT 0.9670 0.6640 1.0090 0.7060 ; + RECT 0.8150 0.6640 0.8570 0.7060 ; + END + ANTENNAGATEAREA 0.5856 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 2.2550 0.9920 2.3050 1.6420 ; + RECT 1.9510 0.9920 2.0010 1.6420 ; + RECT 1.6470 0.9920 1.6970 1.6420 ; + RECT 1.0390 0.9920 1.0890 1.6420 ; + RECT 1.3430 0.9920 1.3930 1.6420 ; + RECT 0.7350 0.9920 0.7850 1.6420 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.3030 2.3010 1.3450 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.0270 2.3010 1.0690 ; + RECT 2.2590 1.1190 2.3010 1.1610 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.2110 2.3010 1.2530 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.4870 2.3010 1.5290 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.4870 0.7810 1.5290 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.3030 1.0850 1.3450 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 1.0430 1.4870 1.0850 1.5290 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.0430 1.3950 1.0850 1.4370 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 2.2550 0.0300 2.3050 0.4100 ; + RECT 1.9510 0.0300 2.0010 0.4100 ; + RECT 1.6470 0.0300 1.6970 0.4100 ; + RECT 1.0390 0.0300 1.0890 0.4100 ; + RECT 1.3430 0.0300 1.3930 0.4100 ; + RECT 0.7350 0.0300 0.7850 0.4100 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + END + PORT + LAYER CO ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.3330 1.9970 0.3750 ; + RECT 1.9550 0.1490 1.9970 0.1910 ; + RECT 1.9550 0.2410 1.9970 0.2830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.6510 0.2410 1.6930 0.2830 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.6510 0.1490 1.6930 0.1910 ; + RECT 1.6510 0.3330 1.6930 0.3750 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.3330 2.3010 0.3750 ; + RECT 2.2590 0.1490 2.3010 0.1910 ; + RECT 2.2590 0.2410 2.3010 0.2830 ; + RECT 0.7390 0.2410 0.7810 0.2830 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 0.7390 0.1490 0.7810 0.1910 ; + RECT 0.7390 0.3330 0.7810 0.3750 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 0.1490 1.0850 0.1910 ; + RECT 1.0430 0.2410 1.0850 0.2830 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 1.3470 0.1490 1.3890 0.1910 ; + RECT 1.3470 0.3330 1.3890 0.3750 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7700 0.5870 2.9430 0.6630 ; + RECT 2.7110 0.9420 2.7610 1.5640 ; + RECT 2.4070 0.9420 2.4570 1.5640 ; + RECT 2.1030 0.9420 2.1530 1.5640 ; + RECT 1.1910 0.9420 1.2410 1.5640 ; + RECT 1.4950 0.9420 1.5450 1.5650 ; + RECT 1.7990 0.9420 1.8490 1.5640 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 2.7700 0.6630 2.8200 0.8920 ; + RECT 2.7110 0.1160 2.7610 0.5370 ; + RECT 2.4070 0.1160 2.4570 0.5370 ; + RECT 1.1910 0.1160 1.2410 0.5370 ; + RECT 1.4950 0.1170 1.5450 0.5370 ; + RECT 1.7990 0.1160 1.8490 0.5370 ; + RECT 2.1030 0.1160 2.1530 0.5370 ; + RECT 0.8870 0.1160 0.9370 0.5370 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.8870 0.9420 0.9370 1.5640 ; + RECT 0.2790 0.8920 2.8200 0.9420 ; + RECT 0.2790 0.5370 2.9430 0.5870 ; + END + PORT + LAYER CO ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.3030 2.1490 1.3450 ; + RECT 2.1070 1.4870 2.1490 1.5290 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.2110 2.1490 1.2530 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 2.1070 1.1190 2.1490 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.2430 2.4530 0.2850 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.8910 0.2430 0.9330 0.2850 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.3350 0.9330 0.3770 ; + RECT 0.8910 0.4270 0.9330 0.4690 ; + RECT 0.8910 0.1510 0.9330 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.4870 1.2370 1.5290 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.1950 0.4270 1.2370 0.4690 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.4280 1.5410 0.4700 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.3040 1.5410 1.3460 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.2120 1.5410 1.2540 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.3960 1.5410 1.4380 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.4880 1.5410 1.5300 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 1.4990 1.1200 1.5410 1.1620 ; + RECT 1.4990 1.0280 1.5410 1.0700 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.4270 2.4530 0.4690 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.4870 0.9330 1.5290 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 1.8030 0.4270 1.8450 0.4690 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.3350 1.8450 0.3770 ; + RECT 1.8030 0.2430 1.8450 0.2850 ; + RECT 1.8030 0.1510 1.8450 0.1930 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 0.2430 2.1490 0.2850 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.3350 2.1490 0.3770 ; + RECT 2.1070 0.4270 2.1490 0.4690 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 2.1070 1.3950 2.1490 1.4370 ; + RECT 2.1070 1.0270 2.1490 1.0690 ; + END + ANTENNADIFFAREA 1.2904 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 3.1550 1.7730 ; + LAYER PO ; + RECT 2.7970 0.0690 2.8270 1.6060 ; + RECT 2.9490 0.0690 2.9790 1.6060 ; + RECT 2.6450 0.0690 2.6750 1.6060 ; + RECT 1.4290 0.0690 1.4590 1.6060 ; + RECT 1.5810 0.0690 1.6110 1.6060 ; + RECT 1.7330 0.0690 1.7630 1.6060 ; + RECT 2.3410 0.0690 2.3710 1.6060 ; + RECT 2.1890 0.0690 2.2190 1.6060 ; + RECT 2.0370 0.0690 2.0670 1.6060 ; + RECT 1.8850 0.0690 1.9150 1.6060 ; + RECT 2.4930 0.0690 2.5230 1.6060 ; + RECT 1.2770 0.0690 1.3070 1.6060 ; + RECT 1.1250 0.0690 1.1550 1.6060 ; + RECT 0.9730 0.0690 1.0030 1.6060 ; + RECT 0.2130 0.0690 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.8210 0.0690 0.8510 1.6060 ; + RECT 0.6690 0.0690 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.0610 0.0690 0.0910 1.6060 ; + END +END INVX16_HVT + +MACRO INVX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4210 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + ANTENNAGATEAREA 0.0366 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.5370 0.6620 0.5870 ; + RECT 0.4710 0.5870 0.6620 0.6630 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.2790 0.8920 0.5210 0.9420 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.4710 0.6630 0.5210 0.8920 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER PO ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + END +END INVX1_HVT + +MACRO INVX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.912 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.5880 0.7100 ; + RECT 0.2490 0.7100 0.3620 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + RECT 0.5110 0.6640 0.5530 0.7060 ; + END + ANTENNAGATEAREA 0.0732 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.9120 1.7020 ; + RECT 0.4310 0.9920 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.9120 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.4100 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2790 0.5370 0.8150 0.5870 ; + RECT 0.6390 0.5870 0.8150 0.6630 ; + RECT 0.2790 0.1160 0.3290 0.5370 ; + RECT 0.5830 0.1160 0.6330 0.5370 ; + RECT 0.5830 0.9420 0.6330 1.5640 ; + RECT 0.6390 0.6630 0.6890 0.8920 ; + RECT 0.2790 0.9420 0.3290 1.5640 ; + RECT 0.2790 0.8920 0.6890 0.9420 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 0.2430 0.3250 0.2850 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.3350 0.3250 0.3770 ; + RECT 0.2830 0.4270 0.3250 0.4690 ; + RECT 0.2830 0.1510 0.3250 0.1930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.1510 0.6290 0.1930 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.2430 0.6290 0.2850 ; + RECT 0.5870 1.4870 0.6290 1.5290 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 0.3350 0.6290 0.3770 ; + RECT 0.5870 0.4270 0.6290 0.4690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.0270 1.7730 ; + LAYER PO ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.8210 0.0710 0.8510 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0690 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + END +END INVX2_HVT + +MACRO FOOTX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 2.1030 0.8810 2.1530 1.5610 ; + RECT 2.4070 0.8810 2.4570 1.5610 ; + RECT 2.7110 0.8810 2.7610 1.5610 ; + RECT 3.0150 0.8810 3.0650 1.5610 ; + RECT 1.7990 0.8810 1.8490 1.5610 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 3.3190 0.8810 3.3690 1.5610 ; + RECT 0.7350 0.8310 3.3690 0.8810 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.3130 3.3650 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 3.3230 1.4990 3.3650 1.5410 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 2.1070 1.4070 2.1490 1.4490 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 2.1070 1.4990 2.1490 1.5410 ; + RECT 2.4110 1.4990 2.4530 1.5410 ; + RECT 2.4110 1.3130 2.4530 1.3550 ; + RECT 2.4110 1.4070 2.4530 1.4490 ; + RECT 2.4110 1.2210 2.4530 1.2630 ; + RECT 2.7150 1.4990 2.7570 1.5410 ; + RECT 2.7150 1.3130 2.7570 1.3550 ; + RECT 2.7150 1.4070 2.7570 1.4490 ; + RECT 2.7150 1.2210 2.7570 1.2630 ; + RECT 3.0190 1.2210 3.0610 1.2630 ; + RECT 3.0190 1.4070 3.0610 1.4490 ; + RECT 3.0190 1.3130 3.0610 1.3550 ; + RECT 3.0190 1.4990 3.0610 1.5410 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.3130 1.8450 1.3550 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 1.8030 1.2210 1.8450 1.2630 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 3.3230 1.2210 3.3650 1.2630 ; + RECT 3.3230 1.4070 3.3650 1.4490 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6440 4.2560 1.7000 ; + RECT 1.3430 1.2010 1.3930 1.6440 ; + RECT 3.4710 1.2010 3.5210 1.6440 ; + RECT 3.1670 1.2010 3.2170 1.6440 ; + RECT 2.8630 1.2010 2.9130 1.6440 ; + RECT 2.5590 1.2010 2.6090 1.6440 ; + RECT 2.2550 1.2010 2.3050 1.6440 ; + RECT 1.9510 1.2010 2.0010 1.6440 ; + RECT 1.0390 1.2010 1.0890 1.6440 ; + RECT 1.6470 1.2010 1.6970 1.6440 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + RECT 3.4750 1.4990 3.5170 1.5410 ; + RECT 3.4750 1.3130 3.5170 1.3550 ; + RECT 3.4750 1.4070 3.5170 1.4490 ; + RECT 3.4750 1.2210 3.5170 1.2630 ; + RECT 3.1710 1.2210 3.2130 1.2630 ; + RECT 3.1710 1.4070 3.2130 1.4490 ; + RECT 3.1710 1.3130 3.2130 1.3550 ; + RECT 3.1710 1.4990 3.2130 1.5410 ; + RECT 2.8670 1.2210 2.9090 1.2630 ; + RECT 2.8670 1.4070 2.9090 1.4490 ; + RECT 2.8670 1.3130 2.9090 1.3550 ; + RECT 2.8670 1.4990 2.9090 1.5410 ; + RECT 2.5630 1.4990 2.6050 1.5410 ; + RECT 2.5630 1.3130 2.6050 1.3550 ; + RECT 2.5630 1.4070 2.6050 1.4490 ; + RECT 2.5630 1.2210 2.6050 1.2630 ; + RECT 2.2590 1.4990 2.3010 1.5410 ; + RECT 2.2590 1.3130 2.3010 1.3550 ; + RECT 2.2590 1.4070 2.3010 1.4490 ; + RECT 2.2590 1.2210 2.3010 1.2630 ; + RECT 1.9550 1.2210 1.9970 1.2630 ; + RECT 1.9550 1.4070 1.9970 1.4490 ; + RECT 1.9550 1.3130 1.9970 1.3550 ; + RECT 1.9550 1.4990 1.9970 1.5410 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 3.4610 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 2.4870 0.6790 2.5290 0.7210 ; + RECT 2.3350 0.6790 2.3770 0.7210 ; + RECT 2.6390 0.6790 2.6810 0.7210 ; + RECT 2.7910 0.6790 2.8330 0.7210 ; + RECT 2.9430 0.6790 2.9850 0.7210 ; + RECT 3.0950 0.6790 3.1370 0.7210 ; + RECT 3.2470 0.6790 3.2890 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 2.0310 0.6790 2.0730 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 3.3990 0.6790 3.4410 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + ANTENNAGATEAREA 0.2016 ; + END SLEEP + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0280 4.2560 0.0280 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3160 4.2560 3.3720 ; + END + PORT + LAYER CO ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1120 2.3510 4.3850 3.4540 ; + RECT 0.2300 1.6770 4.0260 2.3510 ; + RECT 0.2300 0.9930 0.5580 1.6770 ; + RECT 3.6580 0.9930 4.0260 1.6770 ; + RECT -0.1090 0.7460 0.5580 0.9930 ; + RECT 3.6580 0.7460 4.3830 0.9930 ; + RECT -0.1090 -0.1410 4.3830 0.7460 ; + LAYER PO ; + RECT 1.8850 1.7880 1.9150 3.2680 ; + RECT 2.1890 1.7880 2.2190 3.2680 ; + RECT 1.2770 1.7880 1.3070 3.2680 ; + RECT 2.3410 1.7880 2.3710 3.2680 ; + RECT 1.1250 1.7880 1.1550 3.2680 ; + RECT 1.7330 1.7880 1.7630 3.2680 ; + RECT 1.4290 1.7880 1.4590 3.2680 ; + RECT 0.9730 1.7880 1.0030 3.2680 ; + RECT 3.7090 1.7880 3.7390 3.2680 ; + RECT 0.8210 1.7880 0.8510 3.2680 ; + RECT 1.5810 1.7880 1.6110 3.2680 ; + RECT 0.6690 1.7880 0.6990 3.2680 ; + RECT 2.0370 1.7880 2.0670 3.2680 ; + RECT 3.5570 1.7880 3.5870 3.2680 ; + RECT 3.4050 1.7880 3.4350 3.2680 ; + RECT 3.2530 1.7880 3.2830 3.2680 ; + RECT 3.1010 1.7880 3.1310 3.2680 ; + RECT 2.9490 1.7880 2.9790 3.2680 ; + RECT 2.7970 1.7880 2.8270 3.2680 ; + RECT 2.6450 1.7880 2.6750 3.2680 ; + RECT 2.4930 1.7880 2.5230 3.2680 ; + RECT 4.1650 1.7880 4.1950 3.2680 ; + RECT 4.0130 1.7880 4.0430 3.2680 ; + RECT 3.8610 1.7880 3.8910 3.2680 ; + RECT 0.2130 1.7880 0.2430 3.2680 ; + RECT 0.3650 1.7880 0.3950 3.2680 ; + RECT 0.5170 1.7880 0.5470 3.2680 ; + RECT 0.0610 1.7880 0.0910 3.2680 ; + RECT 0.0610 0.1410 0.0910 1.6210 ; + RECT 0.5170 0.1410 0.5470 1.6210 ; + RECT 0.3650 0.1410 0.3950 1.6210 ; + RECT 0.2130 0.1410 0.2430 1.6210 ; + RECT 3.8610 0.1410 3.8910 1.6210 ; + RECT 4.0130 0.1410 4.0430 1.6210 ; + RECT 4.1650 0.1410 4.1950 1.6210 ; + RECT 2.4930 0.1410 2.5230 1.6210 ; + RECT 2.6450 0.1410 2.6750 1.6210 ; + RECT 2.7970 0.1410 2.8270 1.6210 ; + RECT 2.9490 0.1410 2.9790 1.6210 ; + RECT 3.1010 0.1410 3.1310 1.6210 ; + RECT 3.2530 0.1410 3.2830 1.6210 ; + RECT 3.4050 0.1410 3.4350 1.6210 ; + RECT 3.5570 0.1410 3.5870 1.6210 ; + RECT 2.0370 0.1410 2.0670 1.6210 ; + RECT 0.6690 0.1410 0.6990 1.6210 ; + RECT 1.5810 0.1410 1.6110 1.6210 ; + RECT 0.8210 0.1410 0.8510 1.6210 ; + RECT 3.7090 0.1410 3.7390 1.6210 ; + RECT 0.9730 0.1410 1.0030 1.6210 ; + RECT 1.4290 0.1410 1.4590 1.6210 ; + RECT 1.7330 0.1410 1.7630 1.6210 ; + RECT 1.1250 0.1410 1.1550 1.6210 ; + RECT 2.3410 0.1410 2.3710 1.6210 ; + RECT 1.2770 0.1410 1.3070 1.6210 ; + RECT 2.1890 0.1410 2.2190 1.6210 ; + RECT 1.8850 0.1410 1.9150 1.6210 ; + END +END FOOTX16_HVT + +MACRO FOOTX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8310 1.2410 0.8810 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + END + END VSSG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0280 2.1280 0.0280 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3160 2.1280 3.3720 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + END + END VDD + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6440 2.1280 1.7000 ; + RECT 1.3430 1.2010 1.3930 1.6440 ; + RECT 1.0390 1.2010 1.0890 1.6440 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 1.3330 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + ANTENNAGATEAREA 0.0252 ; + END SLEEP + OBS + LAYER NWELL ; + RECT -0.1290 2.3510 2.2470 3.4520 ; + RECT 0.2300 1.9040 1.8970 2.3510 ; + RECT 0.2300 0.9930 0.4930 1.9040 ; + RECT 1.6000 0.9930 1.8970 1.9040 ; + RECT -0.1190 0.9160 0.4930 0.9930 ; + RECT 1.6000 0.9160 2.2620 0.9930 ; + RECT -0.1190 -0.1010 2.2620 0.9160 ; + LAYER PO ; + RECT 1.2770 1.8600 1.3070 3.2430 ; + RECT 1.1250 1.8600 1.1550 3.2430 ; + RECT 1.4290 1.8600 1.4590 3.2430 ; + RECT 0.9730 1.8600 1.0030 3.2430 ; + RECT 1.5810 1.8600 1.6110 3.2430 ; + RECT 0.8210 1.8600 0.8510 3.2430 ; + RECT 0.6690 1.8600 0.6990 3.2430 ; + RECT 1.7330 1.8600 1.7630 3.2430 ; + RECT 1.8850 1.8600 1.9150 3.2430 ; + RECT 2.0370 1.8600 2.0670 3.2430 ; + RECT 0.3650 1.8600 0.3950 3.2430 ; + RECT 0.2130 1.8600 0.2430 3.2430 ; + RECT 0.0610 1.8600 0.0910 3.2430 ; + RECT 0.5170 1.8600 0.5470 3.2430 ; + RECT 0.5170 0.1330 0.5470 1.6210 ; + RECT 0.0610 0.1330 0.0910 1.6210 ; + RECT 0.2130 0.1330 0.2430 1.6210 ; + RECT 0.3650 0.1330 0.3950 1.6210 ; + RECT 2.0370 0.1330 2.0670 1.6210 ; + RECT 1.8850 0.1330 1.9150 1.6210 ; + RECT 1.7330 0.1330 1.7630 1.6210 ; + RECT 0.6690 0.1330 0.6990 1.6210 ; + RECT 0.8210 0.1330 0.8510 1.6210 ; + RECT 1.5810 0.1330 1.6110 1.6210 ; + RECT 0.9730 0.1330 1.0030 1.6210 ; + RECT 1.4290 0.1330 1.4590 1.6210 ; + RECT 1.1250 0.1330 1.1550 1.6210 ; + RECT 1.2770 0.1330 1.3070 1.6210 ; + END +END FOOTX2_HVT + +MACRO FOOTX32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.688 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 3.3190 0.8810 3.3690 1.5610 ; + RECT 3.6230 0.8810 3.6730 1.5610 ; + RECT 3.9270 0.8810 3.9770 1.5610 ; + RECT 4.2310 0.8810 4.2810 1.5610 ; + RECT 4.5350 0.8810 4.5850 1.5610 ; + RECT 4.8390 0.8810 4.8890 1.5610 ; + RECT 5.1430 0.8810 5.1930 1.5610 ; + RECT 5.4470 0.8810 5.4970 1.5610 ; + RECT 2.1030 0.8810 2.1530 1.5610 ; + RECT 2.4070 0.8810 2.4570 1.5610 ; + RECT 2.7110 0.8810 2.7610 1.5610 ; + RECT 3.0150 0.8810 3.0650 1.5610 ; + RECT 1.7990 0.8810 1.8490 1.5610 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 5.7510 0.8810 5.8010 1.5610 ; + RECT 0.7350 0.8310 5.8010 0.8810 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 5.7550 1.3130 5.7970 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 5.7550 1.4990 5.7970 1.5410 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 2.1070 1.4070 2.1490 1.4490 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 2.1070 1.4990 2.1490 1.5410 ; + RECT 2.4110 1.4990 2.4530 1.5410 ; + RECT 2.4110 1.3130 2.4530 1.3550 ; + RECT 2.4110 1.4070 2.4530 1.4490 ; + RECT 2.4110 1.2210 2.4530 1.2630 ; + RECT 2.7150 1.4990 2.7570 1.5410 ; + RECT 2.7150 1.3130 2.7570 1.3550 ; + RECT 2.7150 1.4070 2.7570 1.4490 ; + RECT 2.7150 1.2210 2.7570 1.2630 ; + RECT 3.0190 1.2210 3.0610 1.2630 ; + RECT 3.0190 1.4070 3.0610 1.4490 ; + RECT 3.0190 1.3130 3.0610 1.3550 ; + RECT 3.0190 1.4990 3.0610 1.5410 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.3130 1.8450 1.3550 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 1.8030 1.2210 1.8450 1.2630 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 3.3230 1.2210 3.3650 1.2630 ; + RECT 3.3230 1.4070 3.3650 1.4490 ; + RECT 3.3230 1.3130 3.3650 1.3550 ; + RECT 3.3230 1.4990 3.3650 1.5410 ; + RECT 3.6270 1.4990 3.6690 1.5410 ; + RECT 3.6270 1.3130 3.6690 1.3550 ; + RECT 3.6270 1.4070 3.6690 1.4490 ; + RECT 3.6270 1.2210 3.6690 1.2630 ; + RECT 3.9310 1.4990 3.9730 1.5410 ; + RECT 3.9310 1.3130 3.9730 1.3550 ; + RECT 3.9310 1.4070 3.9730 1.4490 ; + RECT 3.9310 1.2210 3.9730 1.2630 ; + RECT 4.2350 1.2210 4.2770 1.2630 ; + RECT 4.2350 1.4070 4.2770 1.4490 ; + RECT 4.2350 1.3130 4.2770 1.3550 ; + RECT 4.2350 1.4990 4.2770 1.5410 ; + RECT 4.5390 1.2210 4.5810 1.2630 ; + RECT 4.5390 1.4070 4.5810 1.4490 ; + RECT 4.5390 1.3130 4.5810 1.3550 ; + RECT 4.5390 1.4990 4.5810 1.5410 ; + RECT 4.8430 1.4990 4.8850 1.5410 ; + RECT 4.8430 1.3130 4.8850 1.3550 ; + RECT 4.8430 1.4070 4.8850 1.4490 ; + RECT 4.8430 1.2210 4.8850 1.2630 ; + RECT 5.1470 1.4990 5.1890 1.5410 ; + RECT 5.1470 1.3130 5.1890 1.3550 ; + RECT 5.1470 1.4070 5.1890 1.4490 ; + RECT 5.1470 1.2210 5.1890 1.2630 ; + RECT 5.4510 1.2210 5.4930 1.2630 ; + RECT 5.4510 1.4070 5.4930 1.4490 ; + RECT 5.4510 1.3130 5.4930 1.3550 ; + RECT 5.4510 1.4990 5.4930 1.5410 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 5.7550 1.2210 5.7970 1.2630 ; + RECT 5.7550 1.4070 5.7970 1.4490 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + END + END VSSG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.6880 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 6.6880 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 5.0710 3.3230 5.1130 3.3650 ; + RECT 5.2230 3.3230 5.2650 3.3650 ; + RECT 5.3750 3.3230 5.4170 3.3650 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 5.8310 3.3230 5.8730 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 6.4390 3.3230 6.4810 3.3650 ; + RECT 6.5910 3.3230 6.6330 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + END + END VDD + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.6880 1.7020 ; + RECT 5.9030 1.2000 5.9530 1.6420 ; + RECT 5.5990 1.2000 5.6490 1.6420 ; + RECT 5.2950 1.2000 5.3450 1.6420 ; + RECT 4.9910 1.2000 5.0410 1.6420 ; + RECT 4.6870 1.2000 4.7370 1.6420 ; + RECT 4.3830 1.2010 4.4330 1.6420 ; + RECT 4.0790 1.2010 4.1290 1.6420 ; + RECT 3.7750 1.2010 3.8250 1.6420 ; + RECT 3.4710 1.2010 3.5210 1.6420 ; + RECT 3.1670 1.2010 3.2170 1.6420 ; + RECT 2.8630 1.2010 2.9130 1.6420 ; + RECT 2.5590 1.2010 2.6090 1.6420 ; + RECT 2.2550 1.2010 2.3050 1.6420 ; + RECT 1.9510 1.2010 2.0010 1.6420 ; + RECT 1.0390 1.2010 1.0890 1.6420 ; + RECT 1.6470 1.2010 1.6970 1.6420 ; + RECT 1.3430 1.2010 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 5.9070 1.4060 5.9490 1.4480 ; + RECT 5.9070 1.2200 5.9490 1.2620 ; + RECT 5.6030 1.2200 5.6450 1.2620 ; + RECT 5.6030 1.4060 5.6450 1.4480 ; + RECT 5.6030 1.3120 5.6450 1.3540 ; + RECT 5.6030 1.4980 5.6450 1.5400 ; + RECT 5.2990 1.2200 5.3410 1.2620 ; + RECT 5.2990 1.4060 5.3410 1.4480 ; + RECT 5.2990 1.3120 5.3410 1.3540 ; + RECT 5.2990 1.4980 5.3410 1.5400 ; + RECT 4.9950 1.4980 5.0370 1.5400 ; + RECT 4.9950 1.3120 5.0370 1.3540 ; + RECT 4.9950 1.4060 5.0370 1.4480 ; + RECT 4.9950 1.2200 5.0370 1.2620 ; + RECT 4.6910 1.4980 4.7330 1.5400 ; + RECT 4.6910 1.3120 4.7330 1.3540 ; + RECT 4.6910 1.4060 4.7330 1.4480 ; + RECT 4.6910 1.2200 4.7330 1.2620 ; + RECT 4.3870 1.2210 4.4290 1.2630 ; + RECT 4.3870 1.4070 4.4290 1.4490 ; + RECT 4.3870 1.3130 4.4290 1.3550 ; + RECT 4.3870 1.4990 4.4290 1.5410 ; + RECT 4.0830 1.2210 4.1250 1.2630 ; + RECT 4.0830 1.4070 4.1250 1.4490 ; + RECT 4.0830 1.3130 4.1250 1.3550 ; + RECT 4.0830 1.4990 4.1250 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + RECT 3.7790 1.4990 3.8210 1.5410 ; + RECT 3.7790 1.3130 3.8210 1.3550 ; + RECT 3.7790 1.4070 3.8210 1.4490 ; + RECT 3.7790 1.2210 3.8210 1.2630 ; + RECT 3.4750 1.4990 3.5170 1.5410 ; + RECT 3.4750 1.3130 3.5170 1.3550 ; + RECT 3.4750 1.4070 3.5170 1.4490 ; + RECT 3.4750 1.2210 3.5170 1.2630 ; + RECT 3.1710 1.2210 3.2130 1.2630 ; + RECT 3.1710 1.4070 3.2130 1.4490 ; + RECT 3.1710 1.3130 3.2130 1.3550 ; + RECT 3.1710 1.4990 3.2130 1.5410 ; + RECT 2.8670 1.2210 2.9090 1.2630 ; + RECT 2.8670 1.4070 2.9090 1.4490 ; + RECT 2.8670 1.3130 2.9090 1.3550 ; + RECT 2.8670 1.4990 2.9090 1.5410 ; + RECT 2.5630 1.4990 2.6050 1.5410 ; + RECT 2.5630 1.3130 2.6050 1.3550 ; + RECT 2.5630 1.4070 2.6050 1.4490 ; + RECT 2.5630 1.2210 2.6050 1.2630 ; + RECT 2.2590 1.4990 2.3010 1.5410 ; + RECT 2.2590 1.3130 2.3010 1.3550 ; + RECT 2.2590 1.4070 2.3010 1.4490 ; + RECT 2.2590 1.2210 2.3010 1.2630 ; + RECT 1.9550 1.2210 1.9970 1.2630 ; + RECT 1.9550 1.4070 1.9970 1.4490 ; + RECT 1.9550 1.3130 1.9970 1.3550 ; + RECT 1.9550 1.4990 1.9970 1.5410 ; + RECT 5.9070 1.4980 5.9490 1.5400 ; + RECT 5.9070 1.3120 5.9490 1.3540 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 5.8930 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 5.6790 0.6790 5.7210 0.7210 ; + RECT 3.7030 0.6790 3.7450 0.7210 ; + RECT 3.5510 0.6790 3.5930 0.7210 ; + RECT 3.3990 0.6790 3.4410 0.7210 ; + RECT 3.8550 0.6790 3.8970 0.7210 ; + RECT 4.0070 0.6790 4.0490 0.7210 ; + RECT 4.1590 0.6790 4.2010 0.7210 ; + RECT 4.3110 0.6790 4.3530 0.7210 ; + RECT 4.4630 0.6790 4.5050 0.7210 ; + RECT 4.7670 0.6790 4.8090 0.7210 ; + RECT 4.6150 0.6790 4.6570 0.7210 ; + RECT 4.9190 0.6790 4.9610 0.7210 ; + RECT 5.0710 0.6790 5.1130 0.7210 ; + RECT 5.2230 0.6790 5.2650 0.7210 ; + RECT 5.3750 0.6790 5.4170 0.7210 ; + RECT 5.5270 0.6790 5.5690 0.7210 ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 2.4870 0.6790 2.5290 0.7210 ; + RECT 2.3350 0.6790 2.3770 0.7210 ; + RECT 2.6390 0.6790 2.6810 0.7210 ; + RECT 2.7910 0.6790 2.8330 0.7210 ; + RECT 2.9430 0.6790 2.9850 0.7210 ; + RECT 3.0950 0.6790 3.1370 0.7210 ; + RECT 3.2470 0.6790 3.2890 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 2.0310 0.6790 2.0730 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 5.8310 0.6790 5.8730 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + END + ANTENNAGATEAREA 0.4032 ; + END SLEEP + OBS + LAYER NWELL ; + RECT -0.2050 2.3510 6.8540 3.5630 ; + RECT 0.2300 1.6990 6.4580 2.3510 ; + RECT 0.2300 0.9930 0.4870 1.6990 ; + RECT 6.1710 0.9930 6.4580 1.6990 ; + RECT -0.1390 0.6330 0.4870 0.9930 ; + RECT 6.1710 0.6330 6.8520 0.9930 ; + RECT -0.1390 -0.1700 6.8520 0.6330 ; + LAYER PO ; + RECT 2.0370 0.1510 2.0670 1.6210 ; + RECT 0.6690 0.1510 0.6990 1.6210 ; + RECT 4.4690 1.8290 4.4990 3.2100 ; + RECT 1.5810 0.1510 1.6110 1.6210 ; + RECT 0.8210 0.1510 0.8510 1.6210 ; + RECT 6.1410 0.1510 6.1710 1.6210 ; + RECT 0.9730 0.1510 1.0030 1.6210 ; + RECT 1.4290 0.1510 1.4590 1.6210 ; + RECT 1.7330 0.1510 1.7630 1.6210 ; + RECT 1.1250 0.1510 1.1550 1.6210 ; + RECT 2.3410 0.1510 2.3710 1.6210 ; + RECT 4.3170 1.8290 4.3470 3.2100 ; + RECT 1.2770 0.1510 1.3070 1.6210 ; + RECT 2.1890 0.1510 2.2190 1.6210 ; + RECT 1.8850 0.1510 1.9150 1.6210 ; + RECT 6.2930 1.8290 6.3230 3.2100 ; + RECT 6.4450 1.8290 6.4750 3.2100 ; + RECT 6.5970 1.8290 6.6270 3.2100 ; + RECT 0.0610 1.8290 0.0910 3.2100 ; + RECT 0.2130 1.8290 0.2430 3.2100 ; + RECT 0.3650 1.8290 0.3950 3.2100 ; + RECT 0.5170 1.8290 0.5470 3.2100 ; + RECT 3.7090 1.8290 3.7390 3.2100 ; + RECT 3.8610 1.8290 3.8910 3.2100 ; + RECT 4.0130 1.8290 4.0430 3.2100 ; + RECT 4.1650 1.8290 4.1950 3.2100 ; + RECT 0.5170 0.1510 0.5470 1.6210 ; + RECT 0.3650 0.1510 0.3950 1.6210 ; + RECT 0.2130 0.1510 0.2430 1.6210 ; + RECT 0.0610 0.1510 0.0910 1.6210 ; + RECT 6.5970 0.1510 6.6270 1.6210 ; + RECT 6.4450 0.1510 6.4750 1.6210 ; + RECT 6.2930 0.1510 6.3230 1.6210 ; + RECT 4.6210 1.8290 4.6510 3.2100 ; + RECT 4.7730 1.8290 4.8030 3.2100 ; + RECT 4.9250 1.8290 4.9550 3.2100 ; + RECT 5.0770 1.8290 5.1070 3.2100 ; + RECT 5.2290 1.8290 5.2590 3.2100 ; + RECT 5.3810 1.8290 5.4110 3.2100 ; + RECT 5.5330 1.8290 5.5630 3.2100 ; + RECT 5.6850 1.8290 5.7150 3.2100 ; + RECT 5.9890 1.8290 6.0190 3.2100 ; + RECT 5.8370 1.8290 5.8670 3.2100 ; + RECT 2.4930 1.8290 2.5230 3.2100 ; + RECT 2.6450 1.8290 2.6750 3.2100 ; + RECT 2.7970 1.8290 2.8270 3.2100 ; + RECT 2.9490 1.8290 2.9790 3.2100 ; + RECT 3.1010 1.8290 3.1310 3.2100 ; + RECT 3.2530 1.8290 3.2830 3.2100 ; + RECT 3.4050 1.8290 3.4350 3.2100 ; + RECT 3.5570 1.8290 3.5870 3.2100 ; + RECT 2.0370 1.8290 2.0670 3.2100 ; + RECT 0.6690 1.8290 0.6990 3.2100 ; + RECT 1.5810 1.8290 1.6110 3.2100 ; + RECT 0.8210 1.8290 0.8510 3.2100 ; + RECT 6.1410 1.8290 6.1710 3.2100 ; + RECT 0.9730 1.8290 1.0030 3.2100 ; + RECT 1.4290 1.8290 1.4590 3.2100 ; + RECT 1.7330 1.8290 1.7630 3.2100 ; + RECT 1.1250 1.8290 1.1550 3.2100 ; + RECT 2.3410 1.8290 2.3710 3.2100 ; + RECT 1.2770 1.8290 1.3070 3.2100 ; + RECT 2.1890 1.8290 2.2190 3.2100 ; + RECT 1.8850 1.8290 1.9150 3.2100 ; + RECT 3.7090 0.1510 3.7390 1.6210 ; + RECT 3.8610 0.1510 3.8910 1.6210 ; + RECT 4.0130 0.1510 4.0430 1.6210 ; + RECT 4.1650 0.1510 4.1950 1.6210 ; + RECT 4.3170 0.1510 4.3470 1.6210 ; + RECT 4.4690 0.1510 4.4990 1.6210 ; + RECT 4.6210 0.1510 4.6510 1.6210 ; + RECT 4.7730 0.1510 4.8030 1.6210 ; + RECT 4.9250 0.1510 4.9550 1.6210 ; + RECT 5.0770 0.1510 5.1070 1.6210 ; + RECT 5.2290 0.1510 5.2590 1.6210 ; + RECT 5.3810 0.1510 5.4110 1.6210 ; + RECT 5.5330 0.1510 5.5630 1.6210 ; + RECT 5.6850 0.1510 5.7150 1.6210 ; + RECT 5.9890 0.1510 6.0190 1.6210 ; + RECT 5.8370 0.1510 5.8670 1.6210 ; + RECT 2.4930 0.1510 2.5230 1.6210 ; + RECT 2.6450 0.1510 2.6750 1.6210 ; + RECT 2.7970 0.1510 2.8270 1.6210 ; + RECT 2.9490 0.1510 2.9790 1.6210 ; + RECT 3.1010 0.1510 3.1310 1.6210 ; + RECT 3.2530 0.1510 3.2830 1.6210 ; + RECT 3.4050 0.1510 3.4350 1.6210 ; + RECT 3.5570 0.1510 3.5870 1.6210 ; + END +END FOOTX32_HVT + +MACRO FOOTX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.5140 1.0090 0.7040 1.1190 ; + RECT 0.5830 1.1190 0.6330 1.1650 ; + RECT 1.3430 0.8810 1.3930 1.5610 ; + RECT 0.5830 0.8310 1.3930 0.8810 ; + RECT 1.0390 0.8810 1.0890 1.5610 ; + RECT 0.5830 0.8810 0.6330 1.0090 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 0.5870 1.1030 0.6290 1.1450 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 0.5870 1.0110 0.6290 1.0530 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + END + END VSSG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0280 2.2800 0.0280 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3160 2.2800 3.3720 ; + END + PORT + LAYER CO ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + END + END VDD + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6440 2.2800 1.7000 ; + RECT 0.8870 1.2010 0.9370 1.6440 ; + RECT 1.4950 1.2010 1.5450 1.6440 ; + RECT 1.1910 1.2010 1.2410 1.6440 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.8910 1.4990 0.9330 1.5410 ; + RECT 0.8910 1.4070 0.9330 1.4490 ; + RECT 0.8910 1.3130 0.9330 1.3550 ; + RECT 0.8910 1.2210 0.9330 1.2630 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.6700 1.4850 0.7300 ; + RECT 0.9680 0.5530 1.1580 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 0.9670 0.6790 1.0090 0.7210 ; + END + ANTENNAGATEAREA 0.0504 ; + END SLEEP + OBS + LAYER NWELL ; + RECT -0.0820 2.3510 2.3550 3.4480 ; + RECT 0.2310 1.6890 1.9520 2.3510 ; + RECT 0.2310 0.9930 0.4660 1.6890 ; + RECT 1.6360 0.9930 1.9520 1.6890 ; + RECT -0.0800 0.8150 0.4660 0.9930 ; + RECT 1.6360 0.8150 2.3840 0.9930 ; + RECT -0.0800 -0.1150 2.3840 0.8150 ; + LAYER PO ; + RECT 1.7330 1.8130 1.7630 3.1950 ; + RECT 1.5810 1.8130 1.6110 3.1950 ; + RECT 0.2130 0.1360 0.2430 1.6210 ; + RECT 1.4290 1.8130 1.4590 3.1950 ; + RECT 0.9730 1.8130 1.0030 3.1950 ; + RECT 0.3650 0.1360 0.3950 1.6210 ; + RECT 1.1250 1.8130 1.1550 3.1950 ; + RECT 2.1890 0.1360 2.2190 1.6210 ; + RECT 0.8210 1.8130 0.8510 3.1950 ; + RECT 0.6690 1.8130 0.6990 3.1950 ; + RECT 0.5170 1.8130 0.5470 3.1950 ; + RECT 0.3650 1.8130 0.3950 3.1950 ; + RECT 0.2130 1.8130 0.2430 3.1950 ; + RECT 0.0610 1.8130 0.0910 3.1950 ; + RECT 1.8850 1.8130 1.9150 3.1950 ; + RECT 1.2770 1.8130 1.3070 3.1950 ; + RECT 2.1890 1.8130 2.2190 3.1950 ; + RECT 2.0370 1.8130 2.0670 3.1950 ; + RECT 0.0610 0.1360 0.0910 1.6210 ; + RECT 1.8850 0.1360 1.9150 1.6210 ; + RECT 2.0370 0.1360 2.0670 1.6210 ; + RECT 0.5170 0.1360 0.5470 1.6210 ; + RECT 1.4290 0.1360 1.4590 1.6210 ; + RECT 0.6690 0.1360 0.6990 1.6210 ; + RECT 1.7330 0.1360 1.7630 1.6210 ; + RECT 0.8210 0.1360 0.8510 1.6210 ; + RECT 1.2770 0.1360 1.3070 1.6210 ; + RECT 1.5810 0.1360 1.6110 1.6210 ; + RECT 0.9730 0.1360 1.0030 1.6210 ; + RECT 1.1250 0.1360 1.1550 1.6210 ; + END +END FOOTX4_HVT + +MACRO FOOTX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 1.7990 0.8810 1.8490 1.5610 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 2.1030 0.8810 2.1530 1.5610 ; + RECT 0.7350 0.8310 2.1530 0.8810 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 2.1070 1.4990 2.1490 1.5410 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.3130 1.8450 1.3550 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 1.8030 1.2210 1.8450 1.2630 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 2.1070 1.4070 2.1490 1.4490 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + END + END VSSG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.0400 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + END + END VDD + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6440 3.0400 1.7000 ; + RECT 2.2550 1.2010 2.3050 1.6440 ; + RECT 1.9510 1.2010 2.0010 1.6440 ; + RECT 1.0390 1.2010 1.0890 1.6440 ; + RECT 1.6470 1.2010 1.6970 1.6440 ; + RECT 1.3430 1.2010 1.3930 1.6440 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + RECT 2.2590 1.4990 2.3010 1.5410 ; + RECT 2.2590 1.3130 2.3010 1.3550 ; + RECT 2.2590 1.4070 2.3010 1.4490 ; + RECT 2.2590 1.2210 2.3010 1.2630 ; + RECT 1.9550 1.2210 1.9970 1.2630 ; + RECT 1.9550 1.4070 1.9970 1.4490 ; + RECT 1.9550 1.3130 1.9970 1.3550 ; + RECT 1.9550 1.4990 1.9970 1.5410 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 2.2450 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 2.0310 0.6790 2.0730 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + END + ANTENNAGATEAREA 0.1008 ; + END SLEEP + OBS + LAYER NWELL ; + RECT -0.1330 2.3510 3.1600 3.5180 ; + RECT 0.2320 1.6540 2.8060 2.3510 ; + RECT 0.2320 0.9930 0.5720 1.6540 ; + RECT 2.4540 0.9930 2.8060 1.6540 ; + RECT -0.1270 0.7800 0.5720 0.9930 ; + RECT 2.4540 0.7800 3.1460 0.9930 ; + RECT -0.1270 -0.1750 3.1460 0.7800 ; + LAYER PO ; + RECT 1.8850 1.8890 1.9150 3.1930 ; + RECT 2.1890 1.8890 2.2190 3.1930 ; + RECT 1.2770 1.8890 1.3070 3.1930 ; + RECT 2.3410 1.8890 2.3710 3.1930 ; + RECT 1.1250 1.8890 1.1550 3.1930 ; + RECT 1.7330 1.8890 1.7630 3.1930 ; + RECT 1.4290 1.8890 1.4590 3.1930 ; + RECT 0.9730 1.8890 1.0030 3.1930 ; + RECT 2.4930 1.8890 2.5230 3.1930 ; + RECT 0.8210 1.8890 0.8510 3.1930 ; + RECT 1.5810 1.8890 1.6110 3.1930 ; + RECT 0.6690 1.8890 0.6990 3.1930 ; + RECT 2.0370 1.8890 2.0670 3.1930 ; + RECT 2.9490 1.8890 2.9790 3.1930 ; + RECT 2.6450 1.8890 2.6750 3.1930 ; + RECT 2.7970 1.8890 2.8270 3.1930 ; + RECT 0.5170 1.8890 0.5470 3.1930 ; + RECT 0.3650 1.8890 0.3950 3.1930 ; + RECT 0.2130 1.8890 0.2430 3.1930 ; + RECT 0.0610 1.8890 0.0910 3.1930 ; + RECT 0.0610 0.1790 0.0910 1.6210 ; + RECT 0.2130 0.1790 0.2430 1.6210 ; + RECT 0.3650 0.1790 0.3950 1.6210 ; + RECT 0.5170 0.1790 0.5470 1.6210 ; + RECT 2.7970 0.1790 2.8270 1.6210 ; + RECT 2.6450 0.1790 2.6750 1.6210 ; + RECT 2.0370 0.1790 2.0670 1.6210 ; + RECT 0.6690 0.1790 0.6990 1.6210 ; + RECT 1.5810 0.1790 1.6110 1.6210 ; + RECT 0.8210 0.1790 0.8510 1.6210 ; + RECT 2.4930 0.1790 2.5230 1.6210 ; + RECT 0.9730 0.1790 1.0030 1.6210 ; + RECT 1.4290 0.1790 1.4590 1.6210 ; + RECT 1.7330 0.1790 1.7630 1.6210 ; + RECT 1.1250 0.1790 1.1550 1.6210 ; + RECT 2.3410 0.1790 2.3710 1.6210 ; + RECT 2.9490 0.1790 2.9790 1.6210 ; + RECT 1.2770 0.1790 1.3070 1.6210 ; + RECT 2.1890 0.1790 2.2190 1.6210 ; + RECT 1.8850 0.1790 1.9150 1.6210 ; + END +END FOOTX8_HVT + +MACRO HADDX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.8570 1.7270 0.9670 ; + RECT 1.6710 0.1880 1.7210 0.8570 ; + RECT 1.6310 0.1380 1.7210 0.1880 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.9120 1.6930 0.9540 ; + RECT 1.6510 0.1410 1.6930 0.1830 ; + END + ANTENNADIFFAREA 0.1244 ; + END SO + + PIN B0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.6430 1.1650 0.6930 ; + RECT 0.5530 0.5530 0.6630 0.5550 ; + RECT 1.1150 0.5550 1.1650 0.6430 ; + RECT 0.5070 0.5550 0.6630 0.6430 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.5910 1.1610 0.6330 ; + RECT 0.5110 0.5910 0.5530 0.6330 ; + END + ANTENNAGATEAREA 0.0513 ; + END B0 + + PIN A0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.8570 1.1190 0.9670 ; + RECT 1.0090 0.7960 1.0590 0.8570 ; + RECT 0.3390 0.7460 1.0590 0.7960 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7500 1.0090 0.7920 ; + RECT 0.3590 0.7500 0.4010 0.7920 ; + END + ANTENNAGATEAREA 0.0513 ; + END A0 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.0390 1.3180 1.0890 1.6420 ; + RECT 1.4950 1.3420 1.5450 1.6420 ; + RECT 0.2790 1.3230 0.3290 1.6420 ; + RECT 0.7350 1.3230 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2830 1.3430 0.3250 1.3850 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.0430 1.3430 1.0850 1.3850 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.7390 1.3430 0.7810 1.3850 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.4990 1.3810 1.5410 1.4230 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.4790 0.1370 1.5610 0.1870 ; + RECT 0.7350 0.0300 0.7850 0.2540 ; + RECT 0.8870 0.0300 0.9370 0.2610 ; + RECT 1.4950 0.0300 1.5450 0.1370 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4990 0.1410 1.5410 0.1830 ; + RECT 0.7390 0.1920 0.7810 0.2340 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.1920 0.9330 0.2340 ; + END + END VSS + + PIN C1 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3150 1.0090 1.5750 1.1190 ; + RECT 1.3150 0.5610 1.3650 1.0090 ; + RECT 1.3150 0.4740 1.3930 0.5610 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.4990 1.3890 0.5410 ; + RECT 1.3470 1.0330 1.3890 1.0750 ; + END + ANTENNADIFFAREA 0.1244 ; + END C1 + OBS + LAYER NWELL ; + RECT -0.0700 0.6790 2.0460 1.7730 ; + LAYER M1 ; + RECT 1.4520 0.3870 1.5020 0.6130 ; + RECT 1.4190 0.6130 1.5020 0.6630 ; + RECT 1.1750 0.3370 1.5020 0.3870 ; + RECT 0.6380 0.8890 0.8610 0.9390 ; + RECT 0.8110 0.9390 0.8610 1.1260 ; + RECT 1.4190 0.6630 1.4690 0.7360 ; + RECT 0.8110 1.1260 1.2650 1.1760 ; + RECT 1.2150 1.1760 1.2650 1.3170 ; + RECT 1.1910 1.3170 1.2650 1.4110 ; + RECT 1.2150 0.3870 1.2650 1.1260 ; + RECT 0.2630 0.1740 0.6550 0.2240 ; + RECT 1.0130 0.2370 1.6210 0.2870 ; + RECT 1.5710 0.2870 1.6210 0.7340 ; + RECT 0.2390 0.3600 1.0630 0.4100 ; + RECT 1.0130 0.2870 1.0630 0.3600 ; + RECT 0.2390 0.4100 0.2890 1.0570 ; + RECT 0.2390 1.0570 0.6490 1.1070 ; + LAYER PO ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6160 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 0.8210 0.0670 0.8510 1.6090 ; + RECT 1.4290 0.0710 1.4590 1.6160 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + LAYER CO ; + RECT 0.5870 1.0610 0.6290 1.1030 ; + RECT 0.2830 0.1790 0.3250 0.2210 ; + RECT 1.4230 0.6460 1.4650 0.6880 ; + RECT 0.6630 0.8930 0.7050 0.9350 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.5870 0.1780 0.6290 0.2200 ; + RECT 1.1950 1.3430 1.2370 1.3850 ; + RECT 1.5750 0.6080 1.6170 0.6500 ; + RECT 1.1950 0.3410 1.2370 0.3830 ; + RECT 0.4350 0.3640 0.4770 0.4060 ; + END +END HADDX1_HVT + +MACRO HADDX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7830 0.9170 2.0670 0.9670 ; + RECT 1.7980 0.3250 2.0670 0.3750 ; + RECT 1.9200 0.8570 2.0670 0.9170 ; + RECT 2.0170 0.3750 2.0670 0.8570 ; + RECT 1.7980 0.3750 1.8480 0.3960 ; + RECT 1.7980 0.3050 1.8480 0.3250 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.9190 1.8450 0.9610 ; + RECT 1.8030 0.3290 1.8450 0.3710 ; + END + ANTENNADIFFAREA 0.1488 ; + END SO + + PIN B0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4850 0.5870 1.1640 0.6370 ; + RECT 0.5530 0.6370 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.5870 ; + RECT 1.1140 0.6370 1.1640 0.6530 ; + RECT 1.1140 0.5710 1.1640 0.5870 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.5910 1.1610 0.6330 ; + RECT 0.5110 0.5910 0.5530 0.6330 ; + END + ANTENNAGATEAREA 0.0513 ; + END B0 + + PIN A0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.7130 1.0290 0.7630 ; + RECT 0.4010 0.7630 0.5110 0.8150 ; + RECT 0.4010 0.7050 0.5110 0.7130 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.7170 1.0090 0.7590 ; + RECT 0.3590 0.7170 0.4010 0.7590 ; + END + ANTENNAGATEAREA 0.0513 ; + END A0 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.0390 1.3190 1.0890 1.6420 ; + RECT 1.3430 1.3630 1.3930 1.6420 ; + RECT 1.6470 1.3630 1.6970 1.6420 ; + RECT 1.9510 1.3630 2.0010 1.6420 ; + RECT 0.2790 1.3190 0.3290 1.6420 ; + RECT 0.7350 1.3190 0.7850 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.3430 0.3250 1.3850 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.3470 1.3880 1.3890 1.4300 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.0430 1.3430 1.0850 1.3850 ; + RECT 1.9550 1.3880 1.9970 1.4300 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.7390 1.3430 0.7810 1.3850 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.6510 1.3880 1.6930 1.4300 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.3270 0.1370 1.4090 0.1870 ; + RECT 1.6310 0.1370 1.7130 0.1870 ; + RECT 0.7350 0.0300 0.7850 0.2540 ; + RECT 0.8870 0.0300 0.9370 0.2590 ; + RECT 1.9510 0.0300 2.0010 0.2100 ; + RECT 1.3430 0.0300 1.3930 0.1370 ; + RECT 1.6470 0.0300 1.6970 0.1370 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.6510 0.1410 1.6930 0.1830 ; + RECT 1.9550 0.1410 1.9970 0.1830 ; + RECT 1.3470 0.1410 1.3890 0.1830 ; + RECT 0.7390 0.1920 0.7810 0.2340 ; + RECT 0.8910 0.1920 0.9330 0.2340 ; + END + END VSS + + PIN C1 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3150 1.0070 1.5750 1.1170 ; + RECT 1.3150 0.4950 1.5450 0.5450 ; + RECT 1.4950 0.5450 1.5450 0.5610 ; + RECT 1.4950 0.4740 1.5450 0.4950 ; + RECT 1.3150 0.5450 1.3650 1.0070 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4990 1.5410 0.5410 ; + RECT 1.4990 1.0400 1.5410 1.0820 ; + END + ANTENNADIFFAREA 0.1488 ; + END C1 + OBS + LAYER NWELL ; + RECT -0.0700 0.6790 2.3500 1.7730 ; + LAYER M1 ; + RECT 1.1750 0.3370 1.6450 0.3870 ; + RECT 1.5950 0.7270 1.6450 0.7290 ; + RECT 1.4190 0.6390 1.6450 0.7270 ; + RECT 1.5950 0.3870 1.6450 0.6390 ; + RECT 0.6380 0.8890 0.8610 0.9390 ; + RECT 0.8110 0.9390 0.8610 1.1260 ; + RECT 0.8110 1.1260 1.2650 1.1760 ; + RECT 1.2150 0.3870 1.2650 1.1260 ; + RECT 1.2150 1.1760 1.2650 1.3170 ; + RECT 1.1910 1.3170 1.2650 1.4110 ; + RECT 1.6950 0.6390 1.9290 0.7270 ; + RECT 1.6950 0.7270 1.7450 0.7300 ; + RECT 1.6950 0.2870 1.7450 0.6390 ; + RECT 1.0130 0.2370 1.7450 0.2870 ; + RECT 0.2390 0.3600 1.0630 0.4100 ; + RECT 1.0130 0.2870 1.0630 0.3600 ; + RECT 0.2390 1.0570 0.6490 1.1070 ; + RECT 0.2390 0.4100 0.2890 1.0570 ; + RECT 0.2630 0.1700 0.6550 0.2200 ; + LAYER PO ; + RECT 2.0370 0.0710 2.0670 1.6090 ; + RECT 2.1890 0.0710 2.2190 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 0.8210 0.0670 0.8510 1.6090 ; + RECT 1.4290 0.0710 1.4590 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + LAYER CO ; + RECT 0.4350 0.3640 0.4770 0.4060 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 0.5870 1.0610 0.6290 1.1030 ; + RECT 0.2830 0.1750 0.3250 0.2170 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 0.6630 0.8930 0.7050 0.9350 ; + RECT 0.8910 1.1300 0.9330 1.1720 ; + RECT 0.5870 0.1740 0.6290 0.2160 ; + RECT 1.1950 1.3430 1.2370 1.3850 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.1950 0.3410 1.2370 0.3830 ; + END +END HADDX2_HVT + +MACRO HEAD2X16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.8060 3.8150 0.8660 ; + RECT 1.3430 0.5020 3.8510 0.5520 ; + RECT 3.7280 0.5620 3.8550 0.6630 ; + RECT 1.3430 0.5520 3.8550 0.5620 ; + RECT 3.4710 0.8660 3.5210 1.3580 ; + RECT 3.4710 0.7950 3.5210 0.8060 ; + RECT 3.1670 0.8660 3.2170 1.3580 ; + RECT 3.1670 0.7950 3.2170 0.8060 ; + RECT 2.8630 0.8660 2.9130 1.3580 ; + RECT 2.8630 0.7950 2.9130 0.8060 ; + RECT 2.5590 0.8660 2.6090 1.3580 ; + RECT 2.5590 0.7950 2.6090 0.8060 ; + RECT 2.2550 0.8660 2.3050 1.3580 ; + RECT 2.2550 0.7950 2.3050 0.8060 ; + RECT 1.9510 0.8660 2.0010 1.3580 ; + RECT 1.9510 0.7950 2.0010 0.8060 ; + RECT 1.6470 0.7950 1.6970 0.8060 ; + RECT 3.7650 0.6630 3.8150 0.8060 ; + RECT 2.2550 0.1790 2.3050 0.5020 ; + RECT 1.9510 0.1800 2.0010 0.5020 ; + RECT 1.6470 0.1800 1.6970 0.5020 ; + RECT 1.3430 0.1800 1.3930 0.5020 ; + RECT 3.4710 0.1790 3.5210 0.5020 ; + RECT 3.1670 0.1790 3.2170 0.5020 ; + RECT 2.8630 0.1790 2.9130 0.5020 ; + RECT 2.5590 0.1790 2.6090 0.5020 ; + RECT 1.3430 1.1440 1.3930 1.3580 ; + RECT 1.3430 1.0730 1.3930 1.0840 ; + RECT 1.6470 1.1440 1.6970 1.3580 ; + RECT 1.3270 1.0840 1.6970 1.1440 ; + RECT 1.6470 0.8660 1.6970 1.0840 ; + END + PORT + LAYER CO ; + RECT 3.1710 0.3850 3.2130 0.4270 ; + RECT 3.1710 0.2910 3.2130 0.3330 ; + RECT 3.1710 0.1990 3.2130 0.2410 ; + RECT 2.8670 0.4770 2.9090 0.5190 ; + RECT 3.4750 0.9070 3.5170 0.9490 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 1.2770 3.5170 1.3190 ; + RECT 3.4750 1.0930 3.5170 1.1350 ; + RECT 3.4750 1.1850 3.5170 1.2270 ; + RECT 3.4750 0.8150 3.5170 0.8570 ; + RECT 3.1710 0.8150 3.2130 0.8570 ; + RECT 3.1710 1.1850 3.2130 1.2270 ; + RECT 3.1710 1.0930 3.2130 1.1350 ; + RECT 3.1710 1.2770 3.2130 1.3190 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 3.1710 0.9070 3.2130 0.9490 ; + RECT 2.8670 0.8150 2.9090 0.8570 ; + RECT 2.8670 1.1850 2.9090 1.2270 ; + RECT 2.8670 1.0930 2.9090 1.1350 ; + RECT 2.8670 1.2770 2.9090 1.3190 ; + RECT 2.8670 0.9990 2.9090 1.0410 ; + RECT 2.8670 0.9070 2.9090 0.9490 ; + RECT 2.5630 0.9070 2.6050 0.9490 ; + RECT 2.5630 0.9990 2.6050 1.0410 ; + RECT 2.5630 1.2770 2.6050 1.3190 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 2.5630 1.1850 2.6050 1.2270 ; + RECT 2.5630 0.8150 2.6050 0.8570 ; + RECT 3.4750 0.1990 3.5170 0.2410 ; + RECT 3.4750 0.2910 3.5170 0.3330 ; + RECT 3.4750 0.3850 3.5170 0.4270 ; + RECT 3.4750 0.4770 3.5170 0.5190 ; + RECT 3.1710 0.4770 3.2130 0.5190 ; + RECT 1.3470 1.2770 1.3890 1.3190 ; + RECT 2.2590 0.9070 2.3010 0.9490 ; + RECT 2.2590 0.9990 2.3010 1.0410 ; + RECT 2.2590 1.2770 2.3010 1.3190 ; + RECT 2.2590 1.0930 2.3010 1.1350 ; + RECT 2.2590 1.1850 2.3010 1.2270 ; + RECT 2.2590 0.8150 2.3010 0.8570 ; + RECT 1.9550 0.8150 1.9970 0.8570 ; + RECT 1.9550 1.1850 1.9970 1.2270 ; + RECT 1.9550 1.0930 1.9970 1.1350 ; + RECT 1.9550 1.2770 1.9970 1.3190 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.9550 0.9070 1.9970 0.9490 ; + RECT 2.2590 0.1990 2.3010 0.2410 ; + RECT 2.2590 0.2910 2.3010 0.3330 ; + RECT 2.2590 0.3850 2.3010 0.4270 ; + RECT 2.2590 0.4770 2.3010 0.5190 ; + RECT 1.9550 0.4780 1.9970 0.5200 ; + RECT 1.9550 0.3860 1.9970 0.4280 ; + RECT 1.9550 0.2920 1.9970 0.3340 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 1.6510 0.4780 1.6930 0.5200 ; + RECT 1.6510 0.3860 1.6930 0.4280 ; + RECT 1.6510 0.2920 1.6930 0.3340 ; + RECT 1.6510 0.2000 1.6930 0.2420 ; + RECT 2.8670 0.3850 2.9090 0.4270 ; + RECT 2.8670 0.2910 2.9090 0.3330 ; + RECT 2.8670 0.1990 2.9090 0.2410 ; + RECT 2.5630 0.1990 2.6050 0.2410 ; + RECT 2.5630 0.2910 2.6050 0.3330 ; + RECT 2.5630 0.3850 2.6050 0.4270 ; + RECT 2.5630 0.4770 2.6050 0.5190 ; + RECT 1.6510 0.8150 1.6930 0.8570 ; + RECT 1.6510 1.1850 1.6930 1.2270 ; + RECT 1.6510 1.0930 1.6930 1.1350 ; + RECT 1.6510 1.2770 1.6930 1.3190 ; + RECT 1.6510 0.9990 1.6930 1.0410 ; + RECT 1.3470 0.4780 1.3890 0.5200 ; + RECT 1.3470 0.3860 1.3890 0.4280 ; + RECT 1.3470 0.2920 1.3890 0.3340 ; + RECT 1.3470 0.2000 1.3890 0.2420 ; + RECT 1.3470 1.1850 1.3890 1.2270 ; + RECT 1.3470 1.0930 1.3890 1.1350 ; + RECT 1.6510 0.9070 1.6930 0.9490 ; + END + ANTENNADIFFAREA 1.1904 ; + END SLEEPOUT + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 3.5520 2.0730 3.7420 2.1830 ; + RECT 3.6230 2.0110 3.6730 2.0730 ; + RECT 1.0380 2.4930 3.9170 2.5430 ; + RECT 3.6230 2.1830 3.6730 2.4930 ; + RECT 1.9510 1.8370 2.0010 2.4930 ; + RECT 1.6470 1.8370 1.6970 2.4930 ; + RECT 1.3430 1.8370 1.3930 2.4930 ; + RECT 1.0390 1.8370 1.0890 2.4930 ; + RECT 3.1670 1.8370 3.2170 2.4930 ; + RECT 2.8630 1.8370 2.9130 2.4930 ; + RECT 2.5590 1.8370 2.6090 2.4930 ; + RECT 2.2550 1.8370 2.3050 2.4930 ; + END + PORT + LAYER CO ; + RECT 1.0430 2.3170 1.0850 2.3590 ; + RECT 1.0430 2.4090 1.0850 2.4510 ; + RECT 1.0430 2.2250 1.0850 2.2670 ; + RECT 1.3470 2.3170 1.3890 2.3590 ; + RECT 1.3470 2.4090 1.3890 2.4510 ; + RECT 1.3470 2.2250 1.3890 2.2670 ; + RECT 1.6510 2.3170 1.6930 2.3590 ; + RECT 1.6510 2.4090 1.6930 2.4510 ; + RECT 1.6510 2.2250 1.6930 2.2670 ; + RECT 1.9550 2.2250 1.9970 2.2670 ; + RECT 1.9550 2.4090 1.9970 2.4510 ; + RECT 1.9550 2.3170 1.9970 2.3590 ; + RECT 2.2590 2.2250 2.3010 2.2670 ; + RECT 2.2590 2.3170 2.3010 2.3590 ; + RECT 2.2590 2.4090 2.3010 2.4510 ; + RECT 2.5630 2.3170 2.6050 2.3590 ; + RECT 2.5630 2.4090 2.6050 2.4510 ; + RECT 2.5630 2.2250 2.6050 2.2670 ; + RECT 3.1710 2.3170 3.2130 2.3590 ; + RECT 3.1710 1.9490 3.2130 1.9910 ; + RECT 3.1710 1.8570 3.2130 1.8990 ; + RECT 3.1710 2.1330 3.2130 2.1750 ; + RECT 3.1710 2.0410 3.2130 2.0830 ; + RECT 2.8670 2.0410 2.9090 2.0830 ; + RECT 2.8670 2.1330 2.9090 2.1750 ; + RECT 2.8670 1.8570 2.9090 1.8990 ; + RECT 2.8670 1.9490 2.9090 1.9910 ; + RECT 2.5630 2.0410 2.6050 2.0830 ; + RECT 2.5630 2.1330 2.6050 2.1750 ; + RECT 2.5630 1.8570 2.6050 1.8990 ; + RECT 2.5630 1.9490 2.6050 1.9910 ; + RECT 2.2590 1.9490 2.3010 1.9910 ; + RECT 2.2590 1.8570 2.3010 1.8990 ; + RECT 2.2590 2.1330 2.3010 2.1750 ; + RECT 2.2590 2.0410 2.3010 2.0830 ; + RECT 1.3470 1.8570 1.3890 1.8990 ; + RECT 2.8670 2.3170 2.9090 2.3590 ; + RECT 2.8670 2.4090 2.9090 2.4510 ; + RECT 1.3470 1.9490 1.3890 1.9910 ; + RECT 1.0430 2.0410 1.0850 2.0830 ; + RECT 3.1710 2.2250 3.2130 2.2670 ; + RECT 3.6270 2.0310 3.6690 2.0730 ; + RECT 1.9550 1.9490 1.9970 1.9910 ; + RECT 1.9550 1.8570 1.9970 1.8990 ; + RECT 1.9550 2.1330 1.9970 2.1750 ; + RECT 1.9550 2.0410 1.9970 2.0830 ; + RECT 1.6510 2.0410 1.6930 2.0830 ; + RECT 1.6510 2.1330 1.6930 2.1750 ; + RECT 1.6510 1.8570 1.6930 1.8990 ; + RECT 1.6510 1.9490 1.6930 1.9910 ; + RECT 3.6270 2.1230 3.6690 2.1650 ; + RECT 2.8670 2.2250 2.9090 2.2670 ; + RECT 1.0430 2.1330 1.0850 2.1750 ; + RECT 1.0430 1.8570 1.0850 1.8990 ; + RECT 1.0430 1.9490 1.0850 1.9910 ; + RECT 1.3470 2.0410 1.3890 2.0830 ; + RECT 1.3470 2.1330 1.3890 2.1750 ; + RECT 3.8550 2.4970 3.8970 2.5390 ; + RECT 3.1710 2.4090 3.2130 2.4510 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 3.3190 1.7020 3.3690 2.3090 ; + RECT 3.0150 1.7020 3.0650 2.3090 ; + RECT 2.7110 1.7020 2.7610 2.3090 ; + RECT 2.4070 1.7020 2.4570 2.3100 ; + RECT 2.1030 1.7020 2.1530 2.3100 ; + RECT 1.7990 1.7020 1.8490 2.3100 ; + RECT 1.4950 1.7020 1.5450 2.3100 ; + RECT 0.8870 1.7020 0.9370 2.4940 ; + RECT 1.1910 1.7020 1.2410 2.3100 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.1560 1.2370 2.1980 ; + RECT 1.1950 2.2480 1.2370 2.2900 ; + RECT 1.4990 2.2480 1.5410 2.2900 ; + RECT 1.4990 2.1560 1.5410 2.1980 ; + RECT 1.8030 2.2480 1.8450 2.2900 ; + RECT 1.8030 2.1560 1.8450 2.1980 ; + RECT 2.1070 2.1560 2.1490 2.1980 ; + RECT 2.1070 2.2480 2.1490 2.2900 ; + RECT 2.4110 2.1560 2.4530 2.1980 ; + RECT 2.4110 2.2480 2.4530 2.2900 ; + RECT 2.7150 2.2470 2.7570 2.2890 ; + RECT 2.7150 2.1550 2.7570 2.1970 ; + RECT 3.0190 2.2470 3.0610 2.2890 ; + RECT 3.0190 2.1550 3.0610 2.1970 ; + RECT 3.3230 2.1550 3.3650 2.1970 ; + RECT 3.3230 2.2470 3.3650 2.2890 ; + RECT 0.8910 2.4320 0.9330 2.4740 ; + RECT 0.8910 2.3400 0.9330 2.3820 ; + RECT 0.8910 2.1560 0.9330 2.1980 ; + RECT 0.8910 2.2480 0.9330 2.2900 ; + RECT 3.3230 1.9710 3.3650 2.0130 ; + RECT 3.3230 1.7850 3.3650 1.8270 ; + RECT 3.3230 1.8770 3.3650 1.9190 ; + RECT 3.3230 2.0630 3.3650 2.1050 ; + RECT 3.0190 2.0630 3.0610 2.1050 ; + RECT 3.0190 1.8770 3.0610 1.9190 ; + RECT 3.0190 1.7850 3.0610 1.8270 ; + RECT 3.0190 1.9710 3.0610 2.0130 ; + RECT 2.7150 2.0630 2.7570 2.1050 ; + RECT 2.7150 1.8770 2.7570 1.9190 ; + RECT 2.7150 1.7850 2.7570 1.8270 ; + RECT 2.7150 1.9710 2.7570 2.0130 ; + RECT 2.4110 1.9720 2.4530 2.0140 ; + RECT 2.4110 1.7860 2.4530 1.8280 ; + RECT 2.4110 1.8780 2.4530 1.9200 ; + RECT 2.4110 2.0640 2.4530 2.1060 ; + RECT 1.4990 1.7860 1.5410 1.8280 ; + RECT 0.8910 1.7860 0.9330 1.8280 ; + RECT 1.4990 1.9720 1.5410 2.0140 ; + RECT 2.1070 1.9720 2.1490 2.0140 ; + RECT 2.1070 1.7860 2.1490 1.8280 ; + RECT 2.1070 1.8780 2.1490 1.9200 ; + RECT 2.1070 2.0640 2.1490 2.1060 ; + RECT 1.8030 2.0640 1.8450 2.1060 ; + RECT 1.8030 1.8780 1.8450 1.9200 ; + RECT 1.8030 1.7860 1.8450 1.8280 ; + RECT 1.8030 1.9720 1.8450 2.0140 ; + RECT 1.1950 1.7860 1.2370 1.8280 ; + RECT 1.1950 1.8780 1.2370 1.9200 ; + RECT 1.1950 1.9720 1.2370 2.0140 ; + RECT 1.1950 2.0640 1.2370 2.1060 ; + RECT 0.8910 1.9720 0.9330 2.0140 ; + RECT 0.8910 1.8780 0.9330 1.9200 ; + RECT 0.8910 2.0640 0.9330 2.1060 ; + RECT 1.4990 2.0640 1.5410 2.1060 ; + RECT 1.4990 1.8780 1.5410 1.9200 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 3.6230 0.0300 3.6730 0.3820 ; + RECT 3.3190 0.0300 3.3690 0.3820 ; + RECT 3.0150 0.0300 3.0650 0.3820 ; + RECT 2.7110 0.0300 2.7610 0.3820 ; + RECT 2.4070 0.0300 2.4570 0.3820 ; + RECT 2.1030 0.0300 2.1530 0.3820 ; + RECT 1.7990 0.0300 1.8490 0.3820 ; + RECT 1.1910 0.0300 1.2410 0.5660 ; + RECT 1.4950 0.0300 1.5450 0.3820 ; + RECT 0.8870 0.0300 0.9370 0.3820 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 4.5600 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.5040 1.2370 0.5460 ; + RECT 1.1950 0.4120 1.2370 0.4540 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1950 0.3200 1.2370 0.3620 ; + RECT 1.4990 0.3200 1.5410 0.3620 ; + RECT 1.4990 0.2280 1.5410 0.2700 ; + RECT 1.1950 0.2280 1.2370 0.2700 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 2.4110 0.2280 2.4530 0.2700 ; + RECT 2.4110 0.3200 2.4530 0.3620 ; + RECT 2.1070 0.3200 2.1490 0.3620 ; + RECT 2.1070 0.2280 2.1490 0.2700 ; + RECT 1.8030 0.3200 1.8450 0.3620 ; + RECT 1.8030 0.2280 1.8450 0.2700 ; + RECT 3.6270 0.2280 3.6690 0.2700 ; + RECT 3.6270 0.3200 3.6690 0.3620 ; + RECT 3.3230 0.3200 3.3650 0.3620 ; + RECT 3.3230 0.2280 3.3650 0.2700 ; + RECT 3.0190 0.3200 3.0610 0.3620 ; + RECT 3.0190 0.2280 3.0610 0.2700 ; + RECT 2.7150 0.2280 2.7570 0.2700 ; + RECT 2.7150 0.3200 2.7570 0.3620 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.6570 1.1810 0.7170 ; + RECT 0.8310 0.7170 0.9910 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + RECT 0.9670 0.6650 1.0090 0.7070 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + END + ANTENNAGATEAREA 0.1098 ; + END SLEEP + OBS + LAYER CO ; + RECT 3.6270 1.3460 3.6690 1.3880 ; + RECT 3.6270 1.2540 3.6690 1.2960 ; + RECT 3.6270 1.1600 3.6690 1.2020 ; + RECT 3.6270 1.0680 3.6690 1.1100 ; + RECT 3.6270 1.4380 3.6690 1.4800 ; + RECT 3.3230 1.4380 3.3650 1.4800 ; + RECT 3.3230 1.0680 3.3650 1.1100 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 3.3230 1.2540 3.3650 1.2960 ; + RECT 3.3230 1.3460 3.3650 1.3880 ; + RECT 3.0190 1.4380 3.0610 1.4800 ; + RECT 3.0190 1.0680 3.0610 1.1100 ; + RECT 3.0190 1.1600 3.0610 1.2020 ; + RECT 3.0190 1.2540 3.0610 1.2960 ; + RECT 3.0190 1.3460 3.0610 1.3880 ; + RECT 2.7150 1.3460 2.7570 1.3880 ; + RECT 2.7150 1.2540 2.7570 1.2960 ; + RECT 2.7150 1.1600 2.7570 1.2020 ; + RECT 1.0430 0.4780 1.0850 0.5200 ; + RECT 0.7390 0.9990 0.7810 1.0410 ; + RECT 0.7390 1.0930 0.7810 1.1350 ; + RECT 0.7390 1.2770 0.7810 1.3190 ; + RECT 0.7390 1.1850 0.7810 1.2270 ; + RECT 0.8910 1.3460 0.9330 1.3880 ; + RECT 0.8910 1.2540 0.9330 1.2960 ; + RECT 0.8910 1.0680 0.9330 1.1100 ; + RECT 2.3350 0.6650 2.3770 0.7070 ; + RECT 2.4870 0.6650 2.5290 0.7070 ; + RECT 2.6390 0.6650 2.6810 0.7070 ; + RECT 2.7910 0.6650 2.8330 0.7070 ; + RECT 2.9430 0.6650 2.9850 0.7070 ; + RECT 3.0950 0.6650 3.1370 0.7070 ; + RECT 3.2470 0.6650 3.2890 0.7070 ; + RECT 3.3990 0.6650 3.4410 0.7070 ; + RECT 2.0310 2.6070 2.0730 2.6490 ; + RECT 2.1830 2.6070 2.2250 2.6490 ; + RECT 2.3350 2.6070 2.3770 2.6490 ; + RECT 2.4870 2.6070 2.5290 2.6490 ; + RECT 2.6390 2.6070 2.6810 2.6490 ; + RECT 2.7910 2.6070 2.8330 2.6490 ; + RECT 2.9430 2.6070 2.9850 2.6490 ; + RECT 3.0950 2.6070 3.1370 2.6490 ; + RECT 1.1950 1.0680 1.2370 1.1100 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 1.1950 1.2540 1.2370 1.2960 ; + RECT 1.1950 1.3460 1.2370 1.3880 ; + RECT 3.5510 0.6650 3.5930 0.7070 ; + RECT 0.6630 2.6070 0.7050 2.6490 ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + RECT 1.0430 1.1850 1.0850 1.2270 ; + RECT 0.7390 0.4780 0.7810 0.5200 ; + RECT 0.7390 0.3860 0.7810 0.4280 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.7390 0.2920 0.7810 0.3340 ; + RECT 0.9670 2.6070 1.0090 2.6490 ; + RECT 3.2470 2.6070 3.2890 2.6490 ; + RECT 1.0430 1.0930 1.0850 1.1350 ; + RECT 2.7150 1.0680 2.7570 1.1100 ; + RECT 2.7150 1.4380 2.7570 1.4800 ; + RECT 0.8910 1.1600 0.9330 1.2020 ; + RECT 0.8910 1.4380 0.9330 1.4800 ; + RECT 2.1830 0.6650 2.2250 0.7070 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 1.5750 2.6070 1.6170 2.6490 ; + RECT 1.4230 2.6070 1.4650 2.6490 ; + RECT 1.8790 2.6070 1.9210 2.6490 ; + RECT 1.7270 2.6070 1.7690 2.6490 ; + RECT 2.4110 1.3460 2.4530 1.3880 ; + RECT 2.4110 1.2540 2.4530 1.2960 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 2.4110 1.0680 2.4530 1.1100 ; + RECT 2.4110 1.4380 2.4530 1.4800 ; + RECT 2.1070 1.4380 2.1490 1.4800 ; + RECT 2.1070 1.0680 2.1490 1.1100 ; + RECT 2.1070 1.1600 2.1490 1.2020 ; + RECT 2.1070 1.2540 2.1490 1.2960 ; + RECT 2.1070 1.3460 2.1490 1.3880 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.1190 2.6070 1.1610 2.6490 ; + RECT 1.2710 2.6070 1.3130 2.6490 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 1.0430 0.2920 1.0850 0.3340 ; + RECT 1.0430 0.3860 1.0850 0.4280 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.8030 1.4380 1.8450 1.4800 ; + RECT 1.8030 1.0680 1.8450 1.1100 ; + RECT 1.8030 1.1600 1.8450 1.2020 ; + RECT 1.8030 1.2540 1.8450 1.2960 ; + RECT 1.8030 1.3460 1.8450 1.3880 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.0430 1.2770 1.0850 1.3190 ; + RECT 1.0430 0.9990 1.0850 1.0410 ; + RECT 1.0430 0.2000 1.0850 0.2420 ; + RECT 3.8550 1.4680 3.8970 1.5100 ; + RECT 1.4990 1.4380 1.5410 1.4800 ; + RECT 1.4990 1.2540 1.5410 1.2960 ; + RECT 1.4990 1.3460 1.5410 1.3880 ; + RECT 1.1950 1.4380 1.2370 1.4800 ; + LAYER NWELL ; + RECT 0.5750 0.6790 3.9850 2.6650 ; + LAYER M1 ; + RECT 0.8870 1.4590 3.9170 1.5190 ; + RECT 3.6230 1.0480 3.6730 1.4590 ; + RECT 1.1910 1.0480 1.2410 1.4590 ; + RECT 0.8870 1.0480 0.9370 1.4590 ; + RECT 1.4950 1.2340 1.5450 1.4590 ; + RECT 1.7990 1.0480 1.8490 1.4590 ; + RECT 2.1030 1.0480 2.1530 1.4590 ; + RECT 2.4070 1.0480 2.4570 1.4590 ; + RECT 2.7110 1.0480 2.7610 1.4590 ; + RECT 3.0150 1.0480 3.0650 1.4590 ; + RECT 3.3190 1.0480 3.3690 1.4590 ; + RECT 1.2510 0.6560 3.6130 0.7160 ; + RECT 0.7350 0.9900 0.7850 1.3580 ; + RECT 0.7350 0.1800 0.7850 0.5020 ; + RECT 0.6590 0.5620 0.7090 0.9300 ; + RECT 0.6590 0.5020 1.0890 0.5620 ; + RECT 1.0390 0.9900 1.0890 1.3580 ; + RECT 1.0390 0.1800 1.0890 0.5020 ; + RECT 0.6590 0.9300 1.3010 0.9900 ; + RECT 1.2510 0.7160 1.3010 0.9300 ; + RECT 0.6430 2.6030 3.3090 2.6530 ; + LAYER PO ; + RECT 4.3170 0.0710 4.3470 1.6070 ; + RECT 4.3170 1.7370 4.3470 3.2730 ; + RECT 4.1650 0.0710 4.1950 1.6070 ; + RECT 4.1650 1.7370 4.1950 3.2730 ; + RECT 4.0130 1.7370 4.0430 3.2730 ; + RECT 4.0130 0.0710 4.0430 1.6070 ; + RECT 0.2130 1.7370 0.2430 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6070 ; + RECT 0.3650 0.0710 0.3950 1.6070 ; + RECT 0.3650 1.7370 0.3950 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6070 ; + RECT 0.5170 1.7370 0.5470 3.2730 ; + RECT 2.3410 1.7070 2.3710 2.6610 ; + RECT 2.4930 1.7070 2.5230 2.6610 ; + RECT 2.6450 1.7070 2.6750 2.6610 ; + RECT 2.7970 1.7070 2.8270 2.6610 ; + RECT 2.9490 1.7070 2.9790 2.6610 ; + RECT 3.1010 1.7070 3.1310 2.6610 ; + RECT 3.2530 1.7070 3.2830 2.6610 ; + RECT 3.4050 1.7070 3.4350 2.6610 ; + RECT 3.1010 0.1200 3.1310 1.6070 ; + RECT 2.9490 0.1200 2.9790 1.6070 ; + RECT 2.7970 0.1200 2.8270 1.6070 ; + RECT 2.6450 0.1200 2.6750 1.6070 ; + RECT 3.2530 0.1200 3.2830 1.6070 ; + RECT 3.4050 0.1200 3.4350 1.6070 ; + RECT 3.5570 0.1200 3.5870 1.6070 ; + RECT 3.7090 0.1200 3.7390 1.6070 ; + RECT 3.7090 1.7070 3.7390 2.6650 ; + RECT 2.4930 0.1200 2.5230 1.6070 ; + RECT 2.1890 0.1200 2.2190 1.6070 ; + RECT 1.8850 1.7070 1.9150 2.6650 ; + RECT 1.8850 0.1200 1.9150 1.6070 ; + RECT 2.3410 0.1200 2.3710 1.6070 ; + RECT 1.7330 0.1200 1.7630 1.6070 ; + RECT 2.0370 1.7070 2.0670 2.6650 ; + RECT 2.1890 1.7070 2.2190 2.6650 ; + RECT 3.8610 0.1200 3.8910 2.6650 ; + RECT 2.0370 0.1200 2.0670 1.6070 ; + RECT 1.7330 1.7070 1.7630 2.6650 ; + RECT 0.6690 0.1200 0.6990 2.6650 ; + RECT 0.9730 1.7070 1.0030 2.6650 ; + RECT 1.5810 1.7070 1.6110 2.6650 ; + RECT 1.4290 1.7070 1.4590 2.6650 ; + RECT 0.8210 1.7070 0.8510 2.6650 ; + RECT 0.8210 0.1190 0.8510 1.6070 ; + RECT 1.1250 1.7070 1.1550 2.6650 ; + RECT 1.5810 0.1200 1.6110 1.6070 ; + RECT 3.5570 1.7070 3.5870 2.6650 ; + RECT 0.9730 0.1200 1.0030 1.6070 ; + RECT 1.4290 0.1200 1.4590 1.6070 ; + RECT 1.1250 0.1200 1.1550 1.6070 ; + RECT 1.2770 0.1200 1.3070 1.6070 ; + RECT 1.2770 1.7070 1.3070 2.6650 ; + END +END HEAD2X16_HVT + +MACRO HEAD2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1950 0.5020 1.5750 0.5620 ; + RECT 1.1950 1.0830 1.5250 1.1430 ; + RECT 1.4520 0.5620 1.5750 0.6630 ; + RECT 1.1950 0.1800 1.2450 0.5020 ; + RECT 1.1950 1.1430 1.2450 1.4090 ; + RECT 1.4750 0.6630 1.5250 1.0830 ; + END + PORT + LAYER CO ; + RECT 1.1990 0.4780 1.2410 0.5200 ; + RECT 1.1990 0.3860 1.2410 0.4280 ; + RECT 1.1990 0.2920 1.2410 0.3340 ; + RECT 1.1990 0.2000 1.2410 0.2420 ; + RECT 1.1990 1.2360 1.2410 1.2780 ; + RECT 1.1990 1.1440 1.2410 1.1860 ; + RECT 1.1990 1.3280 1.2410 1.3700 ; + END + ANTENNADIFFAREA 0.1488 ; + END SLEEPOUT + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 1.2760 2.0730 1.4660 2.1830 ; + RECT 1.3470 2.0270 1.3970 2.0730 ; + RECT 0.8900 2.4930 1.6410 2.5430 ; + RECT 1.3470 2.5430 1.3970 2.5590 ; + RECT 1.3470 2.1830 1.3970 2.4930 ; + RECT 0.8910 1.8370 0.9410 2.4930 ; + END + PORT + LAYER CO ; + RECT 0.8950 2.4090 0.9370 2.4510 ; + RECT 0.8950 2.2250 0.9370 2.2670 ; + RECT 0.8950 2.0410 0.9370 2.0830 ; + RECT 1.3510 2.0470 1.3930 2.0890 ; + RECT 1.3510 2.1390 1.3930 2.1810 ; + RECT 0.8950 2.1330 0.9370 2.1750 ; + RECT 0.8950 1.8570 0.9370 1.8990 ; + RECT 0.8950 1.9490 0.9370 1.9910 ; + RECT 1.5790 2.4970 1.6210 2.5390 ; + RECT 0.8950 2.3170 0.9370 2.3590 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6440 2.2800 1.7000 ; + RECT 0.7390 1.7000 0.7890 2.4960 ; + RECT 1.0430 1.7000 1.0930 2.4040 ; + END + PORT + LAYER CO ; + RECT 0.7430 2.3420 0.7850 2.3840 ; + RECT 1.0470 1.7860 1.0890 1.8280 ; + RECT 0.7430 2.1560 0.7850 2.1980 ; + RECT 1.0470 1.8780 1.0890 1.9200 ; + RECT 1.0470 1.9720 1.0890 2.0140 ; + RECT 1.0470 2.0640 1.0890 2.1060 ; + RECT 0.7430 1.9720 0.7850 2.0140 ; + RECT 0.7430 1.8780 0.7850 1.9200 ; + RECT 0.7430 2.0640 0.7850 2.1060 ; + RECT 1.0470 2.1560 1.0890 2.1980 ; + RECT 1.0470 2.2500 1.0890 2.2920 ; + RECT 1.0470 2.3420 1.0890 2.3840 ; + RECT 0.7430 2.4340 0.7850 2.4760 ; + RECT 0.7430 2.2480 0.7850 2.2900 ; + RECT 0.7430 1.7860 0.7850 1.8280 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0280 2.2800 0.0280 ; + RECT 1.0430 0.0280 1.0930 0.5660 ; + RECT 1.3470 0.0280 1.3970 0.3820 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3160 2.2800 3.3720 ; + END + PORT + LAYER CO ; + RECT 1.0470 0.4120 1.0890 0.4540 ; + RECT 1.0470 0.5040 1.0890 0.5460 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.0470 0.3200 1.0890 0.3620 ; + RECT 1.0470 0.2280 1.0890 0.2700 ; + RECT 1.3510 0.3200 1.3930 0.3620 ; + RECT 1.3510 0.2280 1.3930 0.2700 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.7050 1.0170 0.8150 ; + RECT 0.9670 0.6450 1.0170 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.9710 0.6650 1.0130 0.7070 ; + END + ANTENNAGATEAREA 0.0366 ; + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5750 0.6790 1.6770 2.6650 ; + RECT 1.0840 1.9230 1.0870 1.9340 ; + LAYER M1 ; + RECT 1.1030 0.6560 1.3370 0.7160 ; + RECT 0.6630 0.9560 1.1690 1.0160 ; + RECT 1.1190 0.7160 1.1690 0.9560 ; + RECT 0.6630 0.5620 0.7130 0.9560 ; + RECT 0.6630 0.5020 0.9410 0.5620 ; + RECT 0.8910 1.0160 0.9410 1.5190 ; + RECT 0.8910 0.1800 0.9410 0.5020 ; + RECT 1.0430 1.4590 1.6410 1.5190 ; + RECT 1.3470 1.2340 1.3970 1.4590 ; + RECT 1.0430 1.2340 1.0930 1.4590 ; + RECT 0.6470 2.6030 1.0330 2.6530 ; + LAYER PO ; + RECT 1.5850 0.1200 1.6150 2.6270 ; + RECT 0.5210 0.1200 0.5510 1.6070 ; + RECT 0.8250 1.7070 0.8550 2.6650 ; + RECT 1.4330 1.7210 1.4630 2.6270 ; + RECT 1.2810 1.7210 1.3110 2.6270 ; + RECT 0.6730 0.1190 0.7030 2.6650 ; + RECT 0.9770 1.7070 1.0070 2.6650 ; + RECT 1.4330 0.1200 1.4630 1.6210 ; + RECT 0.8250 0.1200 0.8550 1.6070 ; + RECT 1.2810 0.1200 1.3110 1.6210 ; + RECT 0.5210 1.7070 0.5510 2.6270 ; + RECT 0.9770 0.1200 1.0070 1.6070 ; + RECT 1.1290 0.1200 1.1590 1.6210 ; + RECT 1.1290 1.7210 1.1590 2.6650 ; + LAYER CO ; + RECT 0.8950 1.3460 0.9370 1.3880 ; + RECT 0.8950 0.2920 0.9370 0.3340 ; + RECT 0.8950 0.3860 0.9370 0.4280 ; + RECT 0.8950 1.1600 0.9370 1.2020 ; + RECT 1.1230 0.6650 1.1650 0.7070 ; + RECT 0.8950 1.0680 0.9370 1.1100 ; + RECT 0.8950 0.2000 0.9370 0.2420 ; + RECT 1.5790 1.4680 1.6210 1.5100 ; + RECT 1.3510 1.3460 1.3930 1.3880 ; + RECT 1.3510 1.2540 1.3930 1.2960 ; + RECT 1.3510 1.4380 1.3930 1.4800 ; + RECT 1.0470 1.4380 1.0890 1.4800 ; + RECT 1.0470 1.2540 1.0890 1.2960 ; + RECT 1.0470 1.3460 1.0890 1.3880 ; + RECT 1.2750 0.6650 1.3170 0.7070 ; + RECT 0.6670 2.6070 0.7090 2.6490 ; + RECT 0.6670 0.6780 0.7090 0.7200 ; + RECT 0.8950 1.4380 0.9370 1.4800 ; + RECT 0.8950 0.9760 0.9370 1.0180 ; + RECT 0.8950 0.4780 0.9370 0.5200 ; + RECT 0.8190 2.6070 0.8610 2.6490 ; + RECT 0.9710 2.6070 1.0130 2.6490 ; + RECT 0.8950 1.2540 0.9370 1.2960 ; + END +END HEAD2X2_HVT + +MACRO HEAD2X32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.296 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.5020 6.5910 0.5620 ; + RECT 1.9510 0.8060 6.5270 0.8660 ; + RECT 6.4640 0.5620 6.5910 0.6630 ; + RECT 3.7750 0.1790 3.8250 0.5020 ; + RECT 3.4710 0.1790 3.5210 0.5020 ; + RECT 3.1670 0.1790 3.2170 0.5020 ; + RECT 2.8630 0.1790 2.9130 0.5020 ; + RECT 2.5590 0.1790 2.6090 0.5020 ; + RECT 2.2550 0.1800 2.3050 0.5020 ; + RECT 1.9510 0.1800 2.0010 0.5020 ; + RECT 1.6470 0.1800 1.6970 0.5020 ; + RECT 6.2070 0.1790 6.2570 0.5020 ; + RECT 5.9030 0.1790 5.9530 0.5020 ; + RECT 5.5990 0.1790 5.6490 0.5020 ; + RECT 5.2950 0.1790 5.3450 0.5020 ; + RECT 4.9910 0.1790 5.0410 0.5020 ; + RECT 4.6870 0.1790 4.7370 0.5020 ; + RECT 4.3830 0.1790 4.4330 0.5020 ; + RECT 4.0790 0.1790 4.1290 0.5020 ; + RECT 3.7750 0.8660 3.8250 1.3580 ; + RECT 3.7750 0.7950 3.8250 0.8060 ; + RECT 3.4710 0.8660 3.5210 1.3580 ; + RECT 3.4710 0.7950 3.5210 0.8060 ; + RECT 3.1670 0.8660 3.2170 1.3580 ; + RECT 3.1670 0.7950 3.2170 0.8060 ; + RECT 2.8630 0.8660 2.9130 1.3580 ; + RECT 2.8630 0.7950 2.9130 0.8060 ; + RECT 2.5590 0.8660 2.6090 1.3580 ; + RECT 2.5590 0.7950 2.6090 0.8060 ; + RECT 2.2550 0.8660 2.3050 1.3580 ; + RECT 2.2550 0.7950 2.3050 0.8060 ; + RECT 1.9510 0.7950 2.0010 0.8060 ; + RECT 4.0790 0.8660 4.1290 1.3580 ; + RECT 4.0790 0.7950 4.1290 0.8060 ; + RECT 4.3830 0.8660 4.4330 1.3580 ; + RECT 4.3830 0.7950 4.4330 0.8060 ; + RECT 4.6870 0.8660 4.7370 1.3580 ; + RECT 4.6870 0.7950 4.7370 0.8060 ; + RECT 4.9910 0.8660 5.0410 1.3580 ; + RECT 4.9910 0.7950 5.0410 0.8060 ; + RECT 5.2950 0.8660 5.3450 1.3580 ; + RECT 5.2950 0.7950 5.3450 0.8060 ; + RECT 5.5990 0.8660 5.6490 1.3580 ; + RECT 5.5990 0.7950 5.6490 0.8060 ; + RECT 5.9030 0.8660 5.9530 1.3580 ; + RECT 5.9030 0.7950 5.9530 0.8060 ; + RECT 6.2070 0.8660 6.2570 1.3580 ; + RECT 6.2070 0.7950 6.2570 0.8060 ; + RECT 6.4770 0.6630 6.5270 0.8060 ; + RECT 1.6470 1.1400 1.6970 1.3580 ; + RECT 1.6470 1.0730 1.6970 1.0800 ; + RECT 1.6470 1.0800 2.0010 1.1400 ; + RECT 1.9510 1.1400 2.0010 1.3580 ; + RECT 1.9510 0.8660 2.0010 1.0800 ; + END + PORT + LAYER CO ; + RECT 4.0830 0.8150 4.1250 0.8570 ; + RECT 4.0830 1.0930 4.1250 1.1350 ; + RECT 4.0830 0.9990 4.1250 1.0410 ; + RECT 4.0830 0.9070 4.1250 0.9490 ; + RECT 4.0830 1.1850 4.1250 1.2270 ; + RECT 4.0830 1.2770 4.1250 1.3190 ; + RECT 4.3870 0.8150 4.4290 0.8570 ; + RECT 4.3870 1.0930 4.4290 1.1350 ; + RECT 4.3870 0.9990 4.4290 1.0410 ; + RECT 4.3870 0.9070 4.4290 0.9490 ; + RECT 4.3870 1.1850 4.4290 1.2270 ; + RECT 4.3870 1.2770 4.4290 1.3190 ; + RECT 4.6910 0.8150 4.7330 0.8570 ; + RECT 4.6910 1.0930 4.7330 1.1350 ; + RECT 4.6910 0.9990 4.7330 1.0410 ; + RECT 4.6910 0.9070 4.7330 0.9490 ; + RECT 4.6910 1.1850 4.7330 1.2270 ; + RECT 4.6910 1.2770 4.7330 1.3190 ; + RECT 4.9950 0.9070 5.0370 0.9490 ; + RECT 4.9950 0.9990 5.0370 1.0410 ; + RECT 4.9950 1.0930 5.0370 1.1350 ; + RECT 4.9950 0.8150 5.0370 0.8570 ; + RECT 4.9950 1.2770 5.0370 1.3190 ; + RECT 4.9950 1.1850 5.0370 1.2270 ; + RECT 5.2990 0.9070 5.3410 0.9490 ; + RECT 5.2990 0.9990 5.3410 1.0410 ; + RECT 5.2990 1.0930 5.3410 1.1350 ; + RECT 5.2990 0.8150 5.3410 0.8570 ; + RECT 5.2990 1.2770 5.3410 1.3190 ; + RECT 5.2990 1.1850 5.3410 1.2270 ; + RECT 5.6030 0.8150 5.6450 0.8570 ; + RECT 5.6030 1.0930 5.6450 1.1350 ; + RECT 5.6030 0.9990 5.6450 1.0410 ; + RECT 5.6030 0.9070 5.6450 0.9490 ; + RECT 5.6030 1.1850 5.6450 1.2270 ; + RECT 5.6030 1.2770 5.6450 1.3190 ; + RECT 5.9070 0.8150 5.9490 0.8570 ; + RECT 5.9070 1.0930 5.9490 1.1350 ; + RECT 5.9070 0.9990 5.9490 1.0410 ; + RECT 5.9070 0.9070 5.9490 0.9490 ; + RECT 5.9070 1.1850 5.9490 1.2270 ; + RECT 5.9070 1.2770 5.9490 1.3190 ; + RECT 6.2110 0.9070 6.2530 0.9490 ; + RECT 6.2110 0.9990 6.2530 1.0410 ; + RECT 6.2110 1.0930 6.2530 1.1350 ; + RECT 6.2110 0.8150 6.2530 0.8570 ; + RECT 6.2110 1.2770 6.2530 1.3190 ; + RECT 6.2110 1.1850 6.2530 1.2270 ; + RECT 6.2110 0.1990 6.2530 0.2410 ; + RECT 6.2110 0.2910 6.2530 0.3330 ; + RECT 6.2110 0.3850 6.2530 0.4270 ; + RECT 6.2110 0.4770 6.2530 0.5190 ; + RECT 5.9070 0.4770 5.9490 0.5190 ; + RECT 5.9070 0.3850 5.9490 0.4270 ; + RECT 5.9070 0.2910 5.9490 0.3330 ; + RECT 5.9070 0.1990 5.9490 0.2410 ; + RECT 5.6030 0.4770 5.6450 0.5190 ; + RECT 5.6030 0.3850 5.6450 0.4270 ; + RECT 5.6030 0.2910 5.6450 0.3330 ; + RECT 5.6030 0.1990 5.6450 0.2410 ; + RECT 5.2990 0.1990 5.3410 0.2410 ; + RECT 5.2990 0.2910 5.3410 0.3330 ; + RECT 5.2990 0.3850 5.3410 0.4270 ; + RECT 5.2990 0.4770 5.3410 0.5190 ; + RECT 4.9950 0.1990 5.0370 0.2410 ; + RECT 4.9950 0.2910 5.0370 0.3330 ; + RECT 4.9950 0.3850 5.0370 0.4270 ; + RECT 4.9950 0.4770 5.0370 0.5190 ; + RECT 4.6910 0.4770 4.7330 0.5190 ; + RECT 4.6910 0.3850 4.7330 0.4270 ; + RECT 4.6910 0.2910 4.7330 0.3330 ; + RECT 4.6910 0.1990 4.7330 0.2410 ; + RECT 4.3870 0.4770 4.4290 0.5190 ; + RECT 4.3870 0.3850 4.4290 0.4270 ; + RECT 4.3870 0.2910 4.4290 0.3330 ; + RECT 4.3870 0.1990 4.4290 0.2410 ; + RECT 4.0830 0.1990 4.1250 0.2410 ; + RECT 4.0830 0.2910 4.1250 0.3330 ; + RECT 4.0830 0.3850 4.1250 0.4270 ; + RECT 4.0830 0.4770 4.1250 0.5190 ; + RECT 3.7790 1.2770 3.8210 1.3190 ; + RECT 3.7790 1.0930 3.8210 1.1350 ; + RECT 3.7790 1.1850 3.8210 1.2270 ; + RECT 3.7790 0.8150 3.8210 0.8570 ; + RECT 3.4750 0.8150 3.5170 0.8570 ; + RECT 3.4750 1.1850 3.5170 1.2270 ; + RECT 3.4750 1.0930 3.5170 1.1350 ; + RECT 3.4750 1.2770 3.5170 1.3190 ; + RECT 3.4750 0.9990 3.5170 1.0410 ; + RECT 3.4750 0.9070 3.5170 0.9490 ; + RECT 3.1710 0.8150 3.2130 0.8570 ; + RECT 3.1710 1.1850 3.2130 1.2270 ; + RECT 3.1710 1.0930 3.2130 1.1350 ; + RECT 3.1710 1.2770 3.2130 1.3190 ; + RECT 3.1710 0.9990 3.2130 1.0410 ; + RECT 3.1710 0.9070 3.2130 0.9490 ; + RECT 2.8670 0.9070 2.9090 0.9490 ; + RECT 2.8670 0.9990 2.9090 1.0410 ; + RECT 2.8670 1.2770 2.9090 1.3190 ; + RECT 2.8670 1.0930 2.9090 1.1350 ; + RECT 2.8670 1.1850 2.9090 1.2270 ; + RECT 2.8670 0.8150 2.9090 0.8570 ; + RECT 2.5630 0.9070 2.6050 0.9490 ; + RECT 2.5630 0.9990 2.6050 1.0410 ; + RECT 2.5630 1.2770 2.6050 1.3190 ; + RECT 2.5630 1.0930 2.6050 1.1350 ; + RECT 2.5630 1.1850 2.6050 1.2270 ; + RECT 2.5630 0.8150 2.6050 0.8570 ; + RECT 2.2590 0.8150 2.3010 0.8570 ; + RECT 2.2590 1.1850 2.3010 1.2270 ; + RECT 2.2590 1.0930 2.3010 1.1350 ; + RECT 3.7790 0.1990 3.8210 0.2410 ; + RECT 3.7790 0.2910 3.8210 0.3330 ; + RECT 3.7790 0.3850 3.8210 0.4270 ; + RECT 3.7790 0.4770 3.8210 0.5190 ; + RECT 3.4750 0.4770 3.5170 0.5190 ; + RECT 3.4750 0.3850 3.5170 0.4270 ; + RECT 3.4750 0.2910 3.5170 0.3330 ; + RECT 3.4750 0.1990 3.5170 0.2410 ; + RECT 3.1710 0.4770 3.2130 0.5190 ; + RECT 3.1710 0.3850 3.2130 0.4270 ; + RECT 3.1710 0.2910 3.2130 0.3330 ; + RECT 3.1710 0.1990 3.2130 0.2410 ; + RECT 2.8670 0.1990 2.9090 0.2410 ; + RECT 2.8670 0.2910 2.9090 0.3330 ; + RECT 2.8670 0.3850 2.9090 0.4270 ; + RECT 2.8670 0.4770 2.9090 0.5190 ; + RECT 1.6510 1.2770 1.6930 1.3190 ; + RECT 2.2590 1.2770 2.3010 1.3190 ; + RECT 2.2590 0.9990 2.3010 1.0410 ; + RECT 2.2590 0.9070 2.3010 0.9490 ; + RECT 2.5630 0.1990 2.6050 0.2410 ; + RECT 2.5630 0.2910 2.6050 0.3330 ; + RECT 2.5630 0.3850 2.6050 0.4270 ; + RECT 2.5630 0.4770 2.6050 0.5190 ; + RECT 2.2590 0.4780 2.3010 0.5200 ; + RECT 2.2590 0.3860 2.3010 0.4280 ; + RECT 2.2590 0.2920 2.3010 0.3340 ; + RECT 2.2590 0.2000 2.3010 0.2420 ; + RECT 1.9550 0.4780 1.9970 0.5200 ; + RECT 1.9550 0.3860 1.9970 0.4280 ; + RECT 1.9550 0.2920 1.9970 0.3340 ; + RECT 1.9550 0.2000 1.9970 0.2420 ; + RECT 1.9550 0.8150 1.9970 0.8570 ; + RECT 1.9550 1.1850 1.9970 1.2270 ; + RECT 1.9550 1.0930 1.9970 1.1350 ; + RECT 1.9550 1.2770 1.9970 1.3190 ; + RECT 1.9550 0.9990 1.9970 1.0410 ; + RECT 1.6510 0.4780 1.6930 0.5200 ; + RECT 1.6510 0.3860 1.6930 0.4280 ; + RECT 1.6510 0.2920 1.6930 0.3340 ; + RECT 1.6510 0.2000 1.6930 0.2420 ; + RECT 1.6510 1.1850 1.6930 1.2270 ; + RECT 1.6510 1.0930 1.6930 1.1350 ; + RECT 1.9550 0.9070 1.9970 0.9490 ; + RECT 3.7790 0.9070 3.8210 0.9490 ; + RECT 3.7790 0.9990 3.8210 1.0410 ; + END + ANTENNADIFFAREA 2.3808 ; + END SLEEPOUT + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 6.2900 2.0730 6.4800 2.1830 ; + RECT 6.3610 2.0110 6.4110 2.0730 ; + RECT 1.3420 2.4930 6.6530 2.5430 ; + RECT 6.3610 2.1830 6.4110 2.4930 ; + RECT 3.4710 1.8370 3.5210 2.4930 ; + RECT 3.1670 1.8370 3.2170 2.4930 ; + RECT 2.8630 1.8370 2.9130 2.4930 ; + RECT 2.5590 1.8370 2.6090 2.4930 ; + RECT 2.2550 1.8370 2.3050 2.4930 ; + RECT 1.9510 1.8370 2.0010 2.4930 ; + RECT 1.6470 1.8370 1.6970 2.4930 ; + RECT 1.3430 1.8370 1.3930 2.4930 ; + RECT 3.7750 1.8370 3.8250 2.4930 ; + RECT 4.0790 1.8370 4.1290 2.4930 ; + RECT 4.3830 1.8370 4.4330 2.4930 ; + RECT 4.6870 1.8370 4.7370 2.4930 ; + RECT 4.9910 1.8370 5.0410 2.4930 ; + RECT 5.2950 1.8370 5.3450 2.4930 ; + RECT 5.5990 1.8370 5.6490 2.4930 ; + RECT 5.9030 1.8370 5.9530 2.4930 ; + END + PORT + LAYER CO ; + RECT 1.6510 2.2250 1.6930 2.2670 ; + RECT 1.6510 2.3170 1.6930 2.3590 ; + RECT 1.6510 2.4090 1.6930 2.4510 ; + RECT 1.9550 2.2250 1.9970 2.2670 ; + RECT 1.9550 2.3170 1.9970 2.3590 ; + RECT 1.9550 2.4090 1.9970 2.4510 ; + RECT 2.2590 2.2250 2.3010 2.2670 ; + RECT 2.2590 2.4090 2.3010 2.4510 ; + RECT 2.2590 2.3170 2.3010 2.3590 ; + RECT 2.5630 2.2250 2.6050 2.2670 ; + RECT 2.5630 2.4090 2.6050 2.4510 ; + RECT 2.5630 2.3170 2.6050 2.3590 ; + RECT 2.8670 2.2250 2.9090 2.2670 ; + RECT 2.8670 2.3170 2.9090 2.3590 ; + RECT 2.8670 2.4090 2.9090 2.4510 ; + RECT 3.1710 2.2250 3.2130 2.2670 ; + RECT 3.1710 2.3170 3.2130 2.3590 ; + RECT 3.1710 2.4090 3.2130 2.4510 ; + RECT 3.4750 2.2250 3.5170 2.2670 ; + RECT 3.4750 2.4090 3.5170 2.4510 ; + RECT 3.4750 2.3170 3.5170 2.3590 ; + RECT 3.7790 2.2250 3.8210 2.2670 ; + RECT 3.7790 2.3170 3.8210 2.3590 ; + RECT 3.7790 2.4090 3.8210 2.4510 ; + RECT 4.0830 2.2250 4.1250 2.2670 ; + RECT 4.0830 2.3170 4.1250 2.3590 ; + RECT 4.0830 2.4090 4.1250 2.4510 ; + RECT 4.3870 2.2250 4.4290 2.2670 ; + RECT 4.3870 2.3170 4.4290 2.3590 ; + RECT 4.3870 2.4090 4.4290 2.4510 ; + RECT 4.6910 2.2250 4.7330 2.2670 ; + RECT 4.6910 2.4090 4.7330 2.4510 ; + RECT 4.6910 2.3170 4.7330 2.3590 ; + RECT 4.9950 2.2250 5.0370 2.2670 ; + RECT 4.9950 2.4090 5.0370 2.4510 ; + RECT 4.9950 2.3170 5.0370 2.3590 ; + RECT 5.2990 2.2250 5.3410 2.2670 ; + RECT 5.2990 2.3170 5.3410 2.3590 ; + RECT 5.2990 2.4090 5.3410 2.4510 ; + RECT 5.6030 2.2250 5.6450 2.2670 ; + RECT 5.6030 2.3170 5.6450 2.3590 ; + RECT 5.6030 2.4090 5.6450 2.4510 ; + RECT 5.9070 2.2250 5.9490 2.2670 ; + RECT 5.9070 2.3170 5.9490 2.3590 ; + RECT 5.9070 2.4090 5.9490 2.4510 ; + RECT 1.3470 2.2250 1.3890 2.2670 ; + RECT 1.3470 2.3170 1.3890 2.3590 ; + RECT 1.3470 2.4090 1.3890 2.4510 ; + RECT 5.9070 2.0410 5.9490 2.0830 ; + RECT 5.9070 2.1330 5.9490 2.1750 ; + RECT 5.9070 1.8570 5.9490 1.8990 ; + RECT 5.9070 1.9490 5.9490 1.9910 ; + RECT 5.6030 1.9490 5.6450 1.9910 ; + RECT 3.7790 2.0410 3.8210 2.0830 ; + RECT 3.7790 2.1330 3.8210 2.1750 ; + RECT 3.7790 1.8570 3.8210 1.8990 ; + RECT 3.7790 1.9490 3.8210 1.9910 ; + RECT 4.0830 2.0410 4.1250 2.0830 ; + RECT 4.0830 2.1330 4.1250 2.1750 ; + RECT 4.0830 1.8570 4.1250 1.8990 ; + RECT 4.0830 1.9490 4.1250 1.9910 ; + RECT 4.3870 2.0410 4.4290 2.0830 ; + RECT 4.3870 2.1330 4.4290 2.1750 ; + RECT 4.3870 1.8570 4.4290 1.8990 ; + RECT 4.3870 1.9490 4.4290 1.9910 ; + RECT 4.6910 1.9490 4.7330 1.9910 ; + RECT 4.6910 1.8570 4.7330 1.8990 ; + RECT 4.6910 2.1330 4.7330 2.1750 ; + RECT 4.6910 2.0410 4.7330 2.0830 ; + RECT 4.9950 1.9490 5.0370 1.9910 ; + RECT 4.9950 1.8570 5.0370 1.8990 ; + RECT 4.9950 2.1330 5.0370 2.1750 ; + RECT 4.9950 2.0410 5.0370 2.0830 ; + RECT 5.2990 2.0410 5.3410 2.0830 ; + RECT 5.2990 2.1330 5.3410 2.1750 ; + RECT 5.2990 1.8570 5.3410 1.8990 ; + RECT 5.2990 1.9490 5.3410 1.9910 ; + RECT 5.6030 2.0410 5.6450 2.0830 ; + RECT 5.6030 2.1330 5.6450 2.1750 ; + RECT 5.6030 1.8570 5.6450 1.8990 ; + RECT 3.4750 1.9490 3.5170 1.9910 ; + RECT 3.4750 1.8570 3.5170 1.8990 ; + RECT 3.4750 2.1330 3.5170 2.1750 ; + RECT 3.4750 2.0410 3.5170 2.0830 ; + RECT 3.1710 2.0410 3.2130 2.0830 ; + RECT 3.1710 2.1330 3.2130 2.1750 ; + RECT 3.1710 1.8570 3.2130 1.8990 ; + RECT 3.1710 1.9490 3.2130 1.9910 ; + RECT 2.8670 2.0410 2.9090 2.0830 ; + RECT 2.8670 2.1330 2.9090 2.1750 ; + RECT 2.8670 1.8570 2.9090 1.8990 ; + RECT 2.8670 1.9490 2.9090 1.9910 ; + RECT 2.5630 1.9490 2.6050 1.9910 ; + RECT 2.5630 1.8570 2.6050 1.8990 ; + RECT 2.5630 2.1330 2.6050 2.1750 ; + RECT 2.5630 2.0410 2.6050 2.0830 ; + RECT 1.6510 1.8570 1.6930 1.8990 ; + RECT 1.6510 1.9490 1.6930 1.9910 ; + RECT 1.3470 2.0410 1.3890 2.0830 ; + RECT 6.3630 2.0310 6.4050 2.0730 ; + RECT 6.3630 2.1230 6.4050 2.1650 ; + RECT 1.3470 2.1330 1.3890 2.1750 ; + RECT 1.3470 1.8570 1.3890 1.8990 ; + RECT 1.3470 1.9490 1.3890 1.9910 ; + RECT 2.2590 1.9490 2.3010 1.9910 ; + RECT 2.2590 1.8570 2.3010 1.8990 ; + RECT 2.2590 2.1330 2.3010 2.1750 ; + RECT 2.2590 2.0410 2.3010 2.0830 ; + RECT 1.9550 2.0410 1.9970 2.0830 ; + RECT 1.9550 2.1330 1.9970 2.1750 ; + RECT 1.9550 1.8570 1.9970 1.8990 ; + RECT 1.9550 1.9490 1.9970 1.9910 ; + RECT 1.6510 2.0410 1.6930 2.0830 ; + RECT 1.6510 2.1330 1.6930 2.1750 ; + RECT 6.5910 2.4970 6.6330 2.5390 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.2960 1.7020 ; + RECT 3.6230 1.7020 3.6730 2.3090 ; + RECT 3.3190 1.7020 3.3690 2.3090 ; + RECT 3.0150 1.7020 3.0650 2.3090 ; + RECT 2.7110 1.7020 2.7610 2.3100 ; + RECT 2.4070 1.7020 2.4570 2.3100 ; + RECT 2.1030 1.7020 2.1530 2.3100 ; + RECT 1.7990 1.7020 1.8490 2.3100 ; + RECT 1.1910 1.7020 1.2410 2.4940 ; + RECT 1.4950 1.7020 1.5450 2.3100 ; + RECT 3.9270 1.7020 3.9770 2.3100 ; + RECT 4.2310 1.7020 4.2810 2.3100 ; + RECT 4.5350 1.7020 4.5850 2.3100 ; + RECT 4.8390 1.7020 4.8890 2.3100 ; + RECT 5.1430 1.7020 5.1930 2.3100 ; + RECT 5.4470 1.7020 5.4970 2.3090 ; + RECT 5.7510 1.7020 5.8010 2.3090 ; + RECT 6.0550 1.7020 6.1050 2.3090 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.2480 1.2370 2.2900 ; + RECT 1.1950 2.3400 1.2370 2.3820 ; + RECT 1.1950 2.4320 1.2370 2.4740 ; + RECT 1.4990 2.1560 1.5410 2.1980 ; + RECT 1.4990 2.2480 1.5410 2.2900 ; + RECT 1.8030 2.1560 1.8450 2.1980 ; + RECT 1.8030 2.2480 1.8450 2.2900 ; + RECT 2.1070 2.1560 2.1490 2.1980 ; + RECT 2.1070 2.2480 2.1490 2.2900 ; + RECT 2.4110 2.1560 2.4530 2.1980 ; + RECT 2.4110 2.2480 2.4530 2.2900 ; + RECT 2.7150 2.1560 2.7570 2.1980 ; + RECT 2.7150 2.2480 2.7570 2.2900 ; + RECT 3.0190 2.1550 3.0610 2.1970 ; + RECT 3.0190 2.2470 3.0610 2.2890 ; + RECT 3.3230 2.1550 3.3650 2.1970 ; + RECT 3.3230 2.2470 3.3650 2.2890 ; + RECT 3.6270 2.1550 3.6690 2.1970 ; + RECT 3.6270 2.2470 3.6690 2.2890 ; + RECT 3.9310 2.1560 3.9730 2.1980 ; + RECT 3.9310 2.2480 3.9730 2.2900 ; + RECT 4.2350 2.1560 4.2770 2.1980 ; + RECT 4.2350 2.2480 4.2770 2.2900 ; + RECT 4.5390 2.1560 4.5810 2.1980 ; + RECT 4.5390 2.2480 4.5810 2.2900 ; + RECT 4.8430 2.1560 4.8850 2.1980 ; + RECT 4.8430 2.2480 4.8850 2.2900 ; + RECT 5.1470 2.1560 5.1890 2.1980 ; + RECT 5.1470 2.2480 5.1890 2.2900 ; + RECT 5.4510 2.1550 5.4930 2.1970 ; + RECT 5.4510 2.2470 5.4930 2.2890 ; + RECT 5.7550 2.1550 5.7970 2.1970 ; + RECT 5.7550 2.2470 5.7970 2.2890 ; + RECT 6.0590 2.1550 6.1010 2.1970 ; + RECT 6.0590 2.2470 6.1010 2.2890 ; + RECT 1.1950 2.1560 1.2370 2.1980 ; + RECT 3.9310 1.7860 3.9730 1.8280 ; + RECT 3.9310 1.8780 3.9730 1.9200 ; + RECT 3.9310 1.9720 3.9730 2.0140 ; + RECT 3.9310 2.0640 3.9730 2.1060 ; + RECT 4.2350 2.0640 4.2770 2.1060 ; + RECT 4.2350 1.8780 4.2770 1.9200 ; + RECT 4.2350 1.7860 4.2770 1.8280 ; + RECT 4.2350 1.9720 4.2770 2.0140 ; + RECT 4.5390 2.0640 4.5810 2.1060 ; + RECT 4.5390 1.8780 4.5810 1.9200 ; + RECT 4.5390 1.7860 4.5810 1.8280 ; + RECT 4.5390 1.9720 4.5810 2.0140 ; + RECT 4.8430 1.9720 4.8850 2.0140 ; + RECT 4.8430 1.7860 4.8850 1.8280 ; + RECT 4.8430 1.8780 4.8850 1.9200 ; + RECT 4.8430 2.0640 4.8850 2.1060 ; + RECT 5.1470 1.9720 5.1890 2.0140 ; + RECT 5.1470 1.7860 5.1890 1.8280 ; + RECT 5.1470 1.8780 5.1890 1.9200 ; + RECT 5.1470 2.0640 5.1890 2.1060 ; + RECT 5.4510 2.0630 5.4930 2.1050 ; + RECT 5.4510 1.8770 5.4930 1.9190 ; + RECT 5.4510 1.7850 5.4930 1.8270 ; + RECT 5.4510 1.9710 5.4930 2.0130 ; + RECT 5.7550 2.0630 5.7970 2.1050 ; + RECT 5.7550 1.8770 5.7970 1.9190 ; + RECT 5.7550 1.7850 5.7970 1.8270 ; + RECT 5.7550 1.9710 5.7970 2.0130 ; + RECT 6.0590 1.9710 6.1010 2.0130 ; + RECT 6.0590 1.7850 6.1010 1.8270 ; + RECT 6.0590 1.8770 6.1010 1.9190 ; + RECT 6.0590 2.0630 6.1010 2.1050 ; + RECT 3.6270 1.9710 3.6690 2.0130 ; + RECT 3.6270 1.7850 3.6690 1.8270 ; + RECT 3.6270 1.8770 3.6690 1.9190 ; + RECT 3.6270 2.0630 3.6690 2.1050 ; + RECT 3.3230 2.0630 3.3650 2.1050 ; + RECT 3.3230 1.8770 3.3650 1.9190 ; + RECT 3.3230 1.7850 3.3650 1.8270 ; + RECT 3.3230 1.9710 3.3650 2.0130 ; + RECT 3.0190 2.0630 3.0610 2.1050 ; + RECT 3.0190 1.8770 3.0610 1.9190 ; + RECT 3.0190 1.7850 3.0610 1.8270 ; + RECT 3.0190 1.9710 3.0610 2.0130 ; + RECT 2.7150 1.9720 2.7570 2.0140 ; + RECT 1.8030 1.7860 1.8450 1.8280 ; + RECT 1.1950 1.7860 1.2370 1.8280 ; + RECT 1.8030 1.9720 1.8450 2.0140 ; + RECT 1.4990 1.7860 1.5410 1.8280 ; + RECT 1.4990 1.8780 1.5410 1.9200 ; + RECT 1.4990 1.9720 1.5410 2.0140 ; + RECT 1.4990 2.0640 1.5410 2.1060 ; + RECT 1.1950 1.9720 1.2370 2.0140 ; + RECT 1.1950 1.8780 1.2370 1.9200 ; + RECT 1.1950 2.0640 1.2370 2.1060 ; + RECT 2.4110 1.9720 2.4530 2.0140 ; + RECT 2.4110 1.7860 2.4530 1.8280 ; + RECT 2.4110 1.8780 2.4530 1.9200 ; + RECT 2.4110 2.0640 2.4530 2.1060 ; + RECT 2.1070 2.0640 2.1490 2.1060 ; + RECT 2.1070 1.8780 2.1490 1.9200 ; + RECT 2.1070 1.7860 2.1490 1.8280 ; + RECT 2.1070 1.9720 2.1490 2.0140 ; + RECT 1.8030 2.0640 1.8450 2.1060 ; + RECT 1.8030 1.8780 1.8450 1.9200 ; + RECT 2.7150 1.7860 2.7570 1.8280 ; + RECT 2.7150 1.8780 2.7570 1.9200 ; + RECT 2.7150 2.0640 2.7570 2.1060 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.2960 0.0300 ; + RECT 5.7510 0.0300 5.8010 0.3820 ; + RECT 5.4470 0.0300 5.4970 0.3820 ; + RECT 5.1430 0.0300 5.1930 0.3820 ; + RECT 4.8390 0.0300 4.8890 0.3820 ; + RECT 4.5350 0.0300 4.5850 0.3820 ; + RECT 4.2310 0.0300 4.2810 0.3820 ; + RECT 3.9270 0.0300 3.9770 0.3820 ; + RECT 3.6230 0.0300 3.6730 0.3820 ; + RECT 3.3190 0.0300 3.3690 0.3820 ; + RECT 3.0150 0.0300 3.0650 0.3820 ; + RECT 2.7110 0.0300 2.7610 0.3820 ; + RECT 2.4070 0.0300 2.4570 0.3820 ; + RECT 2.1030 0.0300 2.1530 0.3820 ; + RECT 1.1910 0.0300 1.2410 0.3820 ; + RECT 1.4950 0.0300 1.5450 0.5660 ; + RECT 1.7990 0.0300 1.8490 0.3820 ; + RECT 0.8870 0.0300 0.9370 0.3820 ; + RECT 6.3590 0.0300 6.4090 0.3820 ; + RECT 6.0550 0.0300 6.1050 0.3820 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 7.2960 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.3200 1.5410 0.3620 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 0.8910 0.2280 0.9330 0.2700 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 5.0710 3.3230 5.1130 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 6.4390 3.3230 6.4810 3.3650 ; + RECT 5.8310 3.3230 5.8730 3.3650 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 1.4990 0.4120 1.5410 0.4540 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 5.2230 3.3230 5.2650 3.3650 ; + RECT 5.3750 3.3230 5.4170 3.3650 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 7.1990 3.3230 7.2410 3.3650 ; + RECT 7.0470 3.3230 7.0890 3.3650 ; + RECT 6.8950 3.3230 6.9370 3.3650 ; + RECT 6.7430 3.3230 6.7850 3.3650 ; + RECT 6.5910 3.3230 6.6330 3.3650 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 3.0190 0.3200 3.0610 0.3620 ; + RECT 6.3630 0.2280 6.4050 0.2700 ; + RECT 6.3630 0.3200 6.4050 0.3620 ; + RECT 6.0590 0.3200 6.1010 0.3620 ; + RECT 6.0590 0.2280 6.1010 0.2700 ; + RECT 5.7550 0.3200 5.7970 0.3620 ; + RECT 5.7550 0.2280 5.7970 0.2700 ; + RECT 5.4510 0.2280 5.4930 0.2700 ; + RECT 5.4510 0.3200 5.4930 0.3620 ; + RECT 5.1470 0.2280 5.1890 0.2700 ; + RECT 5.1470 0.3200 5.1890 0.3620 ; + RECT 4.8430 0.3200 4.8850 0.3620 ; + RECT 4.8430 0.2280 4.8850 0.2700 ; + RECT 4.5390 0.3200 4.5810 0.3620 ; + RECT 4.5390 0.2280 4.5810 0.2700 ; + RECT 4.2350 0.2280 4.2770 0.2700 ; + RECT 4.2350 0.3200 4.2770 0.3620 ; + RECT 3.9310 0.2280 3.9730 0.2700 ; + RECT 3.9310 0.3200 3.9730 0.3620 ; + RECT 3.6270 0.3200 3.6690 0.3620 ; + RECT 3.6270 0.2280 3.6690 0.2700 ; + RECT 3.3230 0.3200 3.3650 0.3620 ; + RECT 3.3230 0.2280 3.3650 0.2700 ; + RECT 3.0190 0.2280 3.0610 0.2700 ; + RECT 1.4990 0.5040 1.5410 0.5460 ; + RECT 1.8030 0.3200 1.8450 0.3620 ; + RECT 1.8030 0.2280 1.8450 0.2700 ; + RECT 1.4990 0.2280 1.5410 0.2700 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.1950 0.3200 1.2370 0.3620 ; + RECT 1.1950 0.2280 1.2370 0.2700 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 2.7150 0.2280 2.7570 0.2700 ; + RECT 2.7150 0.3200 2.7570 0.3620 ; + RECT 2.4110 0.3200 2.4530 0.3620 ; + RECT 2.4110 0.2280 2.4530 0.2700 ; + RECT 2.1070 0.3200 2.1490 0.3620 ; + RECT 2.1070 0.2280 2.1490 0.2700 ; + RECT 0.8910 0.3200 0.9330 0.3620 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.6560 1.4850 0.7160 ; + RECT 0.9740 0.7160 1.1340 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.9670 0.6650 1.0090 0.7070 ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + END + ANTENNAGATEAREA 0.183 ; + END SLEEP + OBS + LAYER CO ; + RECT 0.6630 0.6650 0.7050 0.7070 ; + RECT 0.7390 1.1850 0.7810 1.2270 ; + RECT 1.0430 1.0930 1.0850 1.1350 ; + RECT 1.0430 1.2770 1.0850 1.3190 ; + RECT 1.0430 0.9990 1.0850 1.0410 ; + RECT 1.0430 1.1850 1.0850 1.2270 ; + RECT 1.3470 0.8150 1.3890 0.8570 ; + RECT 1.3470 1.1850 1.3890 1.2270 ; + RECT 1.3470 1.0930 1.3890 1.1350 ; + RECT 1.3470 1.2770 1.3890 1.3190 ; + RECT 1.3470 0.9990 1.3890 1.0410 ; + RECT 0.8910 1.4380 0.9330 1.4800 ; + RECT 0.8910 1.3460 0.9330 1.3880 ; + RECT 0.8910 1.2540 0.9330 1.2960 ; + RECT 0.8910 1.1600 0.9330 1.2020 ; + RECT 0.8910 1.0680 0.9330 1.1100 ; + RECT 1.1950 1.4380 1.2370 1.4800 ; + RECT 1.1950 1.0680 1.2370 1.1100 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 1.1950 1.2540 1.2370 1.2960 ; + RECT 1.1950 1.3460 1.2370 1.3880 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.7390 0.2920 0.7810 0.3340 ; + RECT 0.7390 0.3860 0.7810 0.4280 ; + RECT 0.7390 0.4780 0.7810 0.5200 ; + RECT 1.0430 0.2000 1.0850 0.2420 ; + RECT 1.0430 0.2920 1.0850 0.3340 ; + RECT 1.0430 0.3860 1.0850 0.4280 ; + RECT 1.0430 0.4780 1.0850 0.5200 ; + RECT 1.3470 0.4780 1.3890 0.5200 ; + RECT 1.3470 0.3860 1.3890 0.4280 ; + RECT 1.3470 0.2920 1.3890 0.3340 ; + RECT 1.3470 0.2000 1.3890 0.2420 ; + RECT 4.0070 0.6650 4.0490 0.7070 ; + RECT 4.4630 0.6650 4.5050 0.7070 ; + RECT 4.3110 0.6650 4.3530 0.7070 ; + RECT 4.1590 0.6650 4.2010 0.7070 ; + RECT 5.0710 0.6650 5.1130 0.7070 ; + RECT 4.9190 0.6650 4.9610 0.7070 ; + RECT 4.7670 0.6650 4.8090 0.7070 ; + RECT 4.6150 0.6650 4.6570 0.7070 ; + RECT 6.3630 1.0680 6.4050 1.1100 ; + RECT 6.3630 1.4380 6.4050 1.4800 ; + RECT 6.3630 1.1600 6.4050 1.2020 ; + RECT 6.3630 1.2540 6.4050 1.2960 ; + RECT 6.3630 1.3460 6.4050 1.3880 ; + RECT 5.2230 0.6650 5.2650 0.7070 ; + RECT 5.3750 0.6650 5.4170 0.7070 ; + RECT 5.5270 0.6650 5.5690 0.7070 ; + RECT 5.6790 0.6650 5.7210 0.7070 ; + RECT 5.8310 0.6650 5.8730 0.7070 ; + RECT 5.9830 0.6650 6.0250 0.7070 ; + RECT 6.1350 0.6650 6.1770 0.7070 ; + RECT 6.2870 0.6650 6.3290 0.7070 ; + RECT 4.6150 2.6070 4.6570 2.6490 ; + RECT 4.7670 2.6070 4.8090 2.6490 ; + RECT 4.9190 2.6070 4.9610 2.6490 ; + RECT 4.1590 2.6070 4.2010 2.6490 ; + RECT 4.0070 2.6070 4.0490 2.6490 ; + RECT 4.4630 2.6070 4.5050 2.6490 ; + RECT 4.3110 2.6070 4.3530 2.6490 ; + RECT 3.7030 2.6070 3.7450 2.6490 ; + RECT 3.8550 2.6070 3.8970 2.6490 ; + RECT 3.5510 2.6070 3.5930 2.6490 ; + RECT 5.0710 2.6070 5.1130 2.6490 ; + RECT 4.2350 1.0680 4.2770 1.1100 ; + RECT 4.2350 1.4380 4.2770 1.4800 ; + RECT 4.2350 1.1600 4.2770 1.2020 ; + RECT 4.2350 1.2540 4.2770 1.2960 ; + RECT 4.2350 1.3460 4.2770 1.3880 ; + RECT 4.5390 1.0680 4.5810 1.1100 ; + RECT 4.5390 1.4380 4.5810 1.4800 ; + RECT 4.5390 1.1600 4.5810 1.2020 ; + RECT 4.5390 1.2540 4.5810 1.2960 ; + RECT 4.5390 1.3460 4.5810 1.3880 ; + RECT 4.8430 1.0680 4.8850 1.1100 ; + RECT 4.8430 1.4380 4.8850 1.4800 ; + RECT 4.8430 1.1600 4.8850 1.2020 ; + RECT 4.8430 1.2540 4.8850 1.2960 ; + RECT 4.8430 1.3460 4.8850 1.3880 ; + RECT 5.1470 1.0680 5.1890 1.1100 ; + RECT 5.1470 1.4380 5.1890 1.4800 ; + RECT 5.1470 1.1600 5.1890 1.2020 ; + RECT 5.1470 1.2540 5.1890 1.2960 ; + RECT 5.1470 1.3460 5.1890 1.3880 ; + RECT 5.4510 1.0680 5.4930 1.1100 ; + RECT 5.4510 1.3460 5.4930 1.3880 ; + RECT 5.4510 1.2540 5.4930 1.2960 ; + RECT 5.4510 1.1600 5.4930 1.2020 ; + RECT 5.4510 1.4380 5.4930 1.4800 ; + RECT 5.7550 1.0680 5.7970 1.1100 ; + RECT 5.7550 1.3460 5.7970 1.3880 ; + RECT 5.7550 1.2540 5.7970 1.2960 ; + RECT 5.7550 1.1600 5.7970 1.2020 ; + RECT 5.7550 1.4380 5.7970 1.4800 ; + RECT 6.0590 1.0680 6.1010 1.1100 ; + RECT 6.0590 1.4380 6.1010 1.4800 ; + RECT 6.0590 1.1600 6.1010 1.2020 ; + RECT 6.0590 1.2540 6.1010 1.2960 ; + RECT 6.0590 1.3460 6.1010 1.3880 ; + RECT 3.9310 1.3460 3.9730 1.3880 ; + RECT 3.9310 1.2540 3.9730 1.2960 ; + RECT 3.9310 1.1600 3.9730 1.2020 ; + RECT 3.9310 1.0680 3.9730 1.1100 ; + RECT 3.9310 1.4380 3.9730 1.4800 ; + RECT 3.6270 1.4380 3.6690 1.4800 ; + RECT 3.6270 1.0680 3.6690 1.1100 ; + RECT 3.6270 1.1600 3.6690 1.2020 ; + RECT 3.6270 1.2540 3.6690 1.2960 ; + RECT 3.6270 1.3460 3.6690 1.3880 ; + RECT 3.3230 1.4380 3.3650 1.4800 ; + RECT 3.3230 1.0680 3.3650 1.1100 ; + RECT 3.3230 1.1600 3.3650 1.2020 ; + RECT 3.3230 1.2540 3.3650 1.2960 ; + RECT 3.3230 1.3460 3.3650 1.3880 ; + RECT 3.0190 1.3460 3.0610 1.3880 ; + RECT 3.0190 1.2540 3.0610 1.2960 ; + RECT 3.0190 1.1600 3.0610 1.2020 ; + RECT 3.0190 1.0680 3.0610 1.1100 ; + RECT 3.0190 1.4380 3.0610 1.4800 ; + RECT 2.4870 0.6650 2.5290 0.7070 ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 2.3350 0.6650 2.3770 0.7070 ; + RECT 1.8790 2.6070 1.9210 2.6490 ; + RECT 1.7270 2.6070 1.7690 2.6490 ; + RECT 2.1830 2.6070 2.2250 2.6490 ; + RECT 2.0310 2.6070 2.0730 2.6490 ; + RECT 2.7150 1.3460 2.7570 1.3880 ; + RECT 2.7150 1.2540 2.7570 1.2960 ; + RECT 2.7150 1.1600 2.7570 1.2020 ; + RECT 2.7150 1.0680 2.7570 1.1100 ; + RECT 2.7150 1.4380 2.7570 1.4800 ; + RECT 2.4110 1.4380 2.4530 1.4800 ; + RECT 2.4110 1.0680 2.4530 1.1100 ; + RECT 2.4110 1.1600 2.4530 1.2020 ; + RECT 2.4110 1.2540 2.4530 1.2960 ; + RECT 2.4110 1.3460 2.4530 1.3880 ; + RECT 5.5270 2.6070 5.5690 2.6490 ; + RECT 5.3750 2.6070 5.4170 2.6490 ; + RECT 5.2230 2.6070 5.2650 2.6490 ; + RECT 2.6390 0.6650 2.6810 0.7070 ; + RECT 2.7910 0.6650 2.8330 0.7070 ; + RECT 2.9430 0.6650 2.9850 0.7070 ; + RECT 3.0950 0.6650 3.1370 0.7070 ; + RECT 3.2470 0.6650 3.2890 0.7070 ; + RECT 3.3990 0.6650 3.4410 0.7070 ; + RECT 3.5510 0.6650 3.5930 0.7070 ; + RECT 3.7030 0.6650 3.7450 0.7070 ; + RECT 2.3350 2.6070 2.3770 2.6490 ; + RECT 2.4870 2.6070 2.5290 2.6490 ; + RECT 2.6390 2.6070 2.6810 2.6490 ; + RECT 2.7910 2.6070 2.8330 2.6490 ; + RECT 2.9430 2.6070 2.9850 2.6490 ; + RECT 3.0950 2.6070 3.1370 2.6490 ; + RECT 3.2470 2.6070 3.2890 2.6490 ; + RECT 3.3990 2.6070 3.4410 2.6490 ; + RECT 5.6790 2.6070 5.7210 2.6490 ; + RECT 1.4990 1.3460 1.5410 1.3880 ; + RECT 3.8550 0.6650 3.8970 0.7070 ; + RECT 0.6630 2.6070 0.7050 2.6490 ; + RECT 1.2710 2.6070 1.3130 2.6490 ; + RECT 5.9830 2.6070 6.0250 2.6490 ; + RECT 0.7390 1.2770 0.7810 1.3190 ; + RECT 0.7390 1.0930 0.7810 1.1350 ; + RECT 5.8310 2.6070 5.8730 2.6490 ; + RECT 2.1830 0.6650 2.2250 0.7070 ; + RECT 1.4230 2.6070 1.4650 2.6490 ; + RECT 1.5750 2.6070 1.6170 2.6490 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 2.1070 1.4380 2.1490 1.4800 ; + RECT 2.1070 1.0680 2.1490 1.1100 ; + RECT 2.1070 1.1600 2.1490 1.2020 ; + RECT 2.1070 1.2540 2.1490 1.2960 ; + RECT 2.1070 1.3460 2.1490 1.3880 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 0.7390 0.9990 0.7810 1.0410 ; + RECT 6.5910 1.4680 6.6330 1.5100 ; + RECT 1.8030 1.4380 1.8450 1.4800 ; + RECT 1.3470 0.9070 1.3890 0.9490 ; + RECT 1.8030 1.2540 1.8450 1.2960 ; + RECT 1.8030 1.3460 1.8450 1.3880 ; + RECT 1.4990 1.4380 1.5410 1.4800 ; + RECT 1.4990 1.0680 1.5410 1.1100 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 1.4990 1.2540 1.5410 1.2960 ; + LAYER NWELL ; + RECT 0.5750 0.6790 6.7210 2.6650 ; + LAYER M1 ; + RECT 0.8870 1.4590 6.6530 1.5190 ; + RECT 6.3590 1.0480 6.4090 1.4590 ; + RECT 1.4950 1.0480 1.5450 1.4590 ; + RECT 0.8870 1.0480 0.9370 1.4590 ; + RECT 1.1910 1.0480 1.2410 1.4590 ; + RECT 1.7990 1.2340 1.8490 1.4590 ; + RECT 2.1030 1.0480 2.1530 1.4590 ; + RECT 2.4070 1.0480 2.4570 1.4590 ; + RECT 2.7110 1.0480 2.7610 1.4590 ; + RECT 3.0150 1.0480 3.0650 1.4590 ; + RECT 3.3190 1.0480 3.3690 1.4590 ; + RECT 3.6230 1.0480 3.6730 1.4590 ; + RECT 3.9270 1.0480 3.9770 1.4590 ; + RECT 4.2310 1.0480 4.2810 1.4590 ; + RECT 4.5350 1.0480 4.5850 1.4590 ; + RECT 4.8390 1.0480 4.8890 1.4590 ; + RECT 5.1430 1.0480 5.1930 1.4590 ; + RECT 5.4470 1.0480 5.4970 1.4590 ; + RECT 5.7510 1.0480 5.8010 1.4590 ; + RECT 6.0550 1.0480 6.1050 1.4590 ; + RECT 1.5550 0.6560 6.3490 0.7160 ; + RECT 0.7350 0.9600 0.7850 1.3580 ; + RECT 0.7350 0.1800 0.7850 0.5020 ; + RECT 0.6590 0.5620 0.7090 0.9000 ; + RECT 1.0390 0.9600 1.0890 1.3580 ; + RECT 1.0390 0.1800 1.0890 0.5020 ; + RECT 0.6590 0.5020 1.3930 0.5620 ; + RECT 1.3430 0.9600 1.3930 1.3580 ; + RECT 1.3430 0.7950 1.3930 0.9000 ; + RECT 1.3430 0.1800 1.3930 0.5020 ; + RECT 0.6590 0.9000 1.6210 0.9600 ; + RECT 1.5710 0.7160 1.6210 0.9000 ; + RECT 0.6430 2.6030 6.0450 2.6530 ; + LAYER PO ; + RECT 0.8210 1.7070 0.8510 2.6650 ; + RECT 2.0370 1.7070 2.0670 2.6650 ; + RECT 0.9730 0.1200 1.0030 1.6070 ; + RECT 1.2770 1.7070 1.3070 2.6650 ; + RECT 1.8850 1.7070 1.9150 2.6650 ; + RECT 1.7330 1.7070 1.7630 2.6650 ; + RECT 6.5970 0.1200 6.6270 2.6650 ; + RECT 1.1250 1.7070 1.1550 2.6650 ; + RECT 1.1250 0.1190 1.1550 1.6070 ; + RECT 0.6690 0.1200 0.6990 2.6650 ; + RECT 1.4290 1.7070 1.4590 2.6650 ; + RECT 1.8850 0.1200 1.9150 1.6070 ; + RECT 3.8610 1.7070 3.8910 2.6650 ; + RECT 1.2770 0.1200 1.3070 1.6070 ; + RECT 1.7330 0.1200 1.7630 1.6070 ; + RECT 0.9730 1.7070 1.0030 2.6650 ; + RECT 1.4290 0.1200 1.4590 1.6070 ; + RECT 1.5810 0.1200 1.6110 1.6070 ; + RECT 1.5810 1.7070 1.6110 2.6650 ; + RECT 7.0530 1.7390 7.0830 3.2730 ; + RECT 7.0530 0.0720 7.0830 1.6050 ; + RECT 6.9010 0.0720 6.9310 1.6050 ; + RECT 6.9010 1.7390 6.9310 3.2730 ; + RECT 6.7490 0.0720 6.7790 1.6050 ; + RECT 6.7490 1.7390 6.7790 3.2730 ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 0.2130 0.0720 0.2430 1.6050 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.3650 0.0720 0.3950 1.6050 ; + RECT 0.5170 0.0720 0.5470 1.6050 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + RECT 4.1650 1.7070 4.1950 2.6650 ; + RECT 4.3170 1.7070 4.3470 2.6650 ; + RECT 4.4690 1.7070 4.4990 2.6650 ; + RECT 4.6210 1.7070 4.6510 2.6650 ; + RECT 4.7730 1.7070 4.8030 2.6650 ; + RECT 4.9250 1.7070 4.9550 2.6650 ; + RECT 5.0770 1.7070 5.1070 2.6650 ; + RECT 5.2290 1.7070 5.2590 2.6650 ; + RECT 5.3810 1.7070 5.4110 2.6650 ; + RECT 5.5330 1.7070 5.5630 2.6610 ; + RECT 5.6850 1.7070 5.7150 2.6610 ; + RECT 5.8370 1.7070 5.8670 2.6610 ; + RECT 5.9890 1.7070 6.0190 2.6610 ; + RECT 6.1410 1.7070 6.1710 2.6610 ; + RECT 6.2930 1.7070 6.3230 2.6610 ; + RECT 6.4450 1.7070 6.4750 2.6610 ; + RECT 4.1650 0.1200 4.1950 1.6070 ; + RECT 4.3170 0.1200 4.3470 1.6070 ; + RECT 4.4690 0.1200 4.4990 1.6070 ; + RECT 4.6210 0.1200 4.6510 1.6070 ; + RECT 4.7730 0.1200 4.8030 1.6070 ; + RECT 4.9250 0.1200 4.9550 1.6070 ; + RECT 5.0770 0.1200 5.1070 1.6070 ; + RECT 5.2290 0.1200 5.2590 1.6070 ; + RECT 5.3810 0.1200 5.4110 1.6070 ; + RECT 5.5330 0.1200 5.5630 1.6070 ; + RECT 6.4450 0.1200 6.4750 1.6070 ; + RECT 6.1410 0.1200 6.1710 1.6070 ; + RECT 5.9890 0.1200 6.0190 1.6070 ; + RECT 5.8370 0.1200 5.8670 1.6070 ; + RECT 5.6850 0.1200 5.7150 1.6070 ; + RECT 2.6450 1.7070 2.6750 2.6610 ; + RECT 2.7970 1.7070 2.8270 2.6610 ; + RECT 2.9490 1.7070 2.9790 2.6610 ; + RECT 3.1010 1.7070 3.1310 2.6610 ; + RECT 3.2530 1.7070 3.2830 2.6610 ; + RECT 3.4050 1.7070 3.4350 2.6610 ; + RECT 3.5570 1.7070 3.5870 2.6610 ; + RECT 3.7090 1.7070 3.7390 2.6610 ; + RECT 3.4050 0.1200 3.4350 1.6070 ; + RECT 3.2530 0.1200 3.2830 1.6070 ; + RECT 3.1010 0.1200 3.1310 1.6070 ; + RECT 2.9490 0.1200 2.9790 1.6070 ; + RECT 3.5570 0.1200 3.5870 1.6070 ; + RECT 3.7090 0.1200 3.7390 1.6070 ; + RECT 3.8610 0.1200 3.8910 1.6070 ; + RECT 4.0130 0.1200 4.0430 1.6070 ; + RECT 6.2930 0.1200 6.3230 1.6070 ; + RECT 4.0130 1.7070 4.0430 2.6650 ; + RECT 2.7970 0.1200 2.8270 1.6070 ; + RECT 2.4930 0.1200 2.5230 1.6070 ; + RECT 2.1890 1.7070 2.2190 2.6650 ; + RECT 2.1890 0.1200 2.2190 1.6070 ; + RECT 2.6450 0.1200 2.6750 1.6070 ; + RECT 2.0370 0.1200 2.0670 1.6070 ; + RECT 2.3410 1.7070 2.3710 2.6650 ; + RECT 2.4930 1.7070 2.5230 2.6650 ; + RECT 0.8210 0.1200 0.8510 1.6070 ; + RECT 2.3410 0.1200 2.3710 1.6070 ; + END +END HEAD2X32_HVT + +MACRO HEAD2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.5020 1.8790 0.5620 ; + RECT 1.4950 0.8060 1.8020 0.8660 ; + RECT 1.1910 1.0840 1.5450 1.1440 ; + RECT 1.7500 0.5620 1.8790 0.6630 ; + RECT 1.4950 0.1800 1.5450 0.5020 ; + RECT 1.1910 0.1800 1.2410 0.5020 ; + RECT 1.4950 0.7950 1.5450 0.8060 ; + RECT 1.7520 0.6630 1.8020 0.8060 ; + RECT 1.1910 1.1440 1.2410 1.4030 ; + RECT 1.4950 1.1440 1.5450 1.3580 ; + RECT 1.4950 0.8660 1.5450 1.0840 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4780 1.5410 0.5200 ; + RECT 1.4990 0.3860 1.5410 0.4280 ; + RECT 1.4990 0.2920 1.5410 0.3340 ; + RECT 1.4990 0.2000 1.5410 0.2420 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 1.4990 1.1850 1.5410 1.2270 ; + RECT 1.4990 1.0930 1.5410 1.1350 ; + RECT 1.4990 1.2770 1.5410 1.3190 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 1.1950 0.4780 1.2370 0.5200 ; + RECT 1.1950 0.3860 1.2370 0.4280 ; + RECT 1.1950 0.2920 1.2370 0.3340 ; + RECT 1.1950 0.2000 1.2370 0.2420 ; + RECT 1.1950 1.2300 1.2370 1.2720 ; + RECT 1.1950 1.1380 1.2370 1.1800 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 1.1950 1.3220 1.2370 1.3640 ; + END + ANTENNADIFFAREA 0.2976 ; + END SLEEPOUT + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 1.5760 2.0730 1.7660 2.1830 ; + RECT 1.6470 2.0110 1.6970 2.0730 ; + RECT 0.8860 2.4930 1.9410 2.5430 ; + RECT 1.6470 2.1830 1.6970 2.4930 ; + RECT 1.1910 1.8370 1.2410 2.4930 ; + RECT 0.8870 1.8370 0.9370 2.4930 ; + END + PORT + LAYER CO ; + RECT 1.1950 2.0410 1.2370 2.0830 ; + RECT 1.1950 2.1330 1.2370 2.1750 ; + RECT 1.8790 2.4970 1.9210 2.5390 ; + RECT 1.1950 1.8570 1.2370 1.8990 ; + RECT 0.8910 2.2250 0.9330 2.2670 ; + RECT 0.8910 2.4090 0.9330 2.4510 ; + RECT 1.1950 1.9490 1.2370 1.9910 ; + RECT 0.8910 2.0410 0.9330 2.0830 ; + RECT 1.6510 2.0310 1.6930 2.0730 ; + RECT 1.6510 2.1230 1.6930 2.1650 ; + RECT 0.8910 2.1330 0.9330 2.1750 ; + RECT 0.8910 1.8570 0.9330 1.8990 ; + RECT 0.8910 1.9490 0.9330 1.9910 ; + RECT 1.1950 2.3170 1.2370 2.3590 ; + RECT 1.1950 2.4090 1.2370 2.4510 ; + RECT 1.1950 2.2250 1.2370 2.2670 ; + RECT 0.8910 2.3170 0.9330 2.3590 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 1.3430 1.7020 1.3930 2.3100 ; + RECT 0.7350 1.7020 0.7850 2.4940 ; + RECT 1.0390 1.7020 1.0890 2.3100 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.0640 1.3890 2.1060 ; + RECT 1.3470 1.8780 1.3890 1.9200 ; + RECT 1.3470 1.7860 1.3890 1.8280 ; + RECT 0.7390 1.7860 0.7810 1.8280 ; + RECT 1.3470 1.9720 1.3890 2.0140 ; + RECT 1.0430 1.7860 1.0850 1.8280 ; + RECT 1.0430 1.8780 1.0850 1.9200 ; + RECT 1.0430 1.9720 1.0850 2.0140 ; + RECT 1.0430 2.0640 1.0850 2.1060 ; + RECT 0.7390 1.9720 0.7810 2.0140 ; + RECT 0.7390 1.8780 0.7810 1.9200 ; + RECT 0.7390 2.0640 0.7810 2.1060 ; + RECT 0.7390 2.3400 0.7810 2.3820 ; + RECT 0.7390 2.4320 0.7810 2.4740 ; + RECT 0.7390 2.1560 0.7810 2.1980 ; + RECT 0.7390 2.2480 0.7810 2.2900 ; + RECT 1.0430 2.1560 1.0850 2.1980 ; + RECT 1.0430 2.2480 1.0850 2.2900 ; + RECT 1.3470 2.2480 1.3890 2.2900 ; + RECT 1.3470 2.1560 1.3890 2.1980 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.3820 ; + RECT 1.0390 0.0300 1.0890 0.5660 ; + RECT 1.3430 0.0300 1.3930 0.3820 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + END + PORT + LAYER CO ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.6510 0.3200 1.6930 0.3620 ; + RECT 1.6510 0.2280 1.6930 0.2700 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.0430 0.3200 1.0850 0.3620 ; + RECT 1.0430 0.2280 1.0850 0.2700 ; + RECT 1.3470 0.3200 1.3890 0.3620 ; + RECT 1.3470 0.2280 1.3890 0.2700 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.0430 0.4120 1.0850 0.4540 ; + RECT 1.0430 0.5040 1.0850 0.5460 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8530 0.6450 1.0280 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6650 1.0090 0.7070 ; + END + ANTENNAGATEAREA 0.0366 ; + END SLEEP + OBS + LAYER CO ; + RECT 0.9670 2.6070 1.0090 2.6490 ; + RECT 1.1190 2.6070 1.1610 2.6490 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.6510 1.4380 1.6930 1.4800 ; + RECT 1.6510 1.0680 1.6930 1.1100 ; + RECT 1.6510 1.1600 1.6930 1.2020 ; + RECT 1.6510 1.2540 1.6930 1.2960 ; + RECT 1.6510 1.3460 1.6930 1.3880 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 1.8790 1.4680 1.9210 1.5100 ; + RECT 1.3470 1.4380 1.3890 1.4800 ; + RECT 1.3470 1.2540 1.3890 1.2960 ; + RECT 1.3470 1.3460 1.3890 1.3880 ; + RECT 1.0430 1.4380 1.0850 1.4800 ; + RECT 1.0430 1.1600 1.0850 1.2020 ; + RECT 1.0430 1.2540 1.0850 1.2960 ; + RECT 1.0430 1.3460 1.0850 1.3880 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 0.6630 2.6070 0.7050 2.6490 ; + RECT 0.6630 0.6780 0.7050 0.7200 ; + RECT 0.8910 0.2920 0.9330 0.3340 ; + RECT 0.8910 1.0720 0.9330 1.1140 ; + RECT 0.8910 1.4420 0.9330 1.4840 ; + RECT 0.8910 1.3500 0.9330 1.3920 ; + RECT 0.8910 0.4780 0.9330 0.5200 ; + RECT 0.8910 0.9800 0.9330 1.0220 ; + RECT 0.8150 2.6070 0.8570 2.6490 ; + RECT 1.2710 2.6070 1.3130 2.6490 ; + RECT 0.8910 1.2560 0.9330 1.2980 ; + RECT 0.8910 0.3860 0.9330 0.4280 ; + RECT 0.8910 1.1640 0.9330 1.2060 ; + LAYER NWELL ; + RECT 0.5750 0.6790 1.9800 2.6650 ; + LAYER M1 ; + RECT 1.0390 1.4590 1.9410 1.5190 ; + RECT 1.6470 1.0480 1.6970 1.4590 ; + RECT 1.0390 1.1400 1.0890 1.4590 ; + RECT 1.3430 1.2340 1.3930 1.4590 ; + RECT 1.0990 0.6560 1.6370 0.7160 ; + RECT 0.8870 0.1800 0.9370 0.5020 ; + RECT 0.6590 0.5020 0.9370 0.5620 ; + RECT 0.8870 1.0210 0.9370 1.5040 ; + RECT 0.8870 0.9600 0.9370 0.9610 ; + RECT 0.6590 0.5620 0.7090 0.9610 ; + RECT 0.6590 0.9610 1.1650 1.0210 ; + RECT 1.1150 0.7160 1.1650 0.9610 ; + RECT 0.6430 2.6030 1.3330 2.6530 ; + LAYER PO ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6050 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.3650 0.0710 0.3950 1.6050 ; + RECT 0.5170 0.0710 0.5470 1.6050 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + RECT 2.3410 0.0710 2.3710 1.6050 ; + RECT 2.3410 1.7390 2.3710 3.2730 ; + RECT 2.1890 1.7390 2.2190 3.2730 ; + RECT 2.1890 0.0710 2.2190 1.6050 ; + RECT 2.0370 1.7390 2.0670 3.2730 ; + RECT 2.0370 0.0710 2.0670 1.6050 ; + RECT 1.7330 1.7070 1.7630 2.6270 ; + RECT 1.7330 0.1200 1.7630 1.6070 ; + RECT 1.5810 0.1200 1.6110 1.6070 ; + RECT 1.8850 0.1200 1.9150 2.6270 ; + RECT 1.5810 1.7210 1.6110 2.6270 ; + RECT 0.8210 1.7070 0.8510 2.6650 ; + RECT 1.4290 1.7210 1.4590 2.6270 ; + RECT 1.2770 1.7070 1.3070 2.6650 ; + RECT 0.6690 0.1190 0.6990 2.6650 ; + RECT 0.9730 1.7070 1.0030 2.6650 ; + RECT 1.4290 0.1200 1.4590 1.6070 ; + RECT 0.8210 0.1200 0.8510 1.6070 ; + RECT 1.2770 0.1200 1.3070 1.6070 ; + RECT 0.9730 0.1200 1.0030 1.6070 ; + RECT 1.1250 0.1200 1.1550 1.6070 ; + RECT 1.1250 1.7070 1.1550 2.6650 ; + END +END HEAD2X4_HVT + +MACRO HEAD2X8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.192 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4950 0.8060 2.4090 0.8660 ; + RECT 1.1910 0.5020 2.4830 0.5520 ; + RECT 2.3590 0.5620 2.4870 0.6630 ; + RECT 1.1910 0.5520 2.4870 0.5620 ; + RECT 2.1030 0.8660 2.1530 1.3580 ; + RECT 2.1030 0.7950 2.1530 0.8060 ; + RECT 1.7990 0.8660 1.8490 1.3580 ; + RECT 1.7990 0.7950 1.8490 0.8060 ; + RECT 1.4950 0.7950 1.5450 0.8060 ; + RECT 2.3590 0.6630 2.4090 0.8060 ; + RECT 2.1030 0.1790 2.1530 0.5020 ; + RECT 1.7990 0.1800 1.8490 0.5020 ; + RECT 1.4950 0.1800 1.5450 0.5020 ; + RECT 1.1910 0.1800 1.2410 0.5020 ; + RECT 1.1910 1.1440 1.2410 1.3580 ; + RECT 1.1910 1.0730 1.2410 1.0840 ; + RECT 1.1910 1.0840 1.5450 1.1440 ; + RECT 1.4950 1.1440 1.5450 1.3580 ; + RECT 1.4950 0.8660 1.5450 1.0840 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.9070 2.1490 0.9490 ; + RECT 2.1070 0.9990 2.1490 1.0410 ; + RECT 2.1070 1.2770 2.1490 1.3190 ; + RECT 2.1070 1.0930 2.1490 1.1350 ; + RECT 2.1070 1.1850 2.1490 1.2270 ; + RECT 2.1070 0.8150 2.1490 0.8570 ; + RECT 1.8030 0.8150 1.8450 0.8570 ; + RECT 1.8030 1.1850 1.8450 1.2270 ; + RECT 1.8030 1.0930 1.8450 1.1350 ; + RECT 1.8030 1.2770 1.8450 1.3190 ; + RECT 1.8030 0.9990 1.8450 1.0410 ; + RECT 1.8030 0.9070 1.8450 0.9490 ; + RECT 2.1070 0.1990 2.1490 0.2410 ; + RECT 2.1070 0.2910 2.1490 0.3330 ; + RECT 2.1070 0.3850 2.1490 0.4270 ; + RECT 2.1070 0.4770 2.1490 0.5190 ; + RECT 1.8030 0.4780 1.8450 0.5200 ; + RECT 1.8030 0.3860 1.8450 0.4280 ; + RECT 1.8030 0.2920 1.8450 0.3340 ; + RECT 1.8030 0.2000 1.8450 0.2420 ; + RECT 1.4990 0.4780 1.5410 0.5200 ; + RECT 1.4990 0.3860 1.5410 0.4280 ; + RECT 1.4990 0.2920 1.5410 0.3340 ; + RECT 1.4990 0.2000 1.5410 0.2420 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 1.4990 1.1850 1.5410 1.2270 ; + RECT 1.4990 1.0930 1.5410 1.1350 ; + RECT 1.4990 1.2770 1.5410 1.3190 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 1.1950 0.4780 1.2370 0.5200 ; + RECT 1.1950 0.3860 1.2370 0.4280 ; + RECT 1.1950 0.2920 1.2370 0.3340 ; + RECT 1.1950 0.2000 1.2370 0.2420 ; + RECT 1.1950 1.1850 1.2370 1.2270 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 1.1950 1.2770 1.2370 1.3190 ; + END + ANTENNADIFFAREA 0.5952 ; + END SLEEPOUT + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 2.1840 2.0730 2.3740 2.1830 ; + RECT 2.2550 2.0110 2.3050 2.0730 ; + RECT 0.8860 2.4930 2.5490 2.5430 ; + RECT 2.2550 2.1830 2.3050 2.4930 ; + RECT 0.8870 1.8370 0.9370 2.4930 ; + RECT 1.7990 1.8370 1.8490 2.4930 ; + RECT 1.4950 1.8370 1.5450 2.4930 ; + RECT 1.1910 1.8370 1.2410 2.4930 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.9490 1.8450 1.9910 ; + RECT 1.8030 1.8570 1.8450 1.8990 ; + RECT 1.8030 2.1330 1.8450 2.1750 ; + RECT 1.8030 2.0410 1.8450 2.0830 ; + RECT 1.4990 2.0410 1.5410 2.0830 ; + RECT 1.4990 2.1330 1.5410 2.1750 ; + RECT 1.4990 1.8570 1.5410 1.8990 ; + RECT 1.4990 1.9490 1.5410 1.9910 ; + RECT 0.8910 2.3170 0.9330 2.3590 ; + RECT 0.8910 2.4090 0.9330 2.4510 ; + RECT 0.8910 2.2250 0.9330 2.2670 ; + RECT 1.1950 2.3170 1.2370 2.3590 ; + RECT 1.1950 2.4090 1.2370 2.4510 ; + RECT 1.1950 2.2250 1.2370 2.2670 ; + RECT 1.4990 2.3170 1.5410 2.3590 ; + RECT 1.4990 2.4090 1.5410 2.4510 ; + RECT 1.8030 2.3170 1.8450 2.3590 ; + RECT 1.8030 2.4090 1.8450 2.4510 ; + RECT 1.8030 2.2250 1.8450 2.2670 ; + RECT 1.4990 2.2250 1.5410 2.2670 ; + RECT 1.1950 2.0410 1.2370 2.0830 ; + RECT 1.1950 2.1330 1.2370 2.1750 ; + RECT 2.4870 2.4970 2.5290 2.5390 ; + RECT 1.1950 1.8570 1.2370 1.8990 ; + RECT 1.1950 1.9490 1.2370 1.9910 ; + RECT 0.8910 2.0410 0.9330 2.0830 ; + RECT 2.2590 2.0310 2.3010 2.0730 ; + RECT 2.2590 2.1230 2.3010 2.1650 ; + RECT 0.8910 2.1330 0.9330 2.1750 ; + RECT 0.8910 1.8570 0.9330 1.8990 ; + RECT 0.8910 1.9490 0.9330 1.9910 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.1920 1.7020 ; + RECT 0.7350 1.7020 0.7850 2.4940 ; + RECT 1.0390 1.7020 1.0890 2.3100 ; + RECT 1.9510 1.7020 2.0010 2.3100 ; + RECT 1.6470 1.7020 1.6970 2.3100 ; + RECT 1.3430 1.7020 1.3930 2.3100 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.9720 1.9970 2.0140 ; + RECT 1.9550 1.7860 1.9970 1.8280 ; + RECT 1.9550 1.8780 1.9970 1.9200 ; + RECT 1.9550 2.0640 1.9970 2.1060 ; + RECT 1.6510 2.0640 1.6930 2.1060 ; + RECT 1.6510 1.8780 1.6930 1.9200 ; + RECT 1.6510 1.7860 1.6930 1.8280 ; + RECT 1.6510 1.9720 1.6930 2.0140 ; + RECT 1.3470 2.0640 1.3890 2.1060 ; + RECT 0.7390 2.1560 0.7810 2.1980 ; + RECT 0.7390 2.2480 0.7810 2.2900 ; + RECT 0.7390 2.3400 0.7810 2.3820 ; + RECT 0.7390 2.4320 0.7810 2.4740 ; + RECT 1.0430 2.1560 1.0850 2.1980 ; + RECT 1.0430 2.2480 1.0850 2.2900 ; + RECT 1.3470 2.2480 1.3890 2.2900 ; + RECT 1.3470 2.1560 1.3890 2.1980 ; + RECT 1.6510 2.2480 1.6930 2.2900 ; + RECT 1.6510 2.1560 1.6930 2.1980 ; + RECT 1.9550 2.1560 1.9970 2.1980 ; + RECT 1.9550 2.2480 1.9970 2.2900 ; + RECT 1.3470 1.8780 1.3890 1.9200 ; + RECT 1.3470 1.7860 1.3890 1.8280 ; + RECT 0.7390 1.7860 0.7810 1.8280 ; + RECT 1.3470 1.9720 1.3890 2.0140 ; + RECT 1.0430 1.7860 1.0850 1.8280 ; + RECT 1.0430 1.8780 1.0850 1.9200 ; + RECT 1.0430 1.9720 1.0850 2.0140 ; + RECT 1.0430 2.0640 1.0850 2.1060 ; + RECT 0.7390 1.9720 0.7810 2.0140 ; + RECT 0.7390 1.8780 0.7810 1.9200 ; + RECT 0.7390 2.0640 0.7810 2.1060 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.1920 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.3820 ; + RECT 0.7350 0.0300 0.7850 0.3790 ; + RECT 2.2550 0.0300 2.3050 0.3820 ; + RECT 1.9510 0.0300 2.0010 0.3820 ; + RECT 1.6470 0.0300 1.6970 0.3820 ; + RECT 1.0390 0.0300 1.0890 0.5660 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.1920 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3170 0.7810 0.3590 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.2590 0.2280 2.3010 0.2700 ; + RECT 2.2590 0.3200 2.3010 0.3620 ; + RECT 1.9550 0.3200 1.9970 0.3620 ; + RECT 1.9550 0.2280 1.9970 0.2700 ; + RECT 1.6510 0.3200 1.6930 0.3620 ; + RECT 1.6510 0.2280 1.6930 0.2700 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.0430 0.5040 1.0850 0.5460 ; + RECT 1.0430 0.4120 1.0850 0.4540 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.0430 0.3200 1.0850 0.3620 ; + RECT 1.3470 0.3200 1.3890 0.3620 ; + RECT 1.3470 0.2280 1.3890 0.2700 ; + RECT 1.0430 0.2280 1.0850 0.2700 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.7390 0.2250 0.7810 0.2670 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7950 0.6560 1.0290 0.7160 ; + RECT 0.8340 0.7160 0.9940 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.6650 0.8570 0.7070 ; + RECT 0.9670 0.6650 1.0090 0.7070 ; + END + ANTENNAGATEAREA 0.0732 ; + END SLEEP + OBS + LAYER CO ; + RECT 2.0310 0.6650 2.0730 0.7070 ; + RECT 1.5750 0.6650 1.6170 0.7070 ; + RECT 1.8790 0.6650 1.9210 0.7070 ; + RECT 1.4230 2.6070 1.4650 2.6490 ; + RECT 1.2710 2.6070 1.3130 2.6490 ; + RECT 1.7270 2.6070 1.7690 2.6490 ; + RECT 1.5750 2.6070 1.6170 2.6490 ; + RECT 2.2590 1.3460 2.3010 1.3880 ; + RECT 2.2590 1.2540 2.3010 1.2960 ; + RECT 2.2590 1.0680 2.3010 1.1100 ; + RECT 2.2590 1.4380 2.3010 1.4800 ; + RECT 1.9550 1.4380 1.9970 1.4800 ; + RECT 1.9550 1.0680 1.9970 1.1100 ; + RECT 1.9550 1.1600 1.9970 1.2020 ; + RECT 1.9550 1.2540 1.9970 1.2960 ; + RECT 1.9550 1.3460 1.9970 1.3880 ; + RECT 1.7270 0.6650 1.7690 0.7070 ; + RECT 0.9670 2.6070 1.0090 2.6490 ; + RECT 1.1190 2.6070 1.1610 2.6490 ; + RECT 1.4230 0.6650 1.4650 0.7070 ; + RECT 1.2710 0.6650 1.3130 0.7070 ; + RECT 1.6510 1.4380 1.6930 1.4800 ; + RECT 1.6510 1.0680 1.6930 1.1100 ; + RECT 1.6510 1.1600 1.6930 1.2020 ; + RECT 1.6510 1.2540 1.6930 1.2960 ; + RECT 1.6510 1.3460 1.6930 1.3880 ; + RECT 1.1190 0.6650 1.1610 0.7070 ; + RECT 0.7390 1.2100 0.7810 1.2520 ; + RECT 1.0430 1.2100 1.0850 1.2520 ; + RECT 0.8910 0.4780 0.9330 0.5200 ; + RECT 0.8910 1.2650 0.9330 1.3070 ; + RECT 0.7390 1.3940 0.7810 1.4360 ; + RECT 0.8910 1.1710 0.9330 1.2130 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 2.4870 1.4680 2.5290 1.5100 ; + RECT 1.3470 1.4380 1.3890 1.4800 ; + RECT 1.3470 1.2540 1.3890 1.2960 ; + RECT 1.3470 1.3460 1.3890 1.3880 ; + RECT 1.0430 1.1180 1.0850 1.1600 ; + RECT 1.0430 1.3940 1.0850 1.4360 ; + RECT 1.0430 1.3020 1.0850 1.3440 ; + RECT 2.1830 0.6650 2.2250 0.7070 ; + RECT 0.5110 2.6070 0.5530 2.6490 ; + RECT 0.5110 0.6650 0.5530 0.7070 ; + RECT 0.7390 1.3020 0.7810 1.3440 ; + RECT 0.7390 1.1180 0.7810 1.1600 ; + RECT 0.8910 0.2920 0.9330 0.3340 ; + RECT 0.8910 1.0790 0.9330 1.1210 ; + RECT 0.8150 2.6070 0.8570 2.6490 ; + RECT 1.8790 2.6070 1.9210 2.6490 ; + RECT 0.8910 0.9870 0.9330 1.0290 ; + RECT 0.8910 0.3860 0.9330 0.4280 ; + RECT 0.8910 0.8930 0.9330 0.9350 ; + RECT 2.2590 1.1600 2.3010 1.2020 ; + LAYER NWELL ; + RECT 0.5750 0.6790 2.5880 2.6650 ; + LAYER M1 ; + RECT 0.7350 1.4590 2.5490 1.5190 ; + RECT 2.2550 1.0480 2.3050 1.4590 ; + RECT 0.7350 1.0980 0.7850 1.4590 ; + RECT 1.0390 1.0980 1.0890 1.4590 ; + RECT 1.3430 1.2340 1.3930 1.4590 ; + RECT 1.6470 1.0480 1.6970 1.4590 ; + RECT 1.9510 1.0480 2.0010 1.4590 ; + RECT 0.4910 2.6030 1.9410 2.6530 ; + RECT 1.0990 0.6560 2.2450 0.7160 ; + RECT 0.5070 0.5620 0.5570 0.9290 ; + RECT 0.8870 0.9890 0.9370 1.3270 ; + RECT 0.8870 0.8730 0.9370 0.9290 ; + RECT 0.8870 0.1800 0.9370 0.5020 ; + RECT 0.5080 0.5020 0.9370 0.5320 ; + RECT 0.5070 0.5320 0.9370 0.5620 ; + RECT 0.5070 0.9290 1.1650 0.9890 ; + RECT 1.1150 0.7160 1.1650 0.9290 ; + LAYER PO ; + RECT 3.0250 0.0700 3.0550 1.6070 ; + RECT 3.0250 1.7370 3.0550 3.2730 ; + RECT 2.8730 0.0700 2.9030 1.6070 ; + RECT 2.8730 1.7370 2.9030 3.2730 ; + RECT 2.7210 1.7370 2.7510 3.2730 ; + RECT 2.7210 0.0700 2.7510 1.6070 ; + RECT 0.2130 1.7370 0.2430 3.2730 ; + RECT 0.2130 0.0700 0.2430 1.6070 ; + RECT 0.3650 0.0700 0.3950 1.6070 ; + RECT 0.3650 1.7370 0.3950 3.2730 ; + RECT 2.3410 1.7070 2.3710 2.6270 ; + RECT 2.3410 0.1200 2.3710 1.6070 ; + RECT 2.0370 0.1200 2.0670 1.6070 ; + RECT 1.7330 1.7070 1.7630 2.6650 ; + RECT 1.7330 0.1200 1.7630 1.6070 ; + RECT 2.1890 0.1200 2.2190 1.6070 ; + RECT 1.5810 0.1200 1.6110 1.6070 ; + RECT 1.8850 1.7070 1.9150 2.6650 ; + RECT 2.0370 1.7070 2.0670 2.6270 ; + RECT 2.4930 0.1200 2.5230 2.6270 ; + RECT 1.8850 0.1200 1.9150 1.6070 ; + RECT 1.5810 1.7070 1.6110 2.6650 ; + RECT 0.5170 0.1200 0.5470 2.6650 ; + RECT 0.8210 1.7070 0.8510 2.6650 ; + RECT 1.4290 1.7070 1.4590 2.6650 ; + RECT 1.2770 1.7070 1.3070 2.6650 ; + RECT 0.6690 1.7070 0.6990 2.6650 ; + RECT 0.6690 0.1200 0.6990 1.6070 ; + RECT 0.9730 1.7070 1.0030 2.6650 ; + RECT 1.4290 0.1200 1.4590 1.6070 ; + RECT 2.1890 1.7070 2.2190 2.6270 ; + RECT 0.8210 0.1200 0.8510 1.6070 ; + RECT 1.2770 0.1200 1.3070 1.6070 ; + RECT 0.9730 0.1200 1.0030 1.6070 ; + RECT 1.1250 0.1200 1.1550 1.6070 ; + RECT 1.1250 1.7070 1.1550 2.6650 ; + END +END HEAD2X8_HVT + +MACRO HEADX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDG + DIRECTION INPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 1.1190 0.7850 1.1650 ; + RECT 2.1030 0.8810 2.1530 1.5610 ; + RECT 2.4070 0.8810 2.4570 1.5610 ; + RECT 2.7110 0.8810 2.7610 1.5610 ; + RECT 3.0150 0.8810 3.0650 1.5610 ; + RECT 3.3190 0.8810 3.3690 1.5610 ; + RECT 0.7350 0.8310 3.3690 0.8810 ; + RECT 1.7990 0.8810 1.8490 1.5610 ; + RECT 1.4950 0.8810 1.5450 1.5610 ; + RECT 1.1910 0.8810 1.2410 1.5610 ; + RECT 0.7350 0.8810 0.7850 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.0370 2.1490 1.0790 ; + RECT 2.1070 1.4070 2.1490 1.4490 ; + RECT 2.1070 1.2210 2.1490 1.2630 ; + RECT 2.4110 1.4070 2.4530 1.4490 ; + RECT 2.4110 1.2210 2.4530 1.2630 ; + RECT 2.4110 1.3130 2.4530 1.3550 ; + RECT 2.4110 1.4990 2.4530 1.5410 ; + RECT 2.4110 1.1290 2.4530 1.1710 ; + RECT 2.4110 1.0370 2.4530 1.0790 ; + RECT 2.7150 1.2210 2.7570 1.2630 ; + RECT 2.7150 1.4070 2.7570 1.4490 ; + RECT 2.7150 1.0370 2.7570 1.0790 ; + RECT 2.7150 1.3130 2.7570 1.3550 ; + RECT 2.7150 1.4990 2.7570 1.5410 ; + RECT 2.7150 1.1290 2.7570 1.1710 ; + RECT 3.0190 1.1290 3.0610 1.1710 ; + RECT 3.0190 1.4990 3.0610 1.5410 ; + RECT 3.0190 1.3130 3.0610 1.3550 ; + RECT 3.0190 1.2210 3.0610 1.2630 ; + RECT 3.0190 1.4070 3.0610 1.4490 ; + RECT 3.0190 1.0370 3.0610 1.0790 ; + RECT 1.1950 0.8530 1.2370 0.8950 ; + RECT 1.1950 0.9450 1.2370 0.9870 ; + RECT 1.4990 0.8530 1.5410 0.8950 ; + RECT 1.4990 0.9450 1.5410 0.9870 ; + RECT 1.8030 0.8530 1.8450 0.8950 ; + RECT 1.8030 0.9450 1.8450 0.9870 ; + RECT 2.1070 0.9450 2.1490 0.9870 ; + RECT 2.1070 0.8530 2.1490 0.8950 ; + RECT 2.4110 0.9450 2.4530 0.9870 ; + RECT 2.4110 0.8530 2.4530 0.8950 ; + RECT 2.7150 0.9450 2.7570 0.9870 ; + RECT 2.7150 0.8530 2.7570 0.8950 ; + RECT 3.0190 0.9450 3.0610 0.9870 ; + RECT 3.0190 0.8530 3.0610 0.8950 ; + RECT 3.3230 0.8530 3.3650 0.8950 ; + RECT 3.3230 1.1290 3.3650 1.1710 ; + RECT 3.3230 1.4990 3.3650 1.5410 ; + RECT 3.3230 1.3130 3.3650 1.3550 ; + RECT 3.3230 1.0370 3.3650 1.0790 ; + RECT 3.3230 1.4070 3.3650 1.4490 ; + RECT 3.3230 1.2210 3.3650 1.2630 ; + RECT 1.8030 1.2210 1.8450 1.2630 ; + RECT 1.8030 1.4070 1.8450 1.4490 ; + RECT 1.8030 1.0370 1.8450 1.0790 ; + RECT 1.8030 1.1290 1.8450 1.1710 ; + RECT 1.8030 1.4990 1.8450 1.5410 ; + RECT 1.8030 1.3130 1.8450 1.3550 ; + RECT 1.4990 1.2210 1.5410 1.2630 ; + RECT 1.4990 1.4070 1.5410 1.4490 ; + RECT 1.4990 1.0370 1.5410 1.0790 ; + RECT 1.1950 1.2210 1.2370 1.2630 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.4990 1.3130 1.5410 1.3550 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.1950 1.4990 1.2370 1.5410 ; + RECT 1.1950 1.3130 1.2370 1.3550 ; + RECT 1.1950 1.4070 1.2370 1.4490 ; + RECT 1.4990 1.4990 1.5410 1.5410 ; + RECT 1.1950 1.0370 1.2370 1.0790 ; + RECT 1.4990 1.1290 1.5410 1.1710 ; + RECT 1.1950 1.1290 1.2370 1.1710 ; + RECT 3.3230 0.9450 3.3650 0.9870 ; + RECT 2.1070 1.1290 2.1490 1.1710 ; + RECT 2.1070 1.4990 2.1490 1.5410 ; + RECT 2.1070 1.3130 2.1490 1.3550 ; + END + END VDDG + + PIN VDD + DIRECTION OUTPUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 3.4710 0.8310 3.5210 1.6420 ; + RECT 3.1670 1.0150 3.2170 1.6420 ; + RECT 2.8630 1.0150 2.9130 1.6420 ; + RECT 2.5590 1.0150 2.6090 1.6420 ; + RECT 2.2550 1.0150 2.3050 1.6420 ; + RECT 1.9510 1.0150 2.0010 1.6420 ; + RECT 1.0390 1.0150 1.0890 1.6420 ; + RECT 1.6470 1.0150 1.6970 1.6420 ; + RECT 1.3430 1.0150 1.3930 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.2590 1.4990 2.3010 1.5410 ; + RECT 2.2590 1.3130 2.3010 1.3550 ; + RECT 3.1710 1.1290 3.2130 1.1710 ; + RECT 3.1710 1.0350 3.2130 1.0770 ; + RECT 2.8670 1.1290 2.9090 1.1710 ; + RECT 2.8670 1.0350 2.9090 1.0770 ; + RECT 2.5630 1.0350 2.6050 1.0770 ; + RECT 2.5630 1.1290 2.6050 1.1710 ; + RECT 2.2590 1.0350 2.3010 1.0770 ; + RECT 2.2590 1.1290 2.3010 1.1710 ; + RECT 1.9550 1.1290 1.9970 1.1710 ; + RECT 1.9550 1.0350 1.9970 1.0770 ; + RECT 1.6510 1.1290 1.6930 1.1710 ; + RECT 1.6510 1.0350 1.6930 1.0770 ; + RECT 3.4750 1.1290 3.5170 1.1710 ; + RECT 3.4750 0.9430 3.5170 0.9850 ; + RECT 2.2590 1.4070 2.3010 1.4490 ; + RECT 2.2590 1.2210 2.3010 1.2630 ; + RECT 1.9550 1.2210 1.9970 1.2630 ; + RECT 1.9550 1.4070 1.9970 1.4490 ; + RECT 1.9550 1.3130 1.9970 1.3550 ; + RECT 1.9550 1.4990 1.9970 1.5410 ; + RECT 1.6510 1.2210 1.6930 1.2630 ; + RECT 1.0430 1.4070 1.0850 1.4490 ; + RECT 1.0430 1.3130 1.0850 1.3550 ; + RECT 1.6510 1.4070 1.6930 1.4490 ; + RECT 1.6510 1.3130 1.6930 1.3550 ; + RECT 3.4750 1.0370 3.5170 1.0790 ; + RECT 1.3470 1.2210 1.3890 1.2630 ; + RECT 1.0430 1.4990 1.0850 1.5410 ; + RECT 1.0430 1.1290 1.0850 1.1710 ; + RECT 1.0430 1.0350 1.0850 1.0770 ; + RECT 3.4750 0.8510 3.5170 0.8930 ; + RECT 1.0430 1.2210 1.0850 1.2630 ; + RECT 1.3470 1.3130 1.3890 1.3550 ; + RECT 1.3470 1.4070 1.3890 1.4490 ; + RECT 1.3470 1.4990 1.3890 1.5410 ; + RECT 1.6510 1.4990 1.6930 1.5410 ; + RECT 1.3470 1.1290 1.3890 1.1710 ; + RECT 1.3470 1.0350 1.3890 1.0770 ; + RECT 3.4750 1.4990 3.5170 1.5410 ; + RECT 3.4750 1.3130 3.5170 1.3550 ; + RECT 3.4750 1.4070 3.5170 1.4490 ; + RECT 3.4750 1.2210 3.5170 1.2630 ; + RECT 3.1710 1.2210 3.2130 1.2630 ; + RECT 3.1710 1.4070 3.2130 1.4490 ; + RECT 3.1710 1.3130 3.2130 1.3550 ; + RECT 3.1710 1.4990 3.2130 1.5410 ; + RECT 2.8670 1.2210 2.9090 1.2630 ; + RECT 2.8670 1.4070 2.9090 1.4490 ; + RECT 2.8670 1.3130 2.9090 1.3550 ; + RECT 2.8670 1.4990 2.9090 1.5410 ; + RECT 2.5630 1.4990 2.6050 1.5410 ; + RECT 2.5630 1.3130 2.6050 1.3550 ; + RECT 2.5630 1.4070 2.6050 1.4490 ; + RECT 2.5630 1.2210 2.6050 1.2630 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 4.2560 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0990 0.6700 3.4610 0.7300 ; + RECT 1.1200 0.5530 1.3100 0.6700 ; + END + PORT + LAYER CO ; + RECT 1.5750 0.6790 1.6170 0.7210 ; + RECT 1.7270 0.6790 1.7690 0.7210 ; + RECT 1.8790 0.6790 1.9210 0.7210 ; + RECT 2.0310 0.6790 2.0730 0.7210 ; + RECT 1.4230 0.6790 1.4650 0.7210 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 3.3990 0.6790 3.4410 0.7210 ; + RECT 1.1190 0.6790 1.1610 0.7210 ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 2.3350 0.6790 2.3770 0.7210 ; + RECT 2.4870 0.6790 2.5290 0.7210 ; + RECT 2.6390 0.6790 2.6810 0.7210 ; + RECT 2.7910 0.6790 2.8330 0.7210 ; + RECT 2.9430 0.6790 2.9850 0.7210 ; + RECT 3.0950 0.6790 3.1370 0.7210 ; + RECT 3.2470 0.6790 3.2890 0.7210 ; + END + END SLEEP + OBS + LAYER NWELL ; + RECT 0.5750 0.6790 3.6810 2.6650 ; + LAYER PO ; + RECT 3.4050 0.5330 3.4350 1.6210 ; + RECT 3.2530 0.5330 3.2830 1.6210 ; + RECT 3.1010 0.5330 3.1310 1.6210 ; + RECT 2.9490 0.5330 2.9790 1.6210 ; + RECT 2.7970 0.5330 2.8270 1.6210 ; + RECT 2.6450 0.5330 2.6750 1.6210 ; + RECT 2.4930 0.5330 2.5230 1.6210 ; + RECT 3.5570 0.5330 3.5870 1.6210 ; + RECT 2.3410 0.5330 2.3710 1.6210 ; + RECT 2.1890 0.5330 2.2190 1.6210 ; + RECT 2.0370 0.5330 2.0670 1.6210 ; + RECT 1.8850 0.5330 1.9150 1.6210 ; + RECT 0.6690 0.5330 0.6990 1.6210 ; + RECT 1.5810 0.5330 1.6110 1.6210 ; + RECT 0.8210 0.5330 0.8510 1.6210 ; + RECT 0.9730 0.5330 1.0030 1.6210 ; + RECT 1.4290 0.5330 1.4590 1.6210 ; + RECT 1.7330 0.5330 1.7630 1.6210 ; + RECT 1.1250 0.5330 1.1550 1.6210 ; + RECT 1.2770 0.5330 1.3070 1.6210 ; + RECT 4.0130 1.7370 4.0430 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6070 ; + RECT 0.5170 1.7370 0.5470 3.2730 ; + RECT 0.3650 0.0710 0.3950 1.6070 ; + RECT 0.3650 1.7370 0.3950 3.2730 ; + RECT 3.7090 0.0710 3.7390 1.6070 ; + RECT 3.7090 1.7370 3.7390 3.2730 ; + RECT 3.8610 0.0710 3.8910 1.6070 ; + RECT 3.8610 1.7370 3.8910 3.2730 ; + RECT 4.0130 0.0710 4.0430 1.6070 ; + END +END HEADX16_HVT + +MACRO DFFSSRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.712 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.5050 0.2490 4.6150 0.2700 ; + RECT 4.5050 0.3200 4.6150 0.3590 ; + RECT 4.2310 0.1480 4.2810 0.2700 ; + RECT 4.2310 0.2700 4.6150 0.3200 ; + RECT 4.2310 0.9180 4.5970 0.9680 ; + RECT 4.2310 0.9680 4.2810 1.5460 ; + RECT 4.5470 0.3590 4.5970 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 0.1950 4.2770 0.2370 ; + RECT 4.2350 0.1950 4.2770 0.2370 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.9270 0.3940 4.4350 0.4010 ; + RECT 3.9270 0.8040 4.4730 0.8540 ; + RECT 3.9270 0.1480 3.9770 0.3940 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 4.4230 0.5110 4.4730 0.8040 ; + RECT 4.3530 0.4440 4.4730 0.5110 ; + RECT 3.9270 0.4010 4.4730 0.4440 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 0.2870 3.9730 0.3290 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.7120 1.7020 ; + RECT 3.1510 1.4160 3.7130 1.4660 ; + RECT 0.2790 1.2960 0.6330 1.3460 ; + RECT 2.2550 1.3160 2.4570 1.3660 ; + RECT 3.7750 0.9120 3.8250 1.6420 ; + RECT 4.0790 0.9600 4.1290 1.6420 ; + RECT 4.3830 1.0520 4.4330 1.6420 ; + RECT 3.6230 1.1920 3.6730 1.4160 ; + RECT 3.6630 1.4660 3.7130 1.6420 ; + RECT 0.5830 0.9800 0.6330 1.2960 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 1.4050 1.3660 1.4550 1.6420 ; + RECT 1.3050 1.3160 1.4550 1.3660 ; + RECT 2.2550 1.1000 2.3050 1.3160 ; + RECT 2.4070 1.3660 2.4570 1.6420 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.4400 4.1250 1.4820 ; + RECT 4.3870 1.3480 4.4290 1.3900 ; + RECT 4.3870 1.3480 4.4290 1.3900 ; + RECT 4.3870 1.2560 4.4290 1.2980 ; + RECT 4.3870 1.2560 4.4290 1.2980 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.6270 1.2360 3.6690 1.2780 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 4.0830 0.9800 4.1250 1.0220 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 4.3870 1.1640 4.4290 1.2060 ; + RECT 4.3870 1.0720 4.4290 1.1140 ; + RECT 4.3870 1.1640 4.4290 1.2060 ; + RECT 4.3870 1.0720 4.4290 1.1140 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 1.1840 0.6290 1.2260 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 3.1710 1.4200 3.2130 1.4620 ; + RECT 3.6270 1.3280 3.6690 1.3700 ; + RECT 2.4110 1.4280 2.4530 1.4700 ; + RECT 0.5870 1.1840 0.6290 1.2260 ; + RECT 1.3470 1.3200 1.3890 1.3620 ; + RECT 0.5870 1.0000 0.6290 1.0420 ; + RECT 3.6270 1.4200 3.6690 1.4620 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.4110 1.3360 2.4530 1.3780 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.5870 1.2760 0.6290 1.3180 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 1.0000 0.6290 1.0420 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + END + END VDD + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.4650 1.3330 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.5320 1.3130 1.5740 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.7120 0.0300 ; + RECT 3.1270 0.2040 3.2330 0.2540 ; + RECT 0.2790 0.3550 0.6330 0.4050 ; + RECT 1.3430 0.3010 2.4570 0.3510 ; + RECT 3.6230 0.0300 3.6730 0.4010 ; + RECT 3.7750 0.0300 3.8250 0.4080 ; + RECT 4.0790 0.0300 4.1290 0.3190 ; + RECT 4.3830 0.0300 4.4330 0.2200 ; + RECT 3.1270 0.0300 3.1770 0.2040 ; + RECT 0.5830 0.4050 0.6330 0.5290 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 2.4070 0.3510 2.4570 0.4750 ; + RECT 1.3430 0.3510 1.3930 0.5760 ; + RECT 2.2550 0.3510 2.3050 0.4750 ; + RECT 2.0270 0.0300 2.0770 0.3010 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 3.7790 0.2500 3.8210 0.2920 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 4.3870 0.1580 4.4290 0.2000 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 3.7790 0.2500 3.8210 0.2920 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.4670 0.6290 0.5090 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4110 0.4130 2.4530 0.4550 ; + RECT 3.6270 0.2470 3.6690 0.2890 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 3.1710 0.2080 3.2130 0.2500 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.6270 0.3390 3.6690 0.3810 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.4880 1.3890 0.5300 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.4110 0.3210 2.4530 0.3630 ; + RECT 1.3470 0.3960 1.3890 0.4380 ; + RECT 0.5870 0.3750 0.6290 0.4170 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.2590 0.3210 2.3010 0.3630 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.8570 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8810 1.0090 0.9230 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0920 0.7250 0.1420 ; + RECT 0.4010 0.1420 0.5110 0.2320 ; + RECT 0.4010 0.0880 0.5110 0.0920 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.0960 0.7050 0.1380 ; + RECT 0.5110 0.0960 0.5530 0.1380 ; + END + ANTENNAGATEAREA 0.0255 ; + END RSTB + OBS + LAYER CO ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.9550 0.4500 1.9970 0.4920 ; + RECT 1.6510 1.1740 1.6930 1.2160 ; + RECT 2.1830 0.6770 2.2250 0.7190 ; + RECT 3.0190 1.1040 3.0610 1.1460 ; + RECT 1.4230 0.6300 1.4650 0.6720 ; + RECT 0.5110 1.4530 0.5530 1.4950 ; + RECT 3.2470 0.1080 3.2890 0.1500 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 0.7390 0.3050 0.7810 0.3470 ; + RECT 1.1950 0.8140 1.2370 0.8560 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.4990 0.9060 1.5410 0.9480 ; + RECT 3.0190 0.3080 3.0610 0.3500 ; + RECT 0.7390 1.1840 0.7810 1.2260 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.7150 1.2130 2.7570 1.2550 ; + RECT 1.8790 1.4210 1.9210 1.4630 ; + RECT 1.8030 1.0820 1.8450 1.1240 ; + RECT 0.7390 1.0920 0.7810 1.1340 ; + RECT 1.1950 0.9980 1.2370 1.0400 ; + RECT 2.7910 1.5320 2.8330 1.5740 ; + RECT 1.6510 1.0820 1.6930 1.1240 ; + RECT 2.8670 1.1990 2.9090 1.2410 ; + RECT 0.6630 0.8480 0.7050 0.8900 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 2.5630 1.1040 2.6050 1.1460 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 2.7910 0.1550 2.8330 0.1970 ; + RECT 3.3230 1.2200 3.3650 1.2620 ; + RECT 2.9430 0.1080 2.9850 0.1500 ; + RECT 1.1950 1.0900 1.2370 1.1320 ; + RECT 0.8910 1.0920 0.9330 1.1340 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 2.1830 0.1550 2.2250 0.1970 ; + RECT 1.4990 0.9060 1.5410 0.9480 ; + RECT 1.5750 1.5320 1.6170 1.5740 ; + RECT 2.8670 0.4120 2.9090 0.4540 ; + RECT 0.8150 1.4530 0.8570 1.4950 ; + RECT 2.8670 0.3200 2.9090 0.3620 ; + RECT 1.1950 0.9980 1.2370 1.0400 ; + RECT 1.0430 0.3050 1.0850 0.3470 ; + RECT 0.7390 1.0920 0.7810 1.1340 ; + RECT 1.4990 0.8140 1.5410 0.8560 ; + RECT 0.7390 1.1840 0.7810 1.2260 ; + RECT 0.8910 0.4470 0.9330 0.4890 ; + RECT 2.7150 1.3050 2.7570 1.3470 ; + RECT 0.8150 0.6560 0.8570 0.6980 ; + RECT 1.9550 1.0920 1.9970 1.1340 ; + RECT 3.3230 0.4810 3.3650 0.5230 ; + RECT 0.8910 1.1840 0.9330 1.2260 ; + RECT 1.8030 0.9900 1.8450 1.0320 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 1.1190 0.0960 1.1610 0.1380 ; + RECT 0.8910 1.1840 0.9330 1.2260 ; + RECT 1.4990 0.9980 1.5410 1.0400 ; + RECT 1.0430 1.3200 1.0850 1.3620 ; + RECT 3.3990 0.1080 3.4410 0.1500 ; + RECT 1.1950 0.9060 1.2370 0.9480 ; + RECT 2.7150 0.4120 2.7570 0.4540 ; + RECT 1.6510 0.9900 1.6930 1.0320 ; + RECT 3.0950 0.6580 3.1370 0.7000 ; + RECT 2.1830 0.9980 2.2250 1.0400 ; + RECT 1.5750 0.6300 1.6170 0.6720 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 0.8910 1.0920 0.9330 1.1340 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.7150 0.3200 2.7570 0.3620 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 0.7390 1.2760 0.7810 1.3180 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 1.4990 0.8140 1.5410 0.8560 ; + RECT 2.1830 1.4210 2.2250 1.4630 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 2.4870 0.5770 2.5290 0.6190 ; + RECT 2.7150 1.1210 2.7570 1.1630 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 1.7270 1.5320 1.7690 1.5740 ; + RECT 2.9430 1.5320 2.9850 1.5740 ; + RECT 1.1950 0.8140 1.2370 0.8560 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 2.5630 0.3200 2.6050 0.3620 ; + RECT 1.4990 0.9980 1.5410 1.0400 ; + RECT 1.9550 1.1840 1.9970 1.2260 ; + RECT 1.8030 1.1740 1.8450 1.2160 ; + RECT 1.1950 0.9060 1.2370 0.9480 ; + RECT 1.7270 0.1430 1.7690 0.1850 ; + RECT 1.1950 0.5130 1.2370 0.5550 ; + RECT 2.5630 0.4120 2.6050 0.4540 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 1.1950 0.4210 1.2370 0.4630 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.0310 0.7770 2.0730 0.8190 ; + RECT 0.7390 1.0000 0.7810 1.0420 ; + RECT 3.5510 0.6810 3.5930 0.7230 ; + RECT 0.7390 1.0000 0.7810 1.0420 ; + RECT 1.1190 0.6300 1.1610 0.6720 ; + RECT 1.4990 1.0900 1.5410 1.1320 ; + RECT 3.3990 0.5810 3.4410 0.6230 ; + RECT 1.8790 0.0960 1.9210 0.1380 ; + LAYER PO ; + RECT 2.1890 0.9660 2.2190 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 0.8210 0.8700 0.8510 1.6060 ; + RECT 1.7330 0.0760 1.7630 0.5970 ; + RECT 2.7970 1.0320 2.8270 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 3.5570 0.0760 3.5870 0.7550 ; + RECT 3.7090 0.0760 3.7390 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.7300 ; + RECT 4.6210 0.0760 4.6510 1.6060 ; + RECT 1.7330 0.9200 1.7630 1.6060 ; + RECT 3.8610 0.0760 3.8910 1.6060 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 4.3170 0.0760 4.3470 1.6060 ; + RECT 4.1650 0.0760 4.1950 1.6060 ; + RECT 4.4690 0.0760 4.4990 1.6060 ; + RECT 4.0130 0.0760 4.0430 1.6060 ; + RECT 2.0370 0.0760 2.0670 1.6060 ; + RECT 0.0610 0.0760 0.0910 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 2.4930 0.0760 2.5230 1.6060 ; + RECT 3.5570 1.1320 3.5870 1.6060 ; + RECT 2.1890 0.0760 2.2190 0.7510 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 3.4050 0.0760 3.4350 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 3.2530 0.0760 3.2830 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 2.7970 0.0760 2.8270 0.5970 ; + RECT 2.6450 0.0760 2.6750 1.6060 ; + RECT 0.6690 0.0760 0.6990 0.7160 ; + RECT 0.6690 0.8160 0.6990 1.6060 ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + RECT 0.9730 0.0760 1.0030 1.6060 ; + RECT 0.2130 0.0760 0.2430 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.8270 1.7730 ; + LAYER M1 ; + RECT 1.4950 0.7260 1.5970 0.7760 ; + RECT 1.4790 0.4460 1.5970 0.4960 ; + RECT 1.5470 0.6260 1.6370 0.6760 ; + RECT 1.4950 0.7760 1.5450 1.1520 ; + RECT 1.5470 0.6760 1.5970 0.7260 ; + RECT 1.5470 0.4960 1.5970 0.6260 ; + RECT 3.8120 0.6040 4.0690 0.6540 ; + RECT 3.2790 0.4770 3.8620 0.5270 ; + RECT 3.8120 0.5270 3.8620 0.6040 ; + RECT 2.8630 1.2160 3.4090 1.2660 ; + RECT 2.8630 0.3000 2.9130 1.2160 ; + RECT 3.0750 0.6540 3.3290 0.6770 ; + RECT 3.2790 0.7040 3.4090 0.7270 ; + RECT 3.0750 0.6770 3.4090 0.7040 ; + RECT 3.3590 0.7270 3.4090 1.2160 ; + RECT 3.2790 0.5270 3.3290 0.6540 ; + RECT 2.0060 0.7730 2.7610 0.8230 ; + RECT 2.7110 0.3000 2.7610 0.4520 ; + RECT 2.5590 0.3000 2.6090 0.4520 ; + RECT 2.5590 0.4520 2.7610 0.5020 ; + RECT 2.5590 0.8230 2.6090 1.1660 ; + RECT 2.7110 0.8230 2.7610 1.3800 ; + RECT 2.7110 0.5020 2.7610 0.7730 ; + RECT 0.8870 1.2020 1.6970 1.2520 ; + RECT 1.6470 0.7260 1.7370 0.7760 ; + RECT 1.6470 0.5260 1.7370 0.5760 ; + RECT 1.6470 0.7760 1.6970 1.2020 ; + RECT 1.6470 0.4300 1.6970 0.5260 ; + RECT 1.6870 0.5760 1.7370 0.7260 ; + RECT 0.8470 0.7520 1.0060 0.8020 ; + RECT 0.8710 0.4430 1.0060 0.4930 ; + RECT 0.9560 0.4930 1.0060 0.7520 ; + RECT 0.8470 1.0470 0.9370 1.0970 ; + RECT 0.8470 0.8020 0.8970 1.0470 ; + RECT 0.8870 1.0970 0.9370 1.2020 ; + RECT 2.1630 0.1540 2.9070 0.2010 ; + RECT 2.8570 0.1040 3.0050 0.1510 ; + RECT 2.1630 0.1510 3.0050 0.1540 ; + RECT 2.8110 1.3160 3.5730 1.3660 ; + RECT 3.5230 0.6770 3.6130 0.7270 ; + RECT 3.5230 0.7270 3.5730 1.3160 ; + RECT 2.5670 1.5280 2.8610 1.5780 ; + RECT 2.4030 1.2160 2.6170 1.2660 ; + RECT 2.1630 0.9940 2.4530 1.0440 ; + RECT 2.8110 1.3660 2.8610 1.5280 ; + RECT 2.5670 1.2660 2.6170 1.5280 ; + RECT 2.4030 1.0440 2.4530 1.2160 ; + RECT 1.7990 0.5730 2.5490 0.6230 ; + RECT 1.7990 1.1960 2.0010 1.2460 ; + RECT 1.9510 1.0720 2.0010 1.1960 ; + RECT 1.9510 0.4300 2.0010 0.5730 ; + RECT 1.7990 0.6230 1.8490 1.1960 ; + RECT 1.7990 0.4300 1.8490 0.5730 ; + RECT 0.4910 1.4490 0.8770 1.4990 ; + RECT 3.2270 0.1040 3.4610 0.1540 ; + RECT 2.9750 0.3040 3.3780 0.3540 ; + RECT 3.3280 0.1540 3.3780 0.3040 ; + RECT 2.9750 0.8080 3.0650 0.8580 ; + RECT 3.0150 0.8580 3.0650 1.1660 ; + RECT 2.9750 0.3540 3.0250 0.8080 ; + RECT 1.8990 0.8800 2.1010 0.9300 ; + RECT 1.8990 0.6730 2.2450 0.7230 ; + RECT 1.7590 1.3170 2.1010 1.3670 ; + RECT 1.7590 1.3670 1.8090 1.5280 ; + RECT 1.5550 1.5280 1.8090 1.5780 ; + RECT 1.8990 0.7230 1.9490 0.8800 ; + RECT 2.0510 0.9300 2.1010 1.3170 ; + RECT 1.0990 0.6260 1.4850 0.6760 ; + RECT 1.1910 0.6760 1.2410 1.1520 ; + RECT 1.1910 0.4010 1.2410 0.6260 ; + RECT 0.7000 0.6520 0.8770 0.7020 ; + RECT 0.4310 0.8440 0.7500 0.8940 ; + RECT 0.7000 0.7020 0.7500 0.8440 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.6510 0.5210 0.8440 ; + RECT 0.4310 0.8940 0.4810 1.2460 ; + RECT 2.9230 1.5280 3.6130 1.5780 ; + RECT 3.3790 0.5770 3.7300 0.6270 ; + RECT 3.6800 0.7040 4.1860 0.7540 ; + RECT 4.1360 0.6540 4.1860 0.7040 ; + RECT 4.1360 0.6040 4.3730 0.6540 ; + RECT 3.6800 0.7540 3.7300 0.7580 ; + RECT 3.6800 0.6270 3.7300 0.7040 ; + RECT 0.7190 0.3010 1.1050 0.3510 ; + RECT 0.7350 1.3160 1.1060 1.3660 ; + RECT 0.7350 0.9800 0.7850 1.3160 ; + RECT 1.0990 0.0920 1.9410 0.1420 ; + RECT 1.7230 0.1420 1.7730 0.2050 ; + RECT 1.8590 1.4170 2.2450 1.4670 ; + END +END DFFSSRX2_HVT + +MACRO DFFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.952 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.9520 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.6950 0.3300 3.2330 0.3800 ; + RECT 1.7990 0.1990 2.0240 0.2490 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.4710 0.0300 3.5210 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.1670 0.0300 3.2170 0.3300 ; + RECT 1.7990 0.2490 1.8490 0.3730 ; + RECT 1.7990 0.0300 1.8490 0.1990 ; + END + PORT + LAYER CO ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8030 0.2190 1.8450 0.2610 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.9550 0.2030 1.9970 0.2450 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.4750 0.1580 3.5170 0.2000 ; + RECT 2.7150 0.3340 2.7570 0.3760 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7450 1.1610 3.8550 1.2210 ; + RECT 3.6070 0.1540 3.8550 0.2040 ; + RECT 3.6230 1.2210 3.8550 1.2710 ; + RECT 3.6230 1.2710 3.6730 1.5460 ; + RECT 3.8050 0.2040 3.8550 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.9520 1.7020 ; + RECT 1.7820 1.2780 2.0250 1.3280 ; + RECT 3.1490 1.3080 3.2570 1.3580 ; + RECT 2.6390 1.3080 2.7770 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.4710 0.9470 3.5210 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 1.9750 1.3280 2.0250 1.6420 ; + RECT 3.2070 1.3580 3.2570 1.6420 ; + RECT 2.6390 1.3580 2.6890 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + END + PORT + LAYER CO ; + RECT 3.4750 1.2560 3.5170 1.2980 ; + RECT 3.4750 1.2560 3.5170 1.2980 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.4750 1.3480 3.5170 1.3900 ; + RECT 3.4750 1.0720 3.5170 1.1140 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.1710 1.3120 3.2130 1.3540 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 2.7150 1.3120 2.7570 1.3540 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.4750 1.0720 3.5170 1.1140 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.4750 1.3480 3.5170 1.3900 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.0090 3.7030 1.1190 ; + RECT 3.3190 0.1480 3.3690 0.3090 ; + RECT 3.3190 0.3090 3.7030 0.3590 ; + RECT 3.3190 0.8540 3.3690 1.5460 ; + RECT 3.6530 0.8540 3.7030 1.0090 ; + RECT 3.3190 0.8040 3.7030 0.8540 ; + RECT 3.6530 0.3590 3.7030 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 0.1950 3.3650 0.2370 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 3.3230 0.2870 3.3650 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.7270 0.7620 1.7690 0.8040 ; + RECT 1.8790 1.5220 1.9210 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.8670 1.2120 2.9090 1.2540 ; + RECT 1.4990 1.1620 1.5410 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 1.7270 1.4820 1.7690 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.0310 0.6440 2.0730 0.6860 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 3.0950 1.5250 3.1370 1.5670 ; + RECT 2.1830 1.5260 2.2250 1.5680 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.7910 1.5250 2.8330 1.5670 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 2.6390 0.7030 2.6810 0.7450 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.4110 0.4900 2.4530 0.5320 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.7910 0.1200 2.8330 0.1620 ; + RECT 2.3350 0.1800 2.3770 0.2220 ; + RECT 1.7270 0.5440 1.7690 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.3350 1.5260 2.3770 1.5680 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 2.5630 0.9820 2.6050 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.4110 1.1030 2.4530 1.1450 ; + RECT 2.1070 0.4240 2.1490 0.4660 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.5630 0.5420 2.6050 0.5840 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.0950 0.7130 3.1370 0.7550 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.0670 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.1150 0.2300 2.8370 0.2800 ; + RECT 2.7870 0.0880 2.8370 0.2300 ; + RECT 1.9640 0.3140 2.1650 0.3640 ; + RECT 1.7070 0.5400 2.0140 0.5900 ; + RECT 2.3150 0.1780 2.3970 0.2300 ; + RECT 2.1150 0.2800 2.1650 0.3140 ; + RECT 1.9640 0.3640 2.0140 0.5400 ; + RECT 1.3430 0.6400 2.0930 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.5610 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 3.2070 0.7040 3.5970 0.7540 ; + RECT 2.4070 0.4380 3.5970 0.4880 ; + RECT 3.5470 0.4880 3.5970 0.7040 ; + RECT 2.6190 0.6990 2.7600 0.7490 ; + RECT 2.8470 1.2080 3.2570 1.2580 ; + RECT 2.4070 0.4880 2.4570 1.1650 ; + RECT 2.7100 0.4880 2.7600 0.6990 ; + RECT 3.2070 0.7540 3.2570 1.2080 ; + RECT 1.7070 0.7580 2.2290 0.8080 ; + RECT 2.1790 0.5870 2.2290 0.7580 ; + RECT 1.5500 1.0010 2.3450 1.0510 ; + RECT 2.2550 0.3710 2.3050 0.4200 ; + RECT 2.0720 0.4200 2.3450 0.4700 ; + RECT 2.1030 1.1660 2.1530 1.3080 ; + RECT 2.1030 1.3080 2.3050 1.3580 ; + RECT 2.2950 0.4700 2.3450 1.0010 ; + RECT 2.2550 1.0510 2.3050 1.3080 ; + RECT 2.8240 0.6040 3.4610 0.6540 ; + RECT 2.5190 0.5380 2.6490 0.5880 ; + RECT 2.8240 1.0280 2.8740 1.0290 ; + RECT 2.8240 0.6540 2.8740 0.9780 ; + RECT 2.5420 1.0270 2.8740 1.0280 ; + RECT 2.5190 0.5880 2.5690 0.9780 ; + RECT 2.5190 0.9780 2.8740 1.0270 ; + RECT 2.7700 1.5210 3.1570 1.5710 ; + RECT 1.4190 1.4780 1.7890 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4280 1.9250 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 1.9250 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.9340 0.7090 3.1570 0.7590 ; + RECT 2.3550 1.2720 2.5810 1.3220 ; + RECT 2.1630 1.5220 2.4050 1.5720 ; + RECT 2.3550 1.3220 2.4050 1.5220 ; + RECT 2.5310 1.1490 2.5810 1.2720 ; + RECT 2.9340 0.7590 2.9840 1.0990 ; + RECT 2.5310 1.0990 2.9840 1.1490 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + LAYER PO ; + RECT 3.1010 1.0120 3.1310 1.6060 ; + RECT 2.3410 0.0680 2.3710 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.7330 0.7300 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.7870 ; + RECT 1.7330 0.0680 1.7630 0.6180 ; + RECT 2.3410 0.8820 2.3710 1.6060 ; + END +END DFFX1_HVT + +MACRO DFFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 0.0970 4.4630 0.2070 ; + RECT 4.0790 0.1480 4.1290 0.2700 ; + RECT 4.0790 0.9180 4.4450 0.9680 ; + RECT 4.0790 0.9680 4.1290 1.5460 ; + RECT 4.0790 0.2700 4.4450 0.3200 ; + RECT 4.3950 0.2070 4.4450 0.2700 ; + RECT 4.3950 0.3200 4.4450 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7750 0.1480 3.8250 0.3940 ; + RECT 4.2010 0.4440 4.3210 0.5110 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 4.2710 0.5110 4.3210 0.8040 ; + RECT 3.7750 0.8040 4.3210 0.8540 ; + RECT 3.7750 0.3940 4.3210 0.4440 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 0.2870 3.8210 0.3290 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.8470 0.3300 3.5370 0.3800 ; + RECT 3.6230 0.0300 3.6730 0.4080 ; + RECT 4.2310 0.0300 4.2810 0.2200 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.9270 0.0300 3.9770 0.3190 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + RECT 1.7990 0.2490 1.8490 0.3730 ; + RECT 1.7990 0.1990 2.3220 0.2490 ; + RECT 1.7990 0.0300 1.8490 0.1990 ; + END + PORT + LAYER CO ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 2.2590 0.2030 2.3010 0.2450 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.8030 0.2190 1.8450 0.2610 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.9550 0.2030 1.9970 0.2450 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.8670 0.3340 2.9090 0.3760 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.7820 1.2780 2.3290 1.3280 ; + RECT 3.4530 1.3080 3.5610 1.3580 ; + RECT 2.7910 1.3080 2.9290 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.6230 0.9120 3.6730 1.6420 ; + RECT 4.2310 1.0520 4.2810 1.6420 ; + RECT 3.9270 0.9600 3.9770 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 2.2150 1.3280 2.2650 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 2.7910 1.3580 2.8410 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.9310 0.9800 3.9730 1.0220 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.9310 1.4400 3.9730 1.4820 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0267 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.7270 0.7620 1.7690 0.8040 ; + RECT 1.8790 1.5220 1.9210 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.1070 1.1050 2.1490 1.1470 ; + RECT 2.0310 0.6440 2.0730 0.6860 ; + RECT 3.1710 1.2120 3.2130 1.2540 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.4240 2.4530 0.4660 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.4990 1.1620 1.5410 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 1.7270 1.4820 1.7690 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.3990 1.5250 3.4410 1.5670 ; + RECT 2.3350 1.5260 2.3770 1.5680 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.0950 1.5250 3.1370 1.5670 ; + RECT 2.7910 0.6440 2.8330 0.6860 ; + RECT 3.1710 0.4980 3.2130 0.5400 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.3350 0.6440 2.3770 0.6860 ; + RECT 3.0950 0.1200 3.1370 0.1620 ; + RECT 2.4870 0.1800 2.5290 0.2220 ; + RECT 1.7270 0.5440 1.7690 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.5260 2.5290 1.5680 ; + RECT 2.7150 0.9820 2.7570 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 2.1070 0.4240 2.1490 0.4660 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.7150 0.5420 2.7570 0.5840 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.4120 0.2300 3.1410 0.2800 ; + RECT 3.0910 0.0880 3.1410 0.2300 ; + RECT 1.9440 0.3140 2.4620 0.3640 ; + RECT 1.7070 0.5400 1.9940 0.5900 ; + RECT 2.4670 0.1780 2.5490 0.2300 ; + RECT 2.4120 0.2800 2.4620 0.3140 ; + RECT 1.9440 0.3640 1.9940 0.5400 ; + RECT 1.3430 0.6400 2.2450 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.5610 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 3.9840 0.6040 4.2210 0.6540 ; + RECT 2.8620 0.4940 4.0340 0.5440 ; + RECT 3.9840 0.5440 4.0340 0.6040 ; + RECT 3.9840 0.6540 4.0340 0.7040 ; + RECT 3.5110 0.7040 4.0340 0.7540 ; + RECT 2.5590 0.4380 2.9120 0.4880 ; + RECT 2.7710 0.6400 2.9120 0.6900 ; + RECT 3.1510 1.2080 3.5610 1.2580 ; + RECT 2.5590 0.4880 2.6090 1.1650 ; + RECT 3.5110 0.7540 3.5610 1.2080 ; + RECT 2.8620 0.5440 2.9120 0.6400 ; + RECT 2.8620 0.4880 2.9120 0.4940 ; + RECT 1.7070 0.7580 2.3810 0.8080 ; + RECT 2.3310 0.5870 2.3810 0.7580 ; + RECT 3.0740 1.5210 3.4610 1.5710 ; + RECT 1.4190 1.4780 1.7890 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4280 1.9250 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.1280 0.6040 3.9170 0.6540 ; + RECT 2.6710 0.5880 2.7210 0.9780 ; + RECT 2.6710 0.5380 2.7770 0.5880 ; + RECT 2.6940 1.0270 3.1780 1.0280 ; + RECT 3.1280 1.0280 3.1780 1.0290 ; + RECT 3.1280 0.6540 3.1780 0.9780 ; + RECT 2.6710 0.9780 3.1780 1.0270 ; + RECT 3.2380 0.7090 3.4610 0.7590 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.5220 2.5570 1.5720 ; + RECT 2.5070 1.3220 2.5570 1.5220 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 3.2380 0.7590 3.2880 1.0990 ; + RECT 2.6830 1.0990 3.2880 1.1490 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.0870 1.1010 2.4570 1.1510 ; + RECT 2.4070 1.1510 2.4570 1.3580 ; + RECT 2.4070 1.0510 2.4570 1.1010 ; + RECT 2.0720 0.4200 2.4970 0.4700 ; + RECT 2.4470 0.4700 2.4970 1.0010 ; + LAYER PO ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.7330 0.7300 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.7330 0.0680 1.7630 0.6180 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.4930 0.8820 2.5230 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + END +END DFFX2_HVT + +MACRO DHFILLH2_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.304 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.3040 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 0.3040 3.3740 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.3040 1.7020 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 2.3510 0.4190 3.4450 ; + RECT -0.1150 -0.1010 0.4190 0.9930 ; + LAYER PO ; + RECT 0.2130 1.7620 0.2430 3.2540 ; + RECT 0.0610 1.7620 0.0910 3.2540 ; + RECT 0.2130 0.0900 0.2430 1.5820 ; + RECT 0.0610 0.0900 0.0910 1.5820 ; + END +END DHFILLH2_HVT + +MACRO DHFILLHL2_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.304 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.3040 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.3040 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 0.3040 3.3740 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.4190 2.6650 ; + LAYER PO ; + RECT 0.2130 0.0900 0.2430 1.5820 ; + RECT 0.0610 0.0900 0.0910 1.5820 ; + RECT 0.0610 1.7620 0.0910 3.2540 ; + RECT 0.2130 1.7620 0.2430 3.2540 ; + END +END DHFILLHL2_HVT + +MACRO DHFILLHLHLS11_HVT + CLASS CORE SPACER ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDDH + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 1.6720 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VDDH + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VSS + + PIN VDDL + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 3.0100 1.6720 3.0700 ; + RECT 0.8080 2.5310 0.8640 3.0100 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.5510 0.8570 2.5930 ; + RECT 0.8150 2.6430 0.8570 2.6850 ; + END + END VDDL + OBS + LAYER NWELL ; + RECT -0.1150 -0.1010 1.7870 0.9930 ; + RECT -0.1150 2.3510 1.7870 3.4590 ; + LAYER PO ; + RECT 1.5810 1.7430 1.6110 3.2440 ; + RECT 1.5810 0.0990 1.6110 1.6000 ; + RECT 0.0610 1.7430 0.0910 3.2440 ; + RECT 0.0610 0.0990 0.0910 1.6000 ; + RECT 0.5170 0.0990 0.5470 1.6000 ; + RECT 0.3650 0.0990 0.3950 1.6000 ; + RECT 0.2130 0.0990 0.2430 1.6000 ; + RECT 0.6690 0.0990 0.6990 1.6000 ; + RECT 0.8210 0.0990 0.8510 1.6000 ; + RECT 0.9730 0.0990 1.0030 1.6000 ; + RECT 1.1250 0.0990 1.1550 1.6000 ; + RECT 1.2770 0.0990 1.3070 1.6000 ; + RECT 1.4290 0.0990 1.4590 1.6000 ; + RECT 1.4290 1.7430 1.4590 3.2440 ; + RECT 1.2770 1.7430 1.3070 3.2440 ; + RECT 1.1250 1.7430 1.1550 3.2440 ; + RECT 0.2130 1.7430 0.2430 3.2440 ; + RECT 0.9730 1.7430 1.0030 3.2440 ; + RECT 0.5170 1.7430 0.5470 3.2440 ; + RECT 0.6690 1.7430 0.6990 3.2440 ; + RECT 0.3650 1.7430 0.3950 3.2440 ; + END +END DHFILLHLHLS11_HVT + +MACRO FADDX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.888 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6430 0.5940 1.8100 0.6440 ; + RECT 0.7050 0.6440 0.8150 0.6630 ; + RECT 0.7050 0.5530 0.8150 0.5940 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.5980 1.4650 0.6400 ; + RECT 1.7270 0.5980 1.7690 0.6400 ; + RECT 0.6630 0.5980 0.7050 0.6400 ; + END + ANTENNAGATEAREA 0.081 ; + END CI + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.1610 0.9880 1.2710 ; + RECT 0.3390 0.8260 2.0770 0.8760 ; + RECT 2.0270 0.8760 2.0770 0.8920 ; + RECT 2.0270 0.8100 2.0770 0.8260 ; + RECT 0.8610 0.8760 0.9110 1.1610 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8300 0.4010 0.8720 ; + RECT 2.0310 0.8300 2.0730 0.8720 ; + RECT 1.1190 0.8300 1.1610 0.8720 ; + RECT 0.9670 0.8300 1.0090 0.8720 ; + END + ANTENNAGATEAREA 0.108 ; + END A + + PIN CO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.5290 0.8570 2.6390 0.9670 ; + RECT 2.5590 0.4790 2.6330 0.5610 ; + RECT 2.5830 0.5610 2.6330 0.8570 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.8670 2.6050 0.9090 ; + RECT 2.5630 0.4990 2.6050 0.5410 ; + END + ANTENNADIFFAREA 0.1244 ; + END CO + + PIN B + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.7130 1.9490 0.7630 ; + RECT 0.4010 0.5530 0.5110 0.7130 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.7170 0.5530 0.7590 ; + RECT 1.8790 0.7170 1.9210 0.7590 ; + RECT 0.8150 0.7170 0.8570 0.7590 ; + RECT 1.2710 0.7170 1.3130 0.7590 ; + END + ANTENNAGATEAREA 0.108 ; + END B + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.8880 1.7020 ; + RECT 2.1030 1.4400 2.1530 1.6420 ; + RECT 1.3430 1.4340 1.3930 1.6420 ; + RECT 1.0390 1.4380 1.0890 1.6420 ; + RECT 0.4310 1.4380 0.4810 1.6420 ; + RECT 2.4070 1.3230 2.4570 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.3470 1.4640 1.3890 1.5060 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.4350 1.4640 0.4770 1.5060 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.1070 1.4640 2.1490 1.5060 ; + RECT 1.0430 1.4640 1.0850 1.5060 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.4110 1.3430 2.4530 1.3850 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + END + END VDD + + PIN S + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2310 1.0090 2.4870 1.1190 ; + RECT 2.2310 0.5610 2.2810 1.0090 ; + RECT 2.2310 0.4790 2.3050 0.5610 ; + END + PORT + LAYER CO ; + RECT 2.2590 0.4990 2.3010 0.5410 ; + RECT 2.2590 1.0380 2.3010 1.0800 ; + END + ANTENNADIFFAREA 0.1244 ; + END S + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.8880 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.2030 ; + RECT 2.1030 0.0300 2.1530 0.2030 ; + RECT 2.4070 0.0300 2.4570 0.2030 ; + RECT 1.0390 0.0300 1.0890 0.2370 ; + RECT 1.0390 0.2370 1.4090 0.2870 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.1410 2.1490 0.1830 ; + RECT 1.0430 0.1920 1.0850 0.2340 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.4110 0.1410 2.4530 0.1830 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.0700 0.6790 2.9580 1.7730 ; + LAYER M1 ; + RECT 2.4550 0.6240 2.5330 0.7140 ; + RECT 1.5310 0.2530 2.5050 0.3030 ; + RECT 2.4550 0.7140 2.5050 0.7290 ; + RECT 2.4550 0.3030 2.5050 0.6240 ; + RECT 0.2390 0.3550 1.5810 0.4050 ; + RECT 1.5310 0.4750 1.6370 0.5250 ; + RECT 1.5310 0.4050 1.5810 0.4750 ; + RECT 1.5310 0.3030 1.5810 0.3550 ; + RECT 0.2390 1.0570 0.8010 1.1070 ; + RECT 0.2390 0.4050 0.2890 1.0570 ; + RECT 1.6310 0.3550 2.4050 0.4050 ; + RECT 2.3550 0.4050 2.4050 0.6240 ; + RECT 2.3310 0.6240 2.4050 0.7140 ; + RECT 2.1310 0.4050 2.1810 1.0790 ; + RECT 1.6310 1.0790 2.1810 1.1290 ; + RECT 1.1750 0.1370 1.5610 0.1870 ; + RECT 0.2630 1.2230 0.6490 1.2730 ; + RECT 0.2630 0.2550 0.6490 0.3050 ; + RECT 1.1750 1.2230 1.5610 1.2730 ; + LAYER PO ; + RECT 2.1890 0.0710 2.2190 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + RECT 2.6450 0.0710 2.6750 1.6090 ; + RECT 2.7970 0.0710 2.8270 1.6090 ; + RECT 1.4290 0.0710 1.4590 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 0.9730 0.0670 1.0030 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 2.3410 0.0710 2.3710 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 2.0370 0.0710 2.0670 1.6090 ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + LAYER CO ; + RECT 1.4990 1.2270 1.5410 1.2690 ; + RECT 0.2830 0.2590 0.3250 0.3010 ; + RECT 1.4990 0.1410 1.5410 0.1830 ; + RECT 1.1950 1.2270 1.2370 1.2690 ; + RECT 0.5870 0.2590 0.6290 0.3010 ; + RECT 0.7390 1.0610 0.7810 1.1030 ; + RECT 2.4870 0.6520 2.5290 0.6940 ; + RECT 2.3350 0.6520 2.3770 0.6940 ; + RECT 0.2830 1.2270 0.3250 1.2690 ; + RECT 0.5870 1.2270 0.6290 1.2690 ; + RECT 0.7390 0.3590 0.7810 0.4010 ; + RECT 1.5750 0.4790 1.6170 0.5210 ; + RECT 1.6510 0.3590 1.6930 0.4010 ; + RECT 1.6510 1.0830 1.6930 1.1250 ; + RECT 1.1950 0.1410 1.2370 0.1830 ; + END +END FADDX1_HVT + +MACRO FADDX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.192 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CI + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6420 0.5470 1.8100 0.5970 ; + RECT 0.6420 0.5970 0.8150 0.6630 ; + RECT 0.6420 0.4920 0.8150 0.5470 ; + END + PORT + LAYER CO ; + RECT 1.4230 0.5510 1.4650 0.5930 ; + RECT 1.7270 0.5510 1.7690 0.5930 ; + RECT 0.6630 0.5510 0.7050 0.5930 ; + END + ANTENNAGATEAREA 0.081 ; + END CI + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.1610 0.9670 1.2710 ; + RECT 0.3390 0.8230 2.0770 0.8730 ; + RECT 2.0270 0.8730 2.0770 0.8890 ; + RECT 2.0270 0.8070 2.0770 0.8230 ; + RECT 0.8610 0.8730 0.9110 1.1610 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8270 0.4010 0.8690 ; + RECT 2.0310 0.8270 2.0730 0.8690 ; + RECT 1.1190 0.8270 1.1610 0.8690 ; + RECT 0.9670 0.8270 1.0090 0.8690 ; + END + ANTENNAGATEAREA 0.108 ; + END A + + PIN CO + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.7110 0.8570 2.9430 0.9670 ; + RECT 2.7110 0.4950 2.9370 0.5450 ; + RECT 2.7110 0.8420 2.9420 0.8570 ; + RECT 2.7110 0.5450 2.7610 0.5610 ; + RECT 2.7110 0.4790 2.7610 0.4950 ; + RECT 2.8870 0.4790 2.9370 0.4950 ; + RECT 2.8870 0.5450 2.9370 0.8420 ; + END + PORT + LAYER CO ; + RECT 2.7150 0.8670 2.7570 0.9090 ; + RECT 2.7150 0.4990 2.7570 0.5410 ; + END + ANTENNADIFFAREA 0.1488 ; + END CO + + PIN B + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5110 0.6630 ; + RECT 0.4610 0.6630 0.5110 0.7230 ; + RECT 0.4610 0.7230 1.9490 0.7730 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.7270 0.5530 0.7690 ; + RECT 1.8790 0.7270 1.9210 0.7690 ; + RECT 0.8150 0.7270 0.8570 0.7690 ; + RECT 1.2710 0.7270 1.3130 0.7690 ; + END + ANTENNAGATEAREA 0.108 ; + END B + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.1920 1.7020 ; + RECT 2.1030 1.3230 2.1530 1.6420 ; + RECT 1.3430 1.3230 1.3930 1.6420 ; + RECT 1.0390 1.3230 1.0890 1.6420 ; + RECT 0.4310 1.3230 0.4810 1.6420 ; + RECT 2.8630 1.3230 2.9130 1.6420 ; + RECT 2.2550 1.3230 2.3050 1.6420 ; + RECT 2.5590 1.3230 2.6090 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.3470 1.3430 1.3890 1.3850 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.3430 0.4770 1.3850 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.5630 1.3430 2.6050 1.3850 ; + RECT 2.8670 1.3430 2.9090 1.3850 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.1070 1.3430 2.1490 1.3850 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.0430 1.3430 1.0850 1.3850 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.2590 1.3430 2.3010 1.3850 ; + END + END VDD + + PIN S + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2310 0.4790 2.4570 0.5290 ; + RECT 2.2310 1.0090 2.4870 1.1190 ; + RECT 2.4070 0.5290 2.4570 0.5610 ; + RECT 2.2310 0.5290 2.2810 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.4990 2.4530 0.5410 ; + RECT 2.4110 1.0380 2.4530 1.0800 ; + END + ANTENNADIFFAREA 0.1488 ; + END S + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.1920 0.0300 ; + RECT 0.3710 0.1370 0.5160 0.1870 ; + RECT 2.0850 0.1470 2.9340 0.1970 ; + RECT 0.3710 0.0300 0.4210 0.1370 ; + RECT 2.5590 0.0300 2.6090 0.1470 ; + RECT 1.0390 0.0300 1.0890 0.2370 ; + RECT 1.0390 0.2370 1.4090 0.2870 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 1.0430 0.1920 1.0850 0.2340 ; + RECT 2.8670 0.1510 2.9090 0.1930 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 2.5630 0.1510 2.6050 0.1930 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.3470 0.2410 1.3890 0.2830 ; + RECT 2.2590 0.1510 2.3010 0.1930 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.0700 0.6790 3.2620 1.7730 ; + LAYER M1 ; + RECT 2.6070 0.6210 2.8370 0.7110 ; + RECT 1.5310 0.2470 2.6570 0.2970 ; + RECT 2.6070 0.7110 2.6570 0.7290 ; + RECT 2.6070 0.2970 2.6570 0.6210 ; + RECT 0.2390 0.3550 1.5810 0.4050 ; + RECT 1.5310 0.4470 1.6370 0.4970 ; + RECT 1.5310 0.4050 1.5810 0.4470 ; + RECT 1.5310 0.2970 1.5810 0.3550 ; + RECT 0.2390 1.0570 0.8010 1.1070 ; + RECT 0.2390 0.4050 0.2890 1.0570 ; + RECT 2.3310 0.6210 2.5570 0.7110 ; + RECT 1.6310 0.3470 2.5570 0.3970 ; + RECT 2.5070 0.7110 2.5570 0.7290 ; + RECT 2.5070 0.3970 2.5570 0.6210 ; + RECT 2.1310 0.3970 2.1810 1.0790 ; + RECT 1.6310 1.0790 2.1810 1.1290 ; + RECT 1.1750 0.1370 1.5610 0.1870 ; + RECT 0.2630 1.2230 0.6490 1.2730 ; + RECT 0.2630 0.2370 0.6490 0.2870 ; + RECT 1.1750 1.2230 1.5610 1.2730 ; + LAYER PO ; + RECT 2.1890 0.0710 2.2190 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + RECT 2.6450 0.0710 2.6750 1.6090 ; + RECT 2.7970 0.0710 2.8270 1.6090 ; + RECT 1.4290 0.0710 1.4590 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 0.9730 0.0670 1.0030 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 2.3410 0.0710 2.3710 1.6090 ; + RECT 3.1010 0.0710 3.1310 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 2.9490 0.0710 2.9790 1.6090 ; + RECT 2.0370 0.0710 2.0670 1.6090 ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + LAYER CO ; + RECT 1.4990 1.2270 1.5410 1.2690 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 1.4990 0.1410 1.5410 0.1830 ; + RECT 1.1950 1.2270 1.2370 1.2690 ; + RECT 0.5870 0.2410 0.6290 0.2830 ; + RECT 2.3350 0.6490 2.3770 0.6910 ; + RECT 0.7390 1.0610 0.7810 1.1030 ; + RECT 2.6390 0.6490 2.6810 0.6910 ; + RECT 2.4870 0.6490 2.5290 0.6910 ; + RECT 0.2830 1.2270 0.3250 1.2690 ; + RECT 0.5870 1.2270 0.6290 1.2690 ; + RECT 0.7390 0.3590 0.7810 0.4010 ; + RECT 1.5750 0.4510 1.6170 0.4930 ; + RECT 1.6510 0.3510 1.6930 0.3930 ; + RECT 1.6510 1.0830 1.6930 1.1250 ; + RECT 2.7910 0.6490 2.8330 0.6910 ; + RECT 1.1950 0.1410 1.2370 0.1830 ; + END +END FADDX2_HVT + +MACRO FOOT2X16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.6 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.7500 1.1610 0.9940 1.2710 ; + RECT 0.8860 1.2710 0.9360 1.5360 ; + RECT 0.8710 1.5360 6.7290 1.5920 ; + END + PORT + LAYER CO ; + RECT 6.0590 1.5430 6.1010 1.5850 ; + RECT 6.3630 1.5430 6.4050 1.5850 ; + RECT 6.6670 1.5430 6.7090 1.5850 ; + RECT 3.9310 1.5430 3.9730 1.5850 ; + RECT 4.2350 1.5430 4.2770 1.5850 ; + RECT 4.5390 1.5430 4.5810 1.5850 ; + RECT 5.1470 1.5430 5.1890 1.5850 ; + RECT 3.6270 1.5430 3.6690 1.5850 ; + RECT 2.7150 1.5430 2.7570 1.5850 ; + RECT 3.3230 1.5430 3.3650 1.5850 ; + RECT 3.0190 1.5430 3.0610 1.5850 ; + RECT 2.4110 1.5430 2.4530 1.5850 ; + RECT 4.8430 1.5430 4.8850 1.5850 ; + RECT 2.1070 1.5430 2.1490 1.5850 ; + RECT 5.4510 1.5430 5.4930 1.5850 ; + RECT 5.7550 1.5430 5.7970 1.5850 ; + RECT 0.8980 1.1940 0.9400 1.2360 ; + RECT 0.8000 1.1940 0.8420 1.2360 ; + RECT 1.4990 1.5430 1.5410 1.5850 ; + RECT 1.1950 1.5430 1.2370 1.5850 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + RECT 1.8030 1.5430 1.8450 1.5850 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.6000 1.7020 ; + RECT 5.5990 1.7020 5.6490 1.8320 ; + RECT 6.5110 1.7020 6.5610 1.8320 ; + RECT 4.9910 1.7020 5.0410 1.8320 ; + RECT 4.0790 1.7020 4.1290 1.8320 ; + RECT 2.5590 1.7020 2.6090 1.8320 ; + RECT 3.4710 1.7020 3.5210 1.8320 ; + RECT 1.9510 1.7020 2.0010 1.8320 ; + RECT 1.0390 1.7020 1.0890 1.8320 ; + END + PORT + LAYER CO ; + RECT 5.6030 1.7700 5.6450 1.8120 ; + RECT 6.5150 1.7700 6.5570 1.8120 ; + RECT 4.9950 1.7700 5.0370 1.8120 ; + RECT 4.0830 1.7700 4.1250 1.8120 ; + RECT 2.5630 1.7700 2.6050 1.8120 ; + RECT 1.0430 1.7700 1.0850 1.8120 ; + RECT 1.9550 1.7700 1.9970 1.8120 ; + RECT 3.4750 1.7700 3.5170 1.8120 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8000 2.0710 0.9670 2.1060 ; + RECT 0.8000 2.1560 0.9670 2.1830 ; + RECT 0.8000 2.1060 6.8270 2.1560 ; + END + PORT + LAYER CO ; + RECT 5.3750 2.1100 5.4170 2.1520 ; + RECT 3.8550 2.1100 3.8970 2.1520 ; + RECT 3.7030 2.1100 3.7450 2.1520 ; + RECT 6.7430 2.1100 6.7850 2.1520 ; + RECT 2.3350 2.1100 2.3770 2.1520 ; + RECT 5.2230 2.1100 5.2650 2.1520 ; + RECT 0.8150 2.1100 0.8570 2.1520 ; + RECT 2.1830 2.1100 2.2250 2.1520 ; + END + ANTENNAGATEAREA 0.1248 ; + END SLEEP + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.6000 0.0300 ; + RECT 5.7510 0.0300 5.8010 0.9240 ; + RECT 6.0550 0.0300 6.1050 0.9240 ; + RECT 6.3590 0.0300 6.4090 0.9240 ; + RECT 4.8390 0.0300 4.8890 0.9240 ; + RECT 4.5350 0.0300 4.5850 0.9240 ; + RECT 4.2310 0.0300 4.2810 0.9240 ; + RECT 2.7110 0.0300 2.7610 0.9240 ; + RECT 3.0150 0.0300 3.0650 0.9240 ; + RECT 3.3190 0.0300 3.3690 0.9240 ; + RECT 1.7990 0.0300 1.8490 0.9240 ; + RECT 1.4950 0.0300 1.5450 0.9240 ; + RECT 1.1910 0.0300 1.2410 0.9240 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 7.6000 3.3740 ; + RECT 0.8870 2.4720 0.9370 3.3140 ; + END + PORT + LAYER CO ; + RECT 4.2350 0.4020 4.2770 0.4440 ; + RECT 4.2350 0.4940 4.2770 0.5360 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 5.3750 3.3230 5.4170 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 6.3630 0.2180 6.4050 0.2600 ; + RECT 6.3630 0.3100 6.4050 0.3520 ; + RECT 6.3630 0.4020 6.4050 0.4440 ; + RECT 6.3630 0.4940 6.4050 0.5360 ; + RECT 6.3630 0.5860 6.4050 0.6280 ; + RECT 6.3630 0.6780 6.4050 0.7200 ; + RECT 6.3630 0.7700 6.4050 0.8120 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 6.3630 0.8620 6.4050 0.9040 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 5.2230 3.3230 5.2650 3.3650 ; + RECT 6.8950 3.3230 6.9370 3.3650 ; + RECT 5.8310 3.3230 5.8730 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 5.7550 0.2180 5.7970 0.2600 ; + RECT 5.7550 0.3100 5.7970 0.3520 ; + RECT 5.7550 0.4020 5.7970 0.4440 ; + RECT 5.7550 0.4940 5.7970 0.5360 ; + RECT 5.7550 0.5860 5.7970 0.6280 ; + RECT 5.7550 0.6780 5.7970 0.7200 ; + RECT 5.7550 0.7700 5.7970 0.8120 ; + RECT 5.7550 0.8620 5.7970 0.9040 ; + RECT 6.5910 3.3230 6.6330 3.3650 ; + RECT 6.8950 3.3230 6.9370 3.3650 ; + RECT 6.0590 0.7700 6.1010 0.8120 ; + RECT 6.0590 0.6780 6.1010 0.7200 ; + RECT 6.0590 0.5860 6.1010 0.6280 ; + RECT 6.0590 0.4940 6.1010 0.5360 ; + RECT 6.0590 0.4020 6.1010 0.4440 ; + RECT 6.0590 0.3100 6.1010 0.3520 ; + RECT 6.0590 0.2180 6.1010 0.2600 ; + RECT 6.0590 0.8620 6.1010 0.9040 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 7.0470 3.3230 7.0890 3.3650 ; + RECT 7.0470 3.3230 7.0890 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 4.8430 0.2180 4.8850 0.2600 ; + RECT 4.8430 0.3100 4.8850 0.3520 ; + RECT 4.8430 0.4020 4.8850 0.4440 ; + RECT 6.4390 3.3230 6.4810 3.3650 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 7.1990 3.3230 7.2410 3.3650 ; + RECT 6.7430 3.3230 6.7850 3.3650 ; + RECT 4.8430 0.8620 4.8850 0.9040 ; + RECT 4.8430 0.7700 4.8850 0.8120 ; + RECT 4.8430 0.6780 4.8850 0.7200 ; + RECT 4.8430 0.5860 4.8850 0.6280 ; + RECT 4.8430 0.4940 4.8850 0.5360 ; + RECT 4.5390 0.7700 4.5810 0.8120 ; + RECT 4.5390 0.6780 4.5810 0.7200 ; + RECT 4.5390 0.5860 4.5810 0.6280 ; + RECT 4.5390 0.4940 4.5810 0.5360 ; + RECT 4.5390 0.4020 4.5810 0.4440 ; + RECT 4.5390 0.3100 4.5810 0.3520 ; + RECT 4.5390 0.2180 4.5810 0.2600 ; + RECT 4.5390 0.8620 4.5810 0.9040 ; + RECT 7.3510 3.3230 7.3930 3.3650 ; + RECT 7.3510 3.3230 7.3930 3.3650 ; + RECT 7.5030 3.3230 7.5450 3.3650 ; + RECT 4.2350 0.2180 4.2770 0.2600 ; + RECT 4.2350 0.3100 4.2770 0.3520 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.7150 0.8620 2.7570 0.9040 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3230 0.2180 3.3650 0.2600 ; + RECT 3.3230 0.3100 3.3650 0.3520 ; + RECT 3.3230 0.4020 3.3650 0.4440 ; + RECT 3.3230 0.4940 3.3650 0.5360 ; + RECT 3.3230 0.5860 3.3650 0.6280 ; + RECT 3.3230 0.6780 3.3650 0.7200 ; + RECT 3.3230 0.7700 3.3650 0.8120 ; + RECT 3.3230 0.8620 3.3650 0.9040 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 5.0710 3.3230 5.1130 3.3650 ; + RECT 3.0190 0.7700 3.0610 0.8120 ; + RECT 3.0190 0.6780 3.0610 0.7200 ; + RECT 3.0190 0.5860 3.0610 0.6280 ; + RECT 3.0190 0.4940 3.0610 0.5360 ; + RECT 3.0190 0.4020 3.0610 0.4440 ; + RECT 3.0190 0.3100 3.0610 0.3520 ; + RECT 3.0190 0.2180 3.0610 0.2600 ; + RECT 3.0190 0.8620 3.0610 0.9040 ; + RECT 2.7150 0.2180 2.7570 0.2600 ; + RECT 2.7150 0.3100 2.7570 0.3520 ; + RECT 2.7150 0.4020 2.7570 0.4440 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.8030 0.2180 1.8450 0.2600 ; + RECT 1.8030 0.3100 1.8450 0.3520 ; + RECT 4.2350 0.5860 4.2770 0.6280 ; + RECT 4.2350 0.6780 4.2770 0.7200 ; + RECT 4.2350 0.7700 4.2770 0.8120 ; + RECT 4.2350 0.8620 4.2770 0.9040 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7150 0.7700 2.7570 0.8120 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.4990 0.7700 1.5410 0.8120 ; + RECT 1.4990 0.6780 1.5410 0.7200 ; + RECT 1.4990 0.5860 1.5410 0.6280 ; + RECT 1.4990 0.4940 1.5410 0.5360 ; + RECT 1.4990 0.4020 1.5410 0.4440 ; + RECT 1.4990 0.3100 1.5410 0.3520 ; + RECT 1.4990 0.2180 1.5410 0.2600 ; + RECT 0.8910 3.1530 0.9330 3.1950 ; + RECT 0.8910 2.5090 0.9330 2.5510 ; + RECT 0.8910 2.6010 0.9330 2.6430 ; + RECT 0.8910 2.6930 0.9330 2.7350 ; + RECT 0.8910 2.7850 0.9330 2.8270 ; + RECT 0.8910 2.8770 0.9330 2.9190 ; + RECT 0.8910 2.9690 0.9330 3.0110 ; + RECT 0.8910 3.0610 0.9330 3.1030 ; + RECT 1.1950 0.2180 1.2370 0.2600 ; + RECT 1.1950 0.3100 1.2370 0.3520 ; + RECT 1.1950 0.4020 1.2370 0.4440 ; + RECT 1.1950 0.4940 1.2370 0.5360 ; + RECT 1.1950 0.5860 1.2370 0.6280 ; + RECT 1.1950 0.6780 1.2370 0.7200 ; + RECT 1.1950 0.7700 1.2370 0.8120 ; + RECT 2.7150 0.6780 2.7570 0.7200 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.8620 1.5410 0.9040 ; + RECT 2.7150 0.5860 2.7570 0.6280 ; + RECT 1.1950 0.8620 1.2370 0.9040 ; + RECT 2.7150 0.4940 2.7570 0.5360 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8030 0.4020 1.8450 0.4440 ; + RECT 1.8030 0.4940 1.8450 0.5360 ; + RECT 1.8030 0.5860 1.8450 0.6280 ; + RECT 1.8030 0.6780 1.8450 0.7200 ; + RECT 1.8030 0.7700 1.8450 0.8120 ; + RECT 1.8030 0.8620 1.8450 0.9040 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + END + END VDD + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.2070 1.2710 6.2570 1.4830 ; + RECT 1.3430 1.2000 1.3930 1.4830 ; + RECT 1.3430 0.1980 1.3930 1.1500 ; + RECT 2.8630 1.2000 2.9130 1.4830 ; + RECT 2.8630 0.1980 2.9130 1.1500 ; + RECT 1.6470 1.2000 1.6970 1.4830 ; + RECT 1.6470 0.1980 1.6970 1.1500 ; + RECT 4.3830 1.2000 4.4330 1.4830 ; + RECT 4.3830 0.1980 4.4330 1.1500 ; + RECT 3.1670 1.2000 3.2170 1.4830 ; + RECT 3.1670 0.1980 3.2170 1.1500 ; + RECT 4.6870 1.2000 4.7370 1.4830 ; + RECT 4.6870 0.1980 4.7370 1.1500 ; + RECT 6.1770 1.2000 6.2870 1.2710 ; + RECT 5.9030 0.1980 5.9530 1.1500 ; + RECT 6.2070 0.1980 6.2570 1.1500 ; + RECT 1.3430 1.1500 6.2570 1.1610 ; + RECT 5.9030 1.2000 5.9530 1.4830 ; + RECT 1.3430 1.1610 6.2870 1.2000 ; + END + PORT + LAYER CO ; + RECT 6.2110 0.8620 6.2530 0.9040 ; + RECT 6.2110 0.2180 6.2530 0.2600 ; + RECT 6.2110 0.3100 6.2530 0.3520 ; + RECT 6.2110 0.4020 6.2530 0.4440 ; + RECT 6.2110 0.4940 6.2530 0.5360 ; + RECT 6.2110 0.5860 6.2530 0.6280 ; + RECT 6.2110 0.6780 6.2530 0.7200 ; + RECT 6.2110 0.7700 6.2530 0.8120 ; + RECT 5.9070 0.8620 5.9490 0.9040 ; + RECT 5.9070 0.2180 5.9490 0.2600 ; + RECT 5.9070 0.3100 5.9490 0.3520 ; + RECT 5.9070 1.4210 5.9490 1.4630 ; + RECT 6.2110 1.4210 6.2530 1.4630 ; + RECT 4.3870 1.4210 4.4290 1.4630 ; + RECT 4.6910 1.4210 4.7330 1.4630 ; + RECT 3.1710 0.8620 3.2130 0.9040 ; + RECT 3.1710 0.2180 3.2130 0.2600 ; + RECT 3.1710 0.3100 3.2130 0.3520 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 3.1710 0.4940 3.2130 0.5360 ; + RECT 3.1710 0.5860 3.2130 0.6280 ; + RECT 3.1710 0.6780 3.2130 0.7200 ; + RECT 5.9070 0.4020 5.9490 0.4440 ; + RECT 5.9070 0.4940 5.9490 0.5360 ; + RECT 5.9070 0.5860 5.9490 0.6280 ; + RECT 5.9070 0.6780 5.9490 0.7200 ; + RECT 5.9070 0.7700 5.9490 0.8120 ; + RECT 4.6910 0.8620 4.7330 0.9040 ; + RECT 4.6910 0.2180 4.7330 0.2600 ; + RECT 4.6910 0.3100 4.7330 0.3520 ; + RECT 4.6910 0.4020 4.7330 0.4440 ; + RECT 4.6910 0.4940 4.7330 0.5360 ; + RECT 4.6910 0.5860 4.7330 0.6280 ; + RECT 4.6910 0.6780 4.7330 0.7200 ; + RECT 4.6910 0.7700 4.7330 0.8120 ; + RECT 4.3870 0.8620 4.4290 0.9040 ; + RECT 4.3870 0.2180 4.4290 0.2600 ; + RECT 4.3870 0.3100 4.4290 0.3520 ; + RECT 4.3870 0.4020 4.4290 0.4440 ; + RECT 4.3870 0.4940 4.4290 0.5360 ; + RECT 4.3870 0.5860 4.4290 0.6280 ; + RECT 4.3870 0.6780 4.4290 0.7200 ; + RECT 4.3870 0.7700 4.4290 0.8120 ; + RECT 3.1710 0.7700 3.2130 0.8120 ; + RECT 3.1710 1.4210 3.2130 1.4630 ; + RECT 2.8670 0.8620 2.9090 0.9040 ; + RECT 2.8670 0.2180 2.9090 0.2600 ; + RECT 2.8670 0.3100 2.9090 0.3520 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 2.8670 0.4940 2.9090 0.5360 ; + RECT 2.8670 0.5860 2.9090 0.6280 ; + RECT 2.8670 0.6780 2.9090 0.7200 ; + RECT 2.8670 0.7700 2.9090 0.8120 ; + RECT 2.8670 1.4210 2.9090 1.4630 ; + RECT 1.6510 0.8620 1.6930 0.9040 ; + RECT 1.6510 0.2180 1.6930 0.2600 ; + RECT 1.6510 0.3100 1.6930 0.3520 ; + RECT 1.6510 0.4020 1.6930 0.4440 ; + RECT 1.6510 0.4940 1.6930 0.5360 ; + RECT 1.6510 0.5860 1.6930 0.6280 ; + RECT 1.6510 0.6780 1.6930 0.7200 ; + RECT 1.6510 0.7700 1.6930 0.8120 ; + RECT 1.3470 0.8620 1.3890 0.9040 ; + RECT 1.3470 0.2180 1.3890 0.2600 ; + RECT 1.3470 0.3100 1.3890 0.3520 ; + RECT 1.3470 0.4020 1.3890 0.4440 ; + RECT 1.3470 0.4940 1.3890 0.5360 ; + RECT 1.3470 0.5860 1.3890 0.6280 ; + RECT 1.3470 0.6780 1.3890 0.7200 ; + RECT 1.3470 0.7700 1.3890 0.8120 ; + RECT 1.3470 1.4210 1.3890 1.4630 ; + RECT 1.6510 1.4210 1.6930 1.4630 ; + END + ANTENNADIFFAREA 1.1904 ; + END SLEEPOUT + OBS + LAYER CO ; + RECT 5.2990 1.7790 5.3410 1.8210 ; + RECT 5.5270 1.9430 5.5690 1.9850 ; + RECT 5.6790 1.9430 5.7210 1.9850 ; + RECT 5.8310 1.9430 5.8730 1.9850 ; + RECT 5.9830 1.9430 6.0250 1.9850 ; + RECT 6.8190 1.7790 6.8610 1.8210 ; + RECT 6.5910 1.9430 6.6330 1.9850 ; + RECT 6.4390 1.9430 6.4810 1.9850 ; + RECT 6.2870 1.9430 6.3290 1.9850 ; + RECT 6.1350 1.9430 6.1770 1.9850 ; + RECT 4.9190 1.9430 4.9610 1.9850 ; + RECT 5.0710 1.9430 5.1130 1.9850 ; + RECT 4.4630 1.9430 4.5050 1.9850 ; + RECT 4.3110 1.9430 4.3530 1.9850 ; + RECT 4.0070 1.9430 4.0490 1.9850 ; + RECT 4.1590 1.9430 4.2010 1.9850 ; + RECT 4.7670 1.9430 4.8090 1.9850 ; + RECT 4.6150 1.9430 4.6570 1.9850 ; + RECT 2.6390 1.9430 2.6810 1.9850 ; + RECT 2.4870 1.9430 2.5290 1.9850 ; + RECT 0.7390 1.7790 0.7810 1.8210 ; + RECT 0.9670 1.9430 1.0090 1.9850 ; + RECT 1.1190 1.9430 1.1610 1.9850 ; + RECT 0.7390 2.5090 0.7810 2.5510 ; + RECT 0.7390 2.6010 0.7810 2.6430 ; + RECT 0.7390 2.6930 0.7810 2.7350 ; + RECT 0.7390 2.7850 0.7810 2.8270 ; + RECT 0.7390 2.8770 0.7810 2.9190 ; + RECT 0.7390 2.9690 0.7810 3.0110 ; + RECT 0.7390 3.0610 0.7810 3.1030 ; + RECT 0.7390 3.1530 0.7810 3.1950 ; + RECT 1.2710 1.9430 1.3130 1.9850 ; + RECT 1.4230 1.9430 1.4650 1.9850 ; + RECT 2.2590 1.7790 2.3010 1.8210 ; + RECT 2.0310 1.9430 2.0730 1.9850 ; + RECT 1.8790 1.9430 1.9210 1.9850 ; + RECT 1.7270 1.9430 1.7690 1.9850 ; + RECT 1.5750 1.9430 1.6170 1.9850 ; + RECT 3.0950 1.9430 3.1370 1.9850 ; + RECT 3.2470 1.9430 3.2890 1.9850 ; + RECT 2.9430 1.9430 2.9850 1.9850 ; + RECT 2.7910 1.9430 2.8330 1.9850 ; + RECT 3.3990 1.9430 3.4410 1.9850 ; + RECT 3.5510 1.9430 3.5930 1.9850 ; + RECT 3.7790 1.7790 3.8210 1.8210 ; + LAYER PO ; + RECT 4.0130 0.0510 4.0430 0.9710 ; + RECT 3.8610 0.0510 3.8910 0.9710 ; + RECT 5.5330 0.0510 5.5630 0.9710 ; + RECT 6.7490 0.0510 6.7790 0.9710 ; + RECT 5.8370 0.0510 5.8670 1.9790 ; + RECT 6.2930 0.0510 6.3230 1.9790 ; + RECT 5.6850 0.0510 5.7150 0.9710 ; + RECT 6.4450 0.0510 6.4750 0.9710 ; + RECT 5.3810 1.3510 5.4110 2.1670 ; + RECT 5.6850 1.3510 5.7150 1.9790 ; + RECT 5.5330 1.3510 5.5630 1.9790 ; + RECT 6.7490 2.3730 6.7790 3.2930 ; + RECT 6.9010 2.3730 6.9310 3.2930 ; + RECT 7.0530 2.3730 7.0830 3.2930 ; + RECT 7.2050 2.3730 7.2350 3.2930 ; + RECT 7.3570 2.3730 7.3870 3.2930 ; + RECT 7.5090 2.3730 7.5390 3.2930 ; + RECT 6.1410 2.3730 6.1710 3.2930 ; + RECT 6.2930 2.3730 6.3230 3.2930 ; + RECT 6.4450 2.3730 6.4750 3.2930 ; + RECT 6.5970 2.3730 6.6270 3.2930 ; + RECT 5.9890 2.3730 6.0190 3.2930 ; + RECT 5.8370 2.3730 5.8670 3.2930 ; + RECT 5.6850 2.3730 5.7150 3.2930 ; + RECT 5.5330 2.3730 5.5630 3.2930 ; + RECT 6.4450 1.3510 6.4750 1.9790 ; + RECT 6.5970 1.3510 6.6270 1.9790 ; + RECT 5.9890 0.0510 6.0190 1.9790 ; + RECT 6.1410 0.0510 6.1710 1.9790 ; + RECT 6.9010 1.3510 6.9310 1.8920 ; + RECT 4.6210 0.0510 4.6510 1.9790 ; + RECT 4.4690 0.0510 4.4990 1.9790 ; + RECT 4.7730 0.0510 4.8030 1.9790 ; + RECT 4.3170 0.0510 4.3470 1.9790 ; + RECT 4.9250 0.0510 4.9550 0.9710 ; + RECT 4.1650 0.0510 4.1950 0.9710 ; + RECT 5.2290 1.3510 5.2590 2.1670 ; + RECT 3.8610 1.3510 3.8910 2.1670 ; + RECT 4.9250 1.3510 4.9550 1.9790 ; + RECT 5.0770 1.3510 5.1070 1.9790 ; + RECT 4.1650 1.3510 4.1950 1.9790 ; + RECT 4.0130 1.3510 4.0430 1.9790 ; + RECT 6.9010 0.0510 6.9310 0.9710 ; + RECT 7.0530 0.0510 7.0830 0.9710 ; + RECT 7.2050 0.0510 7.2350 0.9710 ; + RECT 7.3570 0.0510 7.3870 0.9710 ; + RECT 7.5090 0.0510 7.5390 0.9710 ; + RECT 2.9490 0.0510 2.9790 1.9790 ; + RECT 3.1010 0.0510 3.1310 1.9790 ; + RECT 2.7970 0.0510 2.8270 1.9790 ; + RECT 3.2530 0.0510 3.2830 1.9790 ; + RECT 2.6450 0.0510 2.6750 0.9710 ; + RECT 3.4050 0.0510 3.4350 0.9710 ; + RECT 2.3410 1.3510 2.3710 2.1670 ; + RECT 2.6450 1.3510 2.6750 1.9790 ; + RECT 2.4930 1.3510 2.5230 1.9790 ; + RECT 3.4050 1.3510 3.4350 1.9790 ; + RECT 3.5570 1.3510 3.5870 1.9790 ; + RECT 6.7490 1.3510 6.7790 2.1670 ; + RECT 6.5970 0.0510 6.6270 0.9710 ; + RECT 1.5810 0.0510 1.6110 1.9790 ; + RECT 1.7330 0.0510 1.7630 1.9790 ; + RECT 1.8850 0.0510 1.9150 0.9710 ; + RECT 1.8850 1.3510 1.9150 1.9790 ; + RECT 2.0370 1.3510 2.0670 1.9790 ; + RECT 5.0770 0.0510 5.1070 0.9710 ; + RECT 5.2290 0.0510 5.2590 0.9710 ; + RECT 3.7090 1.3510 3.7390 2.1670 ; + RECT 2.1890 1.3510 2.2190 2.1670 ; + RECT 5.3810 0.0510 5.4110 0.9710 ; + RECT 0.9730 2.3730 1.0030 3.2930 ; + RECT 1.4290 0.0510 1.4590 1.9790 ; + RECT 1.1250 0.0510 1.1550 0.9710 ; + RECT 0.6690 2.3730 0.6990 3.2930 ; + RECT 1.2770 0.0510 1.3070 1.9790 ; + RECT 0.6690 1.3510 0.6990 1.8920 ; + RECT 0.8210 1.3510 0.8510 3.2930 ; + RECT 1.1250 1.3510 1.1550 1.9790 ; + RECT 0.9730 1.3510 1.0030 1.9790 ; + RECT 5.3810 2.3730 5.4110 3.2930 ; + RECT 5.2290 2.3730 5.2590 3.2930 ; + RECT 5.0770 2.3730 5.1070 3.2930 ; + RECT 4.9250 2.3730 4.9550 3.2930 ; + RECT 4.7730 2.3730 4.8030 3.2930 ; + RECT 4.6210 2.3730 4.6510 3.2930 ; + RECT 4.4690 2.3730 4.4990 3.2930 ; + RECT 4.3170 2.3730 4.3470 3.2930 ; + RECT 4.1650 2.3730 4.1950 3.2930 ; + RECT 3.5570 2.3730 3.5870 3.2930 ; + RECT 3.7090 2.3730 3.7390 3.2930 ; + RECT 3.8610 2.3730 3.8910 3.2930 ; + RECT 4.0130 2.3730 4.0430 3.2930 ; + RECT 2.9490 2.3730 2.9790 3.2930 ; + RECT 3.1010 2.3730 3.1310 3.2930 ; + RECT 3.2530 2.3730 3.2830 3.2930 ; + RECT 3.4050 2.3730 3.4350 3.2930 ; + RECT 2.7970 2.3730 2.8270 3.2930 ; + RECT 2.6450 2.3730 2.6750 3.2930 ; + RECT 2.4930 2.3730 2.5230 3.2930 ; + RECT 2.3410 2.3730 2.3710 3.2930 ; + RECT 2.1890 2.3730 2.2190 3.2930 ; + RECT 2.0370 2.3730 2.0670 3.2930 ; + RECT 1.8850 2.3730 1.9150 3.2930 ; + RECT 1.7330 2.3730 1.7630 3.2930 ; + RECT 1.1250 2.3730 1.1550 3.2930 ; + RECT 1.2770 2.3730 1.3070 3.2930 ; + RECT 1.4290 2.3730 1.4590 3.2930 ; + RECT 1.5810 2.3730 1.6110 3.2930 ; + RECT 0.0610 2.3730 0.0910 3.2930 ; + RECT 0.2130 2.3730 0.2430 3.2930 ; + RECT 0.3650 2.3730 0.3950 3.2930 ; + RECT 7.0530 1.3510 7.0830 1.8920 ; + RECT 7.2050 1.3510 7.2350 1.8920 ; + RECT 7.3570 1.3510 7.3870 1.8920 ; + RECT 7.5090 1.3510 7.5390 1.8920 ; + RECT 0.5170 2.3730 0.5470 3.2930 ; + RECT 0.0610 1.3510 0.0910 1.8920 ; + RECT 0.2130 1.3510 0.2430 1.8920 ; + RECT 0.3650 1.3510 0.3950 1.8920 ; + RECT 0.5170 1.3510 0.5470 1.8920 ; + RECT 0.0610 0.0510 0.0910 0.9710 ; + RECT 0.9730 0.0510 1.0030 0.9710 ; + RECT 0.6690 0.0510 0.6990 0.9710 ; + RECT 0.8210 0.0510 0.8510 0.9710 ; + RECT 0.2130 0.0510 0.2430 0.9710 ; + RECT 0.3650 0.0510 0.3950 0.9710 ; + RECT 0.5170 0.0510 0.5470 0.9710 ; + RECT 2.3410 0.0510 2.3710 0.9710 ; + RECT 2.1890 0.0510 2.2190 0.9710 ; + RECT 2.0370 0.0510 2.0670 0.9710 ; + RECT 2.4930 0.0510 2.5230 0.9710 ; + RECT 3.7090 0.0510 3.7390 0.9710 ; + RECT 3.5570 0.0510 3.5870 0.9710 ; + LAYER NWELL ; + RECT -0.1150 2.3510 7.7150 3.4450 ; + RECT 0.2310 1.8970 7.3680 2.3510 ; + RECT 0.2310 1.0520 0.5940 1.8970 ; + RECT 6.9650 1.0520 7.3680 1.8970 ; + RECT 0.2310 0.9930 7.3680 1.0520 ; + RECT -0.1150 -0.1010 7.7150 0.9930 ; + LAYER M1 ; + RECT 5.2000 1.9390 6.8400 1.9890 ; + RECT 6.8150 1.7590 6.8650 1.9900 ; + RECT 2.2550 1.7590 2.3050 1.9640 ; + RECT 0.7600 1.9390 2.4000 1.9890 ; + RECT 2.1600 1.9390 3.8000 1.9890 ; + RECT 3.7750 1.7590 3.8250 1.9890 ; + RECT 3.8000 1.9390 5.4400 1.9890 ; + RECT 5.2950 1.7590 5.3450 1.9640 ; + RECT 0.6690 1.9390 0.7840 1.9890 ; + RECT 0.7350 1.7590 0.7850 1.9640 ; + RECT 0.6690 1.9890 0.7190 2.2640 ; + RECT 0.6690 2.2640 0.7850 2.3140 ; + RECT 0.7350 2.2640 0.7850 3.2300 ; + END +END FOOT2X16_HVT + +MACRO FOOT2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.7500 1.1750 1.0430 1.2710 ; + RECT 0.8710 1.5360 1.5610 1.5920 ; + RECT 0.8860 1.2710 0.9360 1.5360 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.5430 1.2370 1.5850 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + RECT 0.8980 1.1940 0.9400 1.2360 ; + RECT 0.8000 1.1940 0.8420 1.2360 ; + RECT 1.4990 1.5430 1.5410 1.5850 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.0390 1.7020 1.0890 1.8320 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.7700 1.0850 1.8120 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7780 2.0730 0.9680 2.1830 ; + END + PORT + LAYER CO ; + RECT 0.8150 2.1100 0.8570 2.1520 ; + END + ANTENNAGATEAREA 0.0366 ; + END SLEEP + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 1.1610 1.4230 1.2710 ; + RECT 1.3430 1.2710 1.3930 1.4860 ; + RECT 1.3430 0.1820 1.3930 1.1610 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.8600 1.3890 0.9020 ; + RECT 1.3470 0.2160 1.3890 0.2580 ; + RECT 1.3470 0.3080 1.3890 0.3500 ; + RECT 1.3470 0.4000 1.3890 0.4420 ; + RECT 1.3470 0.4920 1.3890 0.5340 ; + RECT 1.3470 0.5840 1.3890 0.6260 ; + RECT 1.3470 0.6760 1.3890 0.7180 ; + RECT 1.3470 0.7680 1.3890 0.8100 ; + RECT 1.3470 1.4240 1.3890 1.4660 ; + END + ANTENNADIFFAREA 0.1488 ; + END SLEEPOUT + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.9290 ; + RECT 1.1910 0.0300 1.2410 0.9290 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + RECT 0.8870 2.4400 0.9370 3.3140 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.8780 0.9330 2.9200 ; + RECT 0.8910 2.9700 0.9330 3.0120 ; + RECT 0.8910 3.0620 0.9330 3.1040 ; + RECT 1.1950 0.2160 1.2370 0.2580 ; + RECT 1.1950 0.3080 1.2370 0.3500 ; + RECT 1.1950 0.4000 1.2370 0.4420 ; + RECT 1.1950 0.4920 1.2370 0.5340 ; + RECT 1.1950 0.5840 1.2370 0.6260 ; + RECT 1.1950 0.6760 1.2370 0.7180 ; + RECT 1.1950 0.7680 1.2370 0.8100 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.4990 0.8600 1.5410 0.9020 ; + RECT 1.1950 0.8600 1.2370 0.9020 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4990 0.7680 1.5410 0.8100 ; + RECT 1.4990 0.6760 1.5410 0.7180 ; + RECT 1.4990 0.5840 1.5410 0.6260 ; + RECT 1.4990 0.4920 1.5410 0.5340 ; + RECT 1.4990 0.4000 1.5410 0.4420 ; + RECT 1.4990 0.3080 1.5410 0.3500 ; + RECT 1.4990 0.2160 1.5410 0.2580 ; + RECT 0.8910 3.1540 0.9330 3.1960 ; + RECT 0.8910 2.5100 0.9330 2.5520 ; + RECT 0.8910 2.6020 0.9330 2.6440 ; + RECT 0.8910 2.6940 0.9330 2.7360 ; + RECT 0.8910 2.7860 0.9330 2.8280 ; + END + END VDD + OBS + LAYER CO ; + RECT 0.7390 2.5100 0.7810 2.5520 ; + RECT 0.7390 2.6020 0.7810 2.6440 ; + RECT 0.7390 2.6940 0.7810 2.7360 ; + RECT 0.7390 2.7860 0.7810 2.8280 ; + RECT 0.7390 2.8780 0.7810 2.9200 ; + RECT 0.7390 2.9700 0.7810 3.0120 ; + RECT 0.7390 3.0620 0.7810 3.1040 ; + RECT 0.7390 3.1540 0.7810 3.1960 ; + RECT 1.2710 1.9430 1.3130 1.9850 ; + RECT 1.4230 1.9430 1.4650 1.9850 ; + RECT 0.7390 1.7740 0.7810 1.8160 ; + RECT 0.9670 1.9430 1.0090 1.9850 ; + RECT 1.1190 1.9430 1.1610 1.9850 ; + LAYER NWELL ; + RECT -0.1150 2.3510 2.2430 3.4450 ; + RECT 0.2310 1.8970 1.8970 2.3510 ; + RECT 0.2310 1.0520 0.6540 1.8970 ; + RECT 1.6420 1.0520 1.8970 1.8970 ; + RECT 0.2310 0.9930 1.8970 1.0520 ; + RECT -0.1150 -0.1010 2.2430 0.9930 ; + LAYER M1 ; + RECT 0.7600 1.9390 1.4850 1.9890 ; + RECT 0.7350 2.2640 0.7850 3.2300 ; + RECT 0.7350 1.7540 0.7850 1.9640 ; + RECT 0.6490 2.2640 0.7650 2.3140 ; + RECT 0.6490 1.9890 0.6990 2.2640 ; + RECT 0.6490 1.9390 0.7640 1.9890 ; + LAYER PO ; + RECT 1.1250 2.3730 1.1550 3.2930 ; + RECT 1.2770 2.3730 1.3070 3.2930 ; + RECT 1.4290 2.3730 1.4590 3.2930 ; + RECT 1.5810 2.3730 1.6110 3.2930 ; + RECT 1.7330 2.3730 1.7630 3.2930 ; + RECT 1.8850 2.3730 1.9150 3.2930 ; + RECT 2.0370 2.3730 2.0670 3.2930 ; + RECT 0.5170 2.3730 0.5470 3.2930 ; + RECT 0.3650 2.3730 0.3950 3.2930 ; + RECT 0.2130 2.3730 0.2430 3.2930 ; + RECT 0.9730 0.0560 1.0030 0.9760 ; + RECT 0.8210 0.0560 0.8510 0.9760 ; + RECT 0.6690 0.0610 0.6990 0.9810 ; + RECT 0.0610 2.3730 0.0910 3.2930 ; + RECT 0.5170 0.0510 0.5470 0.9710 ; + RECT 0.3650 0.0560 0.3950 0.9760 ; + RECT 0.2130 0.0560 0.2430 0.9760 ; + RECT 0.0610 0.0610 0.0910 0.9810 ; + RECT 1.7330 0.0510 1.7630 0.9710 ; + RECT 1.8850 0.0510 1.9150 0.9710 ; + RECT 2.0370 0.0510 2.0670 0.9710 ; + RECT 1.7330 1.3510 1.7630 1.9630 ; + RECT 1.8850 1.3510 1.9150 1.9630 ; + RECT 2.0370 1.3510 2.0670 1.9630 ; + RECT 0.5170 1.3510 0.5470 1.8920 ; + RECT 0.3650 1.3510 0.3950 1.8920 ; + RECT 0.2130 1.3510 0.2430 1.8920 ; + RECT 0.0610 1.3510 0.0910 1.8920 ; + RECT 1.5810 0.0510 1.6110 0.9710 ; + RECT 0.9730 2.3730 1.0030 3.2930 ; + RECT 1.4290 0.0510 1.4590 1.9790 ; + RECT 1.1250 0.0510 1.1550 0.9710 ; + RECT 0.6690 2.3730 0.6990 3.2930 ; + RECT 1.2770 0.0510 1.3070 1.9790 ; + RECT 0.6690 1.3510 0.6990 1.8920 ; + RECT 0.8210 1.3510 0.8510 3.2930 ; + RECT 1.1250 1.3510 1.1550 1.9790 ; + RECT 0.9730 1.3510 1.0030 1.9790 ; + RECT 1.5810 1.3510 1.6110 1.9630 ; + END +END FOOT2X2_HVT + +MACRO FOOT2X32_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 13.68 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.7500 1.1610 0.9940 1.2710 ; + RECT 0.8860 1.2710 0.9360 1.5330 ; + RECT 0.8710 1.5330 12.8090 1.5890 ; + END + PORT + LAYER CO ; + RECT 9.7070 1.5400 9.7490 1.5820 ; + RECT 10.0110 1.5400 10.0530 1.5820 ; + RECT 10.3150 1.5400 10.3570 1.5820 ; + RECT 10.6190 1.5400 10.6610 1.5820 ; + RECT 11.2270 1.5400 11.2690 1.5820 ; + RECT 11.5310 1.5400 11.5730 1.5820 ; + RECT 11.8350 1.5400 11.8770 1.5820 ; + RECT 10.9230 1.5400 10.9650 1.5820 ; + RECT 12.1390 1.5400 12.1810 1.5820 ; + RECT 12.4430 1.5400 12.4850 1.5820 ; + RECT 12.7470 1.5400 12.7890 1.5820 ; + RECT 8.1870 1.5400 8.2290 1.5820 ; + RECT 7.8830 1.5400 7.9250 1.5820 ; + RECT 7.5790 1.5400 7.6210 1.5820 ; + RECT 7.2750 1.5400 7.3170 1.5820 ; + RECT 6.9710 1.5400 7.0130 1.5820 ; + RECT 9.4030 1.5400 9.4450 1.5820 ; + RECT 9.0990 1.5400 9.1410 1.5820 ; + RECT 8.4910 1.5400 8.5330 1.5820 ; + RECT 8.7950 1.5400 8.8370 1.5820 ; + RECT 5.4510 1.5400 5.4930 1.5820 ; + RECT 5.7550 1.5400 5.7970 1.5820 ; + RECT 6.0590 1.5400 6.1010 1.5820 ; + RECT 6.3630 1.5400 6.4050 1.5820 ; + RECT 6.6670 1.5400 6.7090 1.5820 ; + RECT 3.9310 1.5400 3.9730 1.5820 ; + RECT 4.2350 1.5400 4.2770 1.5820 ; + RECT 4.5390 1.5400 4.5810 1.5820 ; + RECT 5.1470 1.5400 5.1890 1.5820 ; + RECT 3.6270 1.5400 3.6690 1.5820 ; + RECT 3.3230 1.5400 3.3650 1.5820 ; + RECT 3.0190 1.5400 3.0610 1.5820 ; + RECT 2.4110 1.5400 2.4530 1.5820 ; + RECT 4.8430 1.5400 4.8850 1.5820 ; + RECT 2.1070 1.5400 2.1490 1.5820 ; + RECT 2.7150 1.5400 2.7570 1.5820 ; + RECT 0.8980 1.1940 0.9400 1.2360 ; + RECT 0.8000 1.1940 0.8420 1.2360 ; + RECT 1.4990 1.5400 1.5410 1.5820 ; + RECT 1.1950 1.5400 1.2370 1.5820 ; + RECT 0.8910 1.5400 0.9330 1.5820 ; + RECT 1.8030 1.5400 1.8450 1.5820 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 13.6800 1.7020 ; + RECT 4.9910 1.7020 5.0410 1.8320 ; + RECT 4.0790 1.7020 4.1290 1.8320 ; + RECT 2.5590 1.7020 2.6090 1.8320 ; + RECT 3.4710 1.7020 3.5210 1.8320 ; + RECT 1.9510 1.7020 2.0010 1.8320 ; + RECT 1.0390 1.7020 1.0890 1.8320 ; + RECT 8.0310 1.7020 8.0810 1.8320 ; + RECT 7.1190 1.7020 7.1690 1.8320 ; + RECT 8.6390 1.7020 8.6890 1.8320 ; + RECT 9.5510 1.7020 9.6010 1.8320 ; + RECT 11.0710 1.7020 11.1210 1.8320 ; + RECT 10.1590 1.7020 10.2090 1.8320 ; + RECT 11.6790 1.7020 11.7290 1.8320 ; + RECT 12.5910 1.7020 12.6410 1.8320 ; + RECT 5.5990 1.7020 5.6490 1.8320 ; + RECT 6.5110 1.7020 6.5610 1.8320 ; + END + PORT + LAYER CO ; + RECT 11.6830 1.7700 11.7250 1.8120 ; + RECT 10.1630 1.7700 10.2050 1.8120 ; + RECT 12.5950 1.7700 12.6370 1.8120 ; + RECT 8.0350 1.7700 8.0770 1.8120 ; + RECT 7.1230 1.7700 7.1650 1.8120 ; + RECT 8.6430 1.7700 8.6850 1.8120 ; + RECT 9.5550 1.7700 9.5970 1.8120 ; + RECT 11.0750 1.7700 11.1170 1.8120 ; + RECT 5.6030 1.7700 5.6450 1.8120 ; + RECT 6.5150 1.7700 6.5570 1.8120 ; + RECT 4.9950 1.7700 5.0370 1.8120 ; + RECT 4.0830 1.7700 4.1250 1.8120 ; + RECT 2.5630 1.7700 2.6050 1.8120 ; + RECT 1.0430 1.7700 1.0850 1.8120 ; + RECT 1.9550 1.7700 1.9970 1.8120 ; + RECT 3.4750 1.7700 3.5170 1.8120 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8000 2.1560 0.9670 2.1830 ; + RECT 0.8000 2.0710 0.9670 2.1060 ; + RECT 0.8000 2.1060 12.9180 2.1560 ; + END + PORT + LAYER CO ; + RECT 12.8230 2.1100 12.8650 2.1520 ; + RECT 11.4550 2.1100 11.4970 2.1520 ; + RECT 8.4150 2.1100 8.4570 2.1520 ; + RECT 8.2630 2.1100 8.3050 2.1520 ; + RECT 6.8950 2.1100 6.9370 2.1520 ; + RECT 9.7830 2.1100 9.8250 2.1520 ; + RECT 9.9350 2.1100 9.9770 2.1520 ; + RECT 11.3030 2.1100 11.3450 2.1520 ; + RECT 5.2230 2.1100 5.2650 2.1520 ; + RECT 5.3750 2.1100 5.4170 2.1520 ; + RECT 3.8550 2.1100 3.8970 2.1520 ; + RECT 3.7030 2.1100 3.7450 2.1520 ; + RECT 6.7430 2.1100 6.7850 2.1520 ; + RECT 2.3350 2.1100 2.3770 2.1520 ; + RECT 0.8150 2.1100 0.8570 2.1520 ; + RECT 2.1830 2.1100 2.2250 2.1520 ; + END + ANTENNAGATEAREA 0.2256 ; + END SLEEP + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 13.6800 0.0300 ; + RECT 2.7110 0.0300 2.7610 0.9240 ; + RECT 3.0150 0.0300 3.0650 0.9240 ; + RECT 3.3190 0.0300 3.3690 0.9240 ; + RECT 1.7990 0.0300 1.8490 0.9240 ; + RECT 1.4950 0.0300 1.5450 0.9240 ; + RECT 1.1910 0.0300 1.2410 0.9240 ; + RECT 10.9190 0.0300 10.9690 0.9240 ; + RECT 10.6150 0.0300 10.6650 0.9240 ; + RECT 11.8310 0.0300 11.8810 0.9240 ; + RECT 12.1350 0.0300 12.1850 0.9240 ; + RECT 12.4390 0.0300 12.4890 0.9240 ; + RECT 7.8790 0.0300 7.9290 0.9240 ; + RECT 7.5750 0.0300 7.6250 0.9240 ; + RECT 7.2710 0.0300 7.3210 0.9240 ; + RECT 8.7910 0.0300 8.8410 0.9240 ; + RECT 9.0950 0.0300 9.1450 0.9240 ; + RECT 9.3990 0.0300 9.4490 0.9240 ; + RECT 10.3110 0.0300 10.3610 0.9240 ; + RECT 5.7510 0.0300 5.8010 0.9240 ; + RECT 6.0550 0.0300 6.1050 0.9240 ; + RECT 6.3590 0.0300 6.4090 0.9240 ; + RECT 4.8390 0.0300 4.8890 0.9240 ; + RECT 4.5350 0.0300 4.5850 0.9240 ; + RECT 4.2310 0.0300 4.2810 0.9240 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 13.6800 3.3740 ; + RECT 0.8870 2.4890 0.9370 3.3140 ; + END + PORT + LAYER CO ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 10.3910 -0.0210 10.4330 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 10.6950 -0.0210 10.7370 0.0210 ; + RECT 9.6310 -0.0210 9.6730 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 9.9350 -0.0210 9.9770 0.0210 ; + RECT 10.5430 -0.0210 10.5850 0.0210 ; + RECT 10.2390 -0.0210 10.2810 0.0210 ; + RECT 9.7830 -0.0210 9.8250 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.3270 -0.0210 9.3690 0.0210 ; + RECT 9.4790 -0.0210 9.5210 0.0210 ; + RECT 10.0870 -0.0210 10.1290 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.8230 -0.0210 12.8650 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 12.9750 -0.0210 13.0170 0.0210 ; + RECT 13.1270 -0.0210 13.1690 0.0210 ; + RECT 12.6710 -0.0210 12.7130 0.0210 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 10.3150 0.3100 10.3570 0.3520 ; + RECT 10.3150 0.4020 10.3570 0.4440 ; + RECT 10.3150 0.4940 10.3570 0.5360 ; + RECT 10.3150 0.5860 10.3570 0.6280 ; + RECT 10.3150 0.6780 10.3570 0.7200 ; + RECT 10.3150 0.7700 10.3570 0.8120 ; + RECT 7.9590 3.3230 8.0010 3.3650 ; + RECT 7.9590 3.3230 8.0010 3.3650 ; + RECT 7.6550 3.3230 7.6970 3.3650 ; + RECT 7.8070 3.3230 7.8490 3.3650 ; + RECT 7.8070 3.3230 7.8490 3.3650 ; + RECT 8.1110 3.3230 8.1530 3.3650 ; + RECT 10.3910 3.3230 10.4330 3.3650 ; + RECT 8.2630 3.3230 8.3050 3.3650 ; + RECT 9.4030 0.2180 9.4450 0.2600 ; + RECT 9.4030 0.3100 9.4450 0.3520 ; + RECT 9.4030 0.4020 9.4450 0.4440 ; + RECT 9.4030 0.4940 9.4450 0.5360 ; + RECT 9.4030 0.5860 9.4450 0.6280 ; + RECT 9.4030 0.6780 9.4450 0.7200 ; + RECT 9.4030 0.7700 9.4450 0.8120 ; + RECT 8.4150 3.3230 8.4570 3.3650 ; + RECT 8.1110 3.3230 8.1530 3.3650 ; + RECT 8.1110 3.3230 8.1530 3.3650 ; + RECT 8.4150 3.3230 8.4570 3.3650 ; + RECT 8.7190 3.3230 8.7610 3.3650 ; + RECT 13.2790 -0.0210 13.3210 0.0210 ; + RECT 13.4310 -0.0210 13.4730 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.6070 -0.0210 11.6490 0.0210 ; + RECT 11.9110 -0.0210 11.9530 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 10.9990 -0.0210 11.0410 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 12.0630 -0.0210 12.1050 0.0210 ; + RECT 11.3030 -0.0210 11.3450 0.0210 ; + RECT 10.8470 3.3230 10.8890 3.3650 ; + RECT 12.5190 3.3230 12.5610 3.3650 ; + RECT 11.1510 3.3230 11.1930 3.3650 ; + RECT 12.3670 3.3230 12.4090 3.3650 ; + RECT 11.4550 3.3230 11.4970 3.3650 ; + RECT 11.3030 3.3230 11.3450 3.3650 ; + RECT 12.0630 3.3230 12.1050 3.3650 ; + RECT 12.0630 3.3230 12.1050 3.3650 ; + RECT 10.9990 3.3230 11.0410 3.3650 ; + RECT 12.4430 0.2180 12.4850 0.2600 ; + RECT 12.4430 0.3100 12.4850 0.3520 ; + RECT 12.4430 0.4020 12.4850 0.4440 ; + RECT 12.4430 0.4940 12.4850 0.5360 ; + RECT 12.4430 0.5860 12.4850 0.6280 ; + RECT 12.4430 0.6780 12.4850 0.7200 ; + RECT 12.4430 0.7700 12.4850 0.8120 ; + RECT 11.3030 3.3230 11.3450 3.3650 ; + RECT 10.9990 3.3230 11.0410 3.3650 ; + RECT 11.6070 3.3230 11.6490 3.3650 ; + RECT 11.1510 3.3230 11.1930 3.3650 ; + RECT 11.9110 3.3230 11.9530 3.3650 ; + RECT 11.9110 3.3230 11.9530 3.3650 ; + RECT 12.1390 0.7700 12.1810 0.8120 ; + RECT 12.1390 0.6780 12.1810 0.7200 ; + RECT 12.1390 0.5860 12.1810 0.6280 ; + RECT 12.1390 0.4940 12.1810 0.5360 ; + RECT 12.1390 0.4020 12.1810 0.4440 ; + RECT 12.1390 0.3100 12.1810 0.3520 ; + RECT 12.1390 0.2180 12.1810 0.2600 ; + RECT 9.1750 3.3230 9.2170 3.3650 ; + RECT 10.0870 3.3230 10.1290 3.3650 ; + RECT 9.3270 3.3230 9.3690 3.3650 ; + RECT 9.3270 3.3230 9.3690 3.3650 ; + RECT 11.8350 0.2180 11.8770 0.2600 ; + RECT 11.8350 0.3100 11.8770 0.3520 ; + RECT 11.8350 0.4020 11.8770 0.4440 ; + RECT 11.8350 0.4940 11.8770 0.5360 ; + RECT 11.8350 0.5860 11.8770 0.6280 ; + RECT 11.8350 0.6780 11.8770 0.7200 ; + RECT 11.8350 0.7700 11.8770 0.8120 ; + RECT 9.1750 3.3230 9.2170 3.3650 ; + RECT 9.7830 3.3230 9.8250 3.3650 ; + RECT 9.0230 3.3230 9.0650 3.3650 ; + RECT 9.4790 3.3230 9.5210 3.3650 ; + RECT 7.6550 -0.0210 7.6970 0.0210 ; + RECT 8.8710 -0.0210 8.9130 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.5670 -0.0210 8.6090 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.7190 -0.0210 8.7610 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 8.4150 -0.0210 8.4570 0.0210 ; + RECT 8.2630 -0.0210 8.3050 0.0210 ; + RECT 8.1110 -0.0210 8.1530 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.8070 -0.0210 7.8490 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 7.9590 -0.0210 8.0010 0.0210 ; + RECT 9.1750 -0.0210 9.2170 0.0210 ; + RECT 9.0230 -0.0210 9.0650 0.0210 ; + RECT 9.0990 0.7700 9.1410 0.8120 ; + RECT 9.0990 0.6780 9.1410 0.7200 ; + RECT 9.0990 0.5860 9.1410 0.6280 ; + RECT 9.0990 0.4940 9.1410 0.5360 ; + RECT 9.0990 0.4020 9.1410 0.4440 ; + RECT 9.0990 0.3100 9.1410 0.3520 ; + RECT 9.0990 0.2180 9.1410 0.2600 ; + RECT 8.2630 3.3230 8.3050 3.3650 ; + RECT 8.5670 3.3230 8.6090 3.3650 ; + RECT 8.5670 3.3230 8.6090 3.3650 ; + RECT 8.7950 0.2180 8.8370 0.2600 ; + RECT 8.7950 0.3100 8.8370 0.3520 ; + RECT 8.7950 0.4020 8.8370 0.4440 ; + RECT 8.7950 0.7700 8.8370 0.8120 ; + RECT 8.7950 0.6780 8.8370 0.7200 ; + RECT 8.7950 0.5860 8.8370 0.6280 ; + RECT 8.7950 0.4940 8.8370 0.5360 ; + RECT 8.8710 3.3230 8.9130 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 13.4310 3.3230 13.4730 3.3650 ; + RECT 7.8830 0.2180 7.9250 0.2600 ; + RECT 7.8830 0.3100 7.9250 0.3520 ; + RECT 7.8830 0.4020 7.9250 0.4440 ; + RECT 7.8830 0.4940 7.9250 0.5360 ; + RECT 7.8830 0.5860 7.9250 0.6280 ; + RECT 7.8830 0.6780 7.9250 0.7200 ; + RECT 7.8830 0.7700 7.9250 0.8120 ; + RECT 13.2790 3.3230 13.3210 3.3650 ; + RECT 12.6710 3.3230 12.7130 3.3650 ; + RECT 13.1270 3.3230 13.1690 3.3650 ; + RECT 12.9750 3.3230 13.0170 3.3650 ; + RECT 13.2790 3.3230 13.3210 3.3650 ; + RECT 12.9750 3.3230 13.0170 3.3650 ; + RECT 12.8230 3.3230 12.8650 3.3650 ; + RECT 12.8230 3.3230 12.8650 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 7.5790 0.7700 7.6210 0.8120 ; + RECT 7.5790 0.6780 7.6210 0.7200 ; + RECT 7.5790 0.5860 7.6210 0.6280 ; + RECT 7.5790 0.4940 7.6210 0.5360 ; + RECT 7.5790 0.4020 7.6210 0.4440 ; + RECT 7.5790 0.3100 7.6210 0.3520 ; + RECT 7.5790 0.2180 7.6210 0.2600 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 13.5830 -0.0210 13.6250 0.0210 ; + RECT 10.8470 -0.0210 10.8890 0.0210 ; + RECT 7.2750 0.2180 7.3170 0.2600 ; + RECT 7.2750 0.3100 7.3170 0.3520 ; + RECT 7.2750 0.4020 7.3170 0.4440 ; + RECT 7.2750 0.4940 7.3170 0.5360 ; + RECT 7.2750 0.5860 7.3170 0.6280 ; + RECT 7.2750 0.6780 7.3170 0.7200 ; + RECT 7.2750 0.7700 7.3170 0.8120 ; + RECT 12.5190 -0.0210 12.5610 0.0210 ; + RECT 13.5830 3.3230 13.6250 3.3650 ; + RECT 10.6190 0.7700 10.6610 0.8120 ; + RECT 10.6190 0.6780 10.6610 0.7200 ; + RECT 10.6190 0.5860 10.6610 0.6280 ; + RECT 10.6190 0.4940 10.6610 0.5360 ; + RECT 10.6190 0.4020 10.6610 0.4440 ; + RECT 10.6190 0.3100 10.6610 0.3520 ; + RECT 10.6190 0.2180 10.6610 0.2600 ; + RECT 10.9230 0.2180 10.9650 0.2600 ; + RECT 10.9230 0.3100 10.9650 0.3520 ; + RECT 10.9230 0.4020 10.9650 0.4440 ; + RECT 10.2390 3.3230 10.2810 3.3650 ; + RECT 10.5430 3.3230 10.5850 3.3650 ; + RECT 9.9350 3.3230 9.9770 3.3650 ; + RECT 9.9350 3.3230 9.9770 3.3650 ; + RECT 9.6310 3.3230 9.6730 3.3650 ; + RECT 10.6950 3.3230 10.7370 3.3650 ; + RECT 10.6950 3.3230 10.7370 3.3650 ; + RECT 10.9230 0.7700 10.9650 0.8120 ; + RECT 10.9230 0.6780 10.9650 0.7200 ; + RECT 10.9230 0.5860 10.9650 0.6280 ; + RECT 10.9230 0.4940 10.9650 0.5360 ; + RECT 9.6310 3.3230 9.6730 3.3650 ; + RECT 9.7830 3.3230 9.8250 3.3650 ; + RECT 10.5430 3.3230 10.5850 3.3650 ; + RECT 10.3150 0.2180 10.3570 0.2600 ; + RECT 12.2150 3.3230 12.2570 3.3650 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 11.4550 -0.0210 11.4970 0.0210 ; + RECT 11.1510 -0.0210 11.1930 0.0210 ; + RECT 12.2150 -0.0210 12.2570 0.0210 ; + RECT 11.7590 -0.0210 11.8010 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.3510 -0.0210 7.3930 0.0210 ; + RECT 7.5030 -0.0210 7.5450 0.0210 ; + RECT 7.1990 -0.0210 7.2410 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 5.3750 3.3230 5.4170 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 5.6790 3.3230 5.7210 3.3650 ; + RECT 4.6150 3.3230 4.6570 3.3650 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 6.3630 0.2180 6.4050 0.2600 ; + RECT 6.3630 0.3100 6.4050 0.3520 ; + RECT 6.3630 0.4020 6.4050 0.4440 ; + RECT 6.3630 0.4940 6.4050 0.5360 ; + RECT 12.3670 -0.0210 12.4090 0.0210 ; + RECT 10.3150 0.8620 10.3570 0.9040 ; + RECT 10.6190 0.8620 10.6610 0.9040 ; + RECT 11.8350 0.8620 11.8770 0.9040 ; + RECT 10.9230 0.8620 10.9650 0.9040 ; + RECT 12.3670 3.3230 12.4090 3.3650 ; + RECT 11.7590 3.3230 11.8010 3.3650 ; + RECT 12.1390 0.8620 12.1810 0.9040 ; + RECT 12.4430 0.8620 12.4850 0.9040 ; + RECT 7.2750 0.8620 7.3170 0.9040 ; + RECT 7.8830 0.8620 7.9250 0.9040 ; + RECT 7.5790 0.8620 7.6210 0.9040 ; + RECT 8.7950 0.8620 8.8370 0.9040 ; + RECT 9.0990 0.8620 9.1410 0.9040 ; + RECT 9.4030 0.8620 9.4450 0.9040 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.8310 3.3230 5.8730 3.3650 ; + RECT 4.7670 3.3230 4.8090 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 6.3630 0.5860 6.4050 0.6280 ; + RECT 6.3630 0.6780 6.4050 0.7200 ; + RECT 6.3630 0.7700 6.4050 0.8120 ; + RECT 4.9190 3.3230 4.9610 3.3650 ; + RECT 6.3630 0.8620 6.4050 0.9040 ; + RECT 5.5270 3.3230 5.5690 3.3650 ; + RECT 5.2230 3.3230 5.2650 3.3650 ; + RECT 6.8950 3.3230 6.9370 3.3650 ; + RECT 5.7550 0.2180 5.7970 0.2600 ; + RECT 5.7550 0.3100 5.7970 0.3520 ; + RECT 5.7550 0.4020 5.7970 0.4440 ; + RECT 5.7550 0.4940 5.7970 0.5360 ; + RECT 5.7550 0.5860 5.7970 0.6280 ; + RECT 5.7550 0.6780 5.7970 0.7200 ; + RECT 5.7550 0.7700 5.7970 0.8120 ; + RECT 5.7550 0.8620 5.7970 0.9040 ; + RECT 6.5910 3.3230 6.6330 3.3650 ; + RECT 6.8950 3.3230 6.9370 3.3650 ; + RECT 6.0590 0.7700 6.1010 0.8120 ; + RECT 6.0590 0.6780 6.1010 0.7200 ; + RECT 6.0590 0.5860 6.1010 0.6280 ; + RECT 6.0590 0.4940 6.1010 0.5360 ; + RECT 6.0590 0.4020 6.1010 0.4440 ; + RECT 6.0590 0.3100 6.1010 0.3520 ; + RECT 6.0590 0.2180 6.1010 0.2600 ; + RECT 6.0590 0.8620 6.1010 0.9040 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 5.9830 3.3230 6.0250 3.3650 ; + RECT 7.0470 3.3230 7.0890 3.3650 ; + RECT 7.0470 3.3230 7.0890 3.3650 ; + RECT 6.2870 3.3230 6.3290 3.3650 ; + RECT 4.8430 0.2180 4.8850 0.2600 ; + RECT 4.8430 0.3100 4.8850 0.3520 ; + RECT 4.8430 0.4020 4.8850 0.4440 ; + RECT 6.4390 3.3230 6.4810 3.3650 ; + RECT 6.1350 3.3230 6.1770 3.3650 ; + RECT 7.1990 3.3230 7.2410 3.3650 ; + RECT 6.7430 3.3230 6.7850 3.3650 ; + RECT 4.8430 0.8620 4.8850 0.9040 ; + RECT 4.8430 0.7700 4.8850 0.8120 ; + RECT 4.8430 0.6780 4.8850 0.7200 ; + RECT 4.8430 0.5860 4.8850 0.6280 ; + RECT 4.8430 0.4940 4.8850 0.5360 ; + RECT 4.5390 0.7700 4.5810 0.8120 ; + RECT 4.5390 0.6780 4.5810 0.7200 ; + RECT 4.5390 0.5860 4.5810 0.6280 ; + RECT 4.5390 0.4940 4.5810 0.5360 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 3.3230 0.2180 3.3650 0.2600 ; + RECT 3.3230 0.3100 3.3650 0.3520 ; + RECT 3.3230 0.4020 3.3650 0.4440 ; + RECT 3.3230 0.4940 3.3650 0.5360 ; + RECT 3.3230 0.5860 3.3650 0.6280 ; + RECT 3.3230 0.6780 3.3650 0.7200 ; + RECT 3.3230 0.7700 3.3650 0.8120 ; + RECT 3.3230 0.8620 3.3650 0.9040 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 5.0710 3.3230 5.1130 3.3650 ; + RECT 3.0190 0.7700 3.0610 0.8120 ; + RECT 3.0190 0.6780 3.0610 0.7200 ; + RECT 3.0190 0.5860 3.0610 0.6280 ; + RECT 3.0190 0.4940 3.0610 0.5360 ; + RECT 3.0190 0.4020 3.0610 0.4440 ; + RECT 3.0190 0.3100 3.0610 0.3520 ; + RECT 3.0190 0.2180 3.0610 0.2600 ; + RECT 3.0190 0.8620 3.0610 0.9040 ; + RECT 2.7150 0.2180 2.7570 0.2600 ; + RECT 2.7150 0.3100 2.7570 0.3520 ; + RECT 2.7150 0.4020 2.7570 0.4440 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.5390 0.4020 4.5810 0.4440 ; + RECT 4.5390 0.3100 4.5810 0.3520 ; + RECT 4.5390 0.2180 4.5810 0.2600 ; + RECT 4.5390 0.8620 4.5810 0.9040 ; + RECT 7.3510 3.3230 7.3930 3.3650 ; + RECT 7.3510 3.3230 7.3930 3.3650 ; + RECT 7.5030 3.3230 7.5450 3.3650 ; + RECT 4.2350 0.2180 4.2770 0.2600 ; + RECT 4.2350 0.3100 4.2770 0.3520 ; + RECT 4.2350 0.4020 4.2770 0.4440 ; + RECT 4.2350 0.4940 4.2770 0.5360 ; + RECT 4.2350 0.5860 4.2770 0.6280 ; + RECT 4.2350 0.6780 4.2770 0.7200 ; + RECT 4.2350 0.7700 4.2770 0.8120 ; + RECT 4.2350 0.8620 4.2770 0.9040 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.7150 0.8620 2.7570 0.9040 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7150 0.7700 2.7570 0.8120 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.4990 0.7700 1.5410 0.8120 ; + RECT 1.4990 0.6780 1.5410 0.7200 ; + RECT 1.4990 0.5860 1.5410 0.6280 ; + RECT 1.4990 0.4940 1.5410 0.5360 ; + RECT 1.4990 0.4020 1.5410 0.4440 ; + RECT 1.4990 0.3100 1.5410 0.3520 ; + RECT 1.4990 0.2180 1.5410 0.2600 ; + RECT 0.8910 3.1530 0.9330 3.1950 ; + RECT 0.8910 2.5090 0.9330 2.5510 ; + RECT 0.8910 2.6010 0.9330 2.6430 ; + RECT 0.8910 2.6930 0.9330 2.7350 ; + RECT 0.8910 2.7850 0.9330 2.8270 ; + RECT 0.8910 2.8770 0.9330 2.9190 ; + RECT 0.8910 2.9690 0.9330 3.0110 ; + RECT 0.8910 3.0610 0.9330 3.1030 ; + RECT 1.1950 0.2180 1.2370 0.2600 ; + RECT 1.1950 0.3100 1.2370 0.3520 ; + RECT 1.1950 0.4020 1.2370 0.4440 ; + RECT 1.1950 0.4940 1.2370 0.5360 ; + RECT 1.1950 0.5860 1.2370 0.6280 ; + RECT 1.1950 0.6780 1.2370 0.7200 ; + RECT 1.1950 0.7700 1.2370 0.8120 ; + RECT 2.7150 0.6780 2.7570 0.7200 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.8620 1.5410 0.9040 ; + RECT 2.7150 0.5860 2.7570 0.6280 ; + RECT 1.1950 0.8620 1.2370 0.9040 ; + RECT 2.7150 0.4940 2.7570 0.5360 ; + RECT 1.8030 0.2180 1.8450 0.2600 ; + RECT 1.8030 0.3100 1.8450 0.3520 ; + RECT 1.8030 0.4020 1.8450 0.4440 ; + RECT 1.8030 0.4940 1.8450 0.5360 ; + RECT 1.8030 0.5860 1.8450 0.6280 ; + RECT 1.8030 0.6780 1.8450 0.7200 ; + RECT 1.8030 0.7700 1.8450 0.8120 ; + RECT 1.8030 0.8620 1.8450 0.9040 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + END + END VDD + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3430 1.2000 1.3930 1.4830 ; + RECT 1.3430 0.1980 1.3930 1.1500 ; + RECT 2.8630 1.2000 2.9130 1.4830 ; + RECT 2.8630 0.1980 2.9130 1.1500 ; + RECT 1.6470 1.2000 1.6970 1.4830 ; + RECT 1.6470 0.1980 1.6970 1.1500 ; + RECT 3.1670 1.2000 3.2170 1.4830 ; + RECT 3.1670 0.1980 3.2170 1.1500 ; + RECT 4.3830 1.2000 4.4330 1.4830 ; + RECT 4.3830 0.1980 4.4330 1.1500 ; + RECT 4.6870 1.2000 4.7370 1.4830 ; + RECT 4.6870 0.1980 4.7370 1.1500 ; + RECT 7.7270 1.2000 7.7770 1.4830 ; + RECT 7.7270 0.1980 7.7770 1.1500 ; + RECT 7.4230 1.2000 7.4730 1.4830 ; + RECT 7.4230 0.1980 7.4730 1.1500 ; + RECT 8.9430 1.2000 8.9930 1.4830 ; + RECT 8.9430 0.1980 8.9930 1.1500 ; + RECT 5.9030 1.2000 5.9530 1.4830 ; + RECT 5.9030 0.1980 5.9530 1.1500 ; + RECT 6.2070 1.2000 6.2570 1.4830 ; + RECT 6.2070 0.1980 6.2570 1.1500 ; + RECT 9.2470 1.2000 9.2970 1.4830 ; + RECT 9.2470 0.1980 9.2970 1.1500 ; + RECT 10.7670 1.2000 10.8170 1.4830 ; + RECT 10.7670 0.1980 10.8170 1.1500 ; + RECT 11.9830 1.2000 12.0330 1.4830 ; + RECT 11.9830 0.1980 12.0330 1.1500 ; + RECT 12.2870 1.2000 12.3370 1.4830 ; + RECT 12.2870 0.1980 12.3370 1.1500 ; + RECT 10.4630 1.2000 10.5130 1.4830 ; + RECT 10.4630 0.1980 10.5130 1.1500 ; + RECT 1.3430 1.1500 12.3370 1.2000 ; + END + PORT + LAYER CO ; + RECT 8.9470 0.2180 8.9890 0.2600 ; + RECT 8.9470 0.3100 8.9890 0.3520 ; + RECT 8.9470 0.4020 8.9890 0.4440 ; + RECT 8.9470 0.4940 8.9890 0.5360 ; + RECT 8.9470 0.5860 8.9890 0.6280 ; + RECT 8.9470 0.6780 8.9890 0.7200 ; + RECT 8.9470 0.7700 8.9890 0.8120 ; + RECT 9.2510 0.2180 9.2930 0.2600 ; + RECT 9.2510 0.3100 9.2930 0.3520 ; + RECT 9.2510 0.4020 9.2930 0.4440 ; + RECT 11.9870 0.2180 12.0290 0.2600 ; + RECT 11.9870 0.3100 12.0290 0.3520 ; + RECT 11.9870 0.4020 12.0290 0.4440 ; + RECT 11.9870 0.4940 12.0290 0.5360 ; + RECT 11.9870 0.5860 12.0290 0.6280 ; + RECT 11.9870 0.6780 12.0290 0.7200 ; + RECT 11.9870 0.7700 12.0290 0.8120 ; + RECT 12.2910 0.2180 12.3330 0.2600 ; + RECT 12.2910 0.3100 12.3330 0.3520 ; + RECT 12.2910 0.4020 12.3330 0.4440 ; + RECT 12.2910 0.4940 12.3330 0.5360 ; + RECT 12.2910 0.5860 12.3330 0.6280 ; + RECT 12.2910 0.6780 12.3330 0.7200 ; + RECT 12.2910 0.7700 12.3330 0.8120 ; + RECT 10.7710 0.2180 10.8130 0.2600 ; + RECT 10.7710 0.3100 10.8130 0.3520 ; + RECT 9.2510 0.4940 9.2930 0.5360 ; + RECT 9.2510 0.5860 9.2930 0.6280 ; + RECT 9.2510 0.6780 9.2930 0.7200 ; + RECT 9.2510 0.7700 9.2930 0.8120 ; + RECT 7.4270 0.2180 7.4690 0.2600 ; + RECT 7.4270 0.3100 7.4690 0.3520 ; + RECT 7.4270 0.4020 7.4690 0.4440 ; + RECT 7.4270 0.4940 7.4690 0.5360 ; + RECT 7.4270 0.5860 7.4690 0.6280 ; + RECT 7.4270 0.6780 7.4690 0.7200 ; + RECT 7.4270 0.7700 7.4690 0.8120 ; + RECT 7.7310 0.2180 7.7730 0.2600 ; + RECT 7.7310 0.3100 7.7730 0.3520 ; + RECT 7.7310 0.4020 7.7730 0.4440 ; + RECT 7.7310 0.4940 7.7730 0.5360 ; + RECT 7.7310 0.5860 7.7730 0.6280 ; + RECT 7.7310 0.6780 7.7730 0.7200 ; + RECT 7.7310 0.7700 7.7730 0.8120 ; + RECT 10.7710 0.4020 10.8130 0.4440 ; + RECT 10.7710 0.4940 10.8130 0.5360 ; + RECT 10.7710 0.5860 10.8130 0.6280 ; + RECT 10.7710 0.6780 10.8130 0.7200 ; + RECT 10.7710 0.7700 10.8130 0.8120 ; + RECT 10.4670 0.2180 10.5090 0.2600 ; + RECT 10.4670 0.3100 10.5090 0.3520 ; + RECT 10.4670 0.4020 10.5090 0.4440 ; + RECT 10.4670 0.4940 10.5090 0.5360 ; + RECT 10.4670 0.5860 10.5090 0.6280 ; + RECT 10.4670 0.6780 10.5090 0.7200 ; + RECT 10.4670 0.7700 10.5090 0.8120 ; + RECT 6.2110 0.8620 6.2530 0.9040 ; + RECT 6.2110 0.2180 6.2530 0.2600 ; + RECT 6.2110 0.3100 6.2530 0.3520 ; + RECT 6.2110 0.4020 6.2530 0.4440 ; + RECT 6.2110 0.4940 6.2530 0.5360 ; + RECT 6.2110 0.5860 6.2530 0.6280 ; + RECT 6.2110 0.6780 6.2530 0.7200 ; + RECT 6.2110 0.7700 6.2530 0.8120 ; + RECT 10.4670 0.8620 10.5090 0.9040 ; + RECT 10.4670 1.4210 10.5090 1.4630 ; + RECT 10.7710 0.8620 10.8130 0.9040 ; + RECT 10.7710 1.4210 10.8130 1.4630 ; + RECT 11.9870 0.8620 12.0290 0.9040 ; + RECT 11.9870 1.4210 12.0290 1.4630 ; + RECT 12.2910 0.8620 12.3330 0.9040 ; + RECT 12.2910 1.4210 12.3330 1.4630 ; + RECT 7.4270 0.8620 7.4690 0.9040 ; + RECT 7.7310 0.8620 7.7730 0.9040 ; + RECT 8.9470 0.8620 8.9890 0.9040 ; + RECT 9.2510 0.8620 9.2930 0.9040 ; + RECT 7.7310 1.4210 7.7730 1.4630 ; + RECT 7.4270 1.4210 7.4690 1.4630 ; + RECT 9.2510 1.4210 9.2930 1.4630 ; + RECT 8.9470 1.4210 8.9890 1.4630 ; + RECT 5.9070 1.4210 5.9490 1.4630 ; + RECT 6.2110 1.4210 6.2530 1.4630 ; + RECT 4.3870 1.4210 4.4290 1.4630 ; + RECT 4.6910 1.4210 4.7330 1.4630 ; + RECT 5.9070 0.8620 5.9490 0.9040 ; + RECT 5.9070 0.2180 5.9490 0.2600 ; + RECT 5.9070 0.3100 5.9490 0.3520 ; + RECT 5.9070 0.4020 5.9490 0.4440 ; + RECT 5.9070 0.4940 5.9490 0.5360 ; + RECT 5.9070 0.5860 5.9490 0.6280 ; + RECT 5.9070 0.6780 5.9490 0.7200 ; + RECT 5.9070 0.7700 5.9490 0.8120 ; + RECT 4.6910 0.8620 4.7330 0.9040 ; + RECT 4.6910 0.2180 4.7330 0.2600 ; + RECT 4.6910 0.3100 4.7330 0.3520 ; + RECT 4.6910 0.4020 4.7330 0.4440 ; + RECT 4.6910 0.4940 4.7330 0.5360 ; + RECT 4.6910 0.5860 4.7330 0.6280 ; + RECT 4.6910 0.6780 4.7330 0.7200 ; + RECT 4.6910 0.7700 4.7330 0.8120 ; + RECT 4.3870 0.8620 4.4290 0.9040 ; + RECT 4.3870 0.2180 4.4290 0.2600 ; + RECT 4.3870 0.3100 4.4290 0.3520 ; + RECT 4.3870 0.4020 4.4290 0.4440 ; + RECT 4.3870 0.4940 4.4290 0.5360 ; + RECT 4.3870 0.5860 4.4290 0.6280 ; + RECT 4.3870 0.6780 4.4290 0.7200 ; + RECT 4.3870 0.7700 4.4290 0.8120 ; + RECT 3.1710 0.8620 3.2130 0.9040 ; + RECT 3.1710 0.2180 3.2130 0.2600 ; + RECT 3.1710 0.3100 3.2130 0.3520 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 3.1710 0.4940 3.2130 0.5360 ; + RECT 3.1710 0.5860 3.2130 0.6280 ; + RECT 3.1710 0.6780 3.2130 0.7200 ; + RECT 3.1710 0.7700 3.2130 0.8120 ; + RECT 3.1710 1.4210 3.2130 1.4630 ; + RECT 2.8670 0.8620 2.9090 0.9040 ; + RECT 2.8670 0.2180 2.9090 0.2600 ; + RECT 2.8670 0.3100 2.9090 0.3520 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 2.8670 0.4940 2.9090 0.5360 ; + RECT 2.8670 0.5860 2.9090 0.6280 ; + RECT 2.8670 0.6780 2.9090 0.7200 ; + RECT 2.8670 0.7700 2.9090 0.8120 ; + RECT 2.8670 1.4210 2.9090 1.4630 ; + RECT 1.3470 0.8620 1.3890 0.9040 ; + RECT 1.3470 0.2180 1.3890 0.2600 ; + RECT 1.3470 0.3100 1.3890 0.3520 ; + RECT 1.3470 0.4020 1.3890 0.4440 ; + RECT 1.3470 0.4940 1.3890 0.5360 ; + RECT 1.3470 0.5860 1.3890 0.6280 ; + RECT 1.3470 0.6780 1.3890 0.7200 ; + RECT 1.3470 0.7700 1.3890 0.8120 ; + RECT 1.3470 1.4210 1.3890 1.4630 ; + RECT 1.6510 0.8620 1.6930 0.9040 ; + RECT 1.6510 0.2180 1.6930 0.2600 ; + RECT 1.6510 0.3100 1.6930 0.3520 ; + RECT 1.6510 0.4020 1.6930 0.4440 ; + RECT 1.6510 0.4940 1.6930 0.5360 ; + RECT 1.6510 0.5860 1.6930 0.6280 ; + RECT 1.6510 0.6780 1.6930 0.7200 ; + RECT 1.6510 0.7700 1.6930 0.8120 ; + RECT 1.6510 1.4210 1.6930 1.4630 ; + END + ANTENNADIFFAREA 2.3808 ; + END SLEEPOUT + OBS + LAYER CO ; + RECT 11.1510 1.9430 11.1930 1.9850 ; + RECT 11.3790 1.7790 11.4210 1.8210 ; + RECT 11.6070 1.9430 11.6490 1.9850 ; + RECT 11.7590 1.9430 11.8010 1.9850 ; + RECT 11.9110 1.9430 11.9530 1.9850 ; + RECT 12.0630 1.9430 12.1050 1.9850 ; + RECT 10.5430 1.9430 10.5850 1.9850 ; + RECT 10.3910 1.9430 10.4330 1.9850 ; + RECT 10.6950 1.9430 10.7370 1.9850 ; + RECT 10.8470 1.9430 10.8890 1.9850 ; + RECT 10.2390 1.9430 10.2810 1.9850 ; + RECT 10.0870 1.9430 10.1290 1.9850 ; + RECT 9.8590 1.7790 9.9010 1.8210 ; + RECT 12.8990 1.7790 12.9410 1.8210 ; + RECT 12.3670 1.9430 12.4090 1.9850 ; + RECT 12.2150 1.9430 12.2570 1.9850 ; + RECT 8.3390 1.7790 8.3810 1.8210 ; + RECT 8.1110 1.9430 8.1530 1.9850 ; + RECT 7.9590 1.9430 8.0010 1.9850 ; + RECT 7.8070 1.9430 7.8490 1.9850 ; + RECT 7.6550 1.9430 7.6970 1.9850 ; + RECT 7.0470 1.9430 7.0890 1.9850 ; + RECT 7.1990 1.9430 7.2410 1.9850 ; + RECT 7.3510 1.9430 7.3930 1.9850 ; + RECT 7.5030 1.9430 7.5450 1.9850 ; + RECT 8.7190 1.9430 8.7610 1.9850 ; + RECT 8.5670 1.9430 8.6090 1.9850 ; + RECT 9.1750 1.9430 9.2170 1.9850 ; + RECT 9.3270 1.9430 9.3690 1.9850 ; + RECT 9.6310 1.9430 9.6730 1.9850 ; + RECT 9.4790 1.9430 9.5210 1.9850 ; + RECT 8.8710 1.9430 8.9130 1.9850 ; + RECT 9.0230 1.9430 9.0650 1.9850 ; + RECT 10.9990 1.9430 11.0410 1.9850 ; + RECT 5.2990 1.7780 5.3410 1.8200 ; + RECT 5.5270 1.9430 5.5690 1.9850 ; + RECT 5.6790 1.9430 5.7210 1.9850 ; + RECT 5.8310 1.9430 5.8730 1.9850 ; + RECT 5.9830 1.9430 6.0250 1.9850 ; + RECT 6.8190 1.7790 6.8610 1.8210 ; + RECT 6.5910 1.9430 6.6330 1.9850 ; + RECT 6.4390 1.9430 6.4810 1.9850 ; + RECT 6.2870 1.9430 6.3290 1.9850 ; + RECT 6.1350 1.9430 6.1770 1.9850 ; + RECT 4.9190 1.9430 4.9610 1.9850 ; + RECT 5.0710 1.9430 5.1130 1.9850 ; + RECT 4.4630 1.9430 4.5050 1.9850 ; + RECT 4.3110 1.9430 4.3530 1.9850 ; + RECT 12.6710 1.9430 12.7130 1.9850 ; + RECT 12.5190 1.9430 12.5610 1.9850 ; + RECT 4.0070 1.9430 4.0490 1.9850 ; + RECT 4.1590 1.9430 4.2010 1.9850 ; + RECT 4.7670 1.9430 4.8090 1.9850 ; + RECT 4.6150 1.9430 4.6570 1.9850 ; + RECT 2.6390 1.9430 2.6810 1.9850 ; + RECT 2.4870 1.9430 2.5290 1.9850 ; + RECT 0.7390 1.7790 0.7810 1.8210 ; + RECT 0.9670 1.9430 1.0090 1.9850 ; + RECT 1.1190 1.9430 1.1610 1.9850 ; + RECT 0.7390 2.5090 0.7810 2.5510 ; + RECT 0.7390 2.6010 0.7810 2.6430 ; + RECT 0.7390 2.6930 0.7810 2.7350 ; + RECT 0.7390 2.7850 0.7810 2.8270 ; + RECT 0.7390 2.8770 0.7810 2.9190 ; + RECT 0.7390 2.9690 0.7810 3.0110 ; + RECT 0.7390 3.0610 0.7810 3.1030 ; + RECT 0.7390 3.1530 0.7810 3.1950 ; + RECT 1.2710 1.9430 1.3130 1.9850 ; + RECT 1.4230 1.9430 1.4650 1.9850 ; + RECT 2.2590 1.7720 2.3010 1.8140 ; + RECT 2.0310 1.9430 2.0730 1.9850 ; + RECT 1.8790 1.9430 1.9210 1.9850 ; + RECT 1.7270 1.9430 1.7690 1.9850 ; + RECT 1.5750 1.9430 1.6170 1.9850 ; + RECT 3.0950 1.9430 3.1370 1.9850 ; + RECT 3.2470 1.9430 3.2890 1.9850 ; + RECT 2.9430 1.9430 2.9850 1.9850 ; + RECT 2.7910 1.9430 2.8330 1.9850 ; + RECT 3.3990 1.9430 3.4410 1.9850 ; + RECT 3.5510 1.9430 3.5930 1.9850 ; + RECT 3.7790 1.7790 3.8210 1.8210 ; + LAYER PO ; + RECT 12.6770 0.0510 12.7070 0.9710 ; + RECT 13.4370 1.3510 13.4670 1.8920 ; + RECT 13.1330 1.3510 13.1630 1.8920 ; + RECT 13.2850 1.3510 13.3150 1.8920 ; + RECT 12.6770 1.3510 12.7070 1.9790 ; + RECT 12.9810 1.3510 13.0110 1.8920 ; + RECT 12.6770 2.3730 12.7070 3.2930 ; + RECT 12.8290 2.3730 12.8590 3.2930 ; + RECT 12.9810 2.3730 13.0110 3.2930 ; + RECT 13.1330 2.3730 13.1630 3.2930 ; + RECT 13.2850 2.3730 13.3150 3.2930 ; + RECT 13.4370 2.3730 13.4670 3.2930 ; + RECT 13.5890 2.3730 13.6190 3.2930 ; + RECT 4.7730 2.3730 4.8030 3.2930 ; + RECT 5.9890 0.0510 6.0190 1.9790 ; + RECT 6.1410 0.0510 6.1710 1.9790 ; + RECT 5.8370 0.0510 5.8670 1.9790 ; + RECT 6.2930 0.0510 6.3230 1.9790 ; + RECT 5.6850 0.0510 5.7150 0.9710 ; + RECT 6.4450 0.0510 6.4750 0.9710 ; + RECT 5.6850 1.3510 5.7150 1.9790 ; + RECT 6.4450 1.3510 6.4750 1.9790 ; + RECT 6.5970 1.3510 6.6270 1.9790 ; + RECT 6.5970 0.0510 6.6270 0.9710 ; + RECT 6.5970 2.3730 6.6270 3.2930 ; + RECT 6.4450 2.3730 6.4750 3.2930 ; + RECT 6.2930 2.3730 6.3230 3.2930 ; + RECT 6.1410 2.3730 6.1710 3.2930 ; + RECT 5.9890 2.3730 6.0190 3.2930 ; + RECT 5.8370 2.3730 5.8670 3.2930 ; + RECT 5.6850 2.3730 5.7150 3.2930 ; + RECT 7.6610 0.0510 7.6910 1.9790 ; + RECT 7.5090 0.0510 7.5390 1.9790 ; + RECT 7.3570 0.0510 7.3870 1.9790 ; + RECT 7.2050 0.0510 7.2350 0.9710 ; + RECT 6.9010 1.3510 6.9310 2.1670 ; + RECT 7.2050 1.3510 7.2350 1.9790 ; + RECT 7.0530 1.3510 7.0830 1.9790 ; + RECT 6.7490 1.3510 6.7790 2.1670 ; + RECT 6.9010 0.0510 6.9310 0.9710 ; + RECT 7.0530 0.0510 7.0830 0.9710 ; + RECT 6.7490 0.0510 6.7790 0.9710 ; + RECT 7.5090 2.3730 7.5390 3.2930 ; + RECT 7.3570 2.3730 7.3870 3.2930 ; + RECT 7.2050 2.3730 7.2350 3.2930 ; + RECT 7.0530 2.3730 7.0830 3.2930 ; + RECT 6.9010 2.3730 6.9310 3.2930 ; + RECT 6.7490 2.3730 6.7790 3.2930 ; + RECT 7.6610 2.3730 7.6910 3.2930 ; + RECT 8.5730 1.3510 8.6030 1.9790 ; + RECT 7.8130 0.0510 7.8430 1.9790 ; + RECT 7.9650 0.0510 7.9950 0.9710 ; + RECT 8.2690 1.3510 8.2990 2.1670 ; + RECT 7.9650 1.3510 7.9950 1.9790 ; + RECT 8.1170 1.3510 8.1470 1.9790 ; + RECT 8.5730 0.0510 8.6030 0.9710 ; + RECT 8.4210 0.0510 8.4510 0.9710 ; + RECT 8.2690 0.0510 8.2990 0.9710 ; + RECT 8.1170 0.0510 8.1470 0.9710 ; + RECT 8.4210 1.3510 8.4510 2.1670 ; + RECT 8.4210 2.3730 8.4510 3.2930 ; + RECT 8.2690 2.3730 8.2990 3.2930 ; + RECT 7.9650 2.3730 7.9950 3.2930 ; + RECT 7.8130 2.3730 7.8430 3.2930 ; + RECT 8.1170 2.3730 8.1470 3.2930 ; + RECT 8.5730 2.3730 8.6030 3.2930 ; + RECT 8.7250 1.3510 8.7550 1.9790 ; + RECT 9.4850 1.3510 9.5150 1.9790 ; + RECT 9.6370 1.3510 9.6670 1.9790 ; + RECT 9.0290 0.0510 9.0590 1.9790 ; + RECT 9.1810 0.0510 9.2110 1.9790 ; + RECT 9.6370 0.0510 9.6670 0.9710 ; + RECT 8.8770 0.0510 8.9070 1.9790 ; + RECT 9.3330 0.0510 9.3630 1.9790 ; + RECT 8.7250 0.0510 8.7550 0.9710 ; + RECT 9.4850 0.0510 9.5150 0.9710 ; + RECT 8.8770 2.3730 8.9070 3.2930 ; + RECT 8.7250 2.3730 8.7550 3.2930 ; + RECT 9.0290 2.3730 9.0590 3.2930 ; + RECT 9.1810 2.3730 9.2110 3.2930 ; + RECT 9.6370 2.3730 9.6670 3.2930 ; + RECT 9.3330 2.3730 9.3630 3.2930 ; + RECT 9.4850 2.3730 9.5150 3.2930 ; + RECT 9.9410 1.3510 9.9710 2.1670 ; + RECT 9.7890 0.0510 9.8190 0.9710 ; + RECT 10.0930 0.0510 10.1230 0.9710 ; + RECT 9.9410 0.0510 9.9710 0.9710 ; + RECT 10.5490 0.0510 10.5790 1.9790 ; + RECT 10.3970 0.0510 10.4270 1.9790 ; + RECT 10.2450 0.0510 10.2750 0.9710 ; + RECT 10.2450 1.3510 10.2750 1.9790 ; + RECT 10.0930 1.3510 10.1230 1.9790 ; + RECT 9.7890 1.3510 9.8190 2.1670 ; + RECT 10.3970 2.3730 10.4270 3.2930 ; + RECT 10.0930 2.3730 10.1230 3.2930 ; + RECT 9.7890 2.3730 9.8190 3.2930 ; + RECT 9.9410 2.3730 9.9710 3.2930 ; + RECT 10.5490 2.3730 10.5790 3.2930 ; + RECT 10.2450 2.3730 10.2750 3.2930 ; + RECT 11.1570 0.0510 11.1870 0.9710 ; + RECT 11.3090 0.0510 11.3390 0.9710 ; + RECT 11.4610 0.0510 11.4910 0.9710 ; + RECT 11.6130 0.0510 11.6430 0.9710 ; + RECT 11.6130 1.3510 11.6430 1.9790 ; + RECT 10.7010 0.0510 10.7310 1.9790 ; + RECT 10.8530 0.0510 10.8830 1.9790 ; + RECT 11.0050 0.0510 11.0350 0.9710 ; + RECT 11.3090 1.3510 11.3390 2.1670 ; + RECT 11.4610 1.3510 11.4910 2.1670 ; + RECT 11.0050 1.3510 11.0350 1.9790 ; + RECT 11.1570 1.3510 11.1870 1.9790 ; + RECT 10.7010 2.3730 10.7310 3.2930 ; + RECT 10.8530 2.3730 10.8830 3.2930 ; + RECT 11.0050 2.3730 11.0350 3.2930 ; + RECT 11.1570 2.3730 11.1870 3.2930 ; + RECT 11.3090 2.3730 11.3390 3.2930 ; + RECT 11.4610 2.3730 11.4910 3.2930 ; + RECT 11.6130 2.3730 11.6430 3.2930 ; + RECT 12.0690 0.0510 12.0990 1.9790 ; + RECT 12.2210 0.0510 12.2510 1.9790 ; + RECT 11.9170 0.0510 11.9470 1.9790 ; + RECT 12.3730 0.0510 12.4030 1.9790 ; + RECT 11.7650 0.0510 11.7950 0.9710 ; + RECT 12.5250 0.0510 12.5550 0.9710 ; + RECT 11.7650 1.3510 11.7950 1.9790 ; + RECT 12.5250 1.3510 12.5550 1.9790 ; + RECT 11.7650 2.3730 11.7950 3.2930 ; + RECT 11.9170 2.3730 11.9470 3.2930 ; + RECT 12.0690 2.3730 12.0990 3.2930 ; + RECT 12.2210 2.3730 12.2510 3.2930 ; + RECT 12.3730 2.3730 12.4030 3.2930 ; + RECT 12.5250 2.3730 12.5550 3.2930 ; + RECT 12.8290 1.3510 12.8590 2.1670 ; + RECT 13.4370 0.0510 13.4670 0.9710 ; + RECT 13.5890 0.0510 13.6190 0.9710 ; + RECT 13.2850 0.0510 13.3150 0.9710 ; + RECT 13.1330 0.0510 13.1630 0.9710 ; + RECT 12.9810 0.0510 13.0110 0.9710 ; + RECT 12.8290 0.0510 12.8590 0.9710 ; + RECT 13.5890 1.3510 13.6190 1.8920 ; + RECT -0.3950 0.0510 -0.3650 0.9710 ; + RECT 0.6690 2.3730 0.6990 3.2930 ; + RECT 0.6690 1.3510 0.6990 1.8920 ; + RECT 0.2130 1.3510 0.2430 1.8920 ; + RECT 0.0610 1.3510 0.0910 1.8920 ; + RECT 0.3650 1.3510 0.3950 1.9790 ; + RECT 0.5170 1.3510 0.5470 1.9790 ; + RECT 0.0610 2.3730 0.0910 3.2930 ; + RECT 0.3650 2.3730 0.3950 3.2930 ; + RECT -0.2430 0.0510 -0.2130 0.9710 ; + RECT 0.0610 0.0510 0.0910 0.9710 ; + RECT -0.0910 0.0510 -0.0610 0.9710 ; + RECT 0.2130 2.3730 0.2430 3.2930 ; + RECT 0.5170 2.3730 0.5470 3.2930 ; + RECT 0.2130 0.0510 0.2430 0.9710 ; + RECT 0.3650 0.0510 0.3950 0.9710 ; + RECT 0.5170 0.0510 0.5470 0.9710 ; + RECT 0.6690 0.0510 0.6990 0.9710 ; + RECT 1.5810 0.0510 1.6110 1.9790 ; + RECT 0.9730 2.3730 1.0030 3.2930 ; + RECT 1.4290 0.0510 1.4590 1.9790 ; + RECT 1.1250 0.0510 1.1550 0.9710 ; + RECT 1.2770 0.0510 1.3070 1.9790 ; + RECT 0.8210 1.3510 0.8510 3.2930 ; + RECT 1.1250 1.3510 1.1550 1.9790 ; + RECT 0.9730 1.3510 1.0030 1.9790 ; + RECT 1.4290 2.3730 1.4590 3.2930 ; + RECT 1.1250 2.3730 1.1550 3.2930 ; + RECT 1.2770 2.3730 1.3070 3.2930 ; + RECT 1.5810 2.3730 1.6110 3.2930 ; + RECT 0.8210 0.0510 0.8510 0.9710 ; + RECT 0.9730 0.0510 1.0030 0.9710 ; + RECT 1.8850 0.0510 1.9150 0.9710 ; + RECT 1.7330 0.0510 1.7630 1.9790 ; + RECT 1.8850 1.3510 1.9150 1.9790 ; + RECT 2.0370 1.3510 2.0670 1.9790 ; + RECT 2.1890 1.3510 2.2190 2.1670 ; + RECT 2.6450 0.0510 2.6750 0.9710 ; + RECT 2.3410 1.3510 2.3710 2.1670 ; + RECT 2.6450 1.3510 2.6750 1.9790 ; + RECT 2.4930 1.3510 2.5230 1.9790 ; + RECT 2.6450 2.3730 2.6750 3.2930 ; + RECT 2.4930 2.3730 2.5230 3.2930 ; + RECT 2.3410 2.3730 2.3710 3.2930 ; + RECT 2.0370 2.3730 2.0670 3.2930 ; + RECT 2.1890 2.3730 2.2190 3.2930 ; + RECT 1.8850 2.3730 1.9150 3.2930 ; + RECT 1.7330 2.3730 1.7630 3.2930 ; + RECT 2.4930 0.0510 2.5230 0.9710 ; + RECT 2.3410 0.0510 2.3710 0.9710 ; + RECT 2.1890 0.0510 2.2190 0.9710 ; + RECT 2.0370 0.0510 2.0670 0.9710 ; + RECT 2.9490 0.0510 2.9790 1.9790 ; + RECT 3.1010 0.0510 3.1310 1.9790 ; + RECT 2.7970 0.0510 2.8270 1.9790 ; + RECT 3.2530 0.0510 3.2830 1.9790 ; + RECT 3.4050 0.0510 3.4350 0.9710 ; + RECT 3.4050 1.3510 3.4350 1.9790 ; + RECT 3.5570 1.3510 3.5870 1.9790 ; + RECT 3.4050 2.3730 3.4350 3.2930 ; + RECT 3.5570 2.3730 3.5870 3.2930 ; + RECT 3.2530 2.3730 3.2830 3.2930 ; + RECT 3.1010 2.3730 3.1310 3.2930 ; + RECT 2.7970 2.3730 2.8270 3.2930 ; + RECT 2.9490 2.3730 2.9790 3.2930 ; + RECT 3.5570 0.0510 3.5870 0.9710 ; + RECT 3.7090 1.3510 3.7390 2.1670 ; + RECT 4.6210 0.0510 4.6510 1.9790 ; + RECT 4.4690 0.0510 4.4990 1.9790 ; + RECT 4.3170 0.0510 4.3470 1.9790 ; + RECT 4.1650 0.0510 4.1950 0.9710 ; + RECT 3.8610 1.3510 3.8910 2.1670 ; + RECT 4.1650 1.3510 4.1950 1.9790 ; + RECT 4.0130 1.3510 4.0430 1.9790 ; + RECT 4.4690 2.3730 4.4990 3.2930 ; + RECT 4.1650 2.3730 4.1950 3.2930 ; + RECT 4.3170 2.3730 4.3470 3.2930 ; + RECT 4.6210 2.3730 4.6510 3.2930 ; + RECT 3.7090 2.3730 3.7390 3.2930 ; + RECT 3.8610 2.3730 3.8910 3.2930 ; + RECT 4.0130 2.3730 4.0430 3.2930 ; + RECT 3.7090 0.0510 3.7390 0.9710 ; + RECT 4.0130 0.0510 4.0430 0.9710 ; + RECT 3.8610 0.0510 3.8910 0.9710 ; + RECT 5.3810 1.3510 5.4110 2.1670 ; + RECT 5.5330 1.3510 5.5630 1.9790 ; + RECT 4.7730 0.0510 4.8030 1.9790 ; + RECT 4.9250 0.0510 4.9550 0.9710 ; + RECT 5.2290 1.3510 5.2590 2.1670 ; + RECT 4.9250 1.3510 4.9550 1.9790 ; + RECT 5.0770 1.3510 5.1070 1.9790 ; + RECT 5.5330 0.0510 5.5630 0.9710 ; + RECT 5.0770 0.0510 5.1070 0.9710 ; + RECT 5.2290 0.0510 5.2590 0.9710 ; + RECT 5.3810 0.0510 5.4110 0.9710 ; + RECT 5.5330 2.3730 5.5630 3.2930 ; + RECT 5.3810 2.3730 5.4110 3.2930 ; + RECT 5.2290 2.3730 5.2590 3.2930 ; + RECT 5.0770 2.3730 5.1070 3.2930 ; + RECT 4.9250 2.3730 4.9550 3.2930 ; + LAYER NWELL ; + RECT -0.1150 2.3510 13.7950 3.4450 ; + RECT 0.2320 1.8970 13.4060 2.3510 ; + RECT 0.2320 1.0520 0.6540 1.8970 ; + RECT 13.0650 1.0520 13.4060 1.8970 ; + RECT 0.2320 0.9930 13.4060 1.0520 ; + RECT -0.1150 -0.1010 13.7950 0.9930 ; + LAYER M1 ; + RECT 11.2800 1.9390 12.9200 1.9890 ; + RECT 12.8950 1.7590 12.9450 1.9910 ; + RECT 2.2550 1.7520 2.3050 1.9640 ; + RECT 0.7600 1.9390 2.4000 1.9890 ; + RECT 2.1600 1.9390 3.8000 1.9890 ; + RECT 3.7750 1.7590 3.8250 1.9890 ; + RECT 3.8000 1.9390 5.4400 1.9890 ; + RECT 5.2950 1.7580 5.3450 1.9640 ; + RECT 5.2000 1.9390 6.8400 1.9890 ; + RECT 6.8150 1.7590 6.8650 1.9900 ; + RECT 6.8400 1.9390 8.4800 1.9890 ; + RECT 8.3350 1.7590 8.3850 1.9640 ; + RECT 8.2400 1.9390 9.8800 1.9890 ; + RECT 9.8550 1.7590 9.9050 1.9890 ; + RECT 9.8800 1.9390 11.5200 1.9890 ; + RECT 11.3750 1.7590 11.4250 1.9640 ; + RECT 0.6690 1.9390 0.7840 1.9890 ; + RECT 0.7350 1.7590 0.7850 1.9640 ; + RECT 0.6690 1.9890 0.7190 2.2640 ; + RECT 0.6690 2.2640 0.7850 2.3140 ; + RECT 0.7350 2.2640 0.7850 3.2150 ; + END +END FOOT2X32_HVT + +MACRO FOOT2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.192 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 1.2710 1.6970 1.4830 ; + RECT 1.3430 1.1500 1.6970 1.1610 ; + RECT 1.6170 1.2000 1.7270 1.2710 ; + RECT 1.3430 1.1610 1.7270 1.2000 ; + RECT 1.6470 0.1930 1.6970 1.1500 ; + RECT 1.3430 0.1980 1.3930 1.1500 ; + RECT 1.3430 1.2000 1.3930 1.4830 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.8620 1.3890 0.9040 ; + RECT 1.3470 0.2180 1.3890 0.2600 ; + RECT 1.3470 0.3100 1.3890 0.3520 ; + RECT 1.3470 0.4020 1.3890 0.4440 ; + RECT 1.3470 0.4940 1.3890 0.5360 ; + RECT 1.3470 0.5860 1.3890 0.6280 ; + RECT 1.3470 0.6780 1.3890 0.7200 ; + RECT 1.3470 0.7700 1.3890 0.8120 ; + RECT 1.6510 0.8620 1.6930 0.9040 ; + RECT 1.6510 0.2180 1.6930 0.2600 ; + RECT 1.6510 0.3100 1.6930 0.3520 ; + RECT 1.6510 0.4020 1.6930 0.4440 ; + RECT 1.6510 0.4940 1.6930 0.5360 ; + RECT 1.6510 0.5860 1.6930 0.6280 ; + RECT 1.6510 0.6780 1.6930 0.7200 ; + RECT 1.6510 0.7700 1.6930 0.8120 ; + RECT 1.6510 1.4210 1.6930 1.4630 ; + RECT 1.3470 1.4210 1.3890 1.4630 ; + END + ANTENNADIFFAREA 0.2976 ; + END SLEEPOUT + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.7500 1.1610 0.9940 1.2710 ; + RECT 0.8710 1.5330 2.1880 1.5890 ; + RECT 0.8860 1.2710 0.9360 1.5330 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.5400 1.2370 1.5820 ; + RECT 2.1070 1.5400 2.1490 1.5820 ; + RECT 1.8030 1.5400 1.8450 1.5820 ; + RECT 0.8910 1.5400 0.9330 1.5820 ; + RECT 0.8980 1.1940 0.9400 1.2360 ; + RECT 0.8000 1.1940 0.8420 1.2360 ; + RECT 1.4990 1.5400 1.5410 1.5820 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.1920 1.7020 ; + RECT 1.9510 1.7020 2.0010 1.8320 ; + RECT 1.0390 1.7020 1.0890 1.8320 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.7700 1.9970 1.8120 ; + RECT 1.0430 1.7700 1.0850 1.8120 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8120 2.1060 2.2450 2.1560 ; + RECT 0.8120 2.0710 0.9670 2.1060 ; + RECT 0.8120 2.1560 0.9670 2.1830 ; + END + PORT + LAYER CO ; + RECT 2.1830 2.1100 2.2250 2.1520 ; + RECT 0.8150 2.1100 0.8570 2.1520 ; + END + ANTENNAGATEAREA 0.0492 ; + END SLEEP + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.1920 0.0300 ; + RECT 1.7990 0.0300 1.8490 0.9240 ; + RECT 1.4950 0.0300 1.5450 0.9240 ; + RECT 1.1910 0.0300 1.2410 0.9240 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.1920 3.3740 ; + RECT 0.8870 2.4880 0.9370 3.3140 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.2180 1.2370 0.2600 ; + RECT 1.1950 0.3100 1.2370 0.3520 ; + RECT 1.1950 0.4020 1.2370 0.4440 ; + RECT 1.1950 0.4940 1.2370 0.5360 ; + RECT 1.1950 0.5860 1.2370 0.6280 ; + RECT 1.1950 0.6780 1.2370 0.7200 ; + RECT 1.1950 0.7700 1.2370 0.8120 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.8030 0.2180 1.8450 0.2600 ; + RECT 1.8030 0.3100 1.8450 0.3520 ; + RECT 1.8030 0.4020 1.8450 0.4440 ; + RECT 1.8030 0.4940 1.8450 0.5360 ; + RECT 1.8030 0.5860 1.8450 0.6280 ; + RECT 1.8030 0.6780 1.8450 0.7200 ; + RECT 1.8030 0.7700 1.8450 0.8120 ; + RECT 1.8030 0.8620 1.8450 0.9040 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.8620 1.5410 0.9040 ; + RECT 1.1950 0.8620 1.2370 0.9040 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4990 0.7700 1.5410 0.8120 ; + RECT 1.4990 0.6780 1.5410 0.7200 ; + RECT 1.4990 0.5860 1.5410 0.6280 ; + RECT 1.4990 0.4940 1.5410 0.5360 ; + RECT 1.4990 0.4020 1.5410 0.4440 ; + RECT 1.4990 0.3100 1.5410 0.3520 ; + RECT 1.4990 0.2180 1.5410 0.2600 ; + RECT 0.8910 3.1520 0.9330 3.1940 ; + RECT 0.8910 2.5080 0.9330 2.5500 ; + RECT 0.8910 2.6000 0.9330 2.6420 ; + RECT 0.8910 2.6920 0.9330 2.7340 ; + RECT 0.8910 2.7840 0.9330 2.8260 ; + RECT 0.8910 2.8760 0.9330 2.9180 ; + RECT 0.8910 2.9680 0.9330 3.0100 ; + RECT 0.8910 3.0600 0.9330 3.1020 ; + END + END VDD + OBS + LAYER CO ; + RECT 0.7390 2.5080 0.7810 2.5500 ; + RECT 0.7390 2.6000 0.7810 2.6420 ; + RECT 0.7390 2.6920 0.7810 2.7340 ; + RECT 0.7390 2.7840 0.7810 2.8260 ; + RECT 0.7390 2.8760 0.7810 2.9180 ; + RECT 0.7390 2.9680 0.7810 3.0100 ; + RECT 0.7390 3.0600 0.7810 3.1020 ; + RECT 0.7390 3.1520 0.7810 3.1940 ; + RECT 2.2590 1.7790 2.3010 1.8210 ; + RECT 2.0310 1.9430 2.0730 1.9850 ; + RECT 1.8790 1.9430 1.9210 1.9850 ; + RECT 1.7270 1.9430 1.7690 1.9850 ; + RECT 1.5750 1.9430 1.6170 1.9850 ; + RECT 1.2710 1.9430 1.3130 1.9850 ; + RECT 1.4230 1.9430 1.4650 1.9850 ; + RECT 0.7390 1.7790 0.7810 1.8210 ; + RECT 0.9670 1.9430 1.0090 1.9850 ; + RECT 1.1190 1.9430 1.1610 1.9850 ; + LAYER NWELL ; + RECT -0.1150 2.3510 3.3070 3.4450 ; + RECT 0.2310 1.8970 2.9610 2.3510 ; + RECT 0.2310 1.0520 0.5940 1.8970 ; + RECT 2.5640 1.0520 2.9610 1.8970 ; + RECT 0.2310 0.9930 2.9610 1.0520 ; + RECT -0.1150 -0.1010 3.3070 0.9930 ; + LAYER M1 ; + RECT 2.2550 1.7590 2.3050 1.9640 ; + RECT 0.7600 1.9390 2.4000 1.9890 ; + RECT 0.6690 1.9390 0.7840 1.9890 ; + RECT 0.7350 1.7590 0.7850 1.9730 ; + RECT 0.6690 1.9890 0.7190 2.2640 ; + RECT 0.6690 2.2640 0.7850 2.3140 ; + RECT 0.7350 2.2640 0.7850 3.2140 ; + LAYER PO ; + RECT 1.1250 0.0510 1.1550 0.9710 ; + RECT 0.6690 2.3730 0.6990 3.2930 ; + RECT 1.2770 0.0510 1.3070 1.9790 ; + RECT 0.6690 1.3510 0.6990 1.8920 ; + RECT 0.8210 0.0510 0.8510 0.9710 ; + RECT 0.8210 1.3510 0.8510 3.2930 ; + RECT 1.1250 1.3510 1.1550 1.9790 ; + RECT 0.9730 1.3510 1.0030 1.9790 ; + RECT 1.4290 0.0510 1.4590 1.9790 ; + RECT 0.9730 0.0510 1.0030 0.9710 ; + RECT 3.1010 0.0510 3.1310 0.9710 ; + RECT 2.9490 0.0510 2.9790 0.9710 ; + RECT 2.7970 0.0510 2.8270 0.9710 ; + RECT 2.6450 0.0510 2.6750 0.9710 ; + RECT 2.4930 0.0510 2.5230 0.9710 ; + RECT 2.3410 0.0510 2.3710 0.9710 ; + RECT 2.1890 0.0510 2.2190 0.9710 ; + RECT 2.0370 0.0510 2.0670 0.9710 ; + RECT 0.0610 1.3510 0.0910 2.1670 ; + RECT 0.2130 1.3510 0.2430 2.1670 ; + RECT 0.3650 1.3510 0.3950 2.1670 ; + RECT 0.5170 1.3510 0.5470 2.1670 ; + RECT 3.1010 1.3510 3.1310 2.1670 ; + RECT 2.6450 1.3510 2.6750 2.1670 ; + RECT 2.7970 2.3730 2.8270 3.2930 ; + RECT 1.5810 0.0510 1.6110 1.9790 ; + RECT 1.7330 0.0510 1.7630 1.9790 ; + RECT 1.8850 0.0510 1.9150 0.9710 ; + RECT 1.8850 1.3510 1.9150 1.9790 ; + RECT 2.0370 1.3510 2.0670 1.9790 ; + RECT 2.7970 1.3510 2.8270 2.1670 ; + RECT 0.2130 0.0510 0.2430 0.9710 ; + RECT 2.6450 2.3730 2.6750 3.2930 ; + RECT 0.3650 2.3730 0.3950 3.2930 ; + RECT 3.1010 2.3730 3.1310 3.2930 ; + RECT 0.0610 2.3730 0.0910 3.2930 ; + RECT 0.0610 0.0510 0.0910 0.9710 ; + RECT 2.4930 1.3510 2.5230 2.1670 ; + RECT 2.9490 2.3730 2.9790 3.2930 ; + RECT 2.3410 1.3510 2.3710 2.1670 ; + RECT 2.4930 2.3730 2.5230 3.2930 ; + RECT 2.3410 2.3730 2.3710 3.2930 ; + RECT 0.5170 2.3730 0.5470 3.2930 ; + RECT 2.1890 1.3510 2.2190 2.1670 ; + RECT 2.1890 2.3730 2.2190 3.2930 ; + RECT 0.2130 2.3730 0.2430 3.2930 ; + RECT 0.3650 0.0510 0.3950 0.9710 ; + RECT 2.0370 2.3730 2.0670 3.2930 ; + RECT 1.8850 2.3730 1.9150 3.2930 ; + RECT 0.5170 0.0510 0.5470 0.9710 ; + RECT 1.7330 2.3730 1.7630 3.2930 ; + RECT 1.5810 2.3730 1.6110 3.2930 ; + RECT 0.6690 0.0510 0.6990 0.9710 ; + RECT 1.4290 2.3730 1.4590 3.2930 ; + RECT 1.2770 2.3730 1.3070 3.2930 ; + RECT 1.1250 2.3730 1.1550 3.2930 ; + RECT 2.9490 1.3510 2.9790 2.1670 ; + RECT 0.9730 2.3730 1.0030 3.2930 ; + END +END FOOT2X4_HVT + +MACRO FOOT2X8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN SLEEPOUT + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.1670 1.2710 3.2170 1.4830 ; + RECT 3.1370 1.2000 3.2470 1.2710 ; + RECT 2.8630 1.2000 2.9130 1.4830 ; + RECT 2.8630 0.1980 2.9130 1.1500 ; + RECT 1.6470 1.2000 1.6970 1.4830 ; + RECT 1.6470 0.1980 1.6970 1.1500 ; + RECT 1.3430 1.2000 1.3930 1.4830 ; + RECT 1.3430 0.1980 1.3930 1.1500 ; + RECT 1.3430 1.1500 3.2170 1.1610 ; + RECT 3.1670 0.1980 3.2170 1.1500 ; + RECT 1.3430 1.1610 3.2470 1.2000 ; + END + PORT + LAYER CO ; + RECT 3.1710 0.8620 3.2130 0.9040 ; + RECT 3.1710 0.2180 3.2130 0.2600 ; + RECT 3.1710 0.3100 3.2130 0.3520 ; + RECT 3.1710 0.4020 3.2130 0.4440 ; + RECT 3.1710 0.4940 3.2130 0.5360 ; + RECT 3.1710 0.5860 3.2130 0.6280 ; + RECT 3.1710 0.6780 3.2130 0.7200 ; + RECT 3.1710 0.7700 3.2130 0.8120 ; + RECT 3.1710 1.4210 3.2130 1.4630 ; + RECT 2.8670 0.8620 2.9090 0.9040 ; + RECT 2.8670 0.2180 2.9090 0.2600 ; + RECT 2.8670 0.3100 2.9090 0.3520 ; + RECT 2.8670 0.4020 2.9090 0.4440 ; + RECT 2.8670 0.4940 2.9090 0.5360 ; + RECT 2.8670 0.5860 2.9090 0.6280 ; + RECT 2.8670 0.6780 2.9090 0.7200 ; + RECT 2.8670 0.7700 2.9090 0.8120 ; + RECT 2.8670 1.4210 2.9090 1.4630 ; + RECT 1.3470 0.8620 1.3890 0.9040 ; + RECT 1.6510 0.8620 1.6930 0.9040 ; + RECT 1.6510 0.2180 1.6930 0.2600 ; + RECT 1.6510 0.3100 1.6930 0.3520 ; + RECT 1.6510 0.4020 1.6930 0.4440 ; + RECT 1.6510 0.4940 1.6930 0.5360 ; + RECT 1.6510 0.5860 1.6930 0.6280 ; + RECT 1.6510 0.6780 1.6930 0.7200 ; + RECT 1.6510 0.7700 1.6930 0.8120 ; + RECT 1.6510 1.4210 1.6930 1.4630 ; + RECT 1.3470 0.2180 1.3890 0.2600 ; + RECT 1.3470 0.3100 1.3890 0.3520 ; + RECT 1.3470 0.4020 1.3890 0.4440 ; + RECT 1.3470 0.4940 1.3890 0.5360 ; + RECT 1.3470 0.5860 1.3890 0.6280 ; + RECT 1.3470 0.6780 1.3890 0.7200 ; + RECT 1.3470 0.7700 1.3890 0.8120 ; + RECT 1.3470 1.4210 1.3890 1.4630 ; + END + ANTENNADIFFAREA 0.5952 ; + END SLEEPOUT + + PIN VSSG + DIRECTION INPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.7500 1.1610 0.9940 1.2710 ; + RECT 0.8860 1.2710 0.9360 1.5360 ; + RECT 0.8710 1.5360 3.6890 1.5920 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.5430 3.6690 1.5850 ; + RECT 3.3230 1.5430 3.3650 1.5850 ; + RECT 3.0190 1.5430 3.0610 1.5850 ; + RECT 2.7150 1.5430 2.7570 1.5850 ; + RECT 0.8980 1.1940 0.9400 1.2360 ; + RECT 0.8000 1.1940 0.8420 1.2360 ; + RECT 1.4990 1.5430 1.5410 1.5850 ; + RECT 2.4110 1.5430 2.4530 1.5850 ; + RECT 2.1070 1.5430 2.1490 1.5850 ; + RECT 1.8030 1.5430 1.8450 1.5850 ; + RECT 1.1950 1.5430 1.2370 1.5850 ; + RECT 0.8910 1.5430 0.9330 1.5850 ; + END + END VSSG + + PIN VSS + DIRECTION OUTPUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 2.5590 1.7020 2.6090 1.8320 ; + RECT 3.4710 1.7020 3.5210 1.8320 ; + RECT 1.9510 1.7020 2.0010 1.8320 ; + RECT 1.0390 1.7020 1.0890 1.8320 ; + END + PORT + LAYER CO ; + RECT 3.4750 1.7700 3.5170 1.8120 ; + RECT 2.5630 1.7700 2.6050 1.8120 ; + RECT 1.9550 1.7700 1.9970 1.8120 ; + RECT 1.0430 1.7700 1.0850 1.8120 ; + END + END VSS + + PIN SLEEP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8050 2.1060 3.7710 2.1560 ; + RECT 0.8050 2.1560 0.9680 2.1830 ; + RECT 0.8050 2.0730 0.9680 2.1060 ; + END + PORT + LAYER CO ; + RECT 2.1830 2.1100 2.2250 2.1520 ; + RECT 3.7030 2.1100 3.7450 2.1520 ; + RECT 0.8150 2.1100 0.8570 2.1520 ; + RECT 2.3350 2.1100 2.3770 2.1520 ; + END + ANTENNAGATEAREA 0.0744 ; + END SLEEP + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 2.7110 0.0300 2.7610 0.9240 ; + RECT 3.0150 0.0300 3.0650 0.9240 ; + RECT 3.3190 0.0300 3.3690 0.9240 ; + RECT 1.7990 0.0300 1.8490 0.9240 ; + RECT 1.4950 0.0300 1.5450 0.9240 ; + RECT 1.1910 0.0300 1.2410 0.9240 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 4.5600 3.3740 ; + RECT 0.8870 2.4880 0.9370 3.3140 ; + END + PORT + LAYER CO ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 3.8550 3.3230 3.8970 3.3650 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 4.3110 3.3230 4.3530 3.3650 ; + RECT 3.7030 3.3230 3.7450 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 4.4630 3.3230 4.5050 3.3650 ; + RECT 4.0070 3.3230 4.0490 3.3650 ; + RECT 3.3230 0.2180 3.3650 0.2600 ; + RECT 3.3230 0.3100 3.3650 0.3520 ; + RECT 3.3230 0.4020 3.3650 0.4440 ; + RECT 3.3230 0.4940 3.3650 0.5360 ; + RECT 3.3230 0.5860 3.3650 0.6280 ; + RECT 3.3230 0.6780 3.3650 0.7200 ; + RECT 3.3230 0.7700 3.3650 0.8120 ; + RECT 3.3230 0.8620 3.3650 0.9040 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 3.0190 0.7700 3.0610 0.8120 ; + RECT 3.0190 0.6780 3.0610 0.7200 ; + RECT 3.0190 0.5860 3.0610 0.6280 ; + RECT 3.0190 0.4940 3.0610 0.5360 ; + RECT 3.0190 0.4020 3.0610 0.4440 ; + RECT 3.0190 0.3100 3.0610 0.3520 ; + RECT 3.0190 0.2180 3.0610 0.2600 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.7150 0.8620 2.7570 0.9040 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.7150 0.7700 2.7570 0.8120 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.4990 0.7700 1.5410 0.8120 ; + RECT 1.4990 0.6780 1.5410 0.7200 ; + RECT 1.4990 0.5860 1.5410 0.6280 ; + RECT 1.4990 0.4940 1.5410 0.5360 ; + RECT 1.4990 0.4020 1.5410 0.4440 ; + RECT 1.4990 0.3100 1.5410 0.3520 ; + RECT 1.4990 0.2180 1.5410 0.2600 ; + RECT 0.8910 3.1520 0.9330 3.1940 ; + RECT 0.8910 2.5080 0.9330 2.5500 ; + RECT 0.8910 2.6000 0.9330 2.6420 ; + RECT 0.8910 2.6920 0.9330 2.7340 ; + RECT 0.8910 2.7840 0.9330 2.8260 ; + RECT 0.8910 2.8760 0.9330 2.9180 ; + RECT 0.8910 2.9680 0.9330 3.0100 ; + RECT 0.8910 3.0600 0.9330 3.1020 ; + RECT 3.0190 0.8620 3.0610 0.9040 ; + RECT 2.7150 0.2180 2.7570 0.2600 ; + RECT 2.7150 0.3100 2.7570 0.3520 ; + RECT 2.7150 0.4020 2.7570 0.4440 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.1590 3.3230 4.2010 3.3650 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.8030 0.2180 1.8450 0.2600 ; + RECT 1.8030 0.3100 1.8450 0.3520 ; + RECT 1.8030 0.4020 1.8450 0.4440 ; + RECT 1.8030 0.4940 1.8450 0.5360 ; + RECT 1.8030 0.5860 1.8450 0.6280 ; + RECT 1.8030 0.6780 1.8450 0.7200 ; + RECT 1.8030 0.7700 1.8450 0.8120 ; + RECT 1.8030 0.8620 1.8450 0.9040 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.1950 0.2180 1.2370 0.2600 ; + RECT 1.1950 0.3100 1.2370 0.3520 ; + RECT 1.1950 0.4020 1.2370 0.4440 ; + RECT 1.1950 0.4940 1.2370 0.5360 ; + RECT 1.1950 0.5860 1.2370 0.6280 ; + RECT 1.1950 0.6780 1.2370 0.7200 ; + RECT 1.1950 0.7700 1.2370 0.8120 ; + RECT 2.7150 0.6780 2.7570 0.7200 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4990 0.8620 1.5410 0.9040 ; + RECT 2.7150 0.5860 2.7570 0.6280 ; + RECT 1.1950 0.8620 1.2370 0.9040 ; + RECT 2.7150 0.4940 2.7570 0.5360 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VDD + OBS + LAYER CO ; + RECT 3.0950 1.9430 3.1370 1.9850 ; + RECT 3.2470 1.9430 3.2890 1.9850 ; + RECT 2.9430 1.9430 2.9850 1.9850 ; + RECT 2.7910 1.9430 2.8330 1.9850 ; + RECT 3.3990 1.9430 3.4410 1.9850 ; + RECT 3.5510 1.9430 3.5930 1.9850 ; + RECT 3.7790 1.7790 3.8210 1.8210 ; + RECT 0.7390 1.7790 0.7810 1.8210 ; + RECT 0.9670 1.9430 1.0090 1.9850 ; + RECT 1.1190 1.9430 1.1610 1.9850 ; + RECT 0.7390 2.5080 0.7810 2.5500 ; + RECT 0.7390 2.6000 0.7810 2.6420 ; + RECT 0.7390 2.6920 0.7810 2.7340 ; + RECT 0.7390 2.7840 0.7810 2.8260 ; + RECT 0.7390 2.8760 0.7810 2.9180 ; + RECT 0.7390 2.9680 0.7810 3.0100 ; + RECT 0.7390 3.0600 0.7810 3.1020 ; + RECT 0.7390 3.1520 0.7810 3.1940 ; + RECT 2.6390 1.9430 2.6810 1.9850 ; + RECT 2.4870 1.9430 2.5290 1.9850 ; + RECT 2.2590 1.7790 2.3010 1.8210 ; + RECT 2.0310 1.9430 2.0730 1.9850 ; + RECT 1.8790 1.9430 1.9210 1.9850 ; + RECT 1.7270 1.9430 1.7690 1.9850 ; + RECT 1.5750 1.9430 1.6170 1.9850 ; + RECT 1.2710 1.9430 1.3130 1.9850 ; + RECT 1.4230 1.9430 1.4650 1.9850 ; + LAYER NWELL ; + RECT -0.1100 2.3510 4.6300 3.4450 ; + RECT 0.2330 1.8970 4.3290 2.3510 ; + RECT 0.2330 1.0520 0.6010 1.8970 ; + RECT 3.9100 1.0520 4.3290 1.8970 ; + RECT 0.2330 0.9930 4.3290 1.0520 ; + RECT -0.0990 -0.1010 4.6300 0.9930 ; + LAYER M1 ; + RECT 2.1600 1.9390 3.8000 1.9890 ; + RECT 3.7750 1.7590 3.8250 1.9890 ; + RECT 2.2550 1.7590 2.3050 1.9640 ; + RECT 0.7600 1.9390 2.4000 1.9890 ; + RECT 0.6690 1.9390 0.7840 1.9890 ; + RECT 0.7350 1.7590 0.7850 1.9640 ; + RECT 0.6690 1.9890 0.7190 2.2640 ; + RECT 0.6690 2.2640 0.7850 2.3140 ; + RECT 0.7350 2.2640 0.7850 3.2140 ; + LAYER PO ; + RECT 1.5810 2.3730 1.6110 3.2930 ; + RECT 2.9490 0.0510 2.9790 1.9790 ; + RECT 3.1010 0.0510 3.1310 1.9790 ; + RECT 2.7970 0.0510 2.8270 1.9790 ; + RECT 3.2530 0.0510 3.2830 1.9790 ; + RECT 2.6450 0.0510 2.6750 0.9710 ; + RECT 3.4050 0.0510 3.4350 0.9710 ; + RECT 2.3410 1.3510 2.3710 2.1670 ; + RECT 2.6450 1.3510 2.6750 1.9790 ; + RECT 2.4930 1.3510 2.5230 1.9790 ; + RECT 3.4050 1.3510 3.4350 1.9790 ; + RECT 3.5570 1.3510 3.5870 1.9790 ; + RECT 3.8610 1.3510 3.8910 1.8920 ; + RECT 1.4290 2.3730 1.4590 3.2930 ; + RECT 1.2770 2.3730 1.3070 3.2930 ; + RECT 1.1250 2.3730 1.1550 3.2930 ; + RECT 2.3410 2.3730 2.3710 3.2930 ; + RECT 2.1890 2.3730 2.2190 3.2930 ; + RECT 2.0370 2.3730 2.0670 3.2930 ; + RECT 1.8850 2.3730 1.9150 3.2930 ; + RECT 1.5810 0.0510 1.6110 1.9790 ; + RECT 1.7330 0.0510 1.7630 1.9790 ; + RECT 1.8850 0.0510 1.9150 0.9710 ; + RECT 1.8850 1.3510 1.9150 1.9790 ; + RECT 2.0370 1.3510 2.0670 1.9790 ; + RECT 2.4930 2.3730 2.5230 3.2930 ; + RECT 3.7090 1.3510 3.7390 2.1670 ; + RECT 2.1890 1.3510 2.2190 2.1670 ; + RECT 2.9490 2.3730 2.9790 3.2930 ; + RECT 2.7970 2.3730 2.8270 3.2930 ; + RECT 2.6450 2.3730 2.6750 3.2930 ; + RECT 3.2530 2.3730 3.2830 3.2930 ; + RECT 3.1010 2.3730 3.1310 3.2930 ; + RECT 0.9730 2.3730 1.0030 3.2930 ; + RECT 1.4290 0.0510 1.4590 1.9790 ; + RECT 1.1250 0.0510 1.1550 0.9710 ; + RECT 0.6690 2.3730 0.6990 3.2930 ; + RECT 1.2770 0.0510 1.3070 1.9790 ; + RECT 0.6690 1.3510 0.6990 1.8920 ; + RECT 0.8210 1.3510 0.8510 3.2930 ; + RECT 1.1250 1.3510 1.1550 1.9790 ; + RECT 0.9730 1.3510 1.0030 1.9790 ; + RECT 4.0130 1.3510 4.0430 1.8920 ; + RECT 4.1650 1.3510 4.1950 1.8920 ; + RECT 4.3170 1.3510 4.3470 1.8920 ; + RECT 4.4690 1.3510 4.4990 1.8920 ; + RECT 0.0610 1.3510 0.0910 1.8920 ; + RECT 0.2130 1.3510 0.2430 1.8920 ; + RECT 0.3650 1.3510 0.3950 1.8920 ; + RECT 0.5170 1.3510 0.5470 1.8920 ; + RECT 0.2130 0.0510 0.2430 0.9710 ; + RECT 0.0610 0.0510 0.0910 0.9710 ; + RECT 0.3650 0.0510 0.3950 0.9710 ; + RECT 0.9730 0.0510 1.0030 0.9710 ; + RECT 0.8210 0.0510 0.8510 0.9710 ; + RECT 0.6690 0.0510 0.6990 0.9710 ; + RECT 0.5170 0.0510 0.5470 0.9710 ; + RECT 2.4930 0.0510 2.5230 0.9710 ; + RECT 2.1890 0.0510 2.2190 0.9710 ; + RECT 2.3410 0.0510 2.3710 0.9710 ; + RECT 2.0370 0.0510 2.0670 0.9710 ; + RECT 4.3170 0.0510 4.3470 0.9710 ; + RECT 4.4690 0.0510 4.4990 0.9710 ; + RECT 4.1650 0.0510 4.1950 0.9710 ; + RECT 4.0130 0.0510 4.0430 0.9710 ; + RECT 3.8610 0.0510 3.8910 0.9710 ; + RECT 3.7090 0.0510 3.7390 0.9710 ; + RECT 3.5570 0.0510 3.5870 0.9710 ; + RECT 4.4690 2.3730 4.4990 3.2930 ; + RECT 4.3170 2.3730 4.3470 3.2930 ; + RECT 4.1650 2.3730 4.1950 3.2930 ; + RECT 3.4050 2.3730 3.4350 3.2930 ; + RECT 3.5570 2.3730 3.5870 3.2930 ; + RECT 3.7090 2.3730 3.7390 3.2930 ; + RECT 3.8610 2.3730 3.8910 3.2930 ; + RECT 4.0130 2.3730 4.0430 3.2930 ; + RECT 0.5170 2.3730 0.5470 3.2930 ; + RECT 0.3650 2.3730 0.3950 3.2930 ; + RECT 0.2130 2.3730 0.2430 3.2930 ; + RECT 1.7330 2.3730 1.7630 3.2930 ; + RECT 0.0610 2.3730 0.0910 3.2930 ; + END +END FOOT2X8_HVT + +MACRO DFFNARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.9990 0.3300 3.5370 0.3800 ; + RECT 1.9510 0.1990 2.1760 0.2490 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.7750 0.0300 3.8250 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.0010 0.3370 ; + RECT 1.9510 0.2490 2.0010 0.2870 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.0190 0.3340 3.0610 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.2030 2.1490 0.2450 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 1.1610 4.1590 1.2210 ; + RECT 3.9110 0.1540 4.1590 0.2040 ; + RECT 3.9270 1.2210 4.1590 1.2710 ; + RECT 3.9270 1.2710 3.9770 1.5460 ; + RECT 4.1090 0.2040 4.1590 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 1.9340 1.2780 2.1770 1.3280 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.7750 0.9470 3.8250 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 0.7350 1.0980 0.7850 1.3140 ; + RECT 0.8870 1.1110 0.9370 1.3140 ; + RECT 0.4330 1.3640 0.4830 1.6420 ; + RECT 0.4340 1.3140 0.9370 1.3440 ; + RECT 0.4330 1.3440 0.9370 1.3640 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.2240 0.9330 1.2660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 1.2100 0.7810 1.2520 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.1320 0.9330 1.1740 ; + RECT 0.7390 1.1180 0.7810 1.1600 ; + RECT 0.7390 1.3020 0.7810 1.3440 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7230 0.0880 2.8530 0.1380 ; + RECT 2.7710 0.1380 2.8530 0.1640 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8970 1.0090 4.0070 1.1190 ; + RECT 3.6230 0.1480 3.6730 0.3090 ; + RECT 3.6230 0.3090 4.0070 0.3590 ; + RECT 3.6230 0.8540 3.6730 1.5460 ; + RECT 3.9570 0.8540 4.0070 1.0090 ; + RECT 3.6230 0.8040 4.0070 0.8540 ; + RECT 3.9570 0.3590 4.0070 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 0.1950 3.6690 0.2370 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.2870 3.6690 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 3.1710 1.2120 3.2130 1.2540 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.7900 1.9210 0.8320 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.0190 0.9820 3.0610 1.0240 ; + RECT 2.0310 1.5220 2.0730 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.0950 1.4330 3.1370 1.4750 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 2.9430 0.7700 2.9850 0.8120 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.3350 0.6410 2.3770 0.6830 ; + RECT 3.0950 0.1200 3.1370 0.1620 ; + RECT 2.4870 0.1900 2.5290 0.2320 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.2590 1.1640 2.3010 1.2060 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 3.3990 1.4320 3.4410 1.4740 ; + RECT 2.7150 0.9820 2.7570 1.0240 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.5320 2.5290 1.5740 ; + RECT 2.2590 0.4240 2.3010 0.4660 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.7150 0.5420 2.7570 0.5840 ; + RECT 2.0310 0.5440 2.0730 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.3710 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2490 0.2300 3.1410 0.2800 ; + RECT 3.0910 0.0880 3.1410 0.2300 ; + RECT 2.0980 0.3140 2.2990 0.3640 ; + RECT 1.8590 0.5400 2.1480 0.5900 ; + RECT 2.4670 0.1880 2.5490 0.2300 ; + RECT 2.2490 0.2800 2.2990 0.3140 ; + RECT 2.0980 0.3640 2.1480 0.5400 ; + RECT 1.3430 0.6400 2.2450 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 2.5590 0.4380 3.9010 0.4880 ; + RECT 3.5110 0.7040 3.9010 0.7540 ; + RECT 3.8510 0.4880 3.9010 0.7040 ; + RECT 3.1510 1.2080 3.5610 1.2580 ; + RECT 2.5590 0.4880 2.6090 1.1650 ; + RECT 2.8620 0.4880 2.9120 0.7660 ; + RECT 2.8620 0.7660 3.0050 0.8160 ; + RECT 3.5110 0.7540 3.5610 1.2080 ; + RECT 1.8590 0.7860 2.3810 0.8360 ; + RECT 2.3310 0.6210 2.3810 0.7860 ; + RECT 3.0550 0.6040 3.7650 0.6540 ; + RECT 2.6940 0.9780 3.1050 1.0280 ; + RECT 2.6940 0.5380 2.8010 0.5880 ; + RECT 3.0550 0.6540 3.1050 0.9780 ; + RECT 2.6940 0.5880 2.7440 0.9780 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.4070 0.5050 2.4970 0.5550 ; + RECT 2.2240 0.4200 2.4570 0.4700 ; + RECT 2.2550 1.1010 2.3050 1.3080 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 2.4470 0.5550 2.4970 1.0010 ; + RECT 2.4070 0.4700 2.4570 0.5050 ; + RECT 2.4070 0.3710 2.4570 0.4200 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7830 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.0740 1.4290 3.4610 1.4790 ; + RECT 3.2380 0.7090 3.4610 0.7590 ; + RECT 3.2380 0.7590 3.2880 1.0990 ; + RECT 2.6830 1.0990 3.2880 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.5280 2.5570 1.5780 ; + RECT 2.5070 1.3220 2.5570 1.5280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + RECT 1.0850 0.0960 1.4910 0.1460 ; + LAYER PO ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.7580 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6180 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 2.4930 0.8250 2.5230 1.6060 ; + END +END DFFNARX1_HVT + +MACRO DFFNARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7750 0.8040 4.3210 0.8540 ; + RECT 3.7750 0.1480 3.8250 0.4010 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 3.7750 0.4010 4.3210 0.4510 ; + RECT 4.2710 0.5110 4.3210 0.8040 ; + RECT 4.2010 0.4510 4.3210 0.5110 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 0.2870 3.8210 0.3290 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 0.2490 4.4630 0.2700 ; + RECT 4.3530 0.3200 4.4630 0.3590 ; + RECT 4.0790 0.1480 4.1290 0.2700 ; + RECT 4.0790 0.2700 4.4630 0.3200 ; + RECT 4.0790 0.9180 4.4450 0.9680 ; + RECT 4.0790 0.9680 4.1290 1.5460 ; + RECT 4.3950 0.3590 4.4450 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.9990 0.3300 3.5370 0.3800 ; + RECT 1.9510 0.1990 2.1760 0.2490 ; + RECT 4.2310 0.0300 4.2810 0.2200 ; + RECT 3.9270 0.0300 3.9770 0.3190 ; + RECT 3.6230 0.0300 3.6730 0.4080 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.0010 0.3370 ; + RECT 1.9510 0.2490 2.0010 0.2870 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0190 0.3340 3.0610 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1070 0.2030 2.1490 0.2450 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 1.9340 1.2780 2.1770 1.3280 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.6230 0.9120 3.6730 1.6420 ; + RECT 4.2310 1.0520 4.2810 1.6420 ; + RECT 3.9270 0.9600 3.9770 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 0.7350 1.0980 0.7850 1.3140 ; + RECT 0.8870 1.1110 0.9370 1.3140 ; + RECT 0.4330 1.3640 0.4830 1.6420 ; + RECT 0.4340 1.3140 0.9370 1.3440 ; + RECT 0.4330 1.3440 0.9370 1.3640 ; + END + PORT + LAYER CO ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.2240 0.9330 1.2660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 1.2100 0.7810 1.2520 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 0.8910 1.1320 0.9330 1.1740 ; + RECT 0.7390 1.1180 0.7810 1.1600 ; + RECT 0.7390 1.3020 0.7810 1.3440 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.9310 1.4400 3.9730 1.4820 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.9310 0.9800 3.9730 1.0220 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7230 0.0880 2.8530 0.1380 ; + RECT 2.7710 0.1380 2.8530 0.1640 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.7900 1.9210 0.8320 ; + RECT 3.1710 0.5070 3.2130 0.5490 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.0190 0.9820 3.0610 1.0240 ; + RECT 2.0310 1.5220 2.0730 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.2590 1.1640 2.3010 1.2060 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 3.3990 1.4320 3.4410 1.4740 ; + RECT 2.7150 0.9820 2.7570 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.0950 1.4330 3.1370 1.4750 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 2.9430 0.7700 2.9850 0.8120 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.3350 0.6410 2.3770 0.6830 ; + RECT 3.0950 0.1200 3.1370 0.1620 ; + RECT 2.4870 0.1900 2.5290 0.2320 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.5320 2.5290 1.5740 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 3.1710 1.2120 3.2130 1.2540 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 2.2590 0.4240 2.3010 0.4660 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.7150 0.5420 2.7570 0.5840 ; + RECT 2.0310 0.5440 2.0730 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2490 0.2300 3.1410 0.2800 ; + RECT 3.0910 0.0880 3.1410 0.2300 ; + RECT 2.0980 0.3140 2.2990 0.3640 ; + RECT 1.8590 0.5400 2.1480 0.5900 ; + RECT 2.4670 0.1880 2.5490 0.2300 ; + RECT 2.2490 0.2800 2.2990 0.3140 ; + RECT 2.0980 0.3640 2.1480 0.5400 ; + RECT 1.3430 0.6400 2.2450 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 3.9840 0.6040 4.2210 0.6540 ; + RECT 2.5590 0.4380 2.9120 0.4880 ; + RECT 3.1510 1.2080 3.5610 1.2580 ; + RECT 2.5590 0.4880 2.6090 1.1650 ; + RECT 2.8620 0.4880 2.9120 0.5030 ; + RECT 2.8620 0.5530 2.9120 0.7660 ; + RECT 2.8620 0.7660 3.0050 0.8160 ; + RECT 3.5110 0.7540 3.5610 1.2080 ; + RECT 2.8620 0.5030 4.0340 0.5530 ; + RECT 3.9840 0.5530 4.0340 0.6040 ; + RECT 3.9840 0.6540 4.0340 0.7040 ; + RECT 3.5110 0.7040 4.0340 0.7540 ; + RECT 1.8590 0.7860 2.3810 0.8360 ; + RECT 2.3310 0.6210 2.3810 0.7860 ; + RECT 2.4070 0.5050 2.4970 0.5550 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.2240 0.4200 2.4570 0.4700 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 2.4470 0.5550 2.4970 1.0010 ; + RECT 2.4070 0.4700 2.4570 0.5050 ; + RECT 2.4070 0.3710 2.4570 0.4200 ; + RECT 2.2550 1.1010 2.3050 1.3080 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 1.0850 0.0960 1.4910 0.1460 ; + RECT 3.0550 0.6040 3.9170 0.6540 ; + RECT 2.6940 0.9780 3.1050 1.0280 ; + RECT 2.6940 0.5380 2.8010 0.5880 ; + RECT 3.0550 0.6540 3.1050 0.9780 ; + RECT 2.6940 0.5880 2.7440 0.9780 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7830 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.0740 1.4290 3.4610 1.4790 ; + RECT 3.2380 0.7090 3.4610 0.7590 ; + RECT 3.2380 0.7590 3.2880 1.0990 ; + RECT 2.6830 1.0990 3.2880 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.5280 2.5570 1.5780 ; + RECT 2.5070 1.3220 2.5570 1.5280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.7580 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6180 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.4930 0.8250 2.5230 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + END +END DFFNARX2_HVT + +MACRO DFFNASRNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.9270 0.0300 3.9770 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + END + PORT + LAYER CO ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 0.8570 4.1590 0.9670 ; + RECT 4.0630 0.1540 4.1530 0.2040 ; + RECT 4.0790 1.2710 4.1290 1.5460 ; + RECT 4.0790 1.2210 4.1530 1.2710 ; + RECT 4.1030 0.2040 4.1530 0.8570 ; + RECT 4.1030 0.9670 4.1530 1.2210 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.9270 0.9470 3.9770 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1100 2.9850 0.1520 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.8860 1.9210 0.9280 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6880 2.2250 0.7300 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 3.3230 0.4420 3.3650 0.4840 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 2.4870 0.6170 2.5290 0.6590 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.0310 0.5880 2.0730 0.6300 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7730 ; + LAYER M1 ; + RECT 1.8590 0.8820 2.5330 0.9320 ; + RECT 2.4830 0.5970 2.5330 0.8820 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5840 2.3450 0.6340 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5840 ; + RECT 1.3430 0.6840 2.2450 0.7340 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7340 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6840 ; + RECT 3.8150 0.7040 4.0530 0.7540 ; + RECT 2.7110 0.4380 4.0530 0.4880 ; + RECT 4.0030 0.4880 4.0530 0.7040 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 3.2070 0.6040 3.4610 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.8540 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 1.8850 0.0680 1.9150 0.6620 ; + END +END DFFNASRNX1_HVT + +MACRO DFFNASRNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 3.9270 0.0300 3.9770 0.5060 ; + RECT 4.2310 0.0300 4.2810 0.3120 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.9310 0.4340 3.9730 0.4760 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 3.9310 0.4340 3.9730 0.4760 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 0.7650 4.4630 0.8150 ; + RECT 4.0790 0.8150 4.1290 1.5460 ; + RECT 4.0790 0.1380 4.1290 0.4630 ; + RECT 4.0790 0.4630 4.3590 0.5130 ; + RECT 4.3090 0.7050 4.4630 0.7650 ; + RECT 4.3090 0.5130 4.3590 0.7050 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 0.3420 4.1250 0.3840 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 4.0830 0.4340 4.1250 0.4760 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 4.0830 0.4340 4.1250 0.4760 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.3420 4.1250 0.3840 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.9270 0.9220 3.9770 1.6420 ; + RECT 4.2310 0.9220 4.2810 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 4.2350 0.9420 4.2770 0.9840 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 3.9310 1.0340 3.9730 1.0760 ; + RECT 3.9310 1.0340 3.9730 1.0760 ; + RECT 3.9310 1.2180 3.9730 1.2600 ; + RECT 3.9310 0.9420 3.9730 0.9840 ; + RECT 3.9310 0.9420 3.9730 0.9840 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 4.2350 0.9420 4.2770 0.9840 ; + RECT 4.2350 1.4940 4.2770 1.5360 ; + RECT 4.2350 1.2180 4.2770 1.2600 ; + RECT 4.2350 1.3100 4.2770 1.3520 ; + RECT 4.2350 1.3100 4.2770 1.3520 ; + RECT 4.2350 1.2180 4.2770 1.2600 ; + RECT 4.2350 1.0340 4.2770 1.0760 ; + RECT 4.2350 1.0340 4.2770 1.0760 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.2350 1.4020 4.2770 1.4440 ; + RECT 4.2350 1.4940 4.2770 1.5360 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 4.2350 1.4020 4.2770 1.4440 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.9310 1.4020 3.9730 1.4440 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.9310 1.3100 3.9730 1.3520 ; + RECT 3.9310 1.4940 3.9730 1.5360 ; + RECT 3.9310 1.4020 3.9730 1.4440 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.9310 1.3100 3.9730 1.3520 ; + RECT 3.9310 1.4940 3.9730 1.5360 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.9310 1.2180 3.9730 1.2600 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.1830 0.6880 2.2250 0.7300 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 3.3230 0.4420 3.3650 0.4840 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 2.4870 0.6170 2.5290 0.6590 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.0310 0.5880 2.0730 0.6300 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.8860 1.9210 0.9280 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.8590 0.8820 2.5330 0.9320 ; + RECT 2.4830 0.5970 2.5330 0.8820 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5840 2.3450 0.6340 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5840 ; + RECT 1.3430 0.6840 2.2450 0.7340 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7340 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6840 ; + RECT 3.8150 0.6040 4.2210 0.6540 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 2.7110 0.4380 3.8650 0.4880 ; + RECT 3.8150 0.6540 3.8650 1.1080 ; + RECT 3.8150 0.4880 3.8650 0.6040 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2070 0.6040 3.4610 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.8540 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 1.8850 0.0680 1.9150 0.6620 ; + END +END DFFNASRNX2_HVT + +MACRO DFFNASRQX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 4.0790 0.0300 4.1290 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 4.0790 0.9470 4.1290 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1110 2.9850 0.1530 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.2010 1.0090 4.3110 1.1190 ; + RECT 3.9270 0.8040 4.2690 0.8540 ; + RECT 3.9270 0.3090 4.2690 0.3590 ; + RECT 4.2190 0.8540 4.2690 1.0090 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 3.9270 0.1480 3.9770 0.3090 ; + RECT 4.2190 0.3590 4.2690 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.2870 3.9730 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 3.3230 0.4420 3.3650 0.4840 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.8790 0.5930 1.9210 0.6350 ; + RECT 2.0310 0.5930 2.0730 0.6350 ; + RECT 2.1830 0.6930 2.2250 0.7350 ; + RECT 1.8790 0.8910 1.9210 0.9330 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.4870 0.6220 2.5290 0.6640 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5890 2.3450 0.6390 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5890 ; + RECT 1.3430 0.6890 2.2450 0.7390 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 0.4840 1.3930 0.6890 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7390 1.3930 1.1580 ; + RECT 3.8150 0.7040 4.1690 0.7540 ; + RECT 2.7110 0.4380 4.1690 0.4880 ; + RECT 4.1190 0.4880 4.1690 0.7040 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 1.8590 0.8870 2.5330 0.9370 ; + RECT 2.4830 0.6020 2.5330 0.8870 ; + RECT 3.2070 0.6040 4.0690 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 1.8850 0.8530 1.9150 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6610 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + END +END DFFNASRQX1_HVT + +MACRO DFFNASRQX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.9270 0.0300 3.9770 0.4150 ; + RECT 4.2310 0.0300 4.2810 0.3120 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + END + PORT + LAYER CO ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 0.2490 4.4630 0.3620 ; + RECT 4.0790 0.1380 4.1290 0.3620 ; + RECT 4.0790 0.3620 4.4630 0.4120 ; + RECT 4.0790 0.8630 4.1290 1.5460 ; + RECT 4.0790 0.8130 4.4210 0.8630 ; + RECT 4.3710 0.4120 4.4210 0.8130 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 0.3420 4.1250 0.3840 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.3420 4.1250 0.3840 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.9270 0.9220 3.9770 1.6420 ; + RECT 4.2310 0.9220 4.2810 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.9310 1.3100 3.9730 1.3520 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 4.2350 1.4940 4.2770 1.5360 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.2350 1.3100 4.2770 1.3520 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 4.2350 1.1260 4.2770 1.1680 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.4020 3.9730 1.4440 ; + RECT 3.9310 1.4940 3.9730 1.5360 ; + RECT 3.9310 1.2180 3.9730 1.2600 ; + RECT 4.2350 1.0340 4.2770 1.0760 ; + RECT 3.9310 1.3100 3.9730 1.3520 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.2350 0.9420 4.2770 0.9840 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 3.9310 1.2180 3.9730 1.2600 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.2350 1.3100 4.2770 1.3520 ; + RECT 3.9310 0.9420 3.9730 0.9840 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.9310 1.4020 3.9730 1.4440 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 4.2350 1.0340 4.2770 1.0760 ; + RECT 4.2350 0.9420 4.2770 0.9840 ; + RECT 4.2350 1.2180 4.2770 1.2600 ; + RECT 4.2350 1.4020 4.2770 1.4440 ; + RECT 3.9310 1.4940 3.9730 1.5360 ; + RECT 4.2350 1.4940 4.2770 1.5360 ; + RECT 4.2350 1.2180 4.2770 1.2600 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.9310 0.9420 3.9730 0.9840 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 3.9310 1.0340 3.9730 1.0760 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.9310 1.0340 3.9730 1.0760 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 3.9310 1.1260 3.9730 1.1680 ; + RECT 4.2350 1.4020 4.2770 1.4440 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 3.3230 0.4980 3.3650 0.5400 ; + RECT 1.8790 0.5930 1.9210 0.6350 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 2.0310 0.5930 2.0730 0.6350 ; + RECT 2.1830 0.6930 2.2250 0.7350 ; + RECT 1.8790 0.8910 1.9210 0.9330 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.4870 0.6220 2.5290 0.6640 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5890 2.3450 0.6390 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5890 ; + RECT 1.3430 0.6890 2.2450 0.7390 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 0.4840 1.3930 0.6890 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7390 1.3930 1.1580 ; + RECT 3.0140 0.4940 4.3210 0.5440 ; + RECT 4.2710 0.5440 4.3210 0.7040 ; + RECT 3.8150 0.7040 4.3210 0.7540 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4380 3.0640 0.4880 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 3.0140 0.5440 3.0640 0.7660 ; + RECT 3.0140 0.4880 3.0640 0.4940 ; + RECT 1.8590 0.8870 2.5330 0.9370 ; + RECT 2.4830 0.6020 2.5330 0.8870 ; + RECT 3.2070 0.6040 4.2210 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 1.8850 0.8530 1.9150 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6610 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + END +END DFFNASRQX2_HVT + +MACRO DFFNASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 4.0790 0.0300 4.1290 0.2200 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + END + PORT + LAYER CO ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 1.1610 4.4630 1.2210 ; + RECT 4.2150 0.1540 4.4630 0.2040 ; + RECT 4.2310 1.2210 4.4630 1.2710 ; + RECT 4.2310 1.2710 4.2810 1.5460 ; + RECT 4.4130 0.2040 4.4630 1.1610 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 4.0790 0.9470 4.1290 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.2010 1.0090 4.3110 1.1190 ; + RECT 3.9270 0.1480 3.9770 0.3090 ; + RECT 3.9270 0.3090 4.3110 0.3590 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 4.2610 0.8540 4.3110 1.0090 ; + RECT 3.9270 0.8040 4.3110 0.8540 ; + RECT 4.2610 0.3590 4.3110 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.2870 3.9730 0.3290 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.8860 1.9210 0.9280 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.0310 0.5880 2.0730 0.6300 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6880 2.2250 0.7300 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 3.3230 0.4420 3.3650 0.4840 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1940 2.6810 0.2360 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.4870 0.6170 2.5290 0.6590 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.8590 0.8820 2.5330 0.9320 ; + RECT 2.4830 0.5970 2.5330 0.8820 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5840 2.3450 0.6340 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5840 ; + RECT 1.3430 0.6840 2.2450 0.7340 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7340 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6840 ; + RECT 3.8150 0.7040 4.2050 0.7540 ; + RECT 2.7110 0.4380 4.2050 0.4880 ; + RECT 4.1550 0.4880 4.2050 0.7040 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2070 0.6040 4.0690 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.8540 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 1.8850 0.0680 1.9150 0.6620 ; + END +END DFFNASRX1_HVT + +MACRO DFFNASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.864 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.6570 0.2490 4.7670 0.2700 ; + RECT 4.6570 0.3200 4.7670 0.3590 ; + RECT 4.3830 0.1480 4.4330 0.2700 ; + RECT 4.3830 0.2700 4.7670 0.3200 ; + RECT 4.6990 0.3590 4.7490 0.9180 ; + RECT 4.3830 0.9680 4.4330 1.5460 ; + RECT 4.3830 0.9180 4.7490 0.9680 ; + END + PORT + LAYER CO ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.8640 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 4.5350 0.0300 4.5850 0.2200 ; + RECT 4.2320 0.0300 4.2820 0.3120 ; + RECT 3.9270 0.0300 3.9770 0.4080 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + END + PORT + LAYER CO ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 4.5390 0.1580 4.5810 0.2000 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + END + END VSS + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 0.1480 4.1290 0.3940 ; + RECT 4.5050 0.4440 4.6250 0.5110 ; + RECT 4.0790 0.3940 4.5870 0.4010 ; + RECT 4.0790 0.4010 4.6250 0.4440 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 4.5750 0.5110 4.6250 0.8040 ; + RECT 4.0790 0.8040 4.6250 0.8540 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.2870 4.1250 0.3290 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.8640 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 4.2310 0.9600 4.2810 1.6420 ; + RECT 3.9270 0.9120 3.9770 1.6420 ; + RECT 4.5350 1.0520 4.5850 1.6420 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0920 0.7850 1.3080 ; + RECT 0.8870 1.1050 0.9370 1.3080 ; + RECT 0.4330 1.3580 0.4830 1.6420 ; + RECT 0.4340 1.3080 0.9370 1.3380 ; + RECT 0.4330 1.3380 0.9370 1.3580 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 0.7390 1.2040 0.7810 1.2460 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.1260 0.9330 1.1680 ; + RECT 4.2350 1.4400 4.2770 1.4820 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8910 1.2180 0.9330 1.2600 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.2350 0.9800 4.2770 1.0220 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 0.7390 1.2960 0.7810 1.3380 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 0.7390 1.1120 0.7810 1.1540 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.042 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.8860 1.9210 0.9280 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6880 2.2250 0.7300 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 3.3230 0.5000 3.3650 0.5420 ; + RECT 2.7150 0.4900 2.7570 0.5320 ; + RECT 3.2470 0.1200 3.2890 0.1620 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 2.4870 0.6170 2.5290 0.6590 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.0310 0.5880 2.0730 0.6300 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.9790 1.7730 ; + LAYER M1 ; + RECT 4.2880 0.6040 4.5250 0.6540 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4380 3.0640 0.4880 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.4960 ; + RECT 3.0140 0.5460 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 3.0140 0.4960 4.3380 0.5460 ; + RECT 3.8150 0.7040 4.3380 0.7540 ; + RECT 4.2880 0.5460 4.3380 0.6040 ; + RECT 4.2880 0.6540 4.3380 0.7040 ; + RECT 1.8590 0.8820 2.5330 0.9320 ; + RECT 2.4830 0.5970 2.5330 0.8820 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5840 2.3450 0.6340 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5840 ; + RECT 1.3430 0.6840 2.2450 0.7340 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7340 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6840 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 0.3710 2.6090 0.4460 ; + RECT 2.5990 0.4960 2.6490 1.0010 ; + RECT 2.4070 0.4460 2.6490 0.4960 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 3.2070 0.6040 4.2210 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 2.6450 0.7320 2.6750 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.8540 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 1.8850 0.0680 1.9150 0.6620 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + END +END DFFNASRX2_HVT + +MACRO DFFNASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.8450 0.3300 3.5370 0.3800 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 1.9510 0.0300 2.0010 0.4610 ; + RECT 3.7750 0.0300 3.8250 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + RECT 1.7990 0.0300 1.8490 0.3710 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.8030 0.3090 1.8450 0.3510 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.8670 0.3340 2.9090 0.3760 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.8030 0.2170 1.8450 0.2590 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 1.1610 4.1590 1.2210 ; + RECT 3.9110 0.1540 4.1590 0.2040 ; + RECT 3.9270 1.2210 4.1590 1.2710 ; + RECT 3.9270 1.2710 3.9770 1.5460 ; + RECT 4.1090 0.2040 4.1590 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 1.7740 1.2710 2.1840 1.3210 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.7750 0.9470 3.8250 1.6420 ; + RECT 1.9750 1.3210 2.0250 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 0.7350 1.0940 0.7850 1.3100 ; + RECT 0.8870 1.1070 0.9370 1.3100 ; + RECT 0.4330 1.3600 0.4830 1.6420 ; + RECT 0.4340 1.3100 0.9370 1.3400 ; + RECT 0.4330 1.3400 0.9370 1.3600 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.1070 1.2750 2.1490 1.3170 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.8910 1.2200 0.9330 1.2620 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.8910 1.1280 0.9330 1.1700 ; + RECT 1.8030 1.2730 1.8450 1.3150 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2270 0.8570 3.3990 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9900 3.2890 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8970 1.0090 4.0070 1.1190 ; + RECT 3.6230 0.1480 3.6730 0.3090 ; + RECT 3.6230 0.3090 4.0070 0.3590 ; + RECT 3.6230 0.8540 3.6730 1.5460 ; + RECT 3.9570 0.8540 4.0070 1.0090 ; + RECT 3.6230 0.8040 4.0070 0.8540 ; + RECT 3.9570 0.3590 4.0070 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 0.1950 3.6690 0.2370 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.2870 3.6690 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.9550 1.1700 1.9970 1.2120 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.2470 1.4150 3.2890 1.4570 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.0190 1.2120 3.0610 1.2540 ; + RECT 2.7150 0.9790 2.7570 1.0210 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 1.7270 0.5880 1.7690 0.6300 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 3.1710 1.1120 3.2130 1.1540 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.0310 0.7480 2.0730 0.7900 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.3990 1.5230 3.4410 1.5650 ; + RECT 2.3350 1.4320 2.3770 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.9430 1.5230 2.9850 1.5650 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.0190 0.4350 3.0610 0.4770 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 2.4870 0.1800 2.5290 0.2220 ; + RECT 1.4230 1.5010 1.4650 1.5430 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 1.8790 1.5220 1.9210 1.5640 ; + RECT 1.5750 1.0030 1.6170 1.0450 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.7270 0.8820 1.7690 0.9240 ; + RECT 2.7910 0.7000 2.8330 0.7420 ; + RECT 1.7270 1.4800 1.7690 1.5220 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 2.3350 0.6170 2.3770 0.6590 ; + RECT 2.7150 0.5390 2.7570 0.5810 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.3710 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.1430 0.2300 2.9890 0.2800 ; + RECT 2.9390 0.0880 2.9890 0.2300 ; + RECT 1.7060 0.5840 2.1930 0.6340 ; + RECT 2.4670 0.1780 2.5490 0.2300 ; + RECT 2.1430 0.6340 2.1930 0.6360 ; + RECT 2.1430 0.2800 2.1930 0.5840 ; + RECT 3.5110 0.7040 3.9010 0.7540 ; + RECT 2.5590 0.4310 3.9010 0.4810 ; + RECT 3.8510 0.4810 3.9010 0.7040 ; + RECT 3.1510 1.1080 3.5610 1.1580 ; + RECT 3.5110 0.7540 3.5610 1.1080 ; + RECT 2.7710 0.6960 2.8770 0.7460 ; + RECT 2.5590 0.4810 2.6090 1.1650 ; + RECT 2.8270 0.4810 2.8770 0.6960 ; + RECT 1.6980 0.8780 2.3810 0.9280 ; + RECT 2.3310 0.5970 2.3810 0.8780 ; + RECT 1.3430 0.7440 2.0930 0.7940 ; + RECT 1.3430 0.4320 1.5610 0.4820 ; + RECT 1.3430 1.1560 1.5610 1.2060 ; + RECT 1.3430 0.3550 1.3930 0.4320 ; + RECT 1.3430 1.2060 1.3930 1.3140 ; + RECT 1.3430 0.7940 1.3930 1.1560 ; + RECT 1.3430 0.4820 1.3930 0.7440 ; + RECT 2.9530 0.6040 3.7650 0.6050 ; + RECT 2.9320 0.6050 3.7650 0.6540 ; + RECT 2.6710 0.5350 2.7770 0.5850 ; + RECT 2.9320 0.6540 2.9820 0.9750 ; + RECT 2.6880 1.0240 2.9820 1.0250 ; + RECT 2.6710 0.5850 2.7210 0.9750 ; + RECT 2.6710 0.9750 2.9820 1.0240 ; + RECT 3.0510 0.7090 3.4610 0.7590 ; + RECT 3.0510 0.7590 3.1010 1.0990 ; + RECT 2.6830 1.0990 3.1010 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.4280 2.5570 1.4780 ; + RECT 2.5070 1.3220 2.5570 1.4280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 2.6830 1.0960 2.7330 1.0990 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 1.9340 1.1660 2.3050 1.2160 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.4470 0.4960 2.4970 1.0010 ; + RECT 2.2550 0.4460 2.4970 0.4960 ; + RECT 2.2550 0.3710 2.3050 0.4460 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 2.2550 1.2160 2.3050 1.3080 ; + RECT 1.4190 1.4760 1.7890 1.5260 ; + RECT 1.4190 1.5260 1.4690 1.5630 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4260 1.9250 1.5840 ; + RECT 1.2670 1.3760 1.9250 1.4260 ; + RECT 1.2670 1.4260 1.3170 1.5260 ; + RECT 2.9220 1.5190 3.4610 1.5690 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.1340 1.5280 2.6820 1.5780 ; + RECT 2.6320 1.4610 2.6820 1.5280 ; + RECT 2.6320 1.4110 3.3090 1.4610 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.9990 1.2080 3.3850 1.2580 ; + LAYER PO ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 1.7330 0.8300 1.7630 1.6040 ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.4930 0.7270 2.5230 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.8850 0.0660 1.9150 1.6040 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 2.7970 0.0650 2.8270 1.6030 ; + RECT 1.5810 0.0660 1.6110 1.6040 ; + RECT 1.4290 0.0660 1.4590 1.6040 ; + RECT 1.7330 0.0660 1.7630 0.6620 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + END +END DFFNASX1_HVT + +MACRO DFFNASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 0.0970 4.4630 0.2070 ; + RECT 4.0790 0.1480 4.1290 0.2700 ; + RECT 4.0790 0.9180 4.4450 0.9680 ; + RECT 4.0790 0.9680 4.1290 1.5460 ; + RECT 4.0790 0.2700 4.4450 0.3200 ; + RECT 4.3950 0.2070 4.4450 0.2700 ; + RECT 4.3950 0.3200 4.4450 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7750 0.1480 3.8250 0.3940 ; + RECT 4.2010 0.4440 4.3210 0.5110 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 4.2710 0.5110 4.3210 0.8040 ; + RECT 3.7750 0.8040 4.3210 0.8540 ; + RECT 3.7750 0.3940 4.3210 0.4440 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + RECT 3.7790 0.2870 3.8210 0.3290 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.8450 0.3300 3.5370 0.3800 ; + RECT 4.2310 0.0300 4.2810 0.2200 ; + RECT 3.9280 0.0300 3.9780 0.3120 ; + RECT 3.6230 0.0300 3.6730 0.4080 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 1.9510 0.0300 2.0010 0.4610 ; + RECT 1.7990 0.0300 1.8490 0.3710 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + END + PORT + LAYER CO ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.8030 0.3090 1.8450 0.3510 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.8670 0.3340 2.9090 0.3760 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 1.8030 0.2170 1.8450 0.2590 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.7740 1.2710 2.1840 1.3210 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.6230 0.9120 3.6730 1.6420 ; + RECT 3.9270 0.9600 3.9770 1.6420 ; + RECT 4.2310 1.0520 4.2810 1.6420 ; + RECT 1.9750 1.3210 2.0250 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 0.7350 1.0940 0.7850 1.3100 ; + RECT 0.8870 1.1070 0.9370 1.3100 ; + RECT 0.4330 1.3600 0.4830 1.6420 ; + RECT 0.4340 1.3100 0.9370 1.3400 ; + RECT 0.4330 1.3400 0.9370 1.3600 ; + END + PORT + LAYER CO ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.8910 1.2200 0.9330 1.2620 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.8910 1.1280 0.9330 1.1700 ; + RECT 3.9310 0.9800 3.9730 1.0220 ; + RECT 1.8030 1.2730 1.8450 1.3150 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.1070 1.2750 2.1490 1.3170 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.9310 1.4400 3.9730 1.4820 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2270 0.8570 3.3990 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9900 3.2890 1.0320 ; + END + ANTENNAGATEAREA 0.042 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.3350 0.6170 2.3770 0.6590 ; + RECT 2.7150 0.5390 2.7570 0.5810 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 1.9550 1.1700 1.9970 1.2120 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.5750 1.0030 1.6170 1.0450 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.7270 0.8820 1.7690 0.9240 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 2.7910 0.7000 2.8330 0.7420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.7270 1.4800 1.7690 1.5220 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.0190 1.2120 3.0610 1.2540 ; + RECT 2.7150 0.9790 2.7570 1.0210 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 1.7270 0.5880 1.7690 0.6300 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.2470 1.4150 3.2890 1.4570 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 3.1710 1.1120 3.2130 1.1540 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.0310 0.7480 2.0730 0.7900 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.3990 1.5230 3.4410 1.5650 ; + RECT 2.3350 1.4320 2.3770 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.9430 1.5230 2.9850 1.5650 ; + RECT 3.0190 0.5030 3.0610 0.5450 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 2.4870 0.1800 2.5290 0.2220 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 1.4230 1.5010 1.4650 1.5430 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 1.8790 1.5200 1.9210 1.5620 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.1430 0.2300 2.9890 0.2800 ; + RECT 2.9390 0.0880 2.9890 0.2300 ; + RECT 1.7060 0.5840 2.1930 0.6340 ; + RECT 2.4670 0.1780 2.5490 0.2300 ; + RECT 2.1430 0.6340 2.1930 0.6360 ; + RECT 2.1430 0.2800 2.1930 0.5840 ; + RECT 3.9840 0.6040 4.2210 0.6540 ; + RECT 2.8270 0.4990 4.0340 0.5490 ; + RECT 3.5110 0.7040 4.0340 0.7540 ; + RECT 3.9840 0.6540 4.0340 0.7040 ; + RECT 3.9840 0.5490 4.0340 0.6040 ; + RECT 3.1510 1.1080 3.5610 1.1580 ; + RECT 3.5110 0.7540 3.5610 1.1080 ; + RECT 2.5590 0.4310 2.8770 0.4810 ; + RECT 2.7710 0.6960 2.8770 0.7460 ; + RECT 2.8270 0.5490 2.8770 0.6960 ; + RECT 2.5590 0.4810 2.6090 1.1650 ; + RECT 2.8270 0.4810 2.8770 0.4990 ; + RECT 1.6980 0.8780 2.3810 0.9280 ; + RECT 2.3310 0.5970 2.3810 0.8780 ; + RECT 1.3430 0.7440 2.0930 0.7940 ; + RECT 1.3430 0.4320 1.5610 0.4820 ; + RECT 1.3430 1.1560 1.5610 1.2060 ; + RECT 1.3430 0.3550 1.3930 0.4320 ; + RECT 1.3430 1.2060 1.3930 1.3140 ; + RECT 1.3430 0.7940 1.3930 1.1560 ; + RECT 1.3430 0.4820 1.3930 0.7440 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 1.9340 1.1660 2.3050 1.2160 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 2.2550 0.4460 2.4970 0.4960 ; + RECT 2.2550 0.3710 2.3050 0.4460 ; + RECT 2.2550 1.2160 2.3050 1.3080 ; + RECT 2.4470 0.4960 2.4970 1.0010 ; + RECT 2.9530 0.6040 3.9170 0.6050 ; + RECT 2.9320 0.6050 3.9170 0.6540 ; + RECT 2.9320 0.6540 2.9820 0.9750 ; + RECT 2.6710 0.5850 2.7210 0.9750 ; + RECT 2.6710 0.5350 2.7770 0.5850 ; + RECT 2.6710 0.9750 2.9820 1.0240 ; + RECT 2.6880 1.0240 2.9820 1.0250 ; + RECT 1.4190 1.4760 1.7890 1.5260 ; + RECT 1.4190 1.5260 1.4690 1.5630 ; + RECT 3.0510 0.7090 3.4610 0.7590 ; + RECT 3.0510 0.7590 3.1010 1.0990 ; + RECT 2.6830 1.0990 3.1010 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.4280 2.5570 1.4780 ; + RECT 2.5070 1.3220 2.5570 1.4280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 2.6830 1.0960 2.7330 1.0990 ; + RECT 0.7950 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4260 1.9250 1.5840 ; + RECT 1.2670 1.3760 1.9250 1.4260 ; + RECT 1.2670 1.4260 1.3170 1.5260 ; + RECT 2.9220 1.5190 3.4610 1.5690 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.1340 1.5280 2.6820 1.5780 ; + RECT 2.6320 1.4610 2.6820 1.5280 ; + RECT 2.6320 1.4110 3.3090 1.4610 ; + RECT 1.0990 0.0960 1.4910 0.1460 ; + RECT 2.9990 1.2080 3.3850 1.2580 ; + LAYER PO ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 1.7330 0.8300 1.7630 1.6040 ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.4930 0.7270 2.5230 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.8850 0.0660 1.9150 1.6040 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 2.7970 0.0650 2.8270 1.6030 ; + RECT 1.5810 0.0660 1.6110 1.6040 ; + RECT 1.4290 0.0660 1.4590 1.6040 ; + RECT 1.7330 0.0660 1.7630 0.6620 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + END +END DFFNASX2_HVT + +MACRO DFFNX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.952 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.9520 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.6950 0.3300 3.2330 0.3800 ; + RECT 1.7990 0.1990 2.0240 0.2490 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.4710 0.0300 3.5210 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.1670 0.0300 3.2170 0.3300 ; + RECT 1.7990 0.2490 1.8490 0.3730 ; + RECT 1.7990 0.0300 1.8490 0.1990 ; + END + PORT + LAYER CO ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.8030 0.2190 1.8450 0.2610 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.9550 0.2030 1.9970 0.2450 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 2.7150 0.3340 2.7570 0.3760 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.4750 0.1580 3.5170 0.2000 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7450 1.1610 3.8550 1.2210 ; + RECT 3.6070 0.1540 3.8550 0.2040 ; + RECT 3.6230 1.2210 3.8550 1.2710 ; + RECT 3.6230 1.2710 3.6730 1.5460 ; + RECT 3.8050 0.2040 3.8550 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.9520 1.7020 ; + RECT 1.7820 1.2780 2.0250 1.3280 ; + RECT 3.1490 1.3080 3.2570 1.3580 ; + RECT 2.6390 1.3080 2.7770 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.4710 0.9470 3.5210 1.6420 ; + RECT 1.9750 1.3280 2.0250 1.6420 ; + RECT 3.2070 1.3580 3.2570 1.6420 ; + RECT 2.6390 1.3580 2.6890 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 0.4330 1.3540 0.4830 1.6420 ; + RECT 0.4340 1.3040 0.9370 1.3340 ; + RECT 0.4330 1.3340 0.9370 1.3540 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.4750 1.3480 3.5170 1.3900 ; + RECT 3.4750 1.0720 3.5170 1.1140 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.1710 1.3120 3.2130 1.3540 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 2.7150 1.3120 2.7570 1.3540 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.4750 1.0720 3.5170 1.1140 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.4750 1.3480 3.5170 1.3900 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.4750 1.1640 3.5170 1.2060 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.4750 1.2560 3.5170 1.2980 ; + RECT 3.4750 1.2560 3.5170 1.2980 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5930 1.0090 3.7030 1.1190 ; + RECT 3.3190 0.1480 3.3690 0.3090 ; + RECT 3.3190 0.3090 3.7030 0.3590 ; + RECT 3.3190 0.8540 3.3690 1.5460 ; + RECT 3.6530 0.8540 3.7030 1.0090 ; + RECT 3.3190 0.8040 3.7030 0.8540 ; + RECT 3.6530 0.3590 3.7030 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 0.1950 3.3650 0.2370 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 3.3230 0.2870 3.3650 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.7270 0.7620 1.7690 0.8040 ; + RECT 1.8790 1.5220 1.9210 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.8670 1.2120 2.9090 1.2540 ; + RECT 1.4990 1.1620 1.5410 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.7270 1.4820 1.7690 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.0310 0.6440 2.0730 0.6860 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 3.0950 1.5250 3.1370 1.5670 ; + RECT 2.1830 1.5260 2.2250 1.5680 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.7910 1.5250 2.8330 1.5670 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 2.6390 0.7030 2.6810 0.7450 ; + RECT 2.8670 0.4420 2.9090 0.4840 ; + RECT 2.4110 0.4900 2.4530 0.5320 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.7910 0.1200 2.8330 0.1620 ; + RECT 2.3350 0.1800 2.3770 0.2220 ; + RECT 1.7270 0.5440 1.7690 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.3350 1.5260 2.3770 1.5680 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 2.5630 0.9820 2.6050 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.4110 1.1030 2.4530 1.1450 ; + RECT 2.1070 0.4240 2.1490 0.4660 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.5630 0.5420 2.6050 0.5840 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.0950 0.7130 3.1370 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.0670 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.1150 0.2300 2.8370 0.2800 ; + RECT 2.7870 0.0880 2.8370 0.2300 ; + RECT 1.9640 0.3140 2.1650 0.3640 ; + RECT 1.7070 0.5400 2.0140 0.5900 ; + RECT 2.3150 0.1780 2.3970 0.2300 ; + RECT 2.1150 0.2800 2.1650 0.3140 ; + RECT 1.9640 0.3640 2.0140 0.5400 ; + RECT 1.3430 0.6400 2.0930 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.5610 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 3.2070 0.7040 3.5970 0.7540 ; + RECT 2.4070 0.4380 3.5970 0.4880 ; + RECT 3.5470 0.4880 3.5970 0.7040 ; + RECT 2.6190 0.6990 2.7600 0.7490 ; + RECT 2.8470 1.2080 3.2570 1.2580 ; + RECT 2.4070 0.4880 2.4570 1.1650 ; + RECT 2.7100 0.4880 2.7600 0.6990 ; + RECT 3.2070 0.7540 3.2570 1.2080 ; + RECT 1.7070 0.7580 2.2290 0.8080 ; + RECT 2.1790 0.5870 2.2290 0.7580 ; + RECT 1.5500 1.0010 2.3450 1.0510 ; + RECT 2.2550 0.3710 2.3050 0.4200 ; + RECT 2.0720 0.4200 2.3450 0.4700 ; + RECT 2.1030 1.1660 2.1530 1.3080 ; + RECT 2.1030 1.3080 2.3050 1.3580 ; + RECT 2.2950 0.4700 2.3450 1.0010 ; + RECT 2.2550 1.0510 2.3050 1.3080 ; + RECT 2.8240 0.6040 3.4610 0.6540 ; + RECT 2.5190 0.5380 2.6490 0.5880 ; + RECT 2.8240 1.0280 2.8740 1.0290 ; + RECT 2.8240 0.6540 2.8740 0.9780 ; + RECT 2.5420 1.0270 2.8740 1.0280 ; + RECT 2.5190 0.5880 2.5690 0.9780 ; + RECT 2.5190 0.9780 2.8740 1.0270 ; + RECT 2.7700 1.5210 3.1570 1.5710 ; + RECT 1.4190 1.4780 1.7890 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0850 0.0960 1.4910 0.1460 ; + RECT 0.7830 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4280 1.9250 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 1.9250 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.9340 0.7090 3.1570 0.7590 ; + RECT 2.3550 1.2720 2.5810 1.3220 ; + RECT 2.1630 1.5220 2.4050 1.5720 ; + RECT 2.3550 1.3220 2.4050 1.5220 ; + RECT 2.5310 1.1490 2.5810 1.2720 ; + RECT 2.9340 0.7590 2.9840 1.0990 ; + RECT 2.5310 1.0990 2.9840 1.1490 ; + LAYER PO ; + RECT 3.1010 1.0120 3.1310 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.7330 0.7300 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.7900 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.7870 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.7330 0.0680 1.7630 0.6180 ; + RECT 2.3410 0.0680 2.3710 0.6220 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + END +END DFFNX1_HVT + +MACRO DFFNX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.4710 0.1480 3.5210 0.3940 ; + RECT 3.8970 0.4440 4.0170 0.5110 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.9670 0.5110 4.0170 0.8040 ; + RECT 3.4710 0.8040 4.0170 0.8540 ; + RECT 3.4710 0.3940 4.0170 0.4440 ; + END + PORT + LAYER CO ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 0.1950 3.5170 0.2370 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 0.1950 3.5170 0.2370 ; + RECT 3.4750 0.2870 3.5170 0.3290 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 0.0970 4.1590 0.2070 ; + RECT 3.7750 0.2700 4.1410 0.3200 ; + RECT 3.7750 0.1480 3.8250 0.2700 ; + RECT 4.0910 0.2070 4.1410 0.2700 ; + RECT 4.0910 0.3200 4.1410 0.9180 ; + RECT 3.7750 0.9680 3.8250 1.5460 ; + RECT 3.7750 0.9180 4.1410 0.9680 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.1950 3.8210 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.6950 0.3300 3.2330 0.3800 ; + RECT 1.7990 0.1990 2.0240 0.2490 ; + RECT 3.9270 0.0300 3.9770 0.2200 ; + RECT 3.6230 0.0300 3.6730 0.3190 ; + RECT 3.3190 0.0300 3.3690 0.4080 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.1670 0.0300 3.2170 0.3300 ; + RECT 1.7990 0.2490 1.8490 0.3730 ; + RECT 1.7990 0.0300 1.8490 0.1990 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 3.3230 0.1580 3.3650 0.2000 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.3230 0.3420 3.3650 0.3840 ; + RECT 3.3230 0.2500 3.3650 0.2920 ; + RECT 3.3230 0.2500 3.3650 0.2920 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8030 0.2190 1.8450 0.2610 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 1.9550 0.2030 1.9970 0.2450 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 2.7150 0.3340 2.7570 0.3760 ; + RECT 1.8030 0.3110 1.8450 0.3530 ; + RECT 3.3230 0.3420 3.3650 0.3840 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.3230 0.3420 3.3650 0.3840 ; + RECT 3.3230 0.3420 3.3650 0.3840 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 2.6390 1.3080 2.7770 1.3580 ; + RECT 1.7820 1.2780 2.0250 1.3280 ; + RECT 3.1490 1.3080 3.2570 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.3190 0.9120 3.3690 1.6420 ; + RECT 3.6230 0.9600 3.6730 1.6420 ; + RECT 3.9270 1.0520 3.9770 1.6420 ; + RECT 2.6390 1.3580 2.6890 1.6420 ; + RECT 1.9750 1.3280 2.0250 1.6420 ; + RECT 3.2070 1.3580 3.2570 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 0.4330 1.3540 0.4830 1.6420 ; + RECT 0.4340 1.3040 0.9370 1.3340 ; + RECT 0.4330 1.3340 0.9370 1.3540 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.1710 1.3120 3.2130 1.3540 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 2.7150 1.3120 2.7570 1.3540 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 0.9320 3.3650 0.9740 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.6270 1.4400 3.6690 1.4820 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 3.3230 1.1160 3.3650 1.1580 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.6270 0.9800 3.6690 1.0220 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.3230 1.0240 3.3650 1.0660 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 3.3230 1.2080 3.3650 1.2500 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.7270 0.7620 1.7690 0.8040 ; + RECT 1.8790 1.5220 1.9210 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.1070 1.1990 2.1490 1.2410 ; + RECT 2.1070 1.2910 2.1490 1.3330 ; + RECT 2.8670 1.2120 2.9090 1.2540 ; + RECT 1.4990 1.1620 1.5410 1.2040 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 2.5630 0.9820 2.6050 1.0240 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.0950 0.7130 3.1370 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 1.7270 1.4820 1.7690 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.0310 0.6440 2.0730 0.6860 ; + RECT 2.2590 1.1070 2.3010 1.1490 ; + RECT 3.0950 1.5250 3.1370 1.5670 ; + RECT 2.1830 1.5260 2.2250 1.5680 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.7910 1.5250 2.8330 1.5670 ; + RECT 2.6390 0.7030 2.6810 0.7450 ; + RECT 2.8670 0.5000 2.9090 0.5420 ; + RECT 2.4110 0.4900 2.4530 0.5320 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.7910 0.1200 2.8330 0.1620 ; + RECT 2.3350 0.1800 2.3770 0.2220 ; + RECT 1.7270 0.5440 1.7690 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.9430 0.6080 2.9850 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.1190 0.1000 1.1610 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.3350 1.5260 2.3770 1.5680 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.4110 1.1030 2.4530 1.1450 ; + RECT 2.1070 0.4240 2.1490 0.4660 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 2.5630 0.5420 2.6050 0.5840 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.3710 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.1150 0.2300 2.8370 0.2800 ; + RECT 2.7870 0.0880 2.8370 0.2300 ; + RECT 1.9640 0.3140 2.1650 0.3640 ; + RECT 1.7070 0.5400 2.0140 0.5900 ; + RECT 2.3150 0.1780 2.3970 0.2300 ; + RECT 2.1150 0.2800 2.1650 0.3140 ; + RECT 1.9640 0.3640 2.0140 0.5400 ; + RECT 1.3430 0.6400 2.0930 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.5610 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 3.6800 0.6040 3.9170 0.6540 ; + RECT 2.4070 0.4380 2.7600 0.4880 ; + RECT 2.6190 0.6990 2.7600 0.7490 ; + RECT 2.8470 1.2080 3.2570 1.2580 ; + RECT 2.4070 0.4880 2.4570 1.1650 ; + RECT 3.2070 0.7540 3.2570 1.2080 ; + RECT 2.7100 0.5460 2.7600 0.6990 ; + RECT 2.7100 0.4880 2.7600 0.4960 ; + RECT 2.7100 0.4960 3.7300 0.5460 ; + RECT 3.6800 0.4940 3.7300 0.4960 ; + RECT 3.2070 0.7040 3.7300 0.7540 ; + RECT 3.6800 0.6540 3.7300 0.7040 ; + RECT 3.6800 0.5460 3.7300 0.6040 ; + RECT 1.7070 0.7580 2.2290 0.8080 ; + RECT 2.1790 0.5870 2.2290 0.7580 ; + RECT 1.5500 1.0010 2.3450 1.0510 ; + RECT 2.2550 0.3710 2.3050 0.4200 ; + RECT 2.0720 0.4200 2.3450 0.4700 ; + RECT 2.1030 1.1660 2.1530 1.3080 ; + RECT 2.1030 1.3080 2.3050 1.3580 ; + RECT 2.2950 0.4700 2.3450 1.0010 ; + RECT 2.2550 1.0510 2.3050 1.3080 ; + RECT 2.8240 0.6040 3.6130 0.6540 ; + RECT 2.5190 0.5380 2.6490 0.5880 ; + RECT 2.8240 1.0280 2.8740 1.0290 ; + RECT 2.8240 0.6540 2.8740 0.9780 ; + RECT 2.5420 1.0270 2.8740 1.0280 ; + RECT 2.5190 0.5880 2.5690 0.9780 ; + RECT 2.5190 0.9780 2.8740 1.0270 ; + RECT 2.7700 1.5210 3.1570 1.5710 ; + RECT 1.4190 1.4780 1.7890 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0850 0.0960 1.4910 0.1460 ; + RECT 0.7830 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4280 1.9250 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 1.9250 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.9340 0.7090 3.1570 0.7590 ; + RECT 2.3550 1.2720 2.5810 1.3220 ; + RECT 2.1630 1.5220 2.4050 1.5720 ; + RECT 2.3550 1.3220 2.4050 1.5220 ; + RECT 2.5310 1.1490 2.5810 1.2720 ; + RECT 2.9340 0.7590 2.9840 1.0990 ; + RECT 2.5310 1.0990 2.9840 1.1490 ; + LAYER PO ; + RECT 3.1010 1.0120 3.1310 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.7330 0.7300 1.7630 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.3410 0.7900 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 0.7870 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.7330 0.0680 1.7630 0.6180 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.0680 2.3710 0.6220 ; + RECT 1.2770 0.7900 1.3070 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + END +END DFFNX2_HVT + +MACRO DFFSSRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 0.2790 1.2960 0.6330 1.3460 ; + RECT 2.2550 1.3160 2.4570 1.3660 ; + RECT 3.1510 1.4160 3.7130 1.4660 ; + RECT 3.9270 0.9470 3.9770 1.6420 ; + RECT 0.5830 0.9800 0.6330 1.2960 ; + RECT 0.2790 1.3460 0.3290 1.6420 ; + RECT 0.2790 0.9300 0.3290 1.2960 ; + RECT 1.4050 1.3660 1.4550 1.6420 ; + RECT 1.3050 1.3160 1.4550 1.3660 ; + RECT 2.2550 1.1000 2.3050 1.3160 ; + RECT 2.4070 1.3660 2.4570 1.6420 ; + RECT 3.6230 1.1920 3.6730 1.4160 ; + RECT 3.6630 1.4660 3.7130 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.2360 3.6690 1.2780 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 3.9310 0.9720 3.9730 1.0140 ; + RECT 3.9310 0.9720 3.9730 1.0140 ; + RECT 2.4110 1.3360 2.4530 1.3780 ; + RECT 0.2830 1.1340 0.3250 1.1760 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.9310 1.1560 3.9730 1.1980 ; + RECT 3.9310 1.1560 3.9730 1.1980 ; + RECT 3.1710 1.4200 3.2130 1.4620 ; + RECT 3.9310 1.2480 3.9730 1.2900 ; + RECT 3.6270 1.3280 3.6690 1.3700 ; + RECT 2.4110 1.4280 2.4530 1.4700 ; + RECT 0.5870 1.1840 0.6290 1.2260 ; + RECT 1.3470 1.3200 1.3890 1.3620 ; + RECT 0.5870 1.0000 0.6290 1.0420 ; + RECT 3.6270 1.4200 3.6690 1.4620 ; + RECT 0.5870 1.2760 0.6290 1.3180 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 1.0000 0.6290 1.0420 ; + RECT 0.2830 1.0420 0.3250 1.0840 ; + RECT 0.2830 0.9500 0.3250 0.9920 ; + RECT 0.5870 1.0920 0.6290 1.1340 ; + RECT 3.9310 1.2480 3.9730 1.2900 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 3.9310 1.0640 3.9730 1.1060 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 0.2830 1.2260 0.3250 1.2680 ; + RECT 0.5870 1.1840 0.6290 1.2260 ; + RECT 0.2830 1.3180 0.3250 1.3600 ; + RECT 3.9310 1.0640 3.9730 1.1060 ; + END + END VDD + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 0.4270 4.1590 0.5110 ; + RECT 3.7750 0.8080 4.1590 0.8580 ; + RECT 3.7750 0.1360 3.8250 0.3770 ; + RECT 3.7750 0.8580 3.8250 1.5460 ; + RECT 4.1090 0.5110 4.1590 0.8080 ; + RECT 3.7750 0.3770 4.1590 0.4270 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.2480 3.8210 0.2900 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.3400 3.8210 0.3820 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 0.1560 3.8210 0.1980 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 1.0690 4.3110 1.1190 ; + RECT 4.0790 0.2600 4.3110 0.3100 ; + RECT 4.2010 1.0090 4.3110 1.0690 ; + RECT 4.0790 1.1190 4.1290 1.5460 ; + RECT 4.0790 0.1360 4.1290 0.2600 ; + RECT 4.2610 0.3100 4.3110 1.0090 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.2480 4.1250 0.2900 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1560 4.1250 0.1980 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7010 0.4210 0.7510 ; + RECT 0.2490 0.7510 0.3590 0.8250 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7050 0.4010 0.7470 ; + END + ANTENNAGATEAREA 0.021 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.4650 1.3330 1.5760 ; + END + PORT + LAYER CO ; + RECT 1.2710 1.5320 1.3130 1.5740 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 3.1270 0.2040 3.2330 0.2540 ; + RECT 0.2790 0.3550 0.6330 0.4050 ; + RECT 1.3430 0.3010 2.4570 0.3510 ; + RECT 3.9270 0.0300 3.9770 0.3030 ; + RECT 3.6230 0.0300 3.6730 0.4010 ; + RECT 3.1270 0.0300 3.1770 0.2040 ; + RECT 0.5830 0.4050 0.6330 0.5290 ; + RECT 0.2790 0.4050 0.3290 0.5130 ; + RECT 0.2790 0.0300 0.3290 0.3550 ; + RECT 2.4070 0.3510 2.4570 0.4750 ; + RECT 1.3430 0.3510 1.3930 0.5760 ; + RECT 2.2550 0.3510 2.3050 0.4750 ; + RECT 2.0270 0.0300 2.0770 0.3010 ; + END + PORT + LAYER CO ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.4110 0.4130 2.4530 0.4550 ; + RECT 3.6270 0.2470 3.6690 0.2890 ; + RECT 3.9310 0.2380 3.9730 0.2800 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.2590 0.4130 2.3010 0.4550 ; + RECT 3.1710 0.2080 3.2130 0.2500 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.9310 0.1460 3.9730 0.1880 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.4110 0.3210 2.4530 0.3630 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.3470 0.3960 1.3890 0.4380 ; + RECT 0.5870 0.3750 0.6290 0.4170 ; + RECT 0.2830 0.3590 0.3250 0.4010 ; + RECT 0.2830 0.4510 0.3250 0.4930 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.5870 0.4670 0.6290 0.5090 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 3.6270 0.3390 3.6690 0.3810 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.9310 0.1460 3.9730 0.1880 ; + RECT 1.3470 0.4880 1.3890 0.5300 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.2590 0.3210 2.3010 0.3630 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + END + END VSS + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.9470 0.8570 1.1190 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.8810 1.0090 0.9230 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.0950 0.7250 0.1450 ; + RECT 0.4010 0.1450 0.5110 0.2320 ; + RECT 0.4010 0.0880 0.5110 0.0950 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.0990 0.7050 0.1410 ; + RECT 0.5110 0.0990 0.5530 0.1410 ; + END + ANTENNAGATEAREA 0.0255 ; + END RSTB + OBS + LAYER CO ; + RECT 0.7390 0.3050 0.7810 0.3470 ; + RECT 1.1950 0.8140 1.2370 0.8560 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 1.4990 0.9060 1.5410 0.9480 ; + RECT 3.0190 0.3080 3.0610 0.3500 ; + RECT 0.7390 1.1840 0.7810 1.2260 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.7150 1.2130 2.7570 1.2550 ; + RECT 1.8790 1.4210 1.9210 1.4630 ; + RECT 1.8030 1.0820 1.8450 1.1240 ; + RECT 0.7390 1.0920 0.7810 1.1340 ; + RECT 1.1950 0.9980 1.2370 1.0400 ; + RECT 2.7910 1.5320 2.8330 1.5740 ; + RECT 1.6510 1.0820 1.6930 1.1240 ; + RECT 2.8670 1.1990 2.9090 1.2410 ; + RECT 0.6630 0.8480 0.7050 0.8900 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.7150 0.3200 2.7570 0.3620 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 0.7390 1.2760 0.7810 1.3180 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 1.4990 0.8140 1.5410 0.8560 ; + RECT 2.1830 1.4210 2.2250 1.4630 ; + RECT 0.4350 1.1840 0.4770 1.2260 ; + RECT 2.4870 0.5770 2.5290 0.6190 ; + RECT 2.7150 1.1210 2.7570 1.1630 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 1.7270 1.5320 1.7690 1.5740 ; + RECT 2.9430 1.5320 2.9850 1.5740 ; + RECT 1.1950 0.8140 1.2370 0.8560 ; + RECT 0.4350 0.4750 0.4770 0.5170 ; + RECT 2.5630 0.3200 2.6050 0.3620 ; + RECT 1.4990 0.9980 1.5410 1.0400 ; + RECT 1.5750 1.5320 1.6170 1.5740 ; + RECT 2.8670 0.4120 2.9090 0.4540 ; + RECT 0.8150 1.4530 0.8570 1.4950 ; + RECT 2.8670 0.3200 2.9090 0.3620 ; + RECT 1.1950 0.9980 1.2370 1.0400 ; + RECT 1.0430 0.3050 1.0850 0.3470 ; + RECT 0.7390 1.0920 0.7810 1.1340 ; + RECT 1.4990 0.8140 1.5410 0.8560 ; + RECT 0.7390 1.1840 0.7810 1.2260 ; + RECT 0.8910 0.4470 0.9330 0.4890 ; + RECT 2.7150 1.3050 2.7570 1.3470 ; + RECT 0.8150 0.6560 0.8570 0.6980 ; + RECT 1.9550 1.0920 1.9970 1.1340 ; + RECT 3.3230 0.4810 3.3650 0.5230 ; + RECT 0.8910 1.1840 0.9330 1.2260 ; + RECT 1.8030 0.9900 1.8450 1.0320 ; + RECT 1.8030 0.4500 1.8450 0.4920 ; + RECT 1.1190 0.0960 1.1610 0.1380 ; + RECT 0.8910 1.1840 0.9330 1.2260 ; + RECT 1.4990 0.9980 1.5410 1.0400 ; + RECT 1.0430 1.3200 1.0850 1.3620 ; + RECT 3.3990 0.1080 3.4410 0.1500 ; + RECT 1.1950 0.9060 1.2370 0.9480 ; + RECT 2.7150 0.4120 2.7570 0.4540 ; + RECT 1.6510 0.9900 1.6930 1.0320 ; + RECT 3.0950 0.6580 3.1370 0.7000 ; + RECT 4.0070 0.6640 4.0490 0.7060 ; + RECT 2.1830 0.9980 2.2250 1.0400 ; + RECT 1.5750 0.6300 1.6170 0.6720 ; + RECT 3.8550 0.5960 3.8970 0.6380 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 0.8910 1.0920 0.9330 1.1340 ; + RECT 1.9550 1.1840 1.9970 1.2260 ; + RECT 1.8030 1.1740 1.8450 1.2160 ; + RECT 1.1950 0.9060 1.2370 0.9480 ; + RECT 1.7270 0.1430 1.7690 0.1850 ; + RECT 1.1950 0.5130 1.2370 0.5550 ; + RECT 2.5630 0.4120 2.6050 0.4540 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 1.1950 0.4210 1.2370 0.4630 ; + RECT 1.6510 0.4500 1.6930 0.4920 ; + RECT 2.0310 0.7770 2.0730 0.8190 ; + RECT 0.7390 1.0000 0.7810 1.0420 ; + RECT 3.5510 0.6810 3.5930 0.7230 ; + RECT 0.7390 1.0000 0.7810 1.0420 ; + RECT 1.1190 0.6300 1.1610 0.6720 ; + RECT 1.4990 1.0900 1.5410 1.1320 ; + RECT 3.3990 0.5810 3.4410 0.6230 ; + RECT 1.8790 0.0960 1.9210 0.1380 ; + RECT 1.9550 0.4500 1.9970 0.4920 ; + RECT 1.6510 1.1740 1.6930 1.2160 ; + RECT 2.1830 0.6770 2.2250 0.7190 ; + RECT 3.0190 1.1040 3.0610 1.1460 ; + RECT 1.4230 0.6300 1.4650 0.6720 ; + RECT 0.5110 1.4530 0.5530 1.4950 ; + RECT 3.2470 0.1080 3.2890 0.1500 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 0.4350 1.0920 0.4770 1.1340 ; + RECT 0.4350 1.0000 0.4770 1.0420 ; + RECT 2.5630 1.1040 2.6050 1.1460 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 2.7910 0.1550 2.8330 0.1970 ; + RECT 3.3230 1.2200 3.3650 1.2620 ; + RECT 2.9430 0.1080 2.9850 0.1500 ; + RECT 1.1950 1.0900 1.2370 1.1320 ; + RECT 0.8910 1.0920 0.9330 1.1340 ; + RECT 1.4990 0.4500 1.5410 0.4920 ; + RECT 2.1830 0.1550 2.2250 0.1970 ; + RECT 1.4990 0.9060 1.5410 0.9480 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7730 ; + LAYER M1 ; + RECT 1.4950 0.7260 1.5970 0.7760 ; + RECT 1.4790 0.4460 1.5970 0.4960 ; + RECT 1.5470 0.6260 1.6370 0.6760 ; + RECT 1.4950 0.7760 1.5450 1.1520 ; + RECT 1.5470 0.6760 1.5970 0.7260 ; + RECT 1.5470 0.4960 1.5970 0.6260 ; + RECT 3.2790 0.4770 3.9010 0.5270 ; + RECT 3.8510 0.5270 3.9010 0.6580 ; + RECT 2.8630 1.2160 3.4090 1.2660 ; + RECT 2.8630 0.3000 2.9130 1.2160 ; + RECT 3.0750 0.6540 3.3290 0.6770 ; + RECT 3.2790 0.7040 3.4090 0.7270 ; + RECT 3.0750 0.6770 3.4090 0.7040 ; + RECT 3.3590 0.7270 3.4090 1.2160 ; + RECT 3.2790 0.5270 3.3290 0.6540 ; + RECT 2.8570 0.1040 3.0050 0.1510 ; + RECT 2.1630 0.1540 2.9070 0.2010 ; + RECT 2.1630 0.1510 3.0050 0.1540 ; + RECT 0.7000 0.6520 0.8770 0.7020 ; + RECT 0.4310 0.8440 0.7500 0.8940 ; + RECT 0.7000 0.7020 0.7500 0.8440 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 0.4550 0.4810 0.6010 ; + RECT 0.4710 0.6510 0.5210 0.8440 ; + RECT 0.4310 0.8940 0.4810 1.2460 ; + RECT 2.0060 0.7730 2.7610 0.8230 ; + RECT 2.7110 0.8230 2.7610 1.3800 ; + RECT 2.5590 0.8230 2.6090 1.1660 ; + RECT 2.5590 0.3000 2.6090 0.4520 ; + RECT 2.5590 0.4520 2.7610 0.5020 ; + RECT 2.7110 0.5020 2.7610 0.7730 ; + RECT 2.7110 0.3000 2.7610 0.4520 ; + RECT 2.8110 1.3160 3.5730 1.3660 ; + RECT 3.5230 0.6770 3.6130 0.7270 ; + RECT 3.5230 0.7270 3.5730 1.3160 ; + RECT 2.4030 1.2160 2.6170 1.2660 ; + RECT 2.1630 0.9940 2.4530 1.0440 ; + RECT 2.5670 1.5280 2.8610 1.5780 ; + RECT 2.4030 1.0440 2.4530 1.2160 ; + RECT 2.5670 1.2660 2.6170 1.5280 ; + RECT 2.8110 1.3660 2.8610 1.5280 ; + RECT 1.7990 0.5730 2.5490 0.6230 ; + RECT 1.7990 1.1960 2.0010 1.2460 ; + RECT 1.9510 1.0720 2.0010 1.1960 ; + RECT 1.9510 0.4300 2.0010 0.5730 ; + RECT 1.7990 0.6230 1.8490 1.1960 ; + RECT 1.7990 0.4300 1.8490 0.5730 ; + RECT 3.7510 0.7080 4.0530 0.7580 ; + RECT 3.3790 0.5770 3.8010 0.6270 ; + RECT 4.0030 0.6210 4.0530 0.7080 ; + RECT 3.7510 0.6270 3.8010 0.7080 ; + RECT 2.9230 1.5280 3.6130 1.5780 ; + RECT 3.2270 0.1040 3.4610 0.1540 ; + RECT 2.9750 0.3040 3.3780 0.3540 ; + RECT 3.3280 0.1540 3.3780 0.3040 ; + RECT 2.9750 0.8080 3.0650 0.8580 ; + RECT 3.0150 0.8580 3.0650 1.1660 ; + RECT 2.9750 0.3540 3.0250 0.8080 ; + RECT 0.7190 0.3010 1.1050 0.3510 ; + RECT 0.8870 1.2020 1.6970 1.2520 ; + RECT 1.6470 0.7260 1.7370 0.7760 ; + RECT 1.6470 0.5260 1.7370 0.5760 ; + RECT 1.6470 0.7760 1.6970 1.2020 ; + RECT 1.6470 0.4300 1.6970 0.5260 ; + RECT 1.6870 0.5760 1.7370 0.7260 ; + RECT 0.8470 0.7520 1.0060 0.8020 ; + RECT 0.8710 0.4430 1.0060 0.4930 ; + RECT 0.9560 0.4930 1.0060 0.7520 ; + RECT 0.8470 0.8020 0.8970 1.0470 ; + RECT 0.8870 1.0970 0.9370 1.2020 ; + RECT 0.8470 1.0470 0.9370 1.0970 ; + RECT 0.7350 1.3160 1.1060 1.3660 ; + RECT 0.7350 0.9800 0.7850 1.3160 ; + RECT 1.8990 0.8800 2.1010 0.9300 ; + RECT 1.8990 0.6730 2.2450 0.7230 ; + RECT 1.5550 1.5280 1.8090 1.5780 ; + RECT 1.7590 1.3670 1.8090 1.5280 ; + RECT 1.7590 1.3170 2.1010 1.3670 ; + RECT 2.0510 0.9300 2.1010 1.3170 ; + RECT 1.8990 0.7230 1.9490 0.8800 ; + RECT 1.0990 0.0920 1.9410 0.1420 ; + RECT 1.7230 0.1420 1.7730 0.2050 ; + RECT 1.0990 0.6260 1.4850 0.6760 ; + RECT 1.1910 0.6760 1.2410 1.1520 ; + RECT 1.1910 0.4010 1.2410 0.6260 ; + RECT 1.8590 1.4170 2.2450 1.4670 ; + RECT 0.4910 1.4490 0.8770 1.4990 ; + LAYER PO ; + RECT 1.7330 0.9200 1.7630 1.6060 ; + RECT 1.5810 0.0760 1.6110 1.6060 ; + RECT 0.0610 0.0770 0.0910 1.6070 ; + RECT 2.0370 0.0760 2.0670 1.6060 ; + RECT 3.8610 0.0760 3.8910 1.6060 ; + RECT 1.4290 0.0760 1.4590 1.6060 ; + RECT 2.4930 0.0760 2.5230 1.6060 ; + RECT 3.5570 1.1320 3.5870 1.6060 ; + RECT 2.1890 0.0760 2.2190 0.7510 ; + RECT 3.1010 0.0760 3.1310 1.6060 ; + RECT 3.4050 0.0760 3.4350 1.6060 ; + RECT 1.8850 0.0760 1.9150 1.6060 ; + RECT 4.0130 0.0760 4.0430 1.6060 ; + RECT 3.2530 0.0760 3.2830 1.6060 ; + RECT 1.1250 0.0760 1.1550 1.6060 ; + RECT 2.7970 0.0760 2.8270 0.5970 ; + RECT 2.6450 0.0760 2.6750 1.6060 ; + RECT 0.6690 0.0760 0.6990 0.7130 ; + RECT 0.6690 0.8160 0.6990 1.6060 ; + RECT 2.9490 0.0760 2.9790 1.6060 ; + RECT 0.9730 0.0760 1.0030 1.6060 ; + RECT 0.2130 0.0760 0.2430 1.6060 ; + RECT 0.3650 0.0760 0.3950 1.6060 ; + RECT 2.1890 0.9660 2.2190 1.6060 ; + RECT 1.2770 0.0760 1.3070 1.6060 ; + RECT 4.3170 0.0760 4.3470 1.6060 ; + RECT 2.3410 0.0760 2.3710 1.6060 ; + RECT 0.8210 0.8700 0.8510 1.6060 ; + RECT 1.7330 0.0760 1.7630 0.5970 ; + RECT 2.7970 1.0320 2.8270 1.6060 ; + RECT 4.1650 0.0760 4.1950 1.6060 ; + RECT 0.5170 0.0760 0.5470 1.6060 ; + RECT 3.5570 0.0760 3.5870 0.7550 ; + RECT 3.7090 0.0760 3.7390 1.6060 ; + RECT 0.8210 0.0760 0.8510 0.7300 ; + END +END DFFSSRX1_HVT + +MACRO CLOAD1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.4310 0.8230 0.4810 1.6420 ; + RECT 0.2790 0.9150 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6600 0.4360 0.7100 ; + RECT 0.2490 0.5530 0.3590 0.6600 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6640 0.4010 0.7060 ; + END + END A + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.4310 0.0300 0.4810 0.5020 ; + RECT 0.2790 0.0300 0.3290 0.5020 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4250 0.3250 0.4670 ; + RECT 0.2830 0.3330 0.3250 0.3750 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.2830 0.3330 0.3250 0.3750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.4250 0.3250 0.4670 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.4250 0.4770 0.4670 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.4350 0.4250 0.4770 0.4670 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER PO ; + RECT 0.3650 0.0690 0.3950 1.6060 ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + END +END CLOAD1_HVT + +MACRO DCAP_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.76 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.7600 1.7020 ; + RECT 0.2790 1.2830 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.4870 0.3250 1.5290 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.7600 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4870 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.3330 0.3250 0.3750 ; + RECT 0.2830 0.4250 0.3250 0.4670 ; + RECT 0.2830 0.4250 0.3250 0.4670 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2830 0.2410 0.3250 0.2830 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.2830 0.3330 0.3250 0.3750 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.1490 0.3250 0.1910 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.8750 1.7730 ; + LAYER M1 ; + RECT 0.2350 1.1710 0.4810 1.2210 ; + RECT 0.2350 0.5870 0.4360 0.6370 ; + RECT 0.4310 1.2210 0.4810 1.5490 ; + RECT 0.2350 0.6370 0.2850 1.1710 ; + RECT 0.3390 1.0600 0.6210 1.1100 ; + RECT 0.4310 0.3790 0.4810 0.4870 ; + RECT 0.4310 0.3290 0.6210 0.3790 ; + RECT 0.4310 0.1290 0.4810 0.3290 ; + RECT 0.5710 0.3790 0.6210 1.0600 ; + LAYER PO ; + RECT 0.2130 0.0710 0.2430 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6060 ; + RECT 0.5170 0.0710 0.5470 1.6060 ; + RECT 0.0610 0.0710 0.0910 1.6060 ; + RECT 0.3650 0.0690 0.3950 0.6410 ; + RECT 0.3650 1.0370 0.3950 1.6060 ; + LAYER CO ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.4250 0.4770 0.4670 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 0.4250 0.4770 0.4670 ; + RECT 0.3590 1.0640 0.4010 1.1060 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.4870 0.4770 1.5290 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 0.1490 0.4770 0.1910 ; + RECT 0.4350 0.2410 0.4770 0.2830 ; + RECT 0.3590 0.5910 0.4010 0.6330 ; + RECT 0.4350 0.3330 0.4770 0.3750 ; + END +END DCAP_HVT + +MACRO DEC24X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7070 1.4180 2.5320 1.4680 ; + RECT 2.0920 1.4680 2.2020 1.5750 ; + RECT 2.4820 1.4680 2.5320 1.5340 ; + RECT 2.4820 1.5340 2.8530 1.5840 ; + END + PORT + LAYER CO ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + RECT 1.7270 1.4220 1.7690 1.4640 ; + END + ANTENNAGATEAREA 0.0732 ; + END A0 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6430 1.5310 1.9530 1.5810 ; + RECT 1.3320 1.4650 1.4420 1.5310 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5350 1.1610 1.5770 ; + RECT 0.6630 1.5350 0.7050 1.5770 ; + RECT 1.8790 1.5350 1.9210 1.5770 ; + END + ANTENNAGATEAREA 0.0732 ; + END A1 + + PIN Y2 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.3080 0.4010 3.4180 0.4950 ; + RECT 3.2980 0.8100 3.4140 0.8150 ; + RECT 3.2980 0.7650 3.4180 0.8100 ; + RECT 3.3680 0.5450 3.4180 0.7650 ; + RECT 3.2980 0.4950 3.4180 0.5450 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.7690 3.3650 0.8110 ; + RECT 3.3230 0.7690 3.3650 0.8110 ; + RECT 3.3230 0.4990 3.3650 0.5410 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y2 + + PIN Y3 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2390 0.9900 0.3780 1.1320 ; + RECT 0.2390 0.4910 0.3500 0.5410 ; + RECT 0.2390 0.8300 0.2890 0.9900 ; + RECT 0.2390 0.7800 0.3500 0.8300 ; + RECT 0.2390 0.5410 0.2890 0.7800 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4940 0.3250 0.5360 ; + RECT 0.2830 0.7840 0.3250 0.8260 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + RECT 2.6950 1.2970 3.2330 1.3470 ; + RECT 0.4090 1.2850 2.4800 1.3350 ; + RECT 3.1670 1.3470 3.2170 1.6420 ; + RECT 0.4310 1.3350 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5870 1.2890 0.6290 1.3310 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.1710 1.3010 3.2130 1.3430 ; + RECT 2.4110 1.2890 2.4530 1.3310 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.7150 1.3010 2.7570 1.3430 ; + RECT 3.0190 1.3010 3.0610 1.3430 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 1.1950 1.2890 1.2370 1.3310 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.2590 1.2890 2.3010 1.3310 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8910 1.2890 0.9330 1.3310 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8030 1.2890 1.8450 1.3310 ; + RECT 0.4350 1.2890 0.4770 1.3310 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + END + END VDD + + PIN Y0 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0630 0.8350 2.1750 0.8850 ; + RECT 2.0920 0.4010 2.2020 0.4950 ; + RECT 2.0630 0.4950 2.2020 0.5450 ; + RECT 2.0630 0.5450 2.1130 0.8350 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.8390 2.1490 0.8810 ; + RECT 2.1070 0.4990 2.1490 0.5410 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y0 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 1.7800 0.3570 1.8890 0.4070 ; + RECT 1.3490 0.0960 1.8890 0.1370 ; + RECT 0.4310 0.1460 1.4130 0.1870 ; + RECT 1.8390 0.1870 1.8890 0.3570 ; + RECT 3.1670 0.0300 3.2170 0.1370 ; + RECT 0.4310 0.1370 3.2400 0.1460 ; + RECT 1.8390 0.1460 3.2400 0.1870 ; + RECT 0.4310 0.1870 0.4810 0.2160 ; + RECT 0.4310 0.0300 0.4810 0.1370 ; + END + PORT + LAYER CO ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8030 0.3610 1.8450 0.4030 ; + RECT 2.2590 0.1410 2.3010 0.1830 ; + RECT 0.4350 0.1410 0.4770 0.1830 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.7150 0.1410 2.7570 0.1830 ; + RECT 3.1710 0.1410 3.2130 0.1830 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.3470 0.1410 1.3890 0.1830 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + END + END VSS + + PIN Y1 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3320 0.8570 1.5690 0.9670 ; + RECT 1.5190 0.5410 1.5690 0.8570 ; + RECT 1.4740 0.4910 1.5690 0.5410 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4950 1.5410 0.5370 ; + RECT 1.4990 0.8940 1.5410 0.9360 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y1 + OBS + LAYER CO ; + RECT 1.4230 0.6560 1.4650 0.6980 ; + RECT 1.7270 0.2000 1.7690 0.2420 ; + RECT 2.5630 0.9850 2.6050 1.0270 ; + RECT 1.7270 0.2000 1.7690 0.2420 ; + RECT 1.7270 0.2000 1.7690 0.2420 ; + RECT 2.1830 0.6580 2.2250 0.7000 ; + RECT 2.4870 0.6220 2.5290 0.6640 ; + RECT 1.6510 0.4800 1.6930 0.5220 ; + RECT 1.1950 0.4800 1.2370 0.5220 ; + RECT 1.6510 0.4800 1.6930 0.5220 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 1.6510 0.4800 1.6930 0.5220 ; + RECT 2.8670 1.0160 2.9090 1.0580 ; + RECT 1.0430 0.9850 1.0850 1.0270 ; + RECT 0.3590 0.6590 0.4010 0.7010 ; + RECT 2.6390 1.4350 2.6810 1.4770 ; + RECT 0.8150 0.5910 0.8570 0.6330 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 1.6510 1.1890 1.6930 1.2310 ; + RECT 0.9670 0.7920 1.0090 0.8340 ; + RECT 1.9550 0.9850 1.9970 1.0270 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 2.9430 0.6220 2.9850 0.6640 ; + RECT 3.2470 0.6550 3.2890 0.6970 ; + RECT 0.5870 0.4800 0.6290 0.5220 ; + RECT 2.4110 0.4800 2.4530 0.5220 ; + RECT 0.7390 0.9850 0.7810 1.0270 ; + RECT 3.0190 0.4800 3.0610 0.5220 ; + LAYER NWELL ; + RECT -0.0700 0.6790 3.7180 1.7730 ; + LAYER M1 ; + RECT 2.5820 1.4310 2.7010 1.4810 ; + RECT 0.8880 1.1850 2.6320 1.2350 ; + RECT 2.5820 1.2350 2.6320 1.4310 ; + RECT 1.6190 0.4600 1.6970 0.5420 ; + RECT 1.6190 0.5420 1.6690 1.1850 ; + RECT 0.8880 0.8380 0.9380 1.1850 ; + RECT 0.8880 0.7880 1.0320 0.8380 ; + RECT 1.1910 0.6520 1.4690 0.7020 ; + RECT 1.4190 0.7020 1.4690 0.7220 ; + RECT 1.4190 0.6240 1.4690 0.6520 ; + RECT 1.0190 0.9810 1.2410 1.0310 ; + RECT 1.1910 0.4600 1.2410 0.6520 ; + RECT 1.1910 0.7020 1.2410 0.9810 ; + RECT 1.5760 0.1960 1.7890 0.2460 ; + RECT 1.5760 0.2460 1.6260 0.3600 ; + RECT 0.8110 0.3600 1.6260 0.4100 ; + RECT 0.8110 0.4100 0.8610 0.6610 ; + RECT 2.3410 0.9810 2.6250 1.0310 ; + RECT 2.1630 0.6550 2.3910 0.7050 ; + RECT 2.3410 0.4760 2.4730 0.5260 ; + RECT 2.3410 0.7050 2.3910 0.9810 ; + RECT 2.3410 0.5260 2.3910 0.6550 ; + RECT 2.4830 0.8810 2.7250 0.9310 ; + RECT 2.4830 0.6180 3.0050 0.6680 ; + RECT 2.6750 0.9310 2.7250 1.0810 ; + RECT 1.9510 1.0810 2.7250 1.1310 ; + RECT 2.4830 0.6020 2.5340 0.6180 ; + RECT 2.4830 0.6680 2.5330 0.8810 ; + RECT 1.9510 0.4600 2.0010 1.0810 ; + RECT 3.0550 0.6510 3.3140 0.7010 ; + RECT 2.8470 1.0100 3.1050 1.0600 ; + RECT 2.9940 0.4760 3.1050 0.5260 ; + RECT 3.0550 0.7010 3.1050 1.0100 ; + RECT 3.0550 0.5260 3.1050 0.6510 ; + RECT 0.5830 0.9810 0.8010 1.0310 ; + RECT 0.3390 0.6550 0.6330 0.7050 ; + RECT 0.5830 0.4600 0.6330 0.6550 ; + RECT 0.5830 0.7050 0.6330 0.9810 ; + LAYER PO ; + RECT 1.4290 0.0710 1.4590 1.6090 ; + RECT 1.1250 0.0670 1.1550 1.6090 ; + RECT 0.2130 0.0700 0.2430 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + RECT 2.7970 0.0710 2.8270 1.6090 ; + RECT 3.1010 0.0710 3.1310 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 2.6450 0.0710 2.6750 1.6090 ; + RECT 2.1890 0.0710 2.2190 1.6090 ; + RECT 2.0370 0.0710 2.0670 1.6090 ; + RECT 0.0610 0.0700 0.0910 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + RECT 3.4050 0.0710 3.4350 1.6090 ; + RECT 2.3410 0.0710 2.3710 1.6090 ; + RECT 3.5570 0.0710 3.5870 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 2.9490 0.0710 2.9790 1.6090 ; + RECT 3.2530 0.0710 3.2830 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + END +END DEC24X1_HVT + +MACRO DEC24X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A0 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0110 1.4180 2.9880 1.4680 ; + RECT 2.3770 1.4680 2.4870 1.5750 ; + RECT 2.9380 1.4680 2.9880 1.5340 ; + RECT 2.9380 1.5340 3.3090 1.5840 ; + END + PORT + LAYER CO ; + RECT 3.2470 1.5380 3.2890 1.5800 ; + RECT 2.0310 1.4220 2.0730 1.4640 ; + END + ANTENNAGATEAREA 0.0732 ; + END A0 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7890 1.5310 2.2570 1.5810 ; + RECT 1.7690 1.4650 1.8790 1.5310 ; + END + PORT + LAYER CO ; + RECT 2.1830 1.5350 2.2250 1.5770 ; + RECT 1.2710 1.5350 1.3130 1.5770 ; + RECT 0.8150 1.5350 0.8570 1.5770 ; + END + ANTENNAGATEAREA 0.0732 ; + END A1 + + PIN Y2 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7540 0.7650 4.0850 0.8150 ; + RECT 3.7540 0.4950 4.0850 0.5450 ; + RECT 4.0350 0.5530 4.1780 0.6630 ; + RECT 4.0350 0.6630 4.0850 0.7650 ; + RECT 4.0350 0.5450 4.0850 0.5530 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.7690 3.8210 0.8110 ; + RECT 3.7790 0.7690 3.8210 0.8110 ; + RECT 3.7790 0.4990 3.8210 0.5410 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y2 + + PIN Y3 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2390 0.9900 0.3780 1.1320 ; + RECT 0.2390 0.4910 0.5020 0.5410 ; + RECT 0.2390 0.8300 0.2890 0.9900 ; + RECT 0.2390 0.7800 0.5020 0.8300 ; + RECT 0.2390 0.5410 0.2890 0.7800 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.4940 0.4770 0.5360 ; + RECT 0.4350 0.7840 0.4770 0.8260 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 3.1510 1.2970 3.9930 1.3470 ; + RECT 1.5210 1.3350 2.9290 1.3360 ; + RECT 0.2630 1.2850 1.5650 1.2860 ; + RECT 0.2630 1.2860 2.9290 1.3350 ; + RECT 3.6230 1.3470 3.6730 1.6420 ; + RECT 0.5830 1.3350 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.2890 1.0850 1.3310 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1070 1.2890 2.1490 1.3310 ; + RECT 0.5870 1.2890 0.6290 1.3310 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2830 1.2890 0.3250 1.3310 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.8030 1.2890 1.8450 1.3310 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.9310 1.3010 3.9730 1.3430 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.4110 1.2890 2.4530 1.3310 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.7390 1.2890 0.7810 1.3310 ; + RECT 3.6270 1.3010 3.6690 1.3430 ; + RECT 2.8670 1.2910 2.9090 1.3330 ; + RECT 3.1710 1.3010 3.2130 1.3430 ; + RECT 3.4750 1.3010 3.5170 1.3430 ; + RECT 1.3470 1.2890 1.3890 1.3310 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.7150 1.2890 2.7570 1.3310 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4990 1.2890 1.5410 1.3310 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + END + END VDD + + PIN Y0 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3670 0.8350 2.6310 0.8850 ; + RECT 2.5290 0.4010 2.6390 0.4950 ; + RECT 2.3670 0.4950 2.6390 0.5450 ; + RECT 2.3670 0.5450 2.4170 0.8350 ; + END + PORT + LAYER CO ; + RECT 2.5630 0.4990 2.6050 0.5410 ; + RECT 2.5630 0.8390 2.6050 0.8810 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y0 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 2.0840 0.3570 2.1930 0.4070 ; + RECT 1.8010 0.0960 2.1930 0.1370 ; + RECT 0.2630 0.1460 1.8650 0.1870 ; + RECT 2.1430 0.1870 2.1930 0.3570 ; + RECT 3.6230 0.0300 3.6730 0.1370 ; + RECT 0.2630 0.1370 3.9930 0.1460 ; + RECT 2.1430 0.1460 3.9930 0.1870 ; + RECT 0.5830 0.1870 0.6330 0.2160 ; + RECT 0.5830 0.0300 0.6330 0.1370 ; + END + PORT + LAYER CO ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.1710 0.1410 3.2130 0.1830 ; + RECT 3.6270 0.1410 3.6690 0.1830 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 1.8030 0.1410 1.8450 0.1830 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 3.9310 0.1410 3.9730 0.1830 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.4110 0.1410 2.4530 0.1830 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.4990 0.1410 1.5410 0.1830 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.1070 0.3610 2.1490 0.4030 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.7150 0.1410 2.7570 0.1830 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + END + END VSS + + PIN Y1 + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 0.8570 1.8730 0.9670 ; + RECT 1.6260 0.4910 1.8730 0.5410 ; + RECT 1.8230 0.5410 1.8730 0.8570 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.8940 1.6930 0.9360 ; + RECT 1.6510 0.4950 1.6930 0.5370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y1 + OBS + LAYER CO ; + RECT 3.3990 0.6220 3.4410 0.6640 ; + RECT 3.7030 0.6300 3.7450 0.6720 ; + RECT 0.7390 0.4800 0.7810 0.5220 ; + RECT 2.8670 0.4800 2.9090 0.5220 ; + RECT 0.8910 0.9850 0.9330 1.0270 ; + RECT 1.7270 0.6560 1.7690 0.6980 ; + RECT 0.3590 0.6510 0.4010 0.6930 ; + RECT 3.8550 0.6300 3.8970 0.6720 ; + RECT 2.4870 0.6430 2.5290 0.6850 ; + RECT 1.5750 0.6560 1.6170 0.6980 ; + RECT 2.0310 0.2000 2.0730 0.2420 ; + RECT 3.4750 0.4800 3.5170 0.5220 ; + RECT 3.0190 0.9850 3.0610 1.0270 ; + RECT 2.0310 0.2000 2.0730 0.2420 ; + RECT 2.0310 0.2000 2.0730 0.2420 ; + RECT 2.6390 0.6430 2.6810 0.6850 ; + RECT 2.9430 0.6220 2.9850 0.6640 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 1.3470 0.4800 1.3890 0.5220 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 2.2590 0.4800 2.3010 0.5220 ; + RECT 1.9550 0.4800 1.9970 0.5220 ; + RECT 3.3230 1.0160 3.3650 1.0580 ; + RECT 1.1950 0.9850 1.2370 1.0270 ; + RECT 0.5110 0.6510 0.5530 0.6930 ; + RECT 3.0950 1.4380 3.1370 1.4800 ; + RECT 0.9670 0.5910 1.0090 0.6330 ; + RECT 2.2590 0.4800 2.3010 0.5220 ; + RECT 1.9550 1.1890 1.9970 1.2310 ; + RECT 1.1190 0.7920 1.1610 0.8340 ; + RECT 2.2590 0.9850 2.3010 1.0270 ; + RECT 2.2590 0.4800 2.3010 0.5220 ; + LAYER NWELL ; + RECT -0.0700 0.6790 4.3260 1.7730 ; + LAYER M1 ; + RECT 3.0380 1.4340 3.1570 1.4840 ; + RECT 1.0400 0.8380 1.0900 1.1850 ; + RECT 1.0400 0.7880 1.1840 0.8380 ; + RECT 1.0400 1.1850 3.0880 1.2350 ; + RECT 3.0380 1.2350 3.0880 1.4340 ; + RECT 1.9230 0.4600 2.0010 0.5420 ; + RECT 1.9230 0.5420 1.9730 1.1850 ; + RECT 1.3430 0.6520 1.7730 0.7020 ; + RECT 1.7230 0.7020 1.7730 0.7220 ; + RECT 1.7230 0.6240 1.7730 0.6520 ; + RECT 1.1710 0.9810 1.3930 1.0310 ; + RECT 1.3430 0.7020 1.3930 0.9810 ; + RECT 1.3430 0.4600 1.3930 0.6520 ; + RECT 1.5710 0.7020 1.6210 0.7220 ; + RECT 1.5710 0.6240 1.6210 0.6520 ; + RECT 1.9160 0.1960 2.0930 0.2460 ; + RECT 1.9160 0.2460 1.9660 0.3600 ; + RECT 0.9630 0.3600 1.9660 0.4100 ; + RECT 0.9630 0.4100 1.0130 0.6610 ; + RECT 2.7970 0.9810 3.0810 1.0310 ; + RECT 2.4670 0.6390 2.8470 0.6890 ; + RECT 2.7970 0.4760 2.9290 0.5260 ; + RECT 2.7970 0.6890 2.8470 0.9810 ; + RECT 2.7970 0.5260 2.8470 0.6390 ; + RECT 2.9390 0.8810 3.1810 0.9310 ; + RECT 2.2550 1.0810 3.1810 1.1310 ; + RECT 2.9390 0.6180 3.4610 0.6680 ; + RECT 3.1310 0.9310 3.1810 1.0810 ; + RECT 2.9390 0.6020 2.9900 0.6180 ; + RECT 2.9390 0.6680 2.9890 0.8810 ; + RECT 2.2550 0.4600 2.3050 1.0810 ; + RECT 3.5110 0.6260 3.9170 0.6760 ; + RECT 3.3030 1.0100 3.5610 1.0600 ; + RECT 3.4500 0.4760 3.5610 0.5260 ; + RECT 3.5110 0.6760 3.5610 1.0100 ; + RECT 3.5110 0.5260 3.5610 0.6260 ; + RECT 0.7350 0.9810 0.9530 1.0310 ; + RECT 0.3390 0.6470 0.7850 0.6970 ; + RECT 0.7350 0.4600 0.7850 0.6470 ; + RECT 0.7350 0.6970 0.7850 0.9810 ; + LAYER PO ; + RECT 1.8850 0.0710 1.9150 1.6090 ; + RECT 3.2530 0.0710 3.2830 1.6090 ; + RECT 3.5570 0.0710 3.5870 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 1.7330 0.0710 1.7630 1.6090 ; + RECT 1.4290 0.0670 1.4590 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0700 0.5470 1.6090 ; + RECT 2.0370 0.0710 2.0670 1.6090 ; + RECT 3.1010 0.0710 3.1310 1.6090 ; + RECT 3.4050 0.0710 3.4350 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 1.2770 0.0710 1.3070 1.6090 ; + RECT 2.9490 0.0710 2.9790 1.6090 ; + RECT 2.4930 0.0710 2.5230 1.6090 ; + RECT 2.3410 0.0710 2.3710 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 4.0130 0.0710 4.0430 1.6090 ; + RECT 4.1650 0.0710 4.1950 1.6090 ; + RECT 2.7970 0.0710 2.8270 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 1.1250 0.0710 1.1550 1.6090 ; + RECT 1.5810 0.0710 1.6110 1.6090 ; + RECT 2.1890 0.0710 2.2190 1.6090 ; + RECT 3.7090 0.0710 3.7390 1.6090 ; + RECT 2.6450 0.0710 2.6750 1.6090 ; + RECT 3.8610 0.0710 3.8910 1.6090 ; + END +END DEC24X2_HVT + +MACRO DELLN1X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.04 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6750 0.4210 0.7250 ; + RECT 0.0970 0.7250 0.2100 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.0105 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.0400 1.7020 ; + RECT 1.4550 0.9000 1.5490 0.9500 ; + RECT 0.5430 1.0400 0.6210 1.0900 ; + RECT 1.4990 0.5990 1.7890 0.6490 ; + RECT 0.5710 0.5690 0.8770 0.6190 ; + RECT 1.4950 1.1500 1.5450 1.6420 ; + RECT 0.5830 1.2900 0.6330 1.6420 ; + RECT 1.1910 0.7970 1.2410 1.6420 ; + RECT 2.1030 0.8160 2.1530 1.6420 ; + RECT 0.2790 0.8170 0.3290 1.6420 ; + RECT 2.5590 0.9920 2.6090 1.6420 ; + RECT 1.4550 1.1000 1.5450 1.1500 ; + RECT 0.5430 1.2400 0.6330 1.2900 ; + RECT 1.4990 0.6490 1.5490 0.9000 ; + RECT 1.4550 0.9500 1.5050 1.1000 ; + RECT 0.5710 0.6190 0.6210 1.0400 ; + RECT 0.5430 1.0900 0.5930 1.2400 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.5730 0.8570 0.6150 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 1.7270 0.6030 1.7690 0.6450 ; + RECT 1.4990 1.3000 1.5410 1.3420 ; + RECT 0.2830 0.9290 0.3250 0.9710 ; + RECT 2.1070 0.8360 2.1490 0.8780 ; + RECT 0.2830 0.9290 0.3250 0.9710 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 1.4990 1.3920 1.5410 1.4340 ; + RECT 2.1070 0.8360 2.1490 0.8780 ; + RECT 1.1950 0.8170 1.2370 0.8590 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 1.4990 1.4840 1.5410 1.5260 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.1070 1.0200 2.1490 1.0620 ; + RECT 2.1070 0.9280 2.1490 0.9700 ; + RECT 2.1070 1.0200 2.1490 1.0620 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.4990 1.2080 1.5410 1.2500 ; + RECT 0.2830 0.8370 0.3250 0.8790 ; + RECT 1.5750 0.6030 1.6170 0.6450 ; + RECT 0.2830 0.8370 0.3250 0.8790 ; + RECT 0.6630 0.5730 0.7050 0.6150 ; + RECT 1.1950 0.9090 1.2370 0.9510 ; + RECT 2.1070 1.1120 2.1490 1.1540 ; + RECT 1.1950 0.9090 1.2370 0.9510 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.1070 1.2040 2.1490 1.2460 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.2110 2.6050 1.2530 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.5630 1.0270 2.6050 1.0690 ; + RECT 2.5630 1.4870 2.6050 1.5290 ; + RECT 2.5630 1.3950 2.6050 1.4370 ; + RECT 2.5630 1.1190 2.6050 1.1610 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 2.1070 0.9280 2.1490 0.9700 ; + RECT 2.5630 1.3030 2.6050 1.3450 ; + RECT 1.1950 0.8170 1.2370 0.8590 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.0400 0.0300 ; + RECT 1.4950 0.1980 2.0410 0.2480 ; + RECT 0.5830 0.1980 1.1290 0.2480 ; + RECT 1.4950 0.4990 1.8890 0.5490 ; + RECT 0.5830 0.4690 0.9770 0.5190 ; + RECT 1.9910 0.0300 2.0410 0.1980 ; + RECT 1.0790 0.0300 1.1290 0.1980 ; + RECT 2.1030 0.0300 2.1530 0.5470 ; + RECT 0.2790 0.0300 0.3290 0.5400 ; + RECT 2.5590 0.0300 2.6090 0.4100 ; + RECT 1.4950 0.2480 1.5450 0.4990 ; + RECT 0.5830 0.2480 0.6330 0.4690 ; + RECT 1.8390 0.5490 1.8890 1.0000 ; + RECT 0.9270 0.5190 0.9770 1.1400 ; + RECT 1.1910 0.0300 1.2410 0.5500 ; + RECT 1.5550 1.0000 1.8890 1.0500 ; + RECT 0.6430 1.1400 0.9770 1.1900 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4740 1.5410 0.5160 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.1070 0.3930 2.1490 0.4350 ; + RECT 2.1070 0.3930 2.1490 0.4350 ; + RECT 1.7270 1.0040 1.7690 1.0460 ; + RECT 1.4990 0.3810 1.5410 0.4230 ; + RECT 2.1070 0.3010 2.1490 0.3430 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.6630 1.1440 0.7050 1.1860 ; + RECT 1.5750 1.0040 1.6170 1.0460 ; + RECT 2.1070 0.4850 2.1490 0.5270 ; + RECT 2.1070 0.3010 2.1490 0.3430 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1070 0.4850 2.1490 0.5270 ; + RECT 2.5630 0.2410 2.6050 0.2830 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 2.5630 0.1490 2.6050 0.1910 ; + RECT 2.5630 0.3330 2.6050 0.3750 ; + RECT 0.8150 1.1440 0.8570 1.1860 ; + RECT 0.5870 0.3710 0.6290 0.4130 ; + RECT 1.1950 0.4880 1.2370 0.5300 ; + RECT 0.2830 0.4780 0.3250 0.5200 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.4070 0.5370 2.9430 0.5870 ; + RECT 2.7510 0.5870 2.9430 0.6630 ; + RECT 2.7110 0.1310 2.7610 0.5370 ; + RECT 2.7510 0.6630 2.8010 0.8920 ; + RECT 2.4070 0.1310 2.4570 0.5370 ; + RECT 2.7110 0.9420 2.7610 1.5490 ; + RECT 2.4070 0.9420 2.4570 1.5490 ; + RECT 2.4070 0.8920 2.8010 0.9420 ; + END + PORT + LAYER CO ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 0.2430 2.4530 0.2850 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.3350 2.4530 0.3770 ; + RECT 2.4110 0.4270 2.4530 0.4690 ; + RECT 2.4110 0.1510 2.4530 0.1930 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.3030 2.4530 1.3450 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.2110 2.4530 1.2530 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.4110 1.4870 2.4530 1.5290 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.4110 1.1190 2.4530 1.1610 ; + RECT 2.4110 1.0270 2.4530 1.0690 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.3030 2.7570 1.3450 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.2110 2.7570 1.2530 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + RECT 2.7150 1.3950 2.7570 1.4370 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.2430 2.7570 0.2850 ; + RECT 2.7150 1.4870 2.7570 1.5290 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 1.1190 2.7570 1.1610 ; + RECT 2.7150 0.3350 2.7570 0.3770 ; + RECT 2.7150 0.4270 2.7570 0.4690 ; + RECT 2.7150 1.0270 2.7570 1.0690 ; + RECT 2.7150 0.1510 2.7570 0.1930 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + OBS + LAYER CO ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 1.8790 0.1000 1.9210 0.1420 ; + RECT 1.9550 1.4750 1.9970 1.5170 ; + RECT 1.9550 1.1980 1.9970 1.2400 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 1.9550 1.1060 1.9970 1.1480 ; + RECT 1.9550 1.1060 1.9970 1.1480 ; + RECT 1.9550 1.1980 1.9970 1.2400 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 1.9550 0.3910 1.9970 0.4330 ; + RECT 1.9550 0.3910 1.9970 0.4330 ; + RECT 2.2590 0.3010 2.3010 0.3430 ; + RECT 2.1830 0.6640 2.2250 0.7060 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 2.2590 1.2060 2.3010 1.2480 ; + RECT 2.4870 0.6640 2.5290 0.7060 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.3470 0.8170 1.3890 0.8590 ; + RECT 1.3470 0.8170 1.3890 0.8590 ; + RECT 1.3470 0.9090 1.3890 0.9510 ; + RECT 1.3470 0.9090 1.3890 0.9510 ; + RECT 0.4350 0.8370 0.4770 0.8790 ; + RECT 0.4350 0.8370 0.4770 0.8790 ; + RECT 0.4350 0.9290 0.4770 0.9710 ; + RECT 1.9550 1.2910 1.9970 1.3330 ; + RECT 0.4350 0.9290 0.4770 0.9710 ; + RECT 1.0430 1.3790 1.0850 1.4210 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 1.0430 0.3670 1.0850 0.4090 ; + RECT 1.0430 1.2870 1.0850 1.3290 ; + RECT 1.0430 1.2870 1.0850 1.3290 ; + RECT 1.0430 1.3790 1.0850 1.4210 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 0.9670 0.1000 1.0090 0.1420 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 2.2590 0.9300 2.3010 0.9720 ; + RECT 2.2590 0.4850 2.3010 0.5270 ; + RECT 2.2590 0.3930 2.3010 0.4350 ; + RECT 2.2590 0.3930 2.3010 0.4350 ; + RECT 2.6390 0.6640 2.6810 0.7060 ; + RECT 2.2590 1.0220 2.3010 1.0640 ; + RECT 2.2590 1.1140 2.3010 1.1560 ; + RECT 1.9550 1.3830 1.9970 1.4250 ; + RECT 1.9550 0.4830 1.9970 0.5250 ; + RECT 1.0430 0.4590 1.0850 0.5010 ; + RECT 1.9550 1.4750 1.9970 1.5170 ; + RECT 1.0430 1.4720 1.0850 1.5140 ; + RECT 1.0430 1.4720 1.0850 1.5140 ; + RECT 1.3470 0.4880 1.3890 0.5300 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.0430 0.4590 1.0850 0.5010 ; + LAYER NWELL ; + RECT -0.1060 0.6790 3.1640 1.7730 ; + LAYER M1 ; + RECT 1.0390 0.6750 1.3330 0.7250 ; + RECT 1.0390 0.7250 1.0890 1.5340 ; + RECT 1.0390 0.3470 1.0890 0.6750 ; + RECT 0.4310 0.0960 1.0290 0.1460 ; + RECT 0.4310 0.5410 0.5210 0.5910 ; + RECT 0.4310 0.8250 0.4810 0.9990 ; + RECT 0.4310 0.1460 0.4810 0.5410 ; + RECT 0.4310 0.7750 0.5210 0.8250 ; + RECT 0.4710 0.5910 0.5210 0.7750 ; + RECT 1.9510 0.6600 2.2450 0.7100 ; + RECT 1.9510 0.7100 2.0010 1.5370 ; + RECT 1.9510 0.3710 2.0010 0.6600 ; + RECT 1.3430 0.0960 1.9410 0.1460 ; + RECT 1.3430 0.1460 1.3930 0.5320 ; + RECT 1.3430 0.7750 1.4330 0.8250 ; + RECT 1.3430 0.8250 1.3930 0.9710 ; + RECT 1.3830 0.5820 1.4330 0.7750 ; + RECT 1.3430 0.5320 1.4330 0.5820 ; + RECT 2.2950 0.6600 2.7010 0.7100 ; + RECT 2.2550 0.9370 2.3050 1.2690 ; + RECT 2.2550 0.8870 2.3450 0.9370 ; + RECT 2.2950 0.7100 2.3450 0.8870 ; + RECT 2.2550 0.2810 2.3050 0.5320 ; + RECT 2.2950 0.5820 2.3450 0.6600 ; + RECT 2.2550 0.5320 2.3450 0.5820 ; + LAYER PO ; + RECT 1.7330 0.0660 1.7630 0.6830 ; + RECT 1.5810 0.0660 1.6110 0.6830 ; + RECT 1.5810 0.9700 1.6110 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.6690 1.1100 0.6990 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.8210 0.0660 0.8510 0.6530 ; + RECT 0.6690 0.0660 0.6990 0.6530 ; + RECT 0.8210 1.1100 0.8510 1.6060 ; + RECT 1.7330 0.9700 1.7630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + END +END DELLN1X2_HVT + +MACRO DELLN2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.952 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6750 0.4210 0.7250 ; + RECT 0.0970 0.7250 0.2100 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.0102 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.9520 1.7020 ; + RECT 2.3670 1.3780 2.4570 1.4280 ; + RECT 1.4550 1.2400 1.5450 1.2900 ; + RECT 2.4070 0.5990 2.7010 0.6490 ; + RECT 0.5430 0.9600 0.6370 1.0100 ; + RECT 1.4550 1.0410 1.5450 1.0910 ; + RECT 0.5870 0.5990 0.8770 0.6490 ; + RECT 1.4950 0.5990 1.7890 0.6490 ; + RECT 3.4710 0.9920 3.5210 1.6420 ; + RECT 2.1030 0.7750 2.1530 1.6420 ; + RECT 0.5830 1.2100 0.6330 1.6420 ; + RECT 1.4950 1.2900 1.5450 1.6420 ; + RECT 1.1910 0.8170 1.2410 1.6420 ; + RECT 3.0150 0.8160 3.0650 1.6420 ; + RECT 0.2790 0.8070 0.3290 1.6420 ; + RECT 2.4070 0.6490 2.4570 1.3780 ; + RECT 2.3670 1.4280 2.4170 1.6420 ; + RECT 0.5430 1.1600 0.6330 1.2100 ; + RECT 1.4550 1.0910 1.5050 1.2400 ; + RECT 0.5870 0.6490 0.6370 0.9600 ; + RECT 0.5430 1.0100 0.5930 1.1600 ; + RECT 1.4950 0.6490 1.5450 1.0410 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.6030 1.7690 0.6450 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.4110 1.2740 2.4530 1.3160 ; + RECT 2.4110 1.1820 2.4530 1.2240 ; + RECT 2.4870 0.6030 2.5290 0.6450 ; + RECT 2.1070 0.9020 2.1490 0.9440 ; + RECT 2.1070 0.9020 2.1490 0.9440 ; + RECT 2.1070 0.8100 2.1490 0.8520 ; + RECT 2.6390 0.6030 2.6810 0.6450 ; + RECT 2.1070 0.8100 2.1490 0.8520 ; + RECT 2.4110 0.9060 2.4530 0.9480 ; + RECT 2.4110 0.9980 2.4530 1.0400 ; + RECT 2.4110 1.0900 2.4530 1.1320 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 1.4990 1.3920 1.5410 1.4340 ; + RECT 3.0190 0.8360 3.0610 0.8780 ; + RECT 1.1950 0.8370 1.2370 0.8790 ; + RECT 3.0190 1.1120 3.0610 1.1540 ; + RECT 1.4990 1.4840 1.5410 1.5260 ; + RECT 3.0190 1.2040 3.0610 1.2460 ; + RECT 3.0190 1.0200 3.0610 1.0620 ; + RECT 3.0190 0.9280 3.0610 0.9700 ; + RECT 3.0190 1.0200 3.0610 1.0620 ; + RECT 1.4990 1.3000 1.5410 1.3420 ; + RECT 3.0190 1.2040 3.0610 1.2460 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.2830 0.8270 0.3250 0.8690 ; + RECT 1.5750 0.6030 1.6170 0.6450 ; + RECT 0.2830 0.8270 0.3250 0.8690 ; + RECT 0.6630 0.6030 0.7050 0.6450 ; + RECT 1.1950 0.9290 1.2370 0.9710 ; + RECT 3.0190 1.1120 3.0610 1.1540 ; + RECT 1.1950 0.9290 1.2370 0.9710 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 2.4110 1.3660 2.4530 1.4080 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.1950 0.8370 1.2370 0.8790 ; + RECT 0.8150 0.6030 0.8570 0.6450 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.4750 1.2110 3.5170 1.2530 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.0270 3.5170 1.0690 ; + RECT 3.4750 1.4870 3.5170 1.5290 ; + RECT 3.4750 1.3950 3.5170 1.4370 ; + RECT 3.4750 1.1190 3.5170 1.1610 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.0190 0.9280 3.0610 0.9700 ; + RECT 3.4750 1.3030 3.5170 1.3450 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.2830 0.9190 0.3250 0.9610 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.0190 0.8360 3.0610 0.8780 ; + RECT 0.2830 0.9190 0.3250 0.9610 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.3190 0.5370 3.8550 0.5870 ; + RECT 3.6790 0.5870 3.8550 0.6630 ; + RECT 3.3190 0.1160 3.3690 0.5370 ; + RECT 3.6230 0.1160 3.6730 0.5370 ; + RECT 3.6230 0.9420 3.6730 1.5640 ; + RECT 3.6790 0.6630 3.7290 0.8920 ; + RECT 3.3190 0.9420 3.3690 1.5640 ; + RECT 3.3190 0.8920 3.7290 0.9420 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 0.2430 3.3650 0.2850 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 0.1510 3.3650 0.1930 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.3030 3.3650 1.3450 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.2110 3.3650 1.2530 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.3950 3.3650 1.4370 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.4870 3.3650 1.5290 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.3230 1.1190 3.3650 1.1610 ; + RECT 3.3230 1.0270 3.3650 1.0690 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.3030 3.6690 1.3450 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.2110 3.6690 1.2530 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + RECT 3.6270 1.3950 3.6690 1.4370 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 0.2430 3.6690 0.2850 ; + RECT 3.6270 1.4870 3.6690 1.5290 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 1.1190 3.6690 1.1610 ; + RECT 3.6270 0.3350 3.6690 0.3770 ; + RECT 3.6270 0.4270 3.6690 0.4690 ; + RECT 3.6270 1.0270 3.6690 1.0690 ; + RECT 3.6270 0.1510 3.6690 0.1930 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.9520 0.0300 ; + RECT 1.4950 0.1980 2.0410 0.2480 ; + RECT 2.1030 0.2660 2.1560 0.3160 ; + RECT 2.4070 0.1980 2.9530 0.2480 ; + RECT 0.5830 0.1980 1.1290 0.2480 ; + RECT 1.4950 0.4990 1.8890 0.5490 ; + RECT 2.4070 0.4990 2.8010 0.5490 ; + RECT 0.5830 0.4990 0.9770 0.5490 ; + RECT 2.4670 1.5220 2.8010 1.5720 ; + RECT 1.9910 0.0300 2.0410 0.1980 ; + RECT 3.4710 0.0300 3.5210 0.4100 ; + RECT 2.1060 0.0300 2.1560 0.2660 ; + RECT 2.9030 0.0300 2.9530 0.1980 ; + RECT 1.0790 0.0300 1.1290 0.1980 ; + RECT 1.1910 0.0300 1.2410 0.5400 ; + RECT 3.0150 0.0300 3.0650 0.5470 ; + RECT 0.2790 0.0300 0.3290 0.5400 ; + RECT 1.4950 0.2480 1.5450 0.4990 ; + RECT 2.1030 0.3160 2.1530 0.5800 ; + RECT 2.4070 0.2480 2.4570 0.4990 ; + RECT 0.5830 0.2480 0.6330 0.4990 ; + RECT 2.7510 0.5490 2.8010 1.5220 ; + RECT 1.8390 0.5490 1.8890 1.1400 ; + RECT 0.9270 0.5490 0.9770 1.0600 ; + RECT 1.5550 1.1400 1.8890 1.1900 ; + RECT 0.6430 1.0600 0.9770 1.1100 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4010 1.5410 0.4430 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1070 0.5140 2.1490 0.5560 ; + RECT 2.4110 0.4240 2.4530 0.4660 ; + RECT 2.4110 0.3310 2.4530 0.3730 ; + RECT 2.4870 1.5260 2.5290 1.5680 ; + RECT 2.6390 1.5260 2.6810 1.5680 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.0190 0.4850 3.0610 0.5270 ; + RECT 3.0190 0.3010 3.0610 0.3430 ; + RECT 3.0190 0.3010 3.0610 0.3430 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0190 0.4850 3.0610 0.5270 ; + RECT 3.4750 0.2410 3.5170 0.2830 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 1.0640 0.7050 1.1060 ; + RECT 1.5750 1.1440 1.6170 1.1860 ; + RECT 0.8150 1.0640 0.8570 1.1060 ; + RECT 0.2830 0.4780 0.3250 0.5200 ; + RECT 0.5870 0.3810 0.6290 0.4230 ; + RECT 0.5870 0.4740 0.6290 0.5160 ; + RECT 1.1950 0.4780 1.2370 0.5200 ; + RECT 0.2830 0.4780 0.3250 0.5200 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 3.4750 0.1490 3.5170 0.1910 ; + RECT 3.4750 0.3330 3.5170 0.3750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.0190 0.3930 3.0610 0.4350 ; + RECT 3.0190 0.3930 3.0610 0.4350 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.7270 1.1440 1.7690 1.1860 ; + END + END VSS + OBS + LAYER CO ; + RECT 2.2590 0.5140 2.3010 0.5560 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 2.8670 0.4890 2.9090 0.5310 ; + RECT 2.8670 0.4890 2.9090 0.5310 ; + RECT 2.1830 0.6750 2.2250 0.7170 ; + RECT 2.2590 0.8100 2.3010 0.8520 ; + RECT 2.2590 0.8100 2.3010 0.8520 ; + RECT 1.0430 0.3970 1.0850 0.4390 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + RECT 2.8670 1.0910 2.9090 1.1330 ; + RECT 2.8670 1.0910 2.9090 1.1330 ; + RECT 2.8670 0.9980 2.9090 1.0400 ; + RECT 2.8670 0.9060 2.9090 0.9480 ; + RECT 2.8670 0.9060 2.9090 0.9480 ; + RECT 2.8670 0.9980 2.9090 1.0400 ; + RECT 1.9550 0.4280 1.9970 0.4700 ; + RECT 0.4350 0.9020 0.4770 0.9440 ; + RECT 1.3470 0.9290 1.3890 0.9710 ; + RECT 3.1710 0.3050 3.2130 0.3470 ; + RECT 1.3470 0.9290 1.3890 0.9710 ; + RECT 3.0950 0.6640 3.1370 0.7060 ; + RECT 1.9550 1.2460 1.9970 1.2880 ; + RECT 3.1710 0.9280 3.2130 0.9700 ; + RECT 3.1710 1.2040 3.2130 1.2460 ; + RECT 3.3990 0.6640 3.4410 0.7060 ; + RECT 3.1710 1.1120 3.2130 1.1540 ; + RECT 3.1710 1.0200 3.2130 1.0620 ; + RECT 3.1710 0.9280 3.2130 0.9700 ; + RECT 3.1710 0.4890 3.2130 0.5310 ; + RECT 3.1710 0.3970 3.2130 0.4390 ; + RECT 3.1710 0.3970 3.2130 0.4390 ; + RECT 2.8670 1.3680 2.9090 1.4100 ; + RECT 2.8670 0.3970 2.9090 0.4390 ; + RECT 2.8670 0.3970 2.9090 0.4390 ; + RECT 2.8670 1.1830 2.9090 1.2250 ; + RECT 0.4350 0.9020 0.4770 0.9440 ; + RECT 2.8670 1.1830 2.9090 1.2250 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 1.0430 1.2580 1.0850 1.3000 ; + RECT 0.4350 0.4780 0.4770 0.5200 ; + RECT 1.0430 0.4890 1.0850 0.5310 ; + RECT 1.0430 1.1660 1.0850 1.2080 ; + RECT 1.0430 1.4430 1.0850 1.4850 ; + RECT 1.0430 1.1660 1.0850 1.2080 ; + RECT 1.0430 1.2580 1.0850 1.3000 ; + RECT 2.8670 1.2750 2.9090 1.3170 ; + RECT 0.4350 0.4780 0.4770 0.5200 ; + RECT 0.9670 0.1000 1.0090 0.1420 ; + RECT 1.9550 1.2460 1.9970 1.2880 ; + RECT 1.9550 1.3390 1.9970 1.3810 ; + RECT 1.0430 1.3510 1.0850 1.3930 ; + RECT 1.0430 1.3510 1.0850 1.3930 ; + RECT 1.3470 0.8370 1.3890 0.8790 ; + RECT 1.3470 0.8370 1.3890 0.8790 ; + RECT 1.3470 0.4780 1.3890 0.5200 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 2.8670 1.2750 2.9090 1.3170 ; + RECT 1.0430 0.3970 1.0850 0.4390 ; + RECT 2.8670 1.3680 2.9090 1.4100 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 3.5510 0.6640 3.5930 0.7060 ; + RECT 3.1710 1.0200 3.2130 1.0620 ; + RECT 3.1710 1.1120 3.2130 1.1540 ; + RECT 1.8790 0.1000 1.9210 0.1420 ; + RECT 1.0430 1.4430 1.0850 1.4850 ; + RECT 1.0430 0.4890 1.0850 0.5310 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 1.9550 1.4310 1.9970 1.4730 ; + RECT 3.1710 1.2040 3.2130 1.2460 ; + RECT 1.9550 0.4280 1.9970 0.4700 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.0670 1.7730 ; + LAYER M1 ; + RECT 3.2070 0.6600 3.6280 0.7100 ; + RECT 3.1670 0.2850 3.2170 0.5320 ; + RECT 3.1670 0.5320 3.2570 0.5820 ; + RECT 3.1670 0.8870 3.2570 0.9370 ; + RECT 3.1670 0.9370 3.2170 1.2660 ; + RECT 3.2070 0.7100 3.2570 0.8870 ; + RECT 3.2070 0.5820 3.2570 0.6600 ; + RECT 2.8630 0.6600 3.1570 0.7100 ; + RECT 2.8630 0.7100 2.9130 1.4300 ; + RECT 2.8630 0.3770 2.9130 0.6600 ; + RECT 2.2550 0.0960 2.8530 0.1460 ; + RECT 2.2550 0.5320 2.3450 0.5820 ; + RECT 2.2550 0.8250 2.3050 0.8870 ; + RECT 2.2550 0.7750 2.3450 0.8250 ; + RECT 2.2550 0.1460 2.3050 0.5320 ; + RECT 2.2950 0.5820 2.3450 0.7750 ; + RECT 1.0390 0.6750 1.3330 0.7250 ; + RECT 1.0390 0.7250 1.0890 1.5050 ; + RECT 1.0390 0.3770 1.0890 0.6750 ; + RECT 0.4310 0.0960 1.0290 0.1460 ; + RECT 0.4310 0.5410 0.5210 0.5910 ; + RECT 0.4310 0.1460 0.4810 0.5410 ; + RECT 0.4310 0.7750 0.5210 0.8250 ; + RECT 0.4310 0.8250 0.4810 0.9640 ; + RECT 0.4710 0.5910 0.5210 0.7750 ; + RECT 1.9510 0.6710 2.2450 0.7210 ; + RECT 1.9510 0.7210 2.0010 1.4930 ; + RECT 1.9510 0.3810 2.0010 0.6710 ; + RECT 1.3430 0.0960 1.9410 0.1460 ; + RECT 1.3430 0.5320 1.4330 0.5820 ; + RECT 1.3430 0.7750 1.4330 0.8250 ; + RECT 1.3430 0.1460 1.3930 0.5320 ; + RECT 1.3430 0.8250 1.3930 0.9910 ; + RECT 1.3830 0.5820 1.4330 0.7750 ; + LAYER PO ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 2.4930 0.0660 2.5230 0.6830 ; + RECT 2.4930 0.8260 2.5230 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6830 ; + RECT 2.6450 0.8260 2.6750 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.8210 0.0660 0.8510 0.6830 ; + RECT 0.6690 0.0660 0.6990 0.6830 ; + RECT 0.8210 1.0300 0.8510 1.6060 ; + RECT 1.7330 1.1100 1.7630 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.7330 0.0660 1.7630 0.6830 ; + RECT 1.5810 0.0660 1.6110 0.6830 ; + RECT 1.5810 1.1100 1.6110 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 3.5570 0.0660 3.5870 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 3.4050 0.0660 3.4350 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 0.6690 1.0300 0.6990 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + END +END DELLN2X2_HVT + +MACRO DELLN3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.776 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 0.6750 0.4210 0.7250 ; + RECT 0.0970 0.7250 0.2100 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6790 0.4010 0.7210 ; + END + ANTENNAGATEAREA 0.0096 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.7760 1.7020 ; + RECT 4.1910 1.3780 4.2810 1.4280 ; + RECT 3.2790 1.2200 3.3690 1.2700 ; + RECT 2.3670 1.0800 2.4610 1.1300 ; + RECT 1.4550 0.9600 1.5490 1.0100 ; + RECT 0.5430 0.9390 0.6370 0.9890 ; + RECT 4.2310 0.5990 4.5250 0.6490 ; + RECT 3.2790 1.0210 3.3690 1.0710 ; + RECT 2.4110 0.5990 2.7010 0.6490 ; + RECT 1.4990 0.5990 1.7890 0.6490 ; + RECT 0.5870 0.5990 0.8770 0.6490 ; + RECT 3.3190 0.5990 3.6130 0.6490 ; + RECT 2.4070 1.3300 2.4570 1.6420 ; + RECT 4.8390 0.8160 4.8890 1.6420 ; + RECT 2.1030 0.8070 2.1530 1.6420 ; + RECT 1.4950 1.2100 1.5450 1.6420 ; + RECT 5.2950 0.9920 5.3450 1.6420 ; + RECT 0.2790 0.7950 0.3290 1.6420 ; + RECT 0.5830 1.2100 0.6330 1.6420 ; + RECT 1.1910 0.7950 1.2410 1.6420 ; + RECT 3.9270 0.7750 3.9770 1.6420 ; + RECT 3.3190 1.2700 3.3690 1.6420 ; + RECT 3.0150 0.8170 3.0650 1.6420 ; + RECT 2.3670 1.2800 2.4570 1.3300 ; + RECT 1.4550 1.1600 1.5450 1.2100 ; + RECT 0.5430 1.1600 0.6330 1.2100 ; + RECT 4.2310 0.6490 4.2810 1.3780 ; + RECT 4.1910 1.4280 4.2410 1.6420 ; + RECT 3.2790 1.0710 3.3290 1.2200 ; + RECT 2.4110 0.6490 2.4610 1.0800 ; + RECT 2.3670 1.1300 2.4170 1.2800 ; + RECT 1.4990 0.6490 1.5490 0.9600 ; + RECT 1.4550 1.0100 1.5050 1.1600 ; + RECT 0.5870 0.6490 0.6370 0.9390 ; + RECT 0.5430 0.9890 0.5930 1.1600 ; + RECT 3.3190 0.6490 3.3690 1.0210 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.8150 0.3250 0.8570 ; + RECT 0.2830 0.8150 0.3250 0.8570 ; + RECT 0.6630 0.6030 0.7050 0.6450 ; + RECT 0.8150 0.6030 0.8570 0.6450 ; + RECT 0.5870 1.2920 0.6290 1.3340 ; + RECT 0.5870 1.2000 0.6290 1.2420 ; + RECT 4.2350 1.3660 4.2770 1.4080 ; + RECT 3.0190 0.8370 3.0610 0.8790 ; + RECT 2.6390 0.6030 2.6810 0.6450 ; + RECT 2.4110 1.4840 2.4530 1.5260 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.5510 0.6030 3.5930 0.6450 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.2350 1.2740 4.2770 1.3160 ; + RECT 4.2350 1.1820 4.2770 1.2240 ; + RECT 4.3110 0.6030 4.3530 0.6450 ; + RECT 3.9310 0.8100 3.9730 0.8520 ; + RECT 4.4630 0.6030 4.5050 0.6450 ; + RECT 3.9310 0.8100 3.9730 0.8520 ; + RECT 4.2350 1.0900 4.2770 1.1320 ; + RECT 0.5870 1.4760 0.6290 1.5180 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.4990 1.3840 1.5410 1.4260 ; + RECT 1.4990 1.4760 1.5410 1.5180 ; + RECT 1.4990 1.2000 1.5410 1.2420 ; + RECT 1.4990 1.2920 1.5410 1.3340 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.5870 1.3840 0.6290 1.4260 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 4.8430 0.9280 4.8850 0.9700 ; + RECT 5.2990 1.3030 5.3410 1.3450 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 4.8430 0.8360 4.8850 0.8780 ; + RECT 2.4110 1.3920 2.4530 1.4340 ; + RECT 3.3230 1.3920 3.3650 1.4340 ; + RECT 4.8430 0.8360 4.8850 0.8780 ; + RECT 3.0190 0.8370 3.0610 0.8790 ; + RECT 4.8430 1.1120 4.8850 1.1540 ; + RECT 3.3230 1.4840 3.3650 1.5260 ; + RECT 4.8430 1.2040 4.8850 1.2460 ; + RECT 4.8430 1.0200 4.8850 1.0620 ; + RECT 4.8430 0.9280 4.8850 0.9700 ; + RECT 4.8430 1.0200 4.8850 1.0620 ; + RECT 3.3230 1.3000 3.3650 1.3420 ; + RECT 4.8430 1.2040 4.8850 1.2460 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.2110 5.3410 1.2530 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 1.5750 0.6030 1.6170 0.6450 ; + RECT 1.1950 0.8150 1.2370 0.8570 ; + RECT 1.1950 0.8150 1.2370 0.8570 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.1070 0.8270 2.1490 0.8690 ; + RECT 3.3990 0.6030 3.4410 0.6450 ; + RECT 2.1070 0.8270 2.1490 0.8690 ; + RECT 2.4870 0.6030 2.5290 0.6450 ; + RECT 4.8430 1.1120 4.8850 1.1540 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.4110 1.3000 2.4530 1.3420 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.0270 5.3410 1.0690 ; + RECT 5.2990 1.4870 5.3410 1.5290 ; + RECT 5.2990 1.3950 5.3410 1.4370 ; + RECT 5.2990 1.1190 5.3410 1.1610 ; + RECT 1.7270 0.6030 1.7690 0.6450 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.1430 0.5370 5.6790 0.5870 ; + RECT 5.5030 0.5870 5.6790 0.6630 ; + RECT 5.1430 0.1160 5.1930 0.5370 ; + RECT 5.4470 0.1160 5.4970 0.5370 ; + RECT 5.4470 0.9420 5.4970 1.5640 ; + RECT 5.5030 0.6630 5.5530 0.8920 ; + RECT 5.1430 0.9420 5.1930 1.5640 ; + RECT 5.1430 0.8920 5.5530 0.9420 ; + END + PORT + LAYER CO ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + RECT 5.4510 0.4270 5.4930 0.4690 ; + RECT 5.4510 1.0270 5.4930 1.0690 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 0.2430 5.1890 0.2850 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.3350 5.1890 0.3770 ; + RECT 5.1470 0.4270 5.1890 0.4690 ; + RECT 5.1470 0.1510 5.1890 0.1930 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.3030 5.1890 1.3450 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.2110 5.1890 1.2530 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.3950 5.1890 1.4370 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.4870 5.1890 1.5290 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.1470 1.1190 5.1890 1.1610 ; + RECT 5.1470 1.0270 5.1890 1.0690 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.3030 5.4930 1.3450 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.2110 5.4930 1.2530 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 1.1190 5.4930 1.1610 ; + RECT 5.4510 0.1510 5.4930 0.1930 ; + RECT 5.4510 1.3950 5.4930 1.4370 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 5.4510 0.2430 5.4930 0.2850 ; + RECT 5.4510 1.4870 5.4930 1.5290 ; + RECT 5.4510 0.3350 5.4930 0.3770 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.7760 0.0300 ; + RECT 0.5830 0.1980 1.1290 0.2480 ; + RECT 1.4950 0.1980 2.0410 0.2480 ; + RECT 3.9270 0.2660 3.9800 0.3160 ; + RECT 4.2310 0.1980 4.7770 0.2480 ; + RECT 2.4070 0.1980 2.9530 0.2480 ; + RECT 3.3190 0.1980 3.8650 0.2480 ; + RECT 0.5830 0.4990 0.9770 0.5490 ; + RECT 1.4950 0.4990 1.8890 0.5490 ; + RECT 4.2310 0.4990 4.6250 0.5490 ; + RECT 2.4070 0.4990 2.8010 0.5490 ; + RECT 3.3190 0.4990 3.7130 0.5490 ; + RECT 4.2910 1.5220 4.6250 1.5720 ; + RECT 1.0790 0.0300 1.1290 0.1980 ; + RECT 4.8390 0.0300 4.8890 0.5470 ; + RECT 2.1030 0.0300 2.1530 0.5400 ; + RECT 1.9910 0.0300 2.0410 0.1980 ; + RECT 1.1910 0.0300 1.2410 0.5600 ; + RECT 5.2950 0.0300 5.3450 0.4100 ; + RECT 0.2790 0.0300 0.3290 0.5600 ; + RECT 3.9300 0.0300 3.9800 0.2660 ; + RECT 4.7270 0.0300 4.7770 0.1980 ; + RECT 2.9030 0.0300 2.9530 0.1980 ; + RECT 3.8150 0.0300 3.8650 0.1980 ; + RECT 3.0150 0.0300 3.0650 0.5400 ; + RECT 0.5830 0.2480 0.6330 0.4990 ; + RECT 1.4950 0.2480 1.5450 0.4990 ; + RECT 3.9270 0.3160 3.9770 0.5800 ; + RECT 4.2310 0.2480 4.2810 0.4990 ; + RECT 2.4070 0.2480 2.4570 0.4990 ; + RECT 3.3190 0.2480 3.3690 0.4990 ; + RECT 4.5750 0.5490 4.6250 1.5220 ; + RECT 0.9270 0.5490 0.9770 1.0400 ; + RECT 1.8390 0.5490 1.8890 1.0600 ; + RECT 2.7510 0.5490 2.8010 1.1800 ; + RECT 3.6630 0.5490 3.7130 1.1200 ; + RECT 0.6430 1.0400 0.9770 1.0900 ; + RECT 1.5550 1.0600 1.8890 1.1100 ; + RECT 2.4670 1.1800 2.8010 1.2300 ; + RECT 3.3790 1.1200 3.7130 1.1700 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.4980 0.3250 0.5400 ; + RECT 0.5870 0.4740 0.6290 0.5160 ; + RECT 0.2830 0.4980 0.3250 0.5400 ; + RECT 0.5870 0.3810 0.6290 0.4230 ; + RECT 0.6630 1.0440 0.7050 1.0860 ; + RECT 0.8150 1.0440 0.8570 1.0860 ; + RECT 2.6390 1.1840 2.6810 1.2260 ; + RECT 1.1950 0.4980 1.2370 0.5400 ; + RECT 2.1070 0.4780 2.1490 0.5200 ; + RECT 2.4110 0.3810 2.4530 0.4230 ; + RECT 2.4110 0.4740 2.4530 0.5160 ; + RECT 3.0190 0.4780 3.0610 0.5200 ; + RECT 2.1070 0.4780 2.1490 0.5200 ; + RECT 3.3230 0.4010 3.3650 0.4430 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 4.8430 0.3930 4.8850 0.4350 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.9310 0.5140 3.9730 0.5560 ; + RECT 4.2350 0.4240 4.2770 0.4660 ; + RECT 4.2350 0.3310 4.2770 0.3730 ; + RECT 4.3110 1.5260 4.3530 1.5680 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.4630 1.5260 4.5050 1.5680 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.7270 1.0640 1.7690 1.1060 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 5.2990 0.3330 5.3410 0.3750 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.8430 0.3930 4.8850 0.4350 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.5510 1.1240 3.5930 1.1660 ; + RECT 1.4990 0.4740 1.5410 0.5160 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 4.8430 0.4850 4.8850 0.5270 ; + RECT 4.8430 0.3010 4.8850 0.3430 ; + RECT 4.8430 0.3010 4.8850 0.3430 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.8430 0.4850 4.8850 0.5270 ; + RECT 5.2990 0.2410 5.3410 0.2830 ; + RECT 5.2990 0.1490 5.3410 0.1910 ; + RECT 1.4990 0.3810 1.5410 0.4230 ; + RECT 1.1950 0.4980 1.2370 0.5400 ; + RECT 1.5750 1.0640 1.6170 1.1060 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.4870 1.1840 2.5290 1.2260 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 3.3990 1.1240 3.4410 1.1660 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.0430 0.3810 1.0850 0.4230 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 1.0430 0.4740 1.0850 0.5160 ; + RECT 0.4350 0.4980 0.4770 0.5400 ; + RECT 0.9670 0.1000 1.0090 0.1420 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 0.4350 0.8100 0.4770 0.8520 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 1.9550 1.4430 1.9970 1.4850 ; + RECT 3.7790 1.3390 3.8210 1.3810 ; + RECT 2.8670 1.3510 2.9090 1.3930 ; + RECT 2.8670 1.3510 2.9090 1.3930 ; + RECT 3.1710 0.8370 3.2130 0.8790 ; + RECT 3.1710 0.8370 3.2130 0.8790 ; + RECT 1.0430 1.1660 1.0850 1.2080 ; + RECT 3.1710 0.4780 3.2130 0.5200 ; + RECT 3.0950 0.6790 3.1370 0.7210 ; + RECT 4.6910 1.2750 4.7330 1.3170 ; + RECT 1.0430 1.4430 1.0850 1.4850 ; + RECT 2.8670 0.3970 2.9090 0.4390 ; + RECT 4.6910 1.3680 4.7330 1.4100 ; + RECT 2.2590 0.8100 2.3010 0.8520 ; + RECT 1.0430 1.4430 1.0850 1.4850 ; + RECT 3.7030 0.1000 3.7450 0.1420 ; + RECT 1.0430 1.3510 1.0850 1.3930 ; + RECT 1.0430 1.2580 1.0850 1.3000 ; + RECT 1.0430 1.3510 1.0850 1.3930 ; + RECT 4.0830 0.5140 4.1250 0.5560 ; + RECT 4.6150 0.1000 4.6570 0.1420 ; + RECT 4.6910 0.4890 4.7330 0.5310 ; + RECT 4.6910 0.4890 4.7330 0.5310 ; + RECT 4.0070 0.6750 4.0490 0.7170 ; + RECT 4.0830 0.8100 4.1250 0.8520 ; + RECT 4.0830 0.8100 4.1250 0.8520 ; + RECT 2.8670 0.3970 2.9090 0.4390 ; + RECT 3.7790 1.3390 3.8210 1.3810 ; + RECT 4.6910 1.0910 4.7330 1.1330 ; + RECT 4.6910 1.0910 4.7330 1.1330 ; + RECT 1.0430 1.2580 1.0850 1.3000 ; + RECT 4.6910 1.3680 4.7330 1.4100 ; + RECT 1.9550 1.2580 1.9970 1.3000 ; + RECT 1.3470 0.8100 1.3890 0.8520 ; + RECT 2.8670 1.4430 2.9090 1.4850 ; + RECT 2.8670 0.4890 2.9090 0.5310 ; + RECT 3.7790 1.4310 3.8210 1.4730 ; + RECT 3.7790 1.4310 3.8210 1.4730 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 3.7790 0.4280 3.8210 0.4700 ; + RECT 3.7790 0.4280 3.8210 0.4700 ; + RECT 4.9950 0.3050 5.0370 0.3470 ; + RECT 4.9190 0.6640 4.9610 0.7060 ; + RECT 4.9950 0.9280 5.0370 0.9700 ; + RECT 4.9950 1.2040 5.0370 1.2460 ; + RECT 5.2230 0.6640 5.2650 0.7060 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + RECT 4.9950 1.0200 5.0370 1.0620 ; + RECT 4.9950 0.9280 5.0370 0.9700 ; + RECT 4.9950 0.4890 5.0370 0.5310 ; + RECT 4.9950 0.3970 5.0370 0.4390 ; + RECT 4.9950 0.3970 5.0370 0.4390 ; + RECT 5.3750 0.6640 5.4170 0.7060 ; + RECT 1.8790 0.1000 1.9210 0.1420 ; + RECT 4.6910 0.3970 4.7330 0.4390 ; + RECT 4.6910 0.3970 4.7330 0.4390 ; + RECT 1.9550 1.3510 1.9970 1.3930 ; + RECT 1.9550 1.3510 1.9970 1.3930 ; + RECT 1.9550 1.2580 1.9970 1.3000 ; + RECT 1.9550 1.1660 1.9970 1.2080 ; + RECT 1.9550 1.1660 1.9970 1.2080 ; + RECT 1.3470 0.8100 1.3890 0.8520 ; + RECT 4.6910 1.1830 4.7330 1.2250 ; + RECT 4.6910 1.1830 4.7330 1.2250 ; + RECT 2.1830 0.6790 2.2250 0.7210 ; + RECT 2.2590 0.8100 2.3010 0.8520 ; + RECT 1.2710 0.6790 1.3130 0.7210 ; + RECT 1.9550 0.3810 1.9970 0.4230 ; + RECT 1.9550 1.4430 1.9970 1.4850 ; + RECT 2.2590 0.4780 2.3010 0.5200 ; + RECT 2.8670 0.4890 2.9090 0.5310 ; + RECT 2.8670 1.4430 2.9090 1.4850 ; + RECT 4.6910 1.2750 4.7330 1.3170 ; + RECT 1.0430 1.1660 1.0850 1.2080 ; + RECT 2.2590 0.4780 2.3010 0.5200 ; + RECT 4.9950 1.0200 5.0370 1.0620 ; + RECT 1.3470 0.4980 1.3890 0.5400 ; + RECT 1.9550 0.4740 1.9970 0.5160 ; + RECT 1.3470 0.4980 1.3890 0.5400 ; + RECT 4.9950 1.1120 5.0370 1.1540 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.8910 1.7730 ; + LAYER M1 ; + RECT 3.1670 0.0960 3.7650 0.1460 ; + RECT 3.1670 0.7750 3.2570 0.8250 ; + RECT 3.1670 0.5320 3.2570 0.5820 ; + RECT 3.1670 0.8250 3.2170 0.9210 ; + RECT 3.2070 0.5820 3.2570 0.7750 ; + RECT 3.1670 0.1460 3.2170 0.5320 ; + RECT 2.2550 0.0960 2.8530 0.1460 ; + RECT 2.2550 0.5410 2.3450 0.5910 ; + RECT 2.2550 0.1460 2.3050 0.5410 ; + RECT 2.2550 0.8250 2.3050 0.8720 ; + RECT 2.2550 0.7750 2.3450 0.8250 ; + RECT 2.2950 0.5910 2.3450 0.7750 ; + RECT 1.9510 0.6750 2.2450 0.7250 ; + RECT 1.9510 0.7250 2.0010 1.5050 ; + RECT 1.9510 0.3010 2.0010 0.6750 ; + RECT 5.0310 0.6600 5.4520 0.7100 ; + RECT 4.9910 0.9370 5.0410 1.2660 ; + RECT 4.9910 0.8870 5.0810 0.9370 ; + RECT 4.9910 0.2850 5.0410 0.5320 ; + RECT 5.0310 0.7100 5.0810 0.8870 ; + RECT 5.0310 0.5820 5.0810 0.6600 ; + RECT 4.9910 0.5320 5.0810 0.5820 ; + RECT 3.7750 0.6710 4.0690 0.7210 ; + RECT 3.7750 0.7210 3.8250 1.4930 ; + RECT 3.7750 0.3810 3.8250 0.6710 ; + RECT 1.3430 0.0960 1.9410 0.1460 ; + RECT 1.3430 0.5410 1.4330 0.5910 ; + RECT 1.3430 0.1460 1.3930 0.5410 ; + RECT 1.3430 0.8250 1.3930 0.8870 ; + RECT 1.3430 0.7750 1.4330 0.8250 ; + RECT 1.3830 0.5910 1.4330 0.7750 ; + RECT 4.6870 0.6600 4.9810 0.7100 ; + RECT 4.6870 0.7100 4.7370 1.4300 ; + RECT 4.6870 0.3770 4.7370 0.6600 ; + RECT 4.0790 0.0960 4.6770 0.1460 ; + RECT 4.0790 0.5320 4.1690 0.5820 ; + RECT 4.0790 0.8250 4.1290 0.8870 ; + RECT 4.0790 0.7750 4.1690 0.8250 ; + RECT 4.0790 0.1460 4.1290 0.5320 ; + RECT 4.1190 0.5820 4.1690 0.7750 ; + RECT 2.8630 0.6750 3.1570 0.7250 ; + RECT 2.8630 0.7250 2.9130 1.5050 ; + RECT 2.8630 0.3770 2.9130 0.6750 ; + RECT 1.0390 0.6750 1.3330 0.7250 ; + RECT 1.0390 0.7250 1.0890 1.5050 ; + RECT 1.0390 0.3310 1.0890 0.6750 ; + RECT 0.4310 0.0960 1.0290 0.1460 ; + RECT 0.4310 0.5410 0.5210 0.5910 ; + RECT 0.4310 0.1460 0.4810 0.5410 ; + RECT 0.4310 0.7750 0.5210 0.8250 ; + RECT 0.4310 0.8250 0.4810 0.8870 ; + RECT 0.4710 0.5910 0.5210 0.7750 ; + LAYER PO ; + RECT 2.9490 0.0660 2.9790 1.6060 ; + RECT 2.6450 0.0660 2.6750 0.6830 ; + RECT 2.4930 0.0660 2.5230 0.6830 ; + RECT 2.6450 1.1500 2.6750 1.6060 ; + RECT 3.5570 1.0900 3.5870 1.6060 ; + RECT 3.2530 0.0660 3.2830 1.6060 ; + RECT 3.5570 0.0660 3.5870 0.6830 ; + RECT 3.4050 0.0660 3.4350 0.6830 ; + RECT 3.4050 1.0900 3.4350 1.6060 ; + RECT 3.7090 0.0660 3.7390 1.6060 ; + RECT 5.0770 0.0660 5.1070 1.6060 ; + RECT 3.1010 0.0660 3.1310 1.6060 ; + RECT 5.3810 0.0660 5.4110 1.6060 ; + RECT 4.9250 0.0660 4.9550 1.6060 ; + RECT 5.5330 0.0660 5.5630 1.6060 ; + RECT 5.2290 0.0660 5.2590 1.6060 ; + RECT 5.6850 0.0660 5.7150 1.6060 ; + RECT 2.4930 1.1500 2.5230 1.6060 ; + RECT 4.0130 0.0660 4.0430 1.6060 ; + RECT 3.8610 0.0660 3.8910 1.6060 ; + RECT 4.1650 0.0660 4.1950 1.6060 ; + RECT 4.7730 0.0660 4.8030 1.6060 ; + RECT 4.6210 0.0660 4.6510 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.6690 0.0660 0.6990 0.6830 ; + RECT 0.8210 0.0660 0.8510 0.6830 ; + RECT 0.6690 1.0100 0.6990 1.6060 ; + RECT 0.8210 1.0100 0.8510 1.6060 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 1.8850 0.0660 1.9150 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 2.0370 0.0660 2.0670 1.6060 ; + RECT 1.7330 0.0660 1.7630 0.6830 ; + RECT 1.5810 0.0660 1.6110 0.6830 ; + RECT 1.7330 1.0300 1.7630 1.6060 ; + RECT 1.5810 1.0300 1.6110 1.6060 ; + RECT 4.3170 0.0660 4.3470 0.6830 ; + RECT 4.3170 0.9760 4.3470 1.6060 ; + RECT 4.4690 0.0660 4.4990 0.6830 ; + RECT 4.4690 0.9760 4.4990 1.6060 ; + RECT 2.3410 0.0660 2.3710 1.6060 ; + RECT 2.7970 0.0660 2.8270 1.6060 ; + RECT 2.1890 0.0660 2.2190 1.6060 ; + END +END DELLN3X2_HVT + +MACRO DFFARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.9990 0.3300 3.5370 0.3800 ; + RECT 1.9510 0.1990 2.1760 0.2490 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 3.7750 0.0300 3.8250 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3300 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.0010 0.3370 ; + RECT 1.9510 0.2490 2.0010 0.2870 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 2.1070 0.2030 2.1490 0.2450 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.4750 0.3340 3.5170 0.3760 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.0190 0.3340 3.0610 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 1.1610 4.1590 1.2210 ; + RECT 3.9110 0.1540 4.1590 0.2040 ; + RECT 3.9270 1.2210 4.1590 1.2710 ; + RECT 3.9270 1.2710 3.9770 1.5460 ; + RECT 4.1090 0.2040 4.1590 1.1610 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 1.9340 1.2780 2.1770 1.3280 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.7750 0.9470 3.8250 1.6420 ; + RECT 0.7350 1.0980 0.7850 1.3140 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 0.8870 1.1110 0.9370 1.3140 ; + RECT 0.8870 1.3640 0.9370 1.6420 ; + RECT 0.7350 1.3140 0.9370 1.3640 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.2240 0.9330 1.2660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.7390 1.2100 0.7810 1.2520 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.1320 0.9330 1.1740 ; + RECT 0.7390 1.1180 0.7810 1.1600 ; + RECT 0.7390 1.3020 0.7810 1.3440 ; + RECT 0.8910 1.3160 0.9330 1.3580 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7230 0.0880 2.8530 0.1380 ; + RECT 2.7710 0.1380 2.8530 0.1640 ; + RECT 1.7230 0.1380 1.8790 0.2170 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1080 2.8330 0.1500 ; + RECT 1.7270 0.1320 1.7690 0.1740 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0261 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8970 1.0090 4.0070 1.1190 ; + RECT 3.6230 0.1480 3.6730 0.3090 ; + RECT 3.6230 0.3090 4.0070 0.3590 ; + RECT 3.6230 0.8540 3.6730 1.5460 ; + RECT 3.9570 0.8540 4.0070 1.0090 ; + RECT 3.6230 0.8040 4.0070 0.8540 ; + RECT 3.9570 0.3590 4.0070 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 0.1950 3.6690 0.2370 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.2870 3.6690 0.3290 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 2.7150 0.9820 2.7570 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 3.1710 1.2120 3.2130 1.2540 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.7900 1.9210 0.8320 ; + RECT 3.1710 0.4420 3.2130 0.4840 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.0190 0.9820 3.0610 1.0240 ; + RECT 2.0310 1.5220 2.0730 1.5640 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6540 2.2250 0.6960 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.0950 1.4330 3.1370 1.4750 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 2.9430 0.7700 2.9850 0.8120 ; + RECT 2.5630 0.4700 2.6050 0.5120 ; + RECT 3.0950 0.1280 3.1370 0.1700 ; + RECT 2.4870 0.1900 2.5290 0.2320 ; + RECT 1.8790 0.5540 1.9210 0.5960 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 2.3350 0.6410 2.3770 0.6830 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 2.2590 1.1640 2.3010 1.2060 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3710 2.4530 0.4130 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 3.3990 1.4320 3.4410 1.4740 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.5320 2.5290 1.5740 ; + RECT 2.2590 0.4240 2.3010 0.4660 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.7150 0.5420 2.7570 0.5840 ; + RECT 2.0310 0.5540 2.0730 0.5960 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.3710 1.7730 ; + LAYER M1 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2490 0.2300 3.1410 0.2800 ; + RECT 3.0910 0.0880 3.1410 0.2300 ; + RECT 2.0980 0.3140 2.2990 0.3640 ; + RECT 1.8590 0.5500 2.1480 0.6000 ; + RECT 2.4670 0.1880 2.5490 0.2300 ; + RECT 2.2490 0.2800 2.2990 0.3140 ; + RECT 2.0980 0.3640 2.1480 0.5500 ; + RECT 1.3430 0.6500 2.2450 0.7000 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.7000 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6500 ; + RECT 2.5590 0.4380 3.9010 0.4880 ; + RECT 3.5110 0.7040 3.9010 0.7540 ; + RECT 3.8510 0.4880 3.9010 0.7040 ; + RECT 3.1510 1.2080 3.5610 1.2580 ; + RECT 2.5590 0.4880 2.6090 1.1650 ; + RECT 2.5590 0.4180 2.6090 0.4380 ; + RECT 2.8620 0.4880 2.9120 0.7660 ; + RECT 2.8620 0.7660 3.0050 0.8160 ; + RECT 3.5110 0.7540 3.5610 1.2080 ; + RECT 1.8590 0.7860 2.3810 0.8360 ; + RECT 2.3310 0.6210 2.3810 0.7860 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.4070 0.4890 2.4970 0.5390 ; + RECT 2.2240 0.4200 2.4570 0.4700 ; + RECT 2.2550 1.1010 2.3050 1.3080 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 2.4470 0.5390 2.4970 1.0010 ; + RECT 2.4070 0.4700 2.4570 0.4890 ; + RECT 2.4070 0.3510 2.4570 0.4200 ; + RECT 3.0550 0.6040 3.7650 0.6540 ; + RECT 2.6940 0.5380 2.8010 0.5880 ; + RECT 2.6940 0.9780 3.1050 1.0280 ; + RECT 3.0550 0.6540 3.1050 0.9780 ; + RECT 2.6940 0.5880 2.7440 0.9780 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0870 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5840 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.0740 1.4290 3.4610 1.4790 ; + RECT 3.2380 0.7090 3.4610 0.7590 ; + RECT 3.2380 0.7590 3.2880 1.0990 ; + RECT 2.6830 1.0990 3.2880 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.5280 2.5570 1.5780 ; + RECT 2.5070 1.3220 2.5570 1.5280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + RECT 0.7810 0.0960 1.4910 0.1460 ; + LAYER PO ; + RECT 2.4930 0.0680 2.5230 0.6820 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.7580 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6280 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 2.4930 0.8820 2.5230 1.6060 ; + END +END DFFARX1_HVT + +MACRO DFFARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.712 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.9270 0.8040 4.4730 0.8540 ; + RECT 3.9270 0.1480 3.9770 0.3940 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 4.4230 0.5110 4.4730 0.8040 ; + RECT 4.3530 0.4440 4.4730 0.5110 ; + RECT 3.9270 0.3940 4.4730 0.4440 ; + END + PORT + LAYER CO ; + RECT 3.9310 0.2870 3.9730 0.3290 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.5050 0.0970 4.6150 0.2070 ; + RECT 4.2310 0.1480 4.2810 0.2700 ; + RECT 4.2310 0.9180 4.5970 0.9680 ; + RECT 4.2310 0.9680 4.2810 1.5460 ; + RECT 4.2310 0.2700 4.5970 0.3200 ; + RECT 4.5470 0.2070 4.5970 0.2700 ; + RECT 4.5470 0.3200 4.5970 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 0.1950 4.2770 0.2370 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 0.1950 4.2770 0.2370 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.7120 0.0300 ; + RECT 0.7380 0.1960 1.0890 0.2460 ; + RECT 3.1510 0.3300 3.6890 0.3800 ; + RECT 2.1030 0.1990 2.3280 0.2490 ; + RECT 4.3830 0.0300 4.4330 0.2200 ; + RECT 4.0790 0.0300 4.1290 0.3190 ; + RECT 3.7750 0.0300 3.8250 0.4080 ; + RECT 0.4310 0.0300 0.4810 0.3500 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.6930 0.0300 1.7430 0.2870 ; + RECT 1.6930 0.2870 2.1530 0.3370 ; + RECT 1.0390 0.2460 1.0890 0.4500 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7380 0.0300 0.7880 0.1960 ; + RECT 3.6230 0.0300 3.6730 0.3300 ; + RECT 2.1030 0.2490 2.1530 0.2870 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 4.3870 0.1580 4.4290 0.2000 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 3.6270 0.3340 3.6690 0.3760 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.7790 0.2500 3.8210 0.2920 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.7790 0.2500 3.8210 0.2920 ; + RECT 2.2590 0.2030 2.3010 0.2450 ; + RECT 1.0430 0.3880 1.0850 0.4300 ; + RECT 0.8910 0.2000 0.9330 0.2420 ; + RECT 1.0430 0.2750 1.0850 0.3170 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 3.7790 0.3420 3.8210 0.3840 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.0830 0.2500 4.1250 0.2920 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.4350 0.2880 0.4770 0.3300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.7120 1.7020 ; + RECT 2.0860 1.2780 2.3290 1.3280 ; + RECT 2.9860 1.3080 3.7130 1.3580 ; + RECT 0.4310 1.0330 0.4810 1.6420 ; + RECT 3.7750 0.9120 3.8250 1.6420 ; + RECT 4.0790 0.9600 4.1290 1.6420 ; + RECT 4.3830 1.0520 4.4330 1.6420 ; + RECT 0.8870 1.0980 0.9370 1.3140 ; + RECT 2.2790 1.3280 2.3290 1.6420 ; + RECT 3.6630 1.3580 3.7130 1.6420 ; + RECT 1.0390 1.1110 1.0890 1.3140 ; + RECT 1.0390 1.3640 1.0890 1.6420 ; + RECT 0.8870 1.3140 1.0890 1.3640 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.3870 1.3480 4.4290 1.3900 ; + RECT 4.3870 1.3480 4.4290 1.3900 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 0.9800 4.1250 1.0220 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.3870 1.2560 4.4290 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.3870 1.1640 4.4290 1.2060 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.2240 1.0850 1.2660 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.2100 0.9330 1.2520 ; + RECT 3.6270 1.3120 3.6690 1.3540 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.3870 1.0720 4.4290 1.1140 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 1.0430 1.1320 1.0850 1.1740 ; + RECT 0.8910 1.1180 0.9330 1.1600 ; + RECT 0.8910 1.3020 0.9330 1.3440 ; + RECT 1.0430 1.3160 1.0850 1.3580 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 4.0830 1.4400 4.1250 1.4820 ; + RECT 4.3870 1.1640 4.4290 1.2060 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 2.1070 1.2820 2.1490 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.3870 1.0720 4.4290 1.1140 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 4.3870 1.2560 4.4290 1.2980 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.8750 0.0880 3.0050 0.1380 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.8750 0.1380 2.0310 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1080 2.9850 0.1500 ; + RECT 1.8790 0.1220 1.9210 0.1640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3390 0.6800 0.5730 0.7300 ; + RECT 0.3390 0.6670 0.5150 0.6800 ; + RECT 0.3390 0.5530 0.5110 0.6670 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + RECT 0.5110 0.6840 0.5530 0.7260 ; + END + ANTENNAGATEAREA 0.0315 ; + END D + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.4240 0.8770 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.5630 0.4010 2.6050 0.4430 ; + RECT 3.5510 1.4320 3.5930 1.4740 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 2.0310 0.7900 2.0730 0.8320 ; + RECT 3.3230 0.5080 3.3650 0.5500 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.1830 1.5220 2.2250 1.5640 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 3.5510 0.7130 3.5930 0.7550 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 1.5750 0.1000 1.6170 0.1420 ; + RECT 2.4110 1.1640 2.4530 1.2060 ; + RECT 1.1950 0.8520 1.2370 0.8940 ; + RECT 1.5750 1.5030 1.6170 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 0.7390 0.4420 0.7810 0.4840 ; + RECT 0.7390 0.4420 0.7810 0.4840 ; + RECT 0.7390 0.4420 0.7810 0.4840 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 1.4990 0.3750 1.5410 0.4170 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 0.2830 0.4360 0.3250 0.4780 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.8030 1.1620 1.8450 1.2040 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 0.9670 0.1000 1.0090 0.1420 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 2.3350 0.6440 2.3770 0.6860 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.4110 1.2820 2.4530 1.3240 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 2.4870 1.5320 2.5290 1.5740 ; + RECT 1.4230 1.4900 1.4650 1.5320 ; + RECT 3.2470 1.4330 3.2890 1.4750 ; + RECT 1.6510 1.2820 1.6930 1.3240 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 2.7150 0.5000 2.7570 0.5420 ; + RECT 3.2470 0.1280 3.2890 0.1700 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 2.0310 0.5440 2.0730 0.5860 ; + RECT 1.6510 0.4380 1.6930 0.4800 ; + RECT 2.4870 0.6410 2.5290 0.6830 ; + RECT 1.7270 1.0050 1.7690 1.0470 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 1.2710 0.6490 1.3130 0.6910 ; + RECT 0.5870 0.4920 0.6290 0.5340 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.1950 0.7600 1.2370 0.8020 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 2.6390 1.5320 2.6810 1.5740 ; + RECT 2.4110 0.4240 2.4530 0.4660 ; + RECT 1.4990 1.2520 1.5410 1.2940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.1830 0.5440 2.2250 0.5860 ; + LAYER NWELL ; + RECT -0.0720 0.6790 4.8270 1.7730 ; + LAYER M1 ; + RECT 2.0110 0.7860 2.5330 0.8360 ; + RECT 2.4830 0.6210 2.5330 0.7860 ; + RECT 4.1360 0.6040 4.3730 0.6540 ; + RECT 3.0140 0.5040 4.1860 0.5540 ; + RECT 4.1360 0.5030 4.1860 0.5040 ; + RECT 4.1360 0.5540 4.1860 0.6040 ; + RECT 4.1360 0.6540 4.1860 0.7040 ; + RECT 3.6630 0.7040 4.1860 0.7540 ; + RECT 2.7110 0.4380 3.0640 0.4880 ; + RECT 3.3030 1.2080 3.7130 1.2580 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.5040 ; + RECT 3.0140 0.5540 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 3.6630 0.7540 3.7130 1.2080 ; + RECT 1.3430 0.2990 1.4330 0.3810 ; + RECT 1.3430 1.0380 1.3930 1.3140 ; + RECT 0.5830 0.9880 1.4170 1.0380 ; + RECT 1.3670 0.3810 1.4170 0.9880 ; + RECT 0.5830 0.7790 0.6730 0.8290 ; + RECT 0.5830 0.5790 0.6730 0.6290 ; + RECT 0.2580 0.4320 0.6330 0.4820 ; + RECT 0.5830 1.0380 0.6330 1.2160 ; + RECT 0.5830 0.8290 0.6330 0.9880 ; + RECT 0.6230 0.6290 0.6730 0.7790 ; + RECT 0.5830 0.4820 0.6330 0.5790 ; + RECT 2.4010 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 2.2500 0.3140 2.4510 0.3640 ; + RECT 2.0110 0.5400 2.3000 0.5900 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.4010 0.2800 2.4510 0.3140 ; + RECT 2.2500 0.3640 2.3000 0.5400 ; + RECT 1.4950 0.6400 2.3970 0.6900 ; + RECT 1.4950 0.4340 1.7130 0.4840 ; + RECT 1.4950 1.1580 1.8650 1.2080 ; + RECT 1.4950 0.3550 1.5450 0.4340 ; + RECT 1.4950 1.2080 1.5450 1.3140 ; + RECT 1.4950 0.6900 1.5450 1.1580 ; + RECT 1.4950 0.4840 1.5450 0.6400 ; + RECT 3.2070 0.6040 4.0690 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 1.7020 1.0010 2.6490 1.0510 ; + RECT 2.5590 0.5190 2.6490 0.5690 ; + RECT 2.3760 0.4200 2.6090 0.4700 ; + RECT 2.4070 1.1010 2.4570 1.3080 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.5990 0.5690 2.6490 1.0010 ; + RECT 2.5590 0.4700 2.6090 0.5190 ; + RECT 2.5590 0.3810 2.6090 0.4200 ; + RECT 1.5710 1.4780 2.0930 1.5280 ; + RECT 1.5710 1.5280 1.6210 1.5650 ; + RECT 1.2390 1.5260 1.4690 1.5760 ; + RECT 2.1790 1.4280 2.2290 1.5840 ; + RECT 1.4190 1.4280 1.4690 1.5260 ; + RECT 1.4190 1.3780 2.2290 1.4280 ; + RECT 0.7350 0.6180 1.1810 0.6680 ; + RECT 0.7350 0.6680 0.7850 0.9140 ; + RECT 0.7350 0.4220 0.7850 0.6180 ; + RECT 1.1910 0.5180 1.3170 0.5680 ; + RECT 1.1910 0.7680 1.2410 0.9140 ; + RECT 1.1910 0.7180 1.3170 0.7680 ; + RECT 1.1910 0.3940 1.2410 0.5180 ; + RECT 1.2670 0.5680 1.3170 0.7180 ; + RECT 3.2260 1.4290 3.6130 1.4790 ; + RECT 3.3900 0.7090 3.6130 0.7590 ; + RECT 3.3900 0.7590 3.4400 1.0990 ; + RECT 2.8350 1.0990 3.4400 1.1490 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.5280 2.7090 1.5780 ; + RECT 2.6590 1.3220 2.7090 1.5280 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 1.6310 1.2780 2.0170 1.3280 ; + RECT 0.9330 0.0960 1.6430 0.1460 ; + LAYER PO ; + RECT 2.6450 0.0680 2.6750 0.6320 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 2.0370 0.7580 2.0670 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.4290 0.0680 1.4590 0.5420 ; + RECT 1.4290 0.9900 1.4590 1.6060 ; + RECT 3.5570 1.0120 3.5870 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 2.0370 0.0680 2.0670 0.6180 ; + RECT 3.5570 0.0680 3.5870 0.7870 ; + RECT 2.6450 0.8820 2.6750 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + END +END DFFARX2_HVT + +MACRO DFFASRX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.56 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3530 1.1610 4.4630 1.2210 ; + RECT 4.2150 0.1540 4.4630 0.2040 ; + RECT 4.4130 0.2040 4.4630 1.1610 ; + RECT 4.2310 1.2210 4.4630 1.2710 ; + RECT 4.2310 1.2710 4.2810 1.5460 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.2010 1.0090 4.3110 1.1190 ; + RECT 3.9270 0.1480 3.9770 0.3090 ; + RECT 3.9270 0.3090 4.3110 0.3590 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 4.2610 0.8540 4.3110 1.0090 ; + RECT 3.9270 0.8040 4.3110 0.8540 ; + RECT 4.2610 0.3590 4.3110 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 0.1950 3.9730 0.2370 ; + RECT 3.9310 0.2870 3.9730 0.3290 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.0468 ; + END SETB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.0225 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7230 0.0880 3.0280 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + RECT 2.9430 0.0930 2.9850 0.1350 ; + END + ANTENNAGATEAREA 0.0456 ; + END RSTB + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.5600 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 4.0790 0.9600 4.1290 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 4.0830 1.0720 4.1250 1.1140 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.5600 0.0300 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 4.0790 0.0300 4.1290 0.2200 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + END + PORT + LAYER CO ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 4.0830 0.1580 4.1250 0.2000 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 2.0310 0.6710 2.0730 0.7130 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.5630 0.4010 2.6050 0.4430 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 3.2470 0.1080 3.2890 0.1500 ; + RECT 2.4870 0.7900 2.5290 0.8320 ; + RECT 2.7150 0.5000 2.7570 0.5420 ; + RECT 3.3230 0.5000 3.3650 0.5420 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 2.1830 0.7900 2.2250 0.8320 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 1.8790 0.9050 1.9210 0.9470 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.6750 1.7730 ; + LAYER M1 ; + RECT 3.0140 0.4960 4.2050 0.5460 ; + RECT 3.8150 0.7040 4.2050 0.7540 ; + RECT 4.1550 0.5460 4.2050 0.7040 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4380 3.0640 0.4880 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.4880 3.0640 0.4960 ; + RECT 3.0140 0.5460 3.0640 0.7660 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 1.3430 0.7860 2.2450 0.8360 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.8360 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.7860 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 2.0270 0.5900 2.0770 0.7360 ; + RECT 1.8590 0.5400 2.3450 0.5900 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5400 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 1.8590 0.9010 2.5330 0.9510 ; + RECT 2.4830 0.7100 2.5330 0.9010 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.5590 0.6160 2.6490 0.6660 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.5990 0.6660 2.6490 1.0010 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.4070 0.4460 2.6090 0.4960 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 2.5590 0.4960 2.6090 0.6160 ; + RECT 2.5590 0.3810 2.6090 0.4460 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 3.2070 0.6040 4.0690 0.6540 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + LAYER PO ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 2.6450 0.8820 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 0.6180 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6320 ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.8850 0.7580 1.9150 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + END +END DFFASRX1_HVT + +MACRO DFFASRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.864 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 0.3940 4.5870 0.4010 ; + RECT 4.0790 0.8040 4.6250 0.8540 ; + RECT 4.0790 0.1480 4.1290 0.3940 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 4.5750 0.5110 4.6250 0.8040 ; + RECT 4.5050 0.4440 4.6250 0.5110 ; + RECT 4.0790 0.4010 4.6250 0.4440 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.2870 4.1250 0.3290 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.6570 0.2490 4.7670 0.2700 ; + RECT 4.6570 0.3200 4.7670 0.3590 ; + RECT 4.3830 0.1480 4.4330 0.2700 ; + RECT 4.3830 0.2700 4.7670 0.3200 ; + RECT 4.3830 0.9680 4.4330 1.5460 ; + RECT 4.3830 0.9180 4.7490 0.9680 ; + RECT 4.6990 0.3590 4.7490 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.8640 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 3.1510 0.3300 3.8410 0.3800 ; + RECT 4.5350 0.0300 4.5850 0.2200 ; + RECT 4.2310 0.0300 4.2810 0.3190 ; + RECT 3.9270 0.0300 3.9770 0.4080 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 2.1030 0.3370 2.1530 0.4610 ; + RECT 1.9510 0.3370 2.0010 0.4610 ; + RECT 1.5410 0.0300 1.5910 0.2870 ; + RECT 1.5410 0.2870 2.1530 0.3370 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3300 ; + END + PORT + LAYER CO ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.5390 0.1580 4.5810 0.2000 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.1070 0.3070 2.1490 0.3490 ; + RECT 3.7790 0.3340 3.8210 0.3760 ; + RECT 3.1710 0.3340 3.2130 0.3760 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 2.1070 0.3990 2.1490 0.4410 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.8640 1.7020 ; + RECT 1.9340 1.2780 2.3360 1.3280 ; + RECT 2.9860 1.3080 3.8650 1.3580 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.9270 0.9120 3.9770 1.6420 ; + RECT 4.2310 0.9600 4.2810 1.6420 ; + RECT 4.5350 1.0520 4.5850 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 2.1270 1.3280 2.1770 1.6420 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + END + PORT + LAYER CO ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.2350 1.4400 4.2770 1.4820 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 1.9550 1.2820 1.9970 1.3240 ; + RECT 3.0190 1.3120 3.0610 1.3540 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 4.2350 0.9800 4.2770 1.0220 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.2590 1.2820 2.3010 1.3240 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + END + END VDD + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9230 0.1380 3.0050 0.1640 ; + RECT 1.7230 0.0880 3.0050 0.1380 ; + RECT 1.7230 0.1380 1.8790 0.2070 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1200 2.9850 0.1620 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.0441 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.5630 0.4010 2.6050 0.4430 ; + RECT 2.1070 1.1700 2.1490 1.2120 ; + RECT 2.8670 0.9820 2.9090 1.0240 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.5630 1.2910 2.6050 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.7150 1.1030 2.7570 1.1450 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.5320 3.5930 1.5740 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.7900 1.9210 0.8320 ; + RECT 3.1710 0.9820 3.2130 1.0240 ; + RECT 2.0310 1.4820 2.0730 1.5240 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.6510 1.1620 1.6930 1.2040 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.8670 0.5420 2.9090 0.5840 ; + RECT 2.0310 0.5440 2.0730 0.5860 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 1.4230 1.5030 1.4650 1.5450 ; + RECT 2.5630 1.1990 2.6050 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 1.8790 1.4820 1.9210 1.5240 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.1830 0.6440 2.2250 0.6860 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 3.7030 1.4120 3.7450 1.4540 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.4120 3.2890 1.4540 ; + RECT 1.4990 1.2820 1.5410 1.3240 ; + RECT 3.0950 0.7700 3.1370 0.8120 ; + RECT 3.3230 0.5000 3.3650 0.5420 ; + RECT 2.7150 0.5000 2.7570 0.5420 ; + RECT 2.4870 0.7900 2.5290 0.8320 ; + RECT 3.2470 0.1280 3.2890 0.1700 ; + RECT 2.6390 0.1900 2.6810 0.2320 ; + RECT 1.8790 0.5440 1.9210 0.5860 ; + RECT 1.4990 0.4380 1.5410 0.4800 ; + RECT 1.4230 0.1000 1.4650 0.1420 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.5750 1.0050 1.6170 1.0470 ; + RECT 1.8030 1.2820 1.8450 1.3240 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.9790 1.7730 ; + LAYER M1 ; + RECT 1.8590 0.7860 2.5490 0.8360 ; + RECT 4.2880 0.6040 4.5250 0.6540 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.7110 0.4380 3.0640 0.4880 ; + RECT 2.7110 0.4880 2.7610 1.1650 ; + RECT 3.0140 0.7660 3.1570 0.8160 ; + RECT 3.0140 0.4880 3.0640 0.4960 ; + RECT 3.0140 0.5460 3.0640 0.7660 ; + RECT 3.0140 0.4960 4.3240 0.5030 ; + RECT 3.0140 0.5030 4.3380 0.5460 ; + RECT 3.8150 0.7040 4.3380 0.7540 ; + RECT 4.2880 0.6540 4.3380 0.7040 ; + RECT 4.2880 0.5460 4.3380 0.6040 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 2.2950 0.2300 3.2930 0.2800 ; + RECT 3.2430 0.0880 3.2930 0.2300 ; + RECT 1.8590 0.5400 2.3450 0.5900 ; + RECT 2.6190 0.1880 2.7010 0.2300 ; + RECT 2.2950 0.2800 2.3450 0.5400 ; + RECT 1.3430 0.6400 2.2450 0.6900 ; + RECT 1.3430 0.4340 1.5610 0.4840 ; + RECT 1.3430 1.1580 1.7130 1.2080 ; + RECT 1.3430 0.3550 1.3930 0.4340 ; + RECT 1.3430 1.2080 1.3930 1.3140 ; + RECT 1.3430 0.6900 1.3930 1.1580 ; + RECT 1.3430 0.4840 1.3930 0.6400 ; + RECT 2.5590 0.6160 2.6490 0.6660 ; + RECT 1.5500 1.0010 2.6490 1.0510 ; + RECT 2.4070 1.3080 2.6090 1.3580 ; + RECT 2.0860 1.1660 2.4570 1.2160 ; + RECT 2.5990 0.6660 2.6490 1.0010 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 2.4070 0.4460 2.6090 0.4960 ; + RECT 2.5590 1.0510 2.6090 1.3080 ; + RECT 2.4070 1.2160 2.4570 1.3080 ; + RECT 2.5590 0.4960 2.6090 0.6160 ; + RECT 2.5590 0.3810 2.6090 0.4460 ; + RECT 3.2070 0.6040 4.2210 0.6540 ; + RECT 2.8460 0.9780 3.2570 1.0280 ; + RECT 2.8460 0.5380 2.9530 0.5880 ; + RECT 3.2070 0.6540 3.2570 0.9780 ; + RECT 2.8460 0.5880 2.8960 0.9780 ; + RECT 3.2260 1.4080 3.7650 1.4580 ; + RECT 1.4190 1.4780 1.9410 1.5280 ; + RECT 1.4190 1.5280 1.4690 1.5650 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 2.0270 1.4280 2.0770 1.5440 ; + RECT 1.2670 1.4280 1.3170 1.5260 ; + RECT 1.2670 1.3780 2.0770 1.4280 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 3.3450 0.7090 3.7650 0.7590 ; + RECT 2.8350 1.0990 3.3950 1.1490 ; + RECT 3.3450 0.7590 3.3950 1.0990 ; + RECT 2.6590 1.2720 2.8850 1.3220 ; + RECT 2.4670 1.4280 2.7090 1.4780 ; + RECT 2.8350 1.1490 2.8850 1.2720 ; + RECT 2.6590 1.3220 2.7090 1.4280 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + RECT 2.2860 1.5280 3.6260 1.5780 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + RECT 1.4790 1.2780 1.8650 1.3280 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 2.6450 0.0680 2.6750 0.6320 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.8850 0.7580 1.9150 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 1.8850 0.0680 1.9150 0.6180 ; + RECT 2.6450 0.8820 2.6750 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + END +END DFFASRX2_HVT + +MACRO DFFASX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.256 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.2560 0.0300 ; + RECT 0.5860 0.1960 0.9370 0.2460 ; + RECT 2.8450 0.3430 3.5370 0.3930 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 1.9510 0.0300 2.0010 0.4610 ; + RECT 3.7750 0.0300 3.8250 0.2200 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.4710 0.0300 3.5210 0.3430 ; + RECT 1.7990 0.0300 1.8490 0.3710 ; + END + PORT + LAYER CO ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.7790 0.1580 3.8210 0.2000 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 1.9550 0.3990 1.9970 0.4410 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 1.8030 0.3090 1.8450 0.3510 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.8670 0.3470 2.9090 0.3890 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8030 0.2170 1.8450 0.2590 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + RECT 3.4750 0.3470 3.5170 0.3890 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0490 1.2710 4.1590 1.2720 ; + RECT 4.0490 1.1620 4.1590 1.2210 ; + RECT 3.9110 0.1540 4.1590 0.2040 ; + RECT 3.9270 1.2210 4.1590 1.2710 ; + RECT 3.9270 1.2710 3.9770 1.5460 ; + RECT 4.1090 0.2040 4.1590 1.1620 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.2560 1.7020 ; + RECT 1.7740 1.2710 2.1840 1.3210 ; + RECT 2.8340 1.3080 3.5610 1.3580 ; + RECT 0.2790 1.0930 0.3290 1.6420 ; + RECT 3.7750 0.9470 3.8250 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 1.9750 1.3210 2.0250 1.6420 ; + RECT 3.5110 1.3580 3.5610 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 2.8670 1.3120 2.9090 1.3540 ; + RECT 0.2830 1.2210 0.3250 1.2630 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.2830 1.2210 0.3250 1.2630 ; + RECT 0.2830 1.1290 0.3250 1.1710 ; + RECT 0.2830 1.1290 0.3250 1.1710 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.4750 1.3120 3.5170 1.3540 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 2.1070 1.2750 2.1490 1.3170 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 1.8030 1.2730 1.8450 1.3150 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.9330 0.4210 0.9830 ; + RECT 0.2490 0.8060 0.3590 0.9330 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.9370 0.4010 0.9790 ; + END + ANTENNAGATEAREA 0.0285 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2270 0.8570 3.3990 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.2470 0.9900 3.2890 1.0320 ; + END + ANTENNAGATEAREA 0.0456 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4320 0.7250 1.5750 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5310 0.7050 1.5730 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.8970 1.0090 4.0070 1.1190 ; + RECT 3.6230 0.1480 3.6730 0.3090 ; + RECT 3.6230 0.3090 4.0070 0.3590 ; + RECT 3.6230 0.8540 3.6730 1.5460 ; + RECT 3.9570 0.8540 4.0070 1.0090 ; + RECT 3.6230 0.8040 4.0070 0.8540 ; + RECT 3.9570 0.3590 4.0070 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.2870 3.6690 0.3290 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 0.1950 3.6690 0.2370 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + OBS + LAYER CO ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 2.7150 0.5470 2.7570 0.5890 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.4110 0.3910 2.4530 0.4330 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.9550 1.1700 1.9970 1.2120 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.4110 1.2910 2.4530 1.3330 ; + RECT 0.4350 1.2620 0.4770 1.3040 ; + RECT 2.5630 1.1030 2.6050 1.1450 ; + RECT 2.2590 0.3910 2.3010 0.4330 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.2470 1.4150 3.2890 1.4570 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + RECT 2.4110 1.1990 2.4530 1.2410 ; + RECT 3.0190 1.2120 3.0610 1.2540 ; + RECT 2.7150 0.9790 2.7570 1.0210 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.3990 0.7130 3.4410 0.7550 ; + RECT 1.7270 0.5690 1.7690 0.6110 ; + RECT 0.4350 1.2620 0.4770 1.3040 ; + RECT 0.4350 1.1700 0.4770 1.2120 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.1700 0.4770 1.2120 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.2590 1.1990 2.3010 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 2.2590 1.2910 2.3010 1.3330 ; + RECT 3.1710 1.1120 3.2130 1.1540 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 2.0310 0.6910 2.0730 0.7330 ; + RECT 2.4110 1.1070 2.4530 1.1490 ; + RECT 3.3990 1.5380 3.4410 1.5800 ; + RECT 2.3350 1.4320 2.3770 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 2.9430 1.5380 2.9850 1.5800 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.0190 0.4470 3.0610 0.4890 ; + RECT 2.5630 0.4900 2.6050 0.5320 ; + RECT 2.3350 0.7910 2.3770 0.8330 ; + RECT 2.9430 0.1280 2.9850 0.1700 ; + RECT 2.4870 0.1800 2.5290 0.2220 ; + RECT 1.4230 1.5010 1.4650 1.5430 ; + RECT 3.0950 0.6080 3.1370 0.6500 ; + RECT 1.8790 1.5200 1.9210 1.5620 ; + RECT 1.5750 1.0030 1.6170 1.0450 ; + RECT 1.8790 0.5690 1.9210 0.6110 ; + RECT 1.7270 0.7910 1.7690 0.8330 ; + RECT 2.7910 0.6470 2.8330 0.6890 ; + RECT 1.7270 1.4800 1.7690 1.5220 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.4870 1.4320 2.5290 1.4740 ; + LAYER NWELL ; + RECT -0.1150 0.6930 4.3710 1.7730 ; + RECT 0.5370 0.6840 4.3710 0.6930 ; + RECT -0.1150 0.6790 0.2210 0.6930 ; + RECT 0.5440 0.6790 4.3710 0.6840 ; + RECT 2.6600 0.6760 2.9640 0.6790 ; + LAYER M1 ; + RECT 2.1430 0.2300 2.9890 0.2800 ; + RECT 2.9390 0.0880 2.9890 0.2300 ; + RECT 1.7060 0.5650 2.1930 0.6150 ; + RECT 2.4670 0.1780 2.5490 0.2300 ; + RECT 2.1430 0.6150 2.1930 0.6170 ; + RECT 2.1430 0.2800 2.1930 0.5650 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4770 0.9880 1.2650 1.0380 ; + RECT 0.4310 1.0830 0.5270 1.1330 ; + RECT 0.4310 1.1330 0.4810 1.3260 ; + RECT 0.4770 1.0380 0.5270 1.0830 ; + RECT 0.4310 0.5790 0.5270 0.6290 ; + RECT 0.4770 0.6290 0.5270 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 1.6980 0.7870 2.3970 0.8370 ; + RECT 3.5110 0.7040 3.9010 0.7540 ; + RECT 2.5590 0.4430 3.9010 0.4930 ; + RECT 3.8510 0.4930 3.9010 0.7040 ; + RECT 3.8510 0.4380 3.9010 0.4430 ; + RECT 3.1510 1.1080 3.5610 1.1580 ; + RECT 3.5110 0.7540 3.5610 1.1080 ; + RECT 2.5590 0.6430 2.8530 0.6930 ; + RECT 2.5590 0.6930 2.6090 1.1650 ; + RECT 2.5590 0.4930 2.6090 0.6430 ; + RECT 2.5590 0.4380 2.6090 0.4430 ; + RECT 1.3430 0.6870 2.0930 0.7370 ; + RECT 1.3430 0.4320 1.5610 0.4820 ; + RECT 1.3430 1.1560 1.5610 1.2060 ; + RECT 1.3430 0.3550 1.3930 0.4320 ; + RECT 1.3430 0.4820 1.3930 0.6870 ; + RECT 1.3430 1.2060 1.3930 1.3140 ; + RECT 1.3430 0.7370 1.3930 1.1560 ; + RECT 2.9320 0.6040 3.7650 0.6540 ; + RECT 2.6880 0.9750 2.9820 1.0250 ; + RECT 2.9320 0.6540 2.9820 0.9750 ; + RECT 2.9320 0.5930 2.9820 0.6040 ; + RECT 2.6950 0.5430 2.9820 0.5930 ; + RECT 1.5500 1.0010 2.4970 1.0510 ; + RECT 2.4070 0.6060 2.4970 0.6560 ; + RECT 2.2550 1.3080 2.4570 1.3580 ; + RECT 1.9340 1.1660 2.3050 1.2160 ; + RECT 2.4470 0.6560 2.4970 1.0010 ; + RECT 2.2550 0.3710 2.3050 0.4460 ; + RECT 2.2550 0.4460 2.4570 0.4960 ; + RECT 2.4070 1.0510 2.4570 1.3080 ; + RECT 2.2550 1.2160 2.3050 1.3080 ; + RECT 2.4070 0.4960 2.4570 0.6060 ; + RECT 2.4070 0.3710 2.4570 0.4460 ; + RECT 1.4190 1.4760 1.7890 1.5260 ; + RECT 1.4190 1.5260 1.4690 1.5630 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4260 1.9250 1.5840 ; + RECT 1.2670 1.3760 1.9250 1.4260 ; + RECT 1.2670 1.4260 1.3170 1.5260 ; + RECT 2.9220 1.5340 3.4610 1.5840 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.1340 1.5280 2.6820 1.5780 ; + RECT 2.6320 1.4610 2.6820 1.5280 ; + RECT 2.6320 1.4110 3.3090 1.4610 ; + RECT 3.0510 0.7090 3.4610 0.7590 ; + RECT 3.0510 0.7590 3.1010 1.0990 ; + RECT 2.6830 1.0990 3.1010 1.1490 ; + RECT 2.5070 1.2720 2.7330 1.3220 ; + RECT 2.3150 1.4280 2.5570 1.4780 ; + RECT 2.5070 1.3220 2.5570 1.4280 ; + RECT 2.6830 1.1490 2.7330 1.2720 ; + RECT 2.6830 1.0960 2.7330 1.0990 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + RECT 2.9990 1.2080 3.3850 1.2580 ; + LAYER PO ; + RECT 3.4050 1.0120 3.4350 1.6060 ; + RECT 2.4930 0.0680 2.5230 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 1.7330 0.7380 1.7630 1.6040 ; + RECT 1.8850 0.0660 1.9150 1.6040 ; + RECT 3.4050 0.0680 3.4350 0.7870 ; + RECT 2.7970 0.0650 2.8270 1.6030 ; + RECT 1.5810 0.0660 1.6110 1.6040 ; + RECT 1.4290 0.0660 1.4590 1.6040 ; + RECT 1.7330 0.0700 1.7630 0.6380 ; + RECT 2.4930 0.8820 2.5230 1.6060 ; + END +END DFFASX1_HVT + +MACRO DFFASX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.864 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.6570 0.0970 4.7670 0.2070 ; + RECT 4.3830 0.1480 4.4330 0.2700 ; + RECT 4.3830 0.9180 4.7490 0.9680 ; + RECT 4.3830 0.9680 4.4330 1.5460 ; + RECT 4.3830 0.2700 4.7490 0.3200 ; + RECT 4.6990 0.2070 4.7490 0.2700 ; + RECT 4.6990 0.3200 4.7490 0.9180 ; + END + PORT + LAYER CO ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 0.1950 4.4290 0.2370 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 0.1480 4.1290 0.3940 ; + RECT 4.5050 0.4440 4.6250 0.5110 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 4.5750 0.5110 4.6250 0.8040 ; + RECT 4.0790 0.8040 4.6250 0.8540 ; + RECT 4.0790 0.3940 4.6250 0.4440 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.2870 4.1250 0.3290 ; + RECT 4.0830 0.1950 4.1250 0.2370 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.8640 0.0300 ; + RECT 1.6400 0.3060 2.0260 0.3560 ; + RECT 3.9270 0.0300 3.9770 0.4080 ; + RECT 4.5350 0.0300 4.5850 0.2200 ; + RECT 0.2790 0.0300 0.3290 0.4190 ; + RECT 4.2320 0.0300 4.2820 0.3120 ; + RECT 0.5860 0.1960 1.6900 0.2460 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.7350 0.2460 0.7850 0.4500 ; + RECT 1.6400 0.2460 1.6900 0.3060 ; + RECT 2.5590 0.3430 3.8410 0.3930 ; + RECT 2.5590 0.3930 2.6090 0.4740 ; + RECT 2.5590 0.3090 2.6090 0.3430 ; + RECT 0.5860 0.0300 0.6360 0.1960 ; + RECT 3.7750 0.0300 3.8250 0.3430 ; + END + PORT + LAYER CO ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.9310 0.1580 3.9730 0.2000 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.5390 0.1580 4.5810 0.2000 ; + RECT 3.9310 0.2500 3.9730 0.2920 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 4.2350 0.2500 4.2770 0.2920 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 3.9310 0.3420 3.9730 0.3840 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.3440 0.3250 0.3860 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.5630 0.3910 2.6050 0.4330 ; + RECT 1.8030 0.3090 1.8450 0.3510 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 3.1710 0.3470 3.2130 0.3890 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.9550 0.3100 1.9970 0.3520 ; + RECT 3.7790 0.3470 3.8210 0.3890 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 0.7390 0.2000 0.7810 0.2420 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.7390 0.3880 0.7810 0.4300 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.2350 0.1580 4.2770 0.2000 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.8640 1.7020 ; + RECT 3.1380 1.3080 3.8650 1.3580 ; + RECT 2.1200 1.1660 2.6290 1.2160 ; + RECT 0.2790 1.0330 0.3290 1.6420 ; + RECT 3.9270 0.9120 3.9770 1.6420 ; + RECT 4.2310 0.9600 4.2810 1.6420 ; + RECT 4.5350 1.0520 4.5850 1.6420 ; + RECT 0.7350 1.3040 0.9370 1.3540 ; + RECT 0.8870 1.3540 0.9370 1.6420 ; + RECT 0.8870 1.1010 0.9370 1.3040 ; + RECT 1.7740 1.2710 2.1700 1.3210 ; + RECT 3.8150 1.3580 3.8650 1.6420 ; + RECT 0.7350 1.0880 0.7850 1.3040 ; + RECT 2.1200 1.2160 2.1700 1.2710 ; + RECT 1.9830 1.3210 2.0330 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.3060 0.9330 1.3480 ; + RECT 0.7390 1.1080 0.7810 1.1500 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.1710 1.3120 3.2130 1.3540 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.2590 1.1700 2.3010 1.2120 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 4.2350 0.9800 4.2770 1.0220 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 0.8910 1.1220 0.9330 1.1640 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 1.8030 1.2730 1.8450 1.3150 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 4.2350 1.4400 4.2770 1.4820 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 0.7390 1.2000 0.7810 1.2420 ; + RECT 0.2830 1.1540 0.3250 1.1960 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 0.2830 1.0620 0.3250 1.1040 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 3.7790 1.3120 3.8210 1.3540 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 0.7390 1.2920 0.7810 1.3340 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.5630 1.1700 2.6050 1.2120 ; + RECT 0.8910 1.3980 0.9330 1.4400 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.8910 1.2140 0.9330 1.2560 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + END + END VDD + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.6800 0.4210 0.7300 ; + RECT 0.2490 0.5530 0.3590 0.6800 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.6840 0.4010 0.7260 ; + END + ANTENNAGATEAREA 0.021 ; + END D + + PIN SETB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.5310 0.8570 3.7030 1.0340 ; + END + PORT + LAYER CO ; + RECT 3.5510 0.9900 3.5930 1.0320 ; + END + ANTENNAGATEAREA 0.05394 ; + END SETB + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4240 0.7250 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.1830 1.5320 2.2250 1.5740 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 3.0190 0.5470 3.0610 0.5890 ; + RECT 1.4230 0.0980 1.4650 0.1400 ; + RECT 2.1070 0.2740 2.1490 0.3160 ; + RECT 2.4110 0.2740 2.4530 0.3160 ; + RECT 1.0430 0.8520 1.0850 0.8940 ; + RECT 2.0310 0.6500 2.0730 0.6920 ; + RECT 2.7150 0.4890 2.7570 0.5310 ; + RECT 1.0430 0.7600 1.0850 0.8020 ; + RECT 2.2590 0.4150 2.3010 0.4570 ; + RECT 0.8150 0.6220 0.8570 0.6640 ; + RECT 2.7150 1.2910 2.7570 1.3330 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 2.8670 1.1030 2.9090 1.1450 ; + RECT 1.5750 1.0030 1.6170 1.0450 ; + RECT 1.8790 0.5510 1.9210 0.5930 ; + RECT 1.7270 0.7600 1.7690 0.8020 ; + RECT 3.0950 0.6470 3.1370 0.6890 ; + RECT 1.7270 1.4800 1.7690 1.5220 ; + RECT 2.7910 0.0920 2.8330 0.1340 ; + RECT 1.4230 1.5010 1.4650 1.5430 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.8790 1.5200 1.9210 1.5620 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 1.1190 0.6490 1.1610 0.6910 ; + RECT 0.4350 0.4920 0.4770 0.5340 ; + RECT 1.1950 1.1600 1.2370 1.2020 ; + RECT 2.7910 1.4320 2.8330 1.4740 ; + RECT 1.3470 1.2520 1.3890 1.2940 ; + RECT 2.3350 1.5320 2.3770 1.5740 ; + RECT 2.7150 1.1990 2.7570 1.2410 ; + RECT 3.3230 1.2120 3.3650 1.2540 ; + RECT 3.0190 0.9790 3.0610 1.0210 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 0.5870 0.4420 0.6290 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.5870 0.8520 0.6290 0.8940 ; + RECT 3.7030 0.7130 3.7450 0.7550 ; + RECT 1.7270 0.5510 1.7690 0.5930 ; + RECT 0.4350 1.1540 0.4770 1.1960 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 3.5510 1.4150 3.5930 1.4570 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.8790 0.0910 1.9210 0.1330 ; + RECT 1.1950 1.2520 1.2370 1.2940 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 0.4350 1.0620 0.4770 1.1040 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 3.6270 1.2120 3.6690 1.2540 ; + RECT 3.4750 1.1120 3.5170 1.1540 ; + RECT 1.4990 1.1600 1.5410 1.2020 ; + RECT 1.3470 1.1600 1.3890 1.2020 ; + RECT 1.1190 1.5300 1.1610 1.5720 ; + RECT 0.5870 0.7600 0.6290 0.8020 ; + RECT 2.4870 0.6510 2.5290 0.6930 ; + RECT 2.7150 1.1070 2.7570 1.1490 ; + RECT 3.7030 1.5380 3.7450 1.5800 ; + RECT 2.4110 1.2750 2.4530 1.3170 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 1.2710 1.4900 1.3130 1.5320 ; + RECT 3.2470 1.5380 3.2890 1.5800 ; + RECT 3.3230 0.4470 3.3650 0.4890 ; + RECT 2.8670 0.4900 2.9090 0.5320 ; + RECT 2.6390 0.7600 2.6810 0.8020 ; + RECT 3.2470 0.1170 3.2890 0.1590 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.9790 1.7730 ; + RECT 2.9640 0.6760 3.2680 0.6790 ; + LAYER M1 ; + RECT 1.3430 0.6470 2.5610 0.6970 ; + RECT 1.3430 0.4320 1.5610 0.4820 ; + RECT 1.3430 1.1560 1.5610 1.2060 ; + RECT 1.3430 0.3550 1.3930 0.4320 ; + RECT 1.3430 1.2060 1.3930 1.3140 ; + RECT 1.3430 0.6970 1.3930 1.1560 ; + RECT 1.3430 0.4820 1.3930 0.6470 ; + RECT 1.1910 0.2990 1.2810 0.3810 ; + RECT 1.1910 1.0380 1.2410 1.3140 ; + RECT 0.4310 0.9880 1.2650 1.0380 ; + RECT 1.2150 0.3810 1.2650 0.9880 ; + RECT 0.4310 0.7790 0.5210 0.8290 ; + RECT 0.4310 0.5790 0.5210 0.6290 ; + RECT 0.4310 1.0380 0.4810 1.2160 ; + RECT 0.4310 0.8290 0.4810 0.9880 ; + RECT 0.4310 0.4550 0.4810 0.5790 ; + RECT 0.4710 0.6290 0.5210 0.7790 ; + RECT 1.8500 0.0880 3.2930 0.1380 ; + RECT 2.7710 0.1380 2.8530 0.2130 ; + RECT 3.2430 0.1380 3.2930 0.2800 ; + RECT 1.6980 0.7560 2.7010 0.8060 ; + RECT 4.2880 0.6040 4.5250 0.6540 ; + RECT 3.4550 1.1080 3.8650 1.1580 ; + RECT 2.8630 0.4430 3.5620 0.4930 ; + RECT 3.5120 0.4930 3.5620 0.5030 ; + RECT 3.8150 0.7540 3.8650 1.1080 ; + RECT 2.8630 0.6430 3.1570 0.6930 ; + RECT 2.8630 0.6930 2.9130 1.1650 ; + RECT 2.8630 0.4930 2.9130 0.6430 ; + RECT 3.8150 0.7040 4.3380 0.7540 ; + RECT 4.2880 0.6540 4.3380 0.7040 ; + RECT 4.2880 0.5530 4.3380 0.6040 ; + RECT 3.5120 0.5030 4.3380 0.5530 ; + RECT 1.6780 0.5470 1.9570 0.5970 ; + RECT 2.7110 0.6060 2.8010 0.6560 ; + RECT 1.5500 1.0010 2.8010 1.0510 ; + RECT 2.2560 0.5400 2.7610 0.5900 ; + RECT 2.7110 0.4470 2.7610 0.5400 ; + RECT 2.7110 0.5900 2.7610 0.6060 ; + RECT 2.7510 0.6560 2.8010 1.0010 ; + RECT 2.7110 1.3210 2.7610 1.3580 ; + RECT 2.3870 1.2710 2.7610 1.3210 ; + RECT 2.7110 1.0510 2.7610 1.2710 ; + RECT 2.2560 0.3890 2.3060 0.5400 ; + RECT 1.4190 1.4760 1.7890 1.5260 ; + RECT 1.4190 1.5260 1.4690 1.5630 ; + RECT 3.2360 0.6040 4.2210 0.6540 ; + RECT 2.9990 0.5430 3.2550 0.5440 ; + RECT 2.9990 0.5440 3.2860 0.5930 ; + RECT 2.9920 0.9750 3.2860 1.0250 ; + RECT 3.2360 0.6540 3.2860 0.9750 ; + RECT 3.2360 0.5930 3.2860 0.6040 ; + RECT 3.3550 0.7090 3.7650 0.7590 ; + RECT 3.3550 0.7590 3.4050 1.0990 ; + RECT 2.9870 1.0990 3.4050 1.1490 ; + RECT 2.8110 1.2720 3.0370 1.3220 ; + RECT 2.6190 1.4280 2.8610 1.4780 ; + RECT 2.8110 1.3220 2.8610 1.4280 ; + RECT 2.9870 1.1490 3.0370 1.2720 ; + RECT 2.9870 1.0960 3.0370 1.0990 ; + RECT 1.0990 1.5260 1.3170 1.5760 ; + RECT 1.8750 1.4260 1.9250 1.5840 ; + RECT 1.2670 1.3760 1.9250 1.4260 ; + RECT 1.2670 1.4260 1.3170 1.5260 ; + RECT 3.2260 1.5340 3.7650 1.5840 ; + RECT 0.5830 0.6180 1.0290 0.6680 ; + RECT 0.5830 0.6680 0.6330 0.9140 ; + RECT 0.5830 0.4220 0.6330 0.6180 ; + RECT 1.0390 0.5180 1.1650 0.5680 ; + RECT 1.0390 0.7680 1.0890 0.9140 ; + RECT 1.0390 0.7180 1.1650 0.7680 ; + RECT 1.0390 0.3940 1.0890 0.5180 ; + RECT 1.1150 0.5680 1.1650 0.7180 ; + RECT 2.1530 1.5280 2.9860 1.5780 ; + RECT 2.9360 1.4610 2.9860 1.5280 ; + RECT 2.9360 1.4110 3.6130 1.4610 ; + RECT 2.0860 0.2710 2.4810 0.3210 ; + RECT 0.7950 0.0960 1.4910 0.1460 ; + RECT 3.3030 1.2080 3.6890 1.2580 ; + LAYER PO ; + RECT 3.7090 1.0120 3.7390 1.6060 ; + RECT 2.7970 0.0680 2.8270 0.6220 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.5420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 1.7330 0.7280 1.7630 1.6040 ; + RECT 1.8850 0.0660 1.9150 1.6040 ; + RECT 3.7090 0.0680 3.7390 0.7870 ; + RECT 3.1010 0.0650 3.1310 1.6030 ; + RECT 1.5810 0.0660 1.6110 1.6040 ; + RECT 1.4290 0.0660 1.4590 1.6040 ; + RECT 1.7330 0.0660 1.7630 0.6160 ; + RECT 2.7970 0.8820 2.8270 1.6060 ; + END +END DFFASX2_HVT + +MACRO BUSKP_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.1590 1.5850 1.2090 ; + RECT 0.5830 0.2590 1.5850 0.3090 ; + RECT 0.2490 0.6580 0.4210 0.7080 ; + RECT 0.2490 0.7080 0.3590 0.8150 ; + RECT 0.8870 1.2090 0.9370 1.4780 ; + RECT 0.2490 0.8150 0.2990 1.1590 ; + RECT 0.5830 0.3090 0.6330 0.3110 ; + RECT 0.5830 0.1370 0.6330 0.2590 ; + RECT 1.5350 0.3090 1.5850 1.1590 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.4160 0.9330 1.4580 ; + RECT 0.3590 0.6620 0.4010 0.7040 ; + RECT 0.5870 0.2490 0.6290 0.2910 ; + RECT 0.5870 0.1570 0.6290 0.1990 ; + RECT 0.8910 1.3240 0.9330 1.3660 ; + END + ANTENNADIFFAREA 0.0774 ; + ANTENNAGATEAREA 0.0774 ; + END A + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.2790 1.2880 0.3290 1.6420 ; + RECT 0.5830 1.3180 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.5870 1.4300 0.6290 1.4720 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.5870 1.3380 0.6290 1.3800 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4810 ; + RECT 1.4950 0.0300 1.5450 0.2090 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4190 0.3250 0.4610 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2830 0.1430 0.3250 0.1850 ; + RECT 0.2830 0.2350 0.3250 0.2770 ; + RECT 0.2830 0.3270 0.3250 0.3690 ; + RECT 1.4990 0.1470 1.5410 0.1890 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7730 ; + LAYER M1 ; + RECT 0.5370 0.3980 0.5870 1.0990 ; + RECT 0.4310 0.3730 0.5870 0.4230 ; + RECT 0.5430 0.3730 1.4850 0.4230 ; + RECT 0.4150 1.0490 0.5570 1.0990 ; + RECT 0.4310 0.7570 0.4810 1.0740 ; + RECT 0.4310 0.1330 0.4810 0.4910 ; + LAYER PO ; + RECT 1.5810 0.0730 1.6110 1.6040 ; + RECT 1.2770 0.0730 1.3070 1.6040 ; + RECT 1.4290 0.0730 1.4590 1.6040 ; + RECT 1.7330 0.0730 1.7630 1.6040 ; + RECT 1.1250 0.0730 1.1550 1.6040 ; + RECT 0.8210 0.0730 0.8510 1.6040 ; + RECT 0.9730 0.0730 1.0030 1.6040 ; + RECT 0.0610 0.0730 0.0910 1.6040 ; + RECT 0.2130 0.0730 0.2430 1.6040 ; + RECT 0.6690 0.0730 0.6990 1.6040 ; + RECT 0.3650 0.0730 0.3950 1.6040 ; + RECT 0.5170 0.0730 0.5470 1.6040 ; + LAYER CO ; + RECT 0.6630 0.3770 0.7050 0.4190 ; + RECT 0.4350 0.1530 0.4770 0.1950 ; + RECT 0.4350 1.0530 0.4770 1.0950 ; + RECT 0.8150 0.3770 0.8570 0.4190 ; + RECT 0.8150 0.3770 0.8570 0.4190 ; + RECT 0.4350 0.9610 0.4770 1.0030 ; + RECT 0.4350 0.8690 0.4770 0.9110 ; + RECT 0.4350 0.7770 0.4770 0.8190 ; + RECT 0.9670 0.3770 1.0090 0.4190 ; + RECT 0.4350 0.4290 0.4770 0.4710 ; + RECT 0.4350 0.3370 0.4770 0.3790 ; + RECT 1.1190 0.3770 1.1610 0.4190 ; + RECT 1.2710 0.3770 1.3130 0.4190 ; + RECT 0.4350 0.2450 0.4770 0.2870 ; + RECT 1.4230 0.3770 1.4650 0.4190 ; + END +END BUSKP_HVT + +MACRO CGLNPRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.8 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 1.4040 0.4210 1.4540 ; + RECT 0.2480 1.3130 0.3590 1.4040 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.4070 0.4010 1.4490 ; + END + ANTENNAGATEAREA 0.0234 ; + END EN + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2890 0.8340 3.4370 0.8840 ; + RECT 3.3190 0.5350 3.4370 0.5850 ; + RECT 3.2890 0.8840 3.3990 0.9670 ; + RECT 3.3870 0.5850 3.4370 0.8340 ; + RECT 3.3190 0.3140 3.3690 0.5350 ; + RECT 3.3190 0.9670 3.3690 1.5610 ; + END + PORT + LAYER CO ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 3.3230 1.3140 3.3650 1.3560 ; + RECT 3.3230 1.3140 3.3650 1.3560 ; + RECT 3.3230 1.2220 3.3650 1.2640 ; + RECT 3.3230 1.2220 3.3650 1.2640 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.3230 0.8540 3.3650 0.8960 ; + RECT 3.3230 0.8540 3.3650 0.8960 ; + RECT 3.3230 0.4270 3.3650 0.4690 ; + RECT 3.3230 0.3350 3.3650 0.3770 ; + RECT 3.3230 0.5190 3.3650 0.5610 ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 3.3230 0.5190 3.3650 0.5610 ; + END + ANTENNADIFFAREA 0.1488 ; + END GCLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.8000 1.7020 ; + RECT 0.5820 1.4260 1.4340 1.4760 ; + RECT 3.1670 0.9260 3.2170 1.6420 ; + RECT 1.3840 1.4760 1.4340 1.6420 ; + RECT 3.4710 0.9260 3.5210 1.6420 ; + RECT 2.1030 0.7580 2.1530 1.2040 ; + RECT 2.4070 0.7580 2.4570 1.2040 ; + RECT 1.7920 1.1020 1.8420 1.2040 ; + RECT 1.7920 1.2040 2.9980 1.2540 ; + RECT 0.5820 0.8260 0.6320 1.4260 ; + RECT 1.0390 1.1520 1.0890 1.4260 ; + RECT 0.8870 1.1650 0.9370 1.4260 ; + RECT 1.6470 0.9280 1.6970 1.0520 ; + RECT 1.6470 1.0520 1.8420 1.1020 ; + RECT 2.9480 1.2540 2.9980 1.6420 ; + RECT 2.7110 0.7560 2.7610 1.2040 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.4110 1.1460 2.4530 1.1880 ; + RECT 2.4110 0.8700 2.4530 0.9120 ; + RECT 2.4110 1.0540 2.4530 1.0960 ; + RECT 2.4110 0.9620 2.4530 1.0040 ; + RECT 2.4110 0.7780 2.4530 0.8200 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.7150 0.8680 2.7570 0.9100 ; + RECT 2.7150 0.7760 2.7570 0.8180 ; + RECT 1.0430 1.1870 1.0850 1.2290 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.0430 1.3710 1.0850 1.4130 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.1070 1.1460 2.1490 1.1880 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.8910 1.2920 0.9330 1.3340 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.1710 1.3140 3.2130 1.3560 ; + RECT 3.1710 1.2220 3.2130 1.2640 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 2.1070 1.0540 2.1490 1.0960 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 2.7150 0.9600 2.7570 1.0020 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 2.7150 1.0520 2.7570 1.0940 ; + RECT 2.1070 0.9620 2.1490 1.0040 ; + RECT 2.1070 0.7780 2.1490 0.8200 ; + RECT 0.5870 1.0300 0.6290 1.0720 ; + RECT 0.5870 1.0300 0.6290 1.0720 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 1.0430 1.2790 1.0850 1.3210 ; + RECT 2.1070 0.8700 2.1490 0.9120 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.8910 1.2000 0.9330 1.2420 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 3.4750 1.2220 3.5170 1.2640 ; + RECT 3.4750 1.3140 3.5170 1.3560 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + END + END VDD + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.6130 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.6130 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6170 0.5530 0.6590 ; + END + ANTENNAGATEAREA 0.0234 ; + END SE + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.8000 0.0300 ; + RECT 3.4710 0.1970 3.5630 0.2470 ; + RECT 0.2790 0.0300 0.3290 0.3370 ; + RECT 0.8870 0.3320 0.9370 0.5320 ; + RECT 1.0390 0.3320 1.0890 0.5460 ; + RECT 1.0390 0.1880 1.0890 0.2820 ; + RECT 0.5830 0.0300 0.6330 0.2820 ; + RECT 0.5830 0.2820 1.0890 0.3320 ; + RECT 3.4710 0.2470 3.5210 0.3710 ; + RECT 3.5130 0.0300 3.5630 0.1970 ; + RECT 2.4080 0.2400 2.4580 0.4400 ; + RECT 3.1670 0.1970 3.2170 0.3210 ; + RECT 3.0150 0.0300 3.0650 0.3210 ; + RECT 3.0150 0.3210 3.2170 0.3710 ; + RECT 2.1030 0.2400 2.1530 0.5320 ; + RECT 1.6470 0.2400 1.6970 0.4080 ; + RECT 1.6470 0.1890 1.6970 0.1900 ; + RECT 1.6470 0.1900 2.7610 0.2400 ; + RECT 2.7110 0.2400 2.7610 0.3480 ; + RECT 2.7110 0.0300 2.7610 0.1900 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.4110 0.1940 2.4530 0.2360 ; + RECT 0.5870 0.1780 0.6290 0.2200 ; + RECT 2.1070 0.1940 2.1490 0.2360 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 2.1070 0.2860 2.1490 0.3280 ; + RECT 0.8910 0.2860 0.9330 0.3280 ; + RECT 0.8910 0.3780 0.9330 0.4200 ; + RECT 2.4110 0.3780 2.4530 0.4200 ; + RECT 3.0190 0.2830 3.0610 0.3250 ; + RECT 3.0190 0.1910 3.0610 0.2330 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 1.0430 0.3000 1.0850 0.3420 ; + RECT 1.0430 0.2080 1.0850 0.2500 ; + RECT 2.7150 0.1940 2.7570 0.2360 ; + RECT 2.4110 0.2860 2.4530 0.3280 ; + RECT 2.7150 0.2860 2.7570 0.3280 ; + RECT 2.1070 0.3780 2.1490 0.4200 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.6510 0.2540 1.6930 0.2960 ; + RECT 2.1070 0.3780 2.1490 0.4200 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 0.2830 0.2700 0.3250 0.3120 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 3.4750 0.2170 3.5170 0.2590 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.6510 0.3460 1.6930 0.3880 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.8910 0.4700 0.9330 0.5120 ; + RECT 2.1070 0.4700 2.1490 0.5120 ; + RECT 1.0430 0.3920 1.0850 0.4340 ; + RECT 1.0430 0.4840 1.0850 0.5260 ; + RECT 3.4750 0.3090 3.5170 0.3510 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0960 0.8770 0.2250 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 1.3470 0.4230 1.3890 0.4650 ; + RECT 1.3470 0.3310 1.3890 0.3730 ; + RECT 1.4990 0.3710 1.5410 0.4130 ; + RECT 2.4870 0.6390 2.5290 0.6810 ; + RECT 2.5630 0.5230 2.6050 0.5650 ; + RECT 2.5630 0.7750 2.6050 0.8170 ; + RECT 2.5630 0.9590 2.6050 1.0010 ; + RECT 2.5630 0.8670 2.6050 0.9090 ; + RECT 2.5630 1.0510 2.6050 1.0930 ; + RECT 2.2590 0.4400 2.3010 0.4820 ; + RECT 3.2470 0.6540 3.2890 0.6960 ; + RECT 1.9550 0.4630 1.9970 0.5050 ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + RECT 2.2590 0.7750 2.3010 0.8170 ; + RECT 2.8670 0.2880 2.9090 0.3300 ; + RECT 2.4870 0.0930 2.5290 0.1350 ; + RECT 1.7270 0.0930 1.7690 0.1350 ; + RECT 0.2830 1.1470 0.3250 1.1890 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 0.2830 0.9630 0.3250 1.0050 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 2.1830 0.6590 2.2250 0.7010 ; + RECT 2.2590 0.5320 2.3010 0.5740 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 0.2830 0.8710 0.3250 0.9130 ; + RECT 1.4990 1.0530 1.5410 1.0950 ; + RECT 1.4990 0.9610 1.5410 1.0030 ; + RECT 3.0190 0.8680 3.0610 0.9100 ; + RECT 3.0190 0.9600 3.0610 1.0020 ; + RECT 3.0190 1.0520 3.0610 1.0940 ; + RECT 3.0190 0.7760 3.0610 0.8180 ; + RECT 2.8670 0.1960 2.9090 0.2380 ; + RECT 1.9550 1.0400 1.9970 1.0820 ; + RECT 2.5630 0.4310 2.6050 0.4730 ; + RECT 0.7390 0.9440 0.7810 0.9860 ; + RECT 0.2830 0.9630 0.3250 1.0050 ; + RECT 1.4230 1.3290 1.4650 1.3710 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.2830 1.0550 0.3250 1.0970 ; + RECT 0.9670 0.0920 1.0090 0.1340 ; + RECT 1.2710 0.6490 1.3130 0.6910 ; + RECT 1.4990 0.4630 1.5410 0.5050 ; + RECT 1.3470 0.5150 1.3890 0.5570 ; + RECT 1.9550 0.9480 1.9970 0.9900 ; + RECT 1.5750 1.3290 1.6170 1.3710 ; + RECT 0.4350 0.2850 0.4770 0.3270 ; + RECT 0.7390 0.5300 0.7810 0.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 2.2590 0.3480 2.3010 0.3900 ; + RECT 0.2830 1.0550 0.3250 1.0970 ; + RECT 1.3470 1.0930 1.3890 1.1350 ; + RECT 1.3470 1.0930 1.3890 1.1350 ; + RECT 0.2830 1.1470 0.3250 1.1890 ; + RECT 2.9430 0.6180 2.9850 0.6600 ; + RECT 1.8790 1.5380 1.9210 1.5800 ; + RECT 1.8790 0.5710 1.9210 0.6130 ; + RECT 1.5750 0.6580 1.6170 0.7000 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 1.9550 0.3710 1.9970 0.4130 ; + RECT 1.4230 0.1950 1.4650 0.2370 ; + RECT 0.7390 1.0360 0.7810 1.0780 ; + RECT 0.7390 1.1280 0.7810 1.1700 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 0.9620 1.2370 1.0040 ; + RECT 1.1950 0.8700 1.2370 0.9120 ; + RECT 2.5630 0.3390 2.6050 0.3810 ; + RECT 2.2590 0.9590 2.3010 1.0010 ; + RECT 0.7390 0.4380 0.7810 0.4800 ; + RECT 2.2590 0.8670 2.3010 0.9090 ; + RECT 2.2590 1.0510 2.3010 1.0930 ; + RECT 0.4350 0.1930 0.4770 0.2350 ; + RECT 3.2470 0.1010 3.2890 0.1430 ; + RECT 3.3990 0.1010 3.4410 0.1430 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.9150 1.7870 ; + LAYER M1 ; + RECT 2.5990 0.6140 3.0050 0.6640 ; + RECT 2.5590 0.7550 2.6490 0.8050 ; + RECT 2.5590 0.8050 2.6090 1.1130 ; + RECT 2.5990 0.6640 2.6490 0.7550 ; + RECT 2.5590 0.3190 2.6090 0.5180 ; + RECT 2.5990 0.5850 2.6490 0.6140 ; + RECT 2.5590 0.5180 2.6490 0.5850 ; + RECT 2.2950 0.6350 2.5490 0.6850 ; + RECT 2.2550 0.7550 2.3450 0.8050 ; + RECT 2.2550 0.8050 2.3050 1.1130 ; + RECT 2.2550 0.3280 2.3050 0.5280 ; + RECT 2.2550 0.5280 2.3450 0.5940 ; + RECT 2.2950 0.6850 2.3450 0.7550 ; + RECT 2.2950 0.5940 2.3450 0.6350 ; + RECT 1.6890 1.5340 2.8530 1.5840 ; + RECT 1.1470 0.8000 1.2410 0.8500 ; + RECT 1.1470 0.5180 1.2410 0.5680 ; + RECT 1.1910 0.2410 1.2410 0.5180 ; + RECT 1.1470 0.5680 1.1970 0.8000 ; + RECT 1.1910 0.8500 1.2410 1.2100 ; + RECT 1.1910 0.1910 1.4850 0.2410 ; + RECT 1.1910 1.2100 1.7390 1.2600 ; + RECT 1.6890 1.2600 1.7390 1.5340 ; + RECT 3.2270 0.0970 3.4620 0.1470 ; + RECT 3.1050 0.6500 3.3090 0.7000 ; + RECT 2.8630 0.1760 2.9130 0.4880 ; + RECT 2.8630 0.4880 3.1550 0.5380 ; + RECT 3.1050 0.5380 3.1550 0.6500 ; + RECT 3.0150 0.7640 3.0650 1.1170 ; + RECT 3.1050 0.7000 3.1550 0.7140 ; + RECT 3.0150 0.7140 3.1550 0.7640 ; + RECT 0.9470 0.0880 1.6370 0.1380 ; + RECT 1.9910 0.6550 2.2450 0.7050 ; + RECT 1.4950 0.3510 1.5450 0.4580 ; + RECT 1.4950 0.9310 1.5450 1.1150 ; + RECT 1.4550 0.8810 1.5450 0.9310 ; + RECT 1.4550 0.5080 1.5050 0.8810 ; + RECT 1.9510 0.3510 2.0010 0.4580 ; + RECT 1.9920 0.5080 2.0420 0.6550 ; + RECT 1.9510 0.9330 2.0010 1.1020 ; + RECT 1.4550 0.4580 2.0420 0.5080 ; + RECT 1.9920 0.7050 2.0420 0.7690 ; + RECT 1.9510 0.7690 2.0420 0.9330 ; + RECT 0.7350 0.6180 1.0290 0.6680 ; + RECT 0.7350 0.6680 0.7850 1.1900 ; + RECT 0.7350 0.4180 0.7850 0.6180 ; + RECT 0.4710 1.5260 1.3330 1.5760 ; + RECT 0.4310 0.1730 0.4810 0.4530 ; + RECT 0.2790 0.4530 0.4810 0.5030 ; + RECT 0.2790 0.5030 0.3290 1.1870 ; + RECT 0.2790 1.1870 0.5210 1.2370 ; + RECT 0.4710 1.2370 0.5210 1.5260 ; + RECT 1.5550 0.6540 1.7710 0.7040 ; + RECT 1.7210 0.6170 1.7710 0.6540 ; + RECT 1.7210 0.5670 1.9420 0.6170 ; + RECT 1.2470 0.6450 1.3930 0.6950 ; + RECT 1.3430 0.6950 1.3930 1.1550 ; + RECT 1.3430 0.3110 1.3930 0.6450 ; + RECT 1.4000 1.3250 1.6370 1.3750 ; + RECT 1.7070 0.0890 2.5490 0.1390 ; + LAYER PO ; + RECT 2.4930 0.0730 2.5230 1.5950 ; + RECT 2.6450 0.0740 2.6750 1.5950 ; + RECT 3.1010 0.1090 3.1310 1.6080 ; + RECT 0.0610 0.1090 0.0910 1.5760 ; + RECT 0.3650 0.1070 0.3950 1.5760 ; + RECT 0.2130 0.1090 0.2430 1.5760 ; + RECT 1.5810 0.0650 1.6110 1.5870 ; + RECT 1.4290 0.7370 1.4590 1.5870 ; + RECT 0.6690 0.1070 0.6990 1.5810 ; + RECT 1.2770 0.0680 1.3070 1.5880 ; + RECT 0.8210 0.0840 0.8510 1.5810 ; + RECT 0.9730 0.0680 1.0030 1.5810 ; + RECT 1.1250 0.0680 1.1550 1.5850 ; + RECT 2.3410 0.0720 2.3710 1.5960 ; + RECT 0.5170 0.1070 0.5470 1.5810 ; + RECT 2.0370 0.0690 2.0670 1.5940 ; + RECT 2.9490 0.1080 2.9790 1.6060 ; + RECT 2.1890 0.0700 2.2190 1.5960 ; + RECT 3.7090 0.1080 3.7390 1.5900 ; + RECT 2.7970 0.1080 2.8270 1.5990 ; + RECT 3.5570 0.1080 3.5870 1.5900 ; + RECT 3.2530 0.0860 3.2830 1.6100 ; + RECT 1.8850 0.0690 1.9150 0.6720 ; + RECT 1.4290 0.0670 1.4590 0.6370 ; + RECT 1.7330 0.0720 1.7630 1.5900 ; + RECT 1.8850 0.7720 1.9150 1.5940 ; + RECT 3.4050 0.0860 3.4350 1.6100 ; + END +END CGLNPRX2_HVT + +MACRO CGLNPRX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.712 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0960 0.8770 0.2250 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.7120 0.0300 ; + RECT 3.0150 0.1970 4.4330 0.2470 ; + RECT 0.2790 0.0300 0.3290 0.2400 ; + RECT 1.0390 0.3320 1.0890 0.5460 ; + RECT 1.0390 0.1880 1.0890 0.2820 ; + RECT 0.8870 0.3320 0.9370 0.5320 ; + RECT 0.5830 0.0300 0.6330 0.2820 ; + RECT 0.5830 0.2820 1.0890 0.3320 ; + RECT 4.3830 0.2470 4.4330 0.5610 ; + RECT 3.7750 0.2470 3.8250 0.5610 ; + RECT 4.0790 0.2470 4.1290 0.5610 ; + RECT 3.4710 0.2470 3.5210 0.3710 ; + RECT 3.1670 0.2470 3.2170 0.3710 ; + RECT 3.0150 0.2470 3.0650 0.3710 ; + RECT 3.0150 0.0300 3.0650 0.1970 ; + RECT 2.4080 0.2400 2.4580 0.4400 ; + RECT 2.1030 0.2400 2.1530 0.5320 ; + RECT 1.6470 0.2400 1.6970 0.4080 ; + RECT 1.6470 0.1890 1.6970 0.1900 ; + RECT 1.6470 0.1900 2.7610 0.2400 ; + RECT 2.7110 0.2400 2.7610 0.3480 ; + RECT 2.7110 0.0300 2.7610 0.1900 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.4010 3.8210 0.4430 ; + RECT 3.7790 0.4010 3.8210 0.4430 ; + RECT 3.4750 0.2170 3.5170 0.2590 ; + RECT 3.4750 0.3090 3.5170 0.3510 ; + RECT 4.3870 0.3090 4.4290 0.3510 ; + RECT 4.3870 0.2170 4.4290 0.2590 ; + RECT 4.3870 0.4010 4.4290 0.4430 ; + RECT 4.3870 0.4010 4.4290 0.4430 ; + RECT 4.0830 0.4010 4.1250 0.4430 ; + RECT 4.0830 0.4010 4.1250 0.4430 ; + RECT 4.0830 0.2170 4.1250 0.2590 ; + RECT 4.0830 0.3090 4.1250 0.3510 ; + RECT 4.3870 0.4930 4.4290 0.5350 ; + RECT 4.0830 0.4930 4.1250 0.5350 ; + RECT 3.7790 0.4930 3.8210 0.5350 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.0430 0.4840 1.0850 0.5260 ; + RECT 1.0430 0.3920 1.0850 0.4340 ; + RECT 2.1070 0.4700 2.1490 0.5120 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 3.7790 0.3090 3.8210 0.3510 ; + RECT 3.7790 0.2170 3.8210 0.2590 ; + RECT 0.5870 0.1780 0.6290 0.2200 ; + RECT 2.4110 0.1940 2.4530 0.2360 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.6510 0.3460 1.6930 0.3880 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 2.1070 0.3780 2.1490 0.4200 ; + RECT 1.6510 0.2540 1.6930 0.2960 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 2.1070 0.3780 2.1490 0.4200 ; + RECT 2.7150 0.2860 2.7570 0.3280 ; + RECT 2.4110 0.2860 2.4530 0.3280 ; + RECT 2.7150 0.1940 2.7570 0.2360 ; + RECT 0.8910 0.2860 0.9330 0.3280 ; + RECT 0.8910 0.4700 0.9330 0.5120 ; + RECT 1.0430 0.2080 1.0850 0.2500 ; + RECT 1.0430 0.3000 1.0850 0.3420 ; + RECT 3.0190 0.2170 3.0610 0.2590 ; + RECT 3.0190 0.3090 3.0610 0.3510 ; + RECT 2.4110 0.3780 2.4530 0.4200 ; + RECT 0.8910 0.3780 0.9330 0.4200 ; + RECT 2.1070 0.2860 2.1490 0.3280 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.1070 0.1940 2.1490 0.2360 ; + END + END VSS + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.2890 0.8340 3.4370 0.8840 ; + RECT 3.3190 0.9670 3.3690 1.5610 ; + RECT 3.2890 0.8840 3.3990 0.9670 ; + RECT 3.3870 0.7030 3.4370 0.8340 ; + RECT 3.3190 0.3260 3.3690 0.5420 ; + RECT 3.3870 0.5920 3.4370 0.6530 ; + RECT 3.3190 0.5420 3.4370 0.5920 ; + RECT 3.3870 0.6530 4.2810 0.7030 ; + RECT 4.2310 0.7030 4.2810 1.5700 ; + RECT 4.2310 0.3480 4.2810 0.6530 ; + RECT 3.9270 0.7030 3.9770 1.5600 ; + RECT 3.9270 0.3480 3.9770 0.6530 ; + RECT 3.6230 0.7030 3.6730 1.5600 ; + RECT 3.6230 0.3480 3.6730 0.6530 ; + END + PORT + LAYER CO ; + RECT 4.2350 0.3680 4.2770 0.4100 ; + RECT 3.3230 0.5300 3.3650 0.5720 ; + RECT 3.3230 0.5300 3.3650 0.5720 ; + RECT 3.3230 0.8540 3.3650 0.8960 ; + RECT 3.3230 0.8540 3.3650 0.8960 ; + RECT 3.3230 0.4380 3.3650 0.4800 ; + RECT 4.2350 0.8540 4.2770 0.8960 ; + RECT 3.9310 0.8540 3.9730 0.8960 ; + RECT 3.6270 0.4600 3.6690 0.5020 ; + RECT 3.6270 0.5520 3.6690 0.5940 ; + RECT 3.6270 0.5520 3.6690 0.5940 ; + RECT 3.9310 0.5520 3.9730 0.5940 ; + RECT 3.6270 0.8540 3.6690 0.8960 ; + RECT 4.2350 0.5520 4.2770 0.5940 ; + RECT 4.2350 0.4600 4.2770 0.5020 ; + RECT 3.9310 0.4600 3.9730 0.5020 ; + RECT 4.2350 0.5520 4.2770 0.5940 ; + RECT 3.9310 0.5520 3.9730 0.5940 ; + RECT 3.3230 1.3140 3.3650 1.3560 ; + RECT 3.3230 1.3140 3.3650 1.3560 ; + RECT 3.3230 1.2220 3.3650 1.2640 ; + RECT 3.3230 1.2220 3.3650 1.2640 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 4.2350 1.3140 4.2770 1.3560 ; + RECT 4.2350 1.2220 4.2770 1.2640 ; + RECT 4.2350 1.0380 4.2770 1.0800 ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 4.2350 0.9460 4.2770 0.9880 ; + RECT 3.6270 1.4060 3.6690 1.4480 ; + RECT 3.6270 1.4060 3.6690 1.4480 ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 4.2350 0.9460 4.2770 0.9880 ; + RECT 4.2350 1.1300 4.2770 1.1720 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.6270 1.1300 3.6690 1.1720 ; + RECT 3.6270 1.3140 3.6690 1.3560 ; + RECT 3.6270 1.2220 3.6690 1.2640 ; + RECT 3.6270 1.1300 3.6690 1.1720 ; + RECT 3.6270 1.0380 3.6690 1.0800 ; + RECT 3.6270 1.0380 3.6690 1.0800 ; + RECT 3.6270 0.9460 3.6690 0.9880 ; + RECT 3.6270 0.9460 3.6690 0.9880 ; + RECT 3.9310 1.1300 3.9730 1.1720 ; + RECT 3.9310 1.3140 3.9730 1.3560 ; + RECT 3.9310 1.2220 3.9730 1.2640 ; + RECT 3.9310 1.1300 3.9730 1.1720 ; + RECT 3.9310 1.0380 3.9730 1.0800 ; + RECT 3.9310 1.0380 3.9730 1.0800 ; + RECT 4.2350 1.4060 4.2770 1.4480 ; + RECT 3.9310 1.4060 3.9730 1.4480 ; + RECT 3.9310 1.4060 3.9730 1.4480 ; + RECT 3.9310 0.9460 3.9730 0.9880 ; + RECT 3.3230 0.3460 3.3650 0.3880 ; + RECT 3.6270 0.3680 3.6690 0.4100 ; + RECT 3.9310 0.3680 3.9730 0.4100 ; + RECT 4.2350 1.4060 4.2770 1.4480 ; + RECT 4.2350 1.0380 4.2770 1.0800 ; + RECT 4.2350 1.1300 4.2770 1.1720 ; + RECT 3.9310 0.9460 3.9730 0.9880 ; + END + ANTENNADIFFAREA 0.5952 ; + END GCLK + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.6130 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.6130 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6170 0.5530 0.6590 ; + END + ANTENNAGATEAREA 0.0285 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.7120 1.7020 ; + RECT 0.5820 1.4260 1.4340 1.4760 ; + RECT 3.7750 0.8340 3.8250 1.6420 ; + RECT 3.1670 0.9260 3.2170 1.6420 ; + RECT 3.4710 0.9260 3.5210 1.6420 ; + RECT 1.3840 1.4760 1.4340 1.6420 ; + RECT 4.0790 0.8340 4.1290 1.6420 ; + RECT 4.3830 0.8340 4.4330 1.6420 ; + RECT 2.1030 0.7580 2.1530 1.2040 ; + RECT 1.7920 1.1020 1.8420 1.2040 ; + RECT 1.7920 1.2040 2.9980 1.2540 ; + RECT 0.5820 0.8260 0.6320 1.4260 ; + RECT 0.8870 1.1650 0.9370 1.4260 ; + RECT 1.0390 1.1520 1.0890 1.4260 ; + RECT 2.4070 0.7580 2.4570 1.2040 ; + RECT 1.6470 0.9280 1.6970 1.0520 ; + RECT 1.6470 1.0520 1.8420 1.1020 ; + RECT 2.9480 1.2540 2.9980 1.6420 ; + RECT 2.7110 0.7560 2.7610 1.2040 ; + END + PORT + LAYER CO ; + RECT 4.3870 0.8540 4.4290 0.8960 ; + RECT 4.0830 0.8540 4.1250 0.8960 ; + RECT 3.7790 0.8540 3.8210 0.8960 ; + RECT 3.7790 0.8540 3.8210 0.8960 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.1710 1.3140 3.2130 1.3560 ; + RECT 3.1710 1.2220 3.2130 1.2640 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 2.7150 0.9600 2.7570 1.0020 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 2.1070 1.0540 2.1490 1.0960 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 4.3870 0.9460 4.4290 0.9880 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 3.7790 1.4060 3.8210 1.4480 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 3.4750 1.2220 3.5170 1.2640 ; + RECT 3.4750 1.3140 3.5170 1.3560 ; + RECT 3.7790 0.9460 3.8210 0.9880 ; + RECT 3.7790 0.9460 3.8210 0.9880 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.2220 3.8210 1.2640 ; + RECT 3.7790 1.2220 3.8210 1.2640 ; + RECT 3.7790 1.3140 3.8210 1.3560 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 1.3140 3.8210 1.3560 ; + RECT 4.0830 0.9460 4.1250 0.9880 ; + RECT 4.0830 0.9460 4.1250 0.9880 ; + RECT 4.0830 1.1300 4.1250 1.1720 ; + RECT 4.0830 1.1300 4.1250 1.1720 ; + RECT 4.0830 1.0380 4.1250 1.0800 ; + RECT 4.0830 1.0380 4.1250 1.0800 ; + RECT 4.0830 1.2220 4.1250 1.2640 ; + RECT 4.0830 1.3140 4.1250 1.3560 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.3870 1.1300 4.4290 1.1720 ; + RECT 4.3870 1.3140 4.4290 1.3560 ; + RECT 4.3870 1.2220 4.4290 1.2640 ; + RECT 4.3870 1.1300 4.4290 1.1720 ; + RECT 4.3870 1.0380 4.4290 1.0800 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 4.3870 1.0380 4.4290 1.0800 ; + RECT 2.7150 0.7760 2.7570 0.8180 ; + RECT 2.7150 0.8680 2.7570 0.9100 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.4110 0.7780 2.4530 0.8200 ; + RECT 2.4110 0.9620 2.4530 1.0040 ; + RECT 2.4110 1.0540 2.4530 1.0960 ; + RECT 2.4110 0.8700 2.4530 0.9120 ; + RECT 2.4110 1.1460 2.4530 1.1880 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 4.0830 1.4060 4.1250 1.4480 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.2000 0.9330 1.2420 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.1070 0.8700 2.1490 0.9120 ; + RECT 1.0430 1.2790 1.0850 1.3210 ; + RECT 0.8910 1.2920 0.9330 1.3340 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 4.3870 0.9460 4.4290 0.9880 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.5870 0.8460 0.6290 0.8880 ; + RECT 0.5870 0.9380 0.6290 0.9800 ; + RECT 0.5870 1.0300 0.6290 1.0720 ; + RECT 0.5870 1.0300 0.6290 1.0720 ; + RECT 2.1070 0.7780 2.1490 0.8200 ; + RECT 2.1070 0.9620 2.1490 1.0040 ; + RECT 2.7150 1.0520 2.7570 1.0940 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 2.1070 1.1460 2.1490 1.1880 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.0830 1.4060 4.1250 1.4480 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.0430 1.3710 1.0850 1.4130 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 4.3870 1.4060 4.4290 1.4480 ; + RECT 4.3870 1.4060 4.4290 1.4480 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 3.7790 1.4060 3.8210 1.4480 ; + RECT 1.0430 1.1870 1.0850 1.2290 ; + END + END VDD + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 0.4050 0.4210 0.4550 ; + RECT 0.2480 0.4550 0.3590 0.5110 ; + RECT 0.2480 0.4010 0.3590 0.4050 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.4080 0.4010 0.4500 ; + END + ANTENNAGATEAREA 0.0285 ; + END EN + OBS + LAYER CO ; + RECT 3.8550 0.1010 3.8970 0.1430 ; + RECT 4.0070 0.1010 4.0490 0.1430 ; + RECT 4.3110 0.1010 4.3530 0.1430 ; + RECT 4.1590 0.1010 4.2010 0.1430 ; + RECT 3.2470 0.6610 3.2890 0.7030 ; + RECT 0.4350 0.1830 0.4770 0.2250 ; + RECT 2.2590 1.0510 2.3010 1.0930 ; + RECT 2.2590 0.8670 2.3010 0.9090 ; + RECT 0.7390 0.4380 0.7810 0.4800 ; + RECT 2.2590 0.9590 2.3010 1.0010 ; + RECT 2.5630 0.3390 2.6050 0.3810 ; + RECT 1.1950 0.8700 1.2370 0.9120 ; + RECT 1.1950 0.9620 1.2370 1.0040 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 3.2470 0.1010 3.2890 0.1430 ; + RECT 3.3990 0.1010 3.4410 0.1430 ; + RECT 3.7030 0.1010 3.7450 0.1430 ; + RECT 3.5510 0.1010 3.5930 0.1430 ; + RECT 2.2590 0.4400 2.3010 0.4820 ; + RECT 2.5630 1.0510 2.6050 1.0930 ; + RECT 2.5630 0.8670 2.6050 0.9090 ; + RECT 2.5630 0.9590 2.6050 1.0010 ; + RECT 2.5630 0.7750 2.6050 0.8170 ; + RECT 2.5630 0.5230 2.6050 0.5650 ; + RECT 2.4870 0.6390 2.5290 0.6810 ; + RECT 1.4990 0.3710 1.5410 0.4130 ; + RECT 1.3470 0.3420 1.3890 0.3840 ; + RECT 1.3470 0.4340 1.3890 0.4760 ; + RECT 1.4230 0.1920 1.4650 0.2340 ; + RECT 1.9550 0.3710 1.9970 0.4130 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 1.5750 0.6690 1.6170 0.7110 ; + RECT 1.8790 0.5710 1.9210 0.6130 ; + RECT 1.8790 1.5380 1.9210 1.5800 ; + RECT 2.9430 0.6180 2.9850 0.6600 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.3470 1.0940 1.3890 1.1360 ; + RECT 1.3470 1.0940 1.3890 1.1360 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 2.2590 0.3480 2.3010 0.3900 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.7390 0.5300 0.7810 0.5720 ; + RECT 1.5750 1.3290 1.6170 1.3710 ; + RECT 1.9550 0.9480 1.9970 0.9900 ; + RECT 1.3470 0.5260 1.3890 0.5680 ; + RECT 1.4990 0.4630 1.5410 0.5050 ; + RECT 1.2710 0.6490 1.3130 0.6910 ; + RECT 0.9670 0.0920 1.0090 0.1340 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.4230 1.3290 1.4650 1.3710 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 2.2590 0.5320 2.3010 0.5740 ; + RECT 2.1830 0.6590 2.2250 0.7010 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 0.7390 1.1280 0.7810 1.1700 ; + RECT 0.7390 1.0360 0.7810 1.0780 ; + RECT 0.7390 0.9440 0.7810 0.9860 ; + RECT 2.5630 0.4310 2.6050 0.4730 ; + RECT 1.9550 1.0400 1.9970 1.0820 ; + RECT 2.8670 0.2000 2.9090 0.2420 ; + RECT 3.0190 0.7760 3.0610 0.8180 ; + RECT 3.0190 1.0520 3.0610 1.0940 ; + RECT 3.0190 0.9600 3.0610 1.0020 ; + RECT 3.0190 0.8680 3.0610 0.9100 ; + RECT 1.4990 0.9620 1.5410 1.0040 ; + RECT 1.4990 1.0540 1.5410 1.0960 ; + RECT 0.2830 0.8260 0.3250 0.8680 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 0.2830 1.1020 0.3250 1.1440 ; + RECT 1.7270 0.0930 1.7690 0.1350 ; + RECT 2.4870 0.0930 2.5290 0.1350 ; + RECT 2.8670 0.2920 2.9090 0.3340 ; + RECT 2.2590 0.7750 2.3010 0.8170 ; + RECT 2.7910 1.5380 2.8330 1.5800 ; + RECT 1.9550 0.4630 1.9970 0.5050 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.8270 1.7860 ; + LAYER M1 ; + RECT 2.2950 0.6350 2.5490 0.6850 ; + RECT 2.2550 0.7550 2.3450 0.8050 ; + RECT 2.2550 0.8050 2.3050 1.1130 ; + RECT 2.2950 0.6850 2.3450 0.7550 ; + RECT 2.2950 0.5940 2.3450 0.6350 ; + RECT 2.2550 0.3280 2.3050 0.5280 ; + RECT 2.2550 0.5280 2.3450 0.5940 ; + RECT 2.5990 0.6140 3.0050 0.6640 ; + RECT 2.5590 0.7550 2.6490 0.8050 ; + RECT 2.5590 0.8050 2.6090 1.1130 ; + RECT 2.5590 0.3190 2.6090 0.5180 ; + RECT 2.5990 0.6640 2.6490 0.7550 ; + RECT 2.5990 0.5850 2.6490 0.6140 ; + RECT 2.5590 0.5180 2.6490 0.5850 ; + RECT 1.9910 0.6550 2.2450 0.7050 ; + RECT 1.4950 0.3510 1.5450 0.4580 ; + RECT 1.4950 0.9320 1.5450 1.1160 ; + RECT 1.4550 0.8820 1.5450 0.9320 ; + RECT 1.4550 0.5080 1.5050 0.8820 ; + RECT 1.9510 0.3510 2.0010 0.4580 ; + RECT 1.9510 0.9330 2.0010 1.1020 ; + RECT 1.4560 0.4580 2.0420 0.4690 ; + RECT 1.9920 0.5080 2.0420 0.6550 ; + RECT 1.4550 0.4690 2.0420 0.5080 ; + RECT 1.9920 0.7050 2.0420 0.7690 ; + RECT 1.9510 0.7690 2.0420 0.9330 ; + RECT 1.6890 1.5340 2.8530 1.5840 ; + RECT 1.1470 0.8000 1.2410 0.8500 ; + RECT 1.1470 0.5180 1.2410 0.5680 ; + RECT 1.1910 0.2380 1.2410 0.5180 ; + RECT 1.1470 0.5680 1.1970 0.8000 ; + RECT 1.1910 0.8500 1.2410 1.2100 ; + RECT 1.1910 0.1880 1.4850 0.2380 ; + RECT 1.1910 1.2100 1.7390 1.2600 ; + RECT 1.6890 1.2600 1.7390 1.5340 ; + RECT 3.1050 0.6570 3.3090 0.7070 ; + RECT 2.8630 0.1800 2.9130 0.4880 ; + RECT 2.8630 0.4880 3.1550 0.5380 ; + RECT 3.1050 0.5380 3.1550 0.6570 ; + RECT 3.0150 0.7640 3.0650 1.1170 ; + RECT 3.1050 0.7070 3.1550 0.7140 ; + RECT 3.0150 0.7140 3.1550 0.7640 ; + RECT 0.4710 1.5260 1.3330 1.5760 ; + RECT 0.1480 0.3400 0.1980 0.7260 ; + RECT 0.1480 0.2900 0.4810 0.3400 ; + RECT 0.4310 0.1630 0.4810 0.2900 ; + RECT 0.1480 0.7260 0.3290 0.7760 ; + RECT 0.2790 0.7760 0.3290 1.1870 ; + RECT 0.2790 1.1870 0.5210 1.2370 ; + RECT 0.4710 1.2370 0.5210 1.5260 ; + RECT 0.7350 0.6180 1.0290 0.6680 ; + RECT 0.7350 0.6680 0.7850 1.1900 ; + RECT 0.7350 0.4180 0.7850 0.6180 ; + RECT 0.9470 0.0880 1.6370 0.1380 ; + RECT 3.2270 0.0970 4.3730 0.1470 ; + RECT 1.7070 0.0890 2.5490 0.1390 ; + RECT 1.3430 0.6950 1.3930 1.1560 ; + RECT 1.2470 0.6450 1.3930 0.6950 ; + RECT 1.3430 0.3220 1.3930 0.6450 ; + RECT 1.4000 1.3250 1.6370 1.3750 ; + RECT 1.5550 0.6650 1.7710 0.7150 ; + RECT 1.7210 0.6170 1.7710 0.6650 ; + RECT 1.7210 0.5670 1.9420 0.6170 ; + LAYER PO ; + RECT 4.6210 0.0840 4.6510 1.5900 ; + RECT 4.4690 0.0840 4.4990 1.5900 ; + RECT 3.5570 0.0860 3.5870 1.6100 ; + RECT 3.2530 0.0860 3.2830 1.6100 ; + RECT 4.1650 0.0860 4.1950 1.6100 ; + RECT 1.8850 0.7720 1.9150 1.6150 ; + RECT 1.7330 0.0710 1.7630 1.5900 ; + RECT 1.4290 0.0670 1.4590 0.6380 ; + RECT 1.8850 0.0670 1.9150 0.6720 ; + RECT 3.4050 0.0860 3.4350 1.6100 ; + RECT 2.7970 0.1070 2.8270 1.5960 ; + RECT 3.8610 0.0860 3.8910 1.6100 ; + RECT 4.3170 0.0860 4.3470 1.6100 ; + RECT 2.1890 0.0750 2.2190 1.5990 ; + RECT 2.9490 0.1070 2.9790 1.6060 ; + RECT 3.7090 0.0860 3.7390 1.6100 ; + RECT 2.0370 0.0750 2.0670 1.5990 ; + RECT 4.0130 0.0860 4.0430 1.6100 ; + RECT 0.5170 0.1080 0.5470 1.6060 ; + RECT 2.3410 0.0520 2.3710 1.5990 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 0.8210 0.0830 0.8510 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.6690 0.1080 0.6990 1.6060 ; + RECT 1.4290 0.7380 1.4590 1.5900 ; + RECT 1.5810 0.0670 1.6110 1.5900 ; + RECT 0.2130 0.1100 0.2430 1.6060 ; + RECT 0.3650 0.1080 0.3950 1.6060 ; + RECT 0.0610 0.1100 0.0910 1.6060 ; + RECT 3.1010 0.1060 3.1310 1.6080 ; + RECT 2.6450 0.0710 2.6750 1.5990 ; + RECT 2.4930 0.0690 2.5230 1.5990 ; + END +END CGLNPRX8_HVT + +MACRO CGLNPSX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 7.144 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.3310 0.6480 2.3810 1.0090 ; + RECT 2.2250 1.0090 2.3810 1.1190 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6680 2.3770 0.7100 ; + END + ANTENNAGATEAREA 0.027 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 7.1440 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.0870 1.3160 2.7610 1.3660 ; + RECT 4.8390 0.9040 4.8890 1.6420 ; + RECT 5.1430 0.9040 5.1930 1.6420 ; + RECT 5.4470 0.9040 5.4970 1.6420 ; + RECT 4.5350 0.9040 4.5850 1.6420 ; + RECT 3.7750 0.9040 3.8250 1.6420 ; + RECT 4.0790 0.9040 4.1290 1.6420 ; + RECT 3.3190 0.9040 3.3690 1.6420 ; + RECT 6.0550 0.9040 6.1050 1.6420 ; + RECT 6.3590 0.9040 6.4090 1.6420 ; + RECT 6.6630 0.9040 6.7130 1.6420 ; + RECT 5.7510 0.9040 5.8010 1.6420 ; + RECT 0.5830 0.9490 0.6330 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5430 1.3540 0.5930 1.6420 ; + RECT 2.7110 1.3660 2.7610 1.6420 ; + RECT 2.7110 1.0920 2.7610 1.3160 ; + END + PORT + LAYER CO ; + RECT 6.6670 1.4400 6.7090 1.4820 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 6.3630 1.0720 6.4050 1.1140 ; + RECT 6.3630 0.9800 6.4050 1.0220 ; + RECT 6.6670 1.0720 6.7090 1.1140 ; + RECT 6.6670 1.0720 6.7090 1.1140 ; + RECT 6.6670 0.9800 6.7090 1.0220 ; + RECT 6.6670 0.9800 6.7090 1.0220 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 2.7150 1.4320 2.7570 1.4740 ; + RECT 2.7150 1.2480 2.7570 1.2900 ; + RECT 2.7150 1.1560 2.7570 1.1980 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 3.7790 1.4400 3.8210 1.4820 ; + RECT 3.7790 1.4400 3.8210 1.4820 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 3.7790 1.0720 3.8210 1.1140 ; + RECT 4.5390 1.0720 4.5810 1.1140 ; + RECT 4.5390 1.4400 4.5810 1.4820 ; + RECT 4.8430 1.4400 4.8850 1.4820 ; + RECT 3.7790 0.9800 3.8210 1.0220 ; + RECT 3.7790 0.9800 3.8210 1.0220 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 4.8430 1.4400 4.8850 1.4820 ; + RECT 4.5390 0.9800 4.5810 1.0220 ; + RECT 4.5390 0.9800 4.5810 1.0220 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 4.8430 1.0720 4.8850 1.1140 ; + RECT 4.8430 1.0720 4.8850 1.1140 ; + RECT 4.8430 0.9800 4.8850 1.0220 ; + RECT 4.8430 0.9800 4.8850 1.0220 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 5.1470 1.0720 5.1890 1.1140 ; + RECT 5.1470 0.9800 5.1890 1.0220 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 5.1470 0.9800 5.1890 1.0220 ; + RECT 5.1470 1.4400 5.1890 1.4820 ; + RECT 5.1470 1.4400 5.1890 1.4820 ; + RECT 5.4510 1.4400 5.4930 1.4820 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.4510 1.0720 5.4930 1.1140 ; + RECT 5.4510 0.9800 5.4930 1.0220 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 5.4510 0.9800 5.4930 1.0220 ; + RECT 5.7550 1.4400 5.7970 1.4820 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 5.7550 1.4400 5.7970 1.4820 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.7550 1.0720 5.7970 1.1140 ; + RECT 5.7550 0.9800 5.7970 1.0220 ; + RECT 5.7550 0.9800 5.7970 1.0220 ; + RECT 6.0590 1.4400 6.1010 1.4820 ; + RECT 6.0590 1.4400 6.1010 1.4820 ; + RECT 6.0590 1.0720 6.1010 1.1140 ; + RECT 6.0590 1.0720 6.1010 1.1140 ; + RECT 6.0590 0.9800 6.1010 1.0220 ; + RECT 6.0590 0.9800 6.1010 1.0220 ; + RECT 6.3630 1.4400 6.4050 1.4820 ; + RECT 6.3630 1.4400 6.4050 1.4820 ; + RECT 6.6670 1.4400 6.7090 1.4820 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 3.7790 1.2560 3.8210 1.2980 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 4.0830 1.2560 4.1250 1.2980 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 3.7790 1.3480 3.8210 1.3900 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 4.0830 1.3480 4.1250 1.3900 ; + RECT 7.0470 1.6510 7.0890 1.6930 ; + RECT 6.8950 1.6510 6.9370 1.6930 ; + RECT 6.7430 1.6510 6.7850 1.6930 ; + RECT 6.5910 1.6510 6.6330 1.6930 ; + RECT 6.4390 1.6510 6.4810 1.6930 ; + RECT 6.2870 1.6510 6.3290 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 6.3630 0.9800 6.4050 1.0220 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 4.8430 1.2560 4.8850 1.2980 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 4.8430 1.1640 4.8850 1.2060 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0830 1.1640 4.1250 1.2060 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 3.7790 1.1640 3.8210 1.2060 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 5.4510 1.2560 5.4930 1.2980 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 5.1470 1.3480 5.1890 1.3900 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 5.1470 1.2560 5.1890 1.2980 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 5.1470 1.1640 5.1890 1.2060 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 5.4510 1.1640 5.4930 1.2060 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 4.8430 1.2560 4.8850 1.2980 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 5.7550 1.2560 5.7970 1.2980 ; + RECT 5.7550 1.1640 5.7970 1.2060 ; + RECT 6.0590 1.2560 6.1010 1.2980 ; + RECT 6.0590 1.1640 6.1010 1.2060 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 5.4510 1.3480 5.4930 1.3900 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.2590 1.3200 2.3010 1.3620 ; + RECT 4.5390 1.3480 4.5810 1.3900 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 4.8430 1.3480 4.8850 1.3900 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 4.5390 1.1640 4.5810 1.2060 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 4.5390 1.2560 4.5810 1.2980 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 6.6670 1.1640 6.7090 1.2060 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 4.8430 1.1640 4.8850 1.2060 ; + RECT 6.3630 1.1640 6.4050 1.2060 ; + RECT 2.7150 1.3400 2.7570 1.3820 ; + RECT 6.6670 1.1640 6.7090 1.2060 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 6.3630 1.2560 6.4050 1.2980 ; + RECT 6.6670 1.2560 6.7090 1.2980 ; + RECT 6.6670 1.2560 6.7090 1.2980 ; + RECT 6.0590 1.1640 6.1010 1.2060 ; + RECT 6.0590 1.2560 6.1010 1.2980 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 4.8430 1.3480 4.8850 1.3900 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 4.5390 1.4400 4.5810 1.4820 ; + RECT 6.3630 1.3480 6.4050 1.3900 ; + RECT 6.6670 1.3480 6.7090 1.3900 ; + RECT 6.6670 1.3480 6.7090 1.3900 ; + RECT 6.0590 1.3480 6.1010 1.3900 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 6.0590 1.3480 6.1010 1.3900 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 5.7550 1.3480 5.7970 1.3900 ; + END + END VDD + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 6.7850 1.1610 6.9050 1.2710 ; + RECT 6.8150 1.2710 6.8650 1.5460 ; + RECT 6.8150 0.1480 6.8650 0.5040 ; + RECT 6.5110 0.8540 6.5610 1.5460 ; + RECT 6.5110 0.1480 6.5610 0.5040 ; + RECT 6.2070 0.8540 6.2570 1.5460 ; + RECT 6.2070 0.1480 6.2570 0.5040 ; + RECT 5.9030 0.8540 5.9530 1.5460 ; + RECT 5.9030 0.1480 5.9530 0.5040 ; + RECT 5.5990 0.8540 5.6490 1.5460 ; + RECT 5.5990 0.1480 5.6490 0.5040 ; + RECT 5.2950 0.8540 5.3450 1.5460 ; + RECT 5.2950 0.1480 5.3450 0.5040 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 4.9910 0.1480 5.0410 0.5040 ; + RECT 4.6870 0.8540 4.7370 1.5460 ; + RECT 4.6870 0.1480 4.7370 0.5040 ; + RECT 4.3830 0.8540 4.4330 1.5460 ; + RECT 4.3830 0.1480 4.4330 0.5040 ; + RECT 6.8550 0.8540 6.9050 1.1610 ; + RECT 4.3830 0.8040 6.9050 0.8540 ; + RECT 6.8550 0.5540 6.9050 0.8040 ; + RECT 4.3830 0.5040 6.9050 0.5540 ; + END + PORT + LAYER CO ; + RECT 5.6030 0.2120 5.6450 0.2540 ; + RECT 5.9070 0.3960 5.9490 0.4380 ; + RECT 5.6030 0.2120 5.6450 0.2540 ; + RECT 5.9070 0.2120 5.9490 0.2540 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 4.6910 0.3960 4.7330 0.4380 ; + RECT 4.3870 0.2120 4.4290 0.2540 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 0.3040 5.6450 0.3460 ; + RECT 5.9070 0.3040 5.9490 0.3460 ; + RECT 5.6030 0.3960 5.6450 0.4380 ; + RECT 5.9070 0.3040 5.9490 0.3460 ; + RECT 5.6030 0.3040 5.6450 0.3460 ; + RECT 5.9070 0.3960 5.9490 0.4380 ; + RECT 5.6030 0.3960 5.6450 0.4380 ; + RECT 5.9070 0.2120 5.9490 0.2540 ; + RECT 6.2110 0.3960 6.2530 0.4380 ; + RECT 6.2110 0.2120 6.2530 0.2540 ; + RECT 6.2110 0.3040 6.2530 0.3460 ; + RECT 6.2110 0.3960 6.2530 0.4380 ; + RECT 6.2110 0.3040 6.2530 0.3460 ; + RECT 6.2110 0.3960 6.2530 0.4380 ; + RECT 6.2110 0.3040 6.2530 0.3460 ; + RECT 6.2110 0.2120 6.2530 0.2540 ; + RECT 6.2110 0.2120 6.2530 0.2540 ; + RECT 6.2110 0.3960 6.2530 0.4380 ; + RECT 6.2110 0.2120 6.2530 0.2540 ; + RECT 6.2110 0.3040 6.2530 0.3460 ; + RECT 6.5150 0.2120 6.5570 0.2540 ; + RECT 6.8190 0.3040 6.8610 0.3460 ; + RECT 6.5150 0.3960 6.5570 0.4380 ; + RECT 6.8190 0.2120 6.8610 0.2540 ; + RECT 6.8190 0.3960 6.8610 0.4380 ; + RECT 6.5150 0.3040 6.5570 0.3460 ; + RECT 6.5150 0.3040 6.5570 0.3460 ; + RECT 6.8190 0.3040 6.8610 0.3460 ; + RECT 6.8190 0.2120 6.8610 0.2540 ; + RECT 6.5150 0.2120 6.5570 0.2540 ; + RECT 6.8190 0.3960 6.8610 0.4380 ; + RECT 6.5150 0.3960 6.5570 0.4380 ; + RECT 6.8190 0.4880 6.8610 0.5300 ; + RECT 6.5150 0.4880 6.5570 0.5300 ; + RECT 6.8190 0.4880 6.8610 0.5300 ; + RECT 6.5150 0.4880 6.5570 0.5300 ; + RECT 6.2110 0.4880 6.2530 0.5300 ; + RECT 6.2110 0.4880 6.2530 0.5300 ; + RECT 5.6030 0.4880 5.6450 0.5300 ; + RECT 5.9070 0.4880 5.9490 0.5300 ; + RECT 6.2110 0.4880 6.2530 0.5300 ; + RECT 5.6030 0.4880 5.6450 0.5300 ; + RECT 5.9070 0.4880 5.9490 0.5300 ; + RECT 6.2110 0.4880 6.2530 0.5300 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 0.3960 5.0370 0.4380 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.6910 0.2120 4.7330 0.2540 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.6030 0.4880 5.6450 0.5300 ; + RECT 5.2990 0.4880 5.3410 0.5300 ; + RECT 5.2990 0.2120 5.3410 0.2540 ; + RECT 5.6030 0.3040 5.6450 0.3460 ; + RECT 4.3870 0.3040 4.4290 0.3460 ; + RECT 5.2990 0.3960 5.3410 0.4380 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 5.6030 0.2120 5.6450 0.2540 ; + RECT 4.9950 0.2120 5.0370 0.2540 ; + RECT 5.6030 0.3960 5.6450 0.4380 ; + RECT 5.2990 0.3040 5.3410 0.3460 ; + RECT 5.6030 0.4880 5.6450 0.5300 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.2080 6.2530 1.2500 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 0.9320 6.2530 0.9740 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 4.3870 0.2120 4.4290 0.2540 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.6910 0.4880 4.7330 0.5300 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 4.3870 0.3960 4.4290 0.4380 ; + RECT 4.9950 0.4880 5.0370 0.5300 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 4.9950 0.3040 5.0370 0.3460 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 5.2990 0.4880 5.3410 0.5300 ; + RECT 5.2990 0.3040 5.3410 0.3460 ; + RECT 5.6030 0.3040 5.6450 0.3460 ; + RECT 5.6030 0.2120 5.6450 0.2540 ; + RECT 5.2990 0.2120 5.3410 0.2540 ; + RECT 5.6030 0.3960 5.6450 0.4380 ; + RECT 5.2990 0.3960 5.3410 0.4380 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.9950 0.3960 5.0370 0.4380 ; + RECT 4.9950 0.4880 5.0370 0.5300 ; + RECT 4.9950 0.3040 5.0370 0.3460 ; + RECT 4.9950 0.3960 5.0370 0.4380 ; + RECT 4.9950 0.4880 5.0370 0.5300 ; + RECT 4.9950 0.3040 5.0370 0.3460 ; + RECT 4.9950 0.2120 5.0370 0.2540 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.9950 0.2120 5.0370 0.2540 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 0.4880 4.4290 0.5300 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 4.9950 0.4880 5.0370 0.5300 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 6.5150 1.0240 6.5570 1.0660 ; + RECT 6.5150 1.1160 6.5570 1.1580 ; + RECT 6.5150 1.1160 6.5570 1.1580 ; + RECT 6.5150 0.9320 6.5570 0.9740 ; + RECT 6.5150 0.9320 6.5570 0.9740 ; + RECT 6.5150 1.0240 6.5570 1.0660 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 6.8190 1.3000 6.8610 1.3420 ; + RECT 6.5150 1.2080 6.5570 1.2500 ; + RECT 6.5150 1.3000 6.5570 1.3420 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 6.2110 1.3000 6.2530 1.3420 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 6.2110 1.0240 6.2530 1.0660 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 6.2110 1.1160 6.2530 1.1580 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.6910 0.3040 4.7330 0.3460 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 4.9950 0.3960 5.0370 0.4380 ; + RECT 6.2110 1.3920 6.2530 1.4340 ; + RECT 4.3870 0.3040 4.4290 0.3460 ; + RECT 6.2110 1.4840 6.2530 1.5260 ; + RECT 4.9950 0.2120 5.0370 0.2540 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.6910 0.3960 4.7330 0.4380 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 4.3870 0.4880 4.4290 0.5300 ; + RECT 4.3870 0.3960 4.4290 0.4380 ; + RECT 6.8190 1.3920 6.8610 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 6.5150 1.4840 6.5570 1.5260 ; + RECT 6.8190 1.4840 6.8610 1.5260 ; + RECT 6.5150 1.3920 6.5570 1.4340 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.9950 0.3040 5.0370 0.3460 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 4.6910 0.2120 4.7330 0.2540 ; + RECT 4.6910 0.4880 4.7330 0.5300 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.6910 0.3040 4.7330 0.3460 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + END + ANTENNADIFFAREA 1.2904 ; + END GCLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 7.1440 0.0300 ; + RECT 1.4790 0.3180 2.4570 0.3680 ; + RECT 4.8390 0.0300 4.8890 0.4540 ; + RECT 5.1430 0.0300 5.1930 0.4540 ; + RECT 5.4470 0.0300 5.4970 0.4540 ; + RECT 4.5350 0.0300 4.5850 0.4540 ; + RECT 3.7750 0.0300 3.8250 0.4540 ; + RECT 4.0790 0.0300 4.1290 0.4540 ; + RECT 3.3190 0.0300 3.3690 0.4540 ; + RECT 5.7510 0.0300 5.8010 0.4540 ; + RECT 6.0550 0.0300 6.1050 0.4540 ; + RECT 6.3590 0.0300 6.4090 0.4540 ; + RECT 6.6630 0.0300 6.7130 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.8630 0.2460 2.9130 0.4670 ; + RECT 2.9030 0.0300 2.9530 0.1960 ; + RECT 2.4070 0.1960 2.9530 0.2460 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.4070 0.3680 2.4570 0.4720 ; + RECT 2.4070 0.2460 2.4570 0.3180 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.2750 3.3650 0.3170 ; + RECT 3.3230 0.2750 3.3650 0.3170 ; + RECT 3.3230 0.3670 3.3650 0.4090 ; + RECT 3.7790 0.3720 3.8210 0.4140 ; + RECT 3.7790 0.1880 3.8210 0.2300 ; + RECT 5.7550 0.1920 5.7970 0.2340 ; + RECT 5.7550 0.2840 5.7970 0.3260 ; + RECT 5.7550 0.3760 5.7970 0.4180 ; + RECT 3.7790 0.2800 3.8210 0.3220 ; + RECT 3.7790 0.3720 3.8210 0.4140 ; + RECT 3.7790 0.2800 3.8210 0.3220 ; + RECT 3.7790 0.1880 3.8210 0.2300 ; + RECT 4.0830 0.3670 4.1250 0.4090 ; + RECT 4.0830 0.2750 4.1250 0.3170 ; + RECT 4.0830 0.2750 4.1250 0.3170 ; + RECT 4.0830 0.1830 4.1250 0.2250 ; + RECT 4.0830 0.1830 4.1250 0.2250 ; + RECT 4.0830 0.3670 4.1250 0.4090 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.3230 0.3670 3.3650 0.4090 ; + RECT 3.3230 0.1830 3.3650 0.2250 ; + RECT 3.3230 0.1830 3.3650 0.2250 ; + RECT 4.5390 0.1910 4.5810 0.2330 ; + RECT 6.2870 -0.0210 6.3290 0.0210 ; + RECT 4.5390 0.2830 4.5810 0.3250 ; + RECT 6.4390 -0.0210 6.4810 0.0210 ; + RECT 4.5390 0.3750 4.5810 0.4170 ; + RECT 6.5910 -0.0210 6.6330 0.0210 ; + RECT 5.7550 0.2840 5.7970 0.3260 ; + RECT 5.7550 0.1920 5.7970 0.2340 ; + RECT 5.7550 0.3760 5.7970 0.4180 ; + RECT 6.0590 0.3680 6.1010 0.4100 ; + RECT 6.3630 0.3720 6.4050 0.4140 ; + RECT 6.3630 0.1880 6.4050 0.2300 ; + RECT 6.3630 0.2800 6.4050 0.3220 ; + RECT 6.3630 0.3720 6.4050 0.4140 ; + RECT 6.3630 0.2800 6.4050 0.3220 ; + RECT 6.3630 0.1880 6.4050 0.2300 ; + RECT 6.0590 0.1840 6.1010 0.2260 ; + RECT 6.0590 0.1840 6.1010 0.2260 ; + RECT 6.0590 0.2760 6.1010 0.3180 ; + RECT 6.0590 0.2760 6.1010 0.3180 ; + RECT 6.0590 0.3680 6.1010 0.4100 ; + RECT 6.6670 0.3670 6.7090 0.4090 ; + RECT 6.6670 0.2750 6.7090 0.3170 ; + RECT 6.6670 0.2750 6.7090 0.3170 ; + RECT 6.6670 0.1830 6.7090 0.2250 ; + RECT 6.6670 0.1830 6.7090 0.2250 ; + RECT 6.6670 0.3670 6.7090 0.4090 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.8430 0.3750 4.8850 0.4170 ; + RECT 6.7430 -0.0210 6.7850 0.0210 ; + RECT 6.8950 -0.0210 6.9370 0.0210 ; + RECT 4.5390 0.2830 4.5810 0.3250 ; + RECT 2.8670 0.4050 2.9090 0.4470 ; + RECT 7.0470 -0.0210 7.0890 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.8430 0.1910 4.8850 0.2330 ; + RECT 4.8430 0.1910 4.8850 0.2330 ; + RECT 4.8430 0.2830 4.8850 0.3250 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.8430 0.2830 4.8850 0.3250 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 4.8430 0.3750 4.8850 0.4170 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 5.4510 0.3620 5.4930 0.4040 ; + RECT 5.4510 0.2700 5.4930 0.3120 ; + RECT 5.4510 0.2700 5.4930 0.3120 ; + RECT 5.4510 0.1780 5.4930 0.2200 ; + RECT 5.4510 0.1780 5.4930 0.2200 ; + RECT 5.4510 0.3620 5.4930 0.4040 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 5.1470 0.1910 5.1890 0.2330 ; + RECT 5.1470 0.2830 5.1890 0.3250 ; + RECT 5.1470 0.3750 5.1890 0.4170 ; + RECT 5.1470 0.2830 5.1890 0.3250 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.1470 0.1910 5.1890 0.2330 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 2.4110 0.4100 2.4530 0.4520 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 4.5390 0.1910 4.5810 0.2330 ; + RECT 4.5390 0.3750 4.5810 0.4170 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2960 0.6290 0.3380 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 3.0190 1.1070 3.0610 1.1490 ; + RECT 3.0190 1.0150 3.0610 1.0570 ; + RECT 3.0190 0.9230 3.0610 0.9650 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 0.9320 4.2770 0.9740 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.5630 1.0200 2.6050 1.0620 ; + RECT 2.5630 0.9280 2.6050 0.9700 ; + RECT 2.5630 0.8360 2.6050 0.8780 ; + RECT 1.0430 0.9540 1.0850 0.9960 ; + RECT 1.0430 1.0460 1.0850 1.0880 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 1.3470 0.4030 1.3890 0.4450 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 3.6270 0.3960 3.6690 0.4380 ; + RECT 3.6270 0.2120 3.6690 0.2540 ; + RECT 3.6270 0.3040 3.6690 0.3460 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.6270 0.3960 3.6690 0.4380 ; + RECT 3.6270 0.3040 3.6690 0.3460 ; + RECT 3.6270 0.3960 3.6690 0.4380 ; + RECT 3.6270 0.3040 3.6690 0.3460 ; + RECT 3.6270 0.2120 3.6690 0.2540 ; + RECT 3.6270 0.2120 3.6690 0.2540 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 1.3470 0.4950 1.3890 0.5370 ; + RECT 3.6270 0.3960 3.6690 0.4380 ; + RECT 3.6270 0.2120 3.6690 0.2540 ; + RECT 3.6270 0.3040 3.6690 0.3460 ; + RECT 3.9310 0.2120 3.9730 0.2540 ; + RECT 4.2350 0.3040 4.2770 0.3460 ; + RECT 3.9310 0.3960 3.9730 0.4380 ; + RECT 4.2350 0.2120 4.2770 0.2540 ; + RECT 4.2350 0.3960 4.2770 0.4380 ; + RECT 3.9310 0.3040 3.9730 0.3460 ; + RECT 3.9310 0.3040 3.9730 0.3460 ; + RECT 4.2350 0.3040 4.2770 0.3460 ; + RECT 4.2350 0.2120 4.2770 0.2540 ; + RECT 3.9310 0.2120 3.9730 0.2540 ; + RECT 4.2350 0.3960 4.2770 0.4380 ; + RECT 3.9310 0.3960 3.9730 0.4380 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 4.2350 0.4880 4.2770 0.5300 ; + RECT 3.9310 0.4880 3.9730 0.5300 ; + RECT 4.2350 0.4880 4.2770 0.5300 ; + RECT 3.9310 0.4880 3.9730 0.5300 ; + RECT 3.6270 0.4880 3.6690 0.5300 ; + RECT 3.6270 0.4880 3.6690 0.5300 ; + RECT 3.6270 0.4880 3.6690 0.5300 ; + RECT 3.6270 0.4880 3.6690 0.5300 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 5.9830 0.6080 6.0250 0.6500 ; + RECT 6.7430 0.6080 6.7850 0.6500 ; + RECT 6.4390 0.6080 6.4810 0.6500 ; + RECT 6.2870 0.6080 6.3290 0.6500 ; + RECT 6.5910 0.6080 6.6330 0.6500 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 6.1350 0.6080 6.1770 0.6500 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 0.9320 3.6690 0.9740 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 0.9320 3.9730 0.9740 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 4.7670 0.6080 4.8090 0.6500 ; + RECT 2.2590 0.5300 2.3010 0.5720 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.7150 0.5210 2.7570 0.5630 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 1.8790 1.5350 1.9210 1.5770 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.0190 0.5210 3.0610 0.5630 ; + RECT 2.4870 1.5350 2.5290 1.5770 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 1.8030 1.2240 1.8450 1.2660 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.8790 0.7380 1.9210 0.7800 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 4.6150 0.6080 4.6570 0.6500 ; + RECT 2.5630 0.5060 2.6050 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 2.9430 0.6340 2.9850 0.6760 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8030 0.4220 1.8450 0.4640 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 1.3470 0.3110 1.3890 0.3530 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 3.0190 1.2910 3.0610 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 7.2590 1.7870 ; + LAYER M1 ; + RECT 2.5590 0.6300 3.0050 0.6800 ; + RECT 2.2550 0.5220 2.6090 0.5720 ; + RECT 2.5590 0.6800 2.6090 1.2660 ; + RECT 2.5590 0.5720 2.6090 0.6300 ; + RECT 2.5590 0.4790 2.6090 0.5220 ; + RECT 2.2550 0.5720 2.3050 0.5920 ; + RECT 2.2550 0.5020 2.3050 0.5220 ; + RECT 3.0550 0.6040 3.4610 0.6540 ; + RECT 3.0150 0.8860 3.1050 0.9360 ; + RECT 2.6940 0.5170 3.1050 0.5670 ; + RECT 3.0150 0.9360 3.0650 1.3580 ; + RECT 3.0550 0.6540 3.1050 0.8860 ; + RECT 3.0550 0.5670 3.1050 0.6040 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 2.0930 0.6680 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 1.6070 1.0420 1.9250 1.0920 ; + RECT 0.6430 1.5260 1.6570 1.5760 ; + RECT 1.8750 0.7180 1.9250 1.0420 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 1.7070 1.5310 1.9450 1.5810 ; + RECT 1.9750 0.7280 2.1930 0.7780 ; + RECT 1.3430 0.4180 2.1930 0.4680 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.9750 0.7780 2.0250 1.2200 ; + RECT 1.7830 1.2200 2.0250 1.2700 ; + RECT 2.1430 0.4680 2.1930 0.7280 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 2.0110 1.5310 2.5490 1.5810 ; + RECT 0.6430 0.0960 2.8530 0.1460 ; + RECT 4.2710 0.6040 6.8050 0.6540 ; + RECT 3.6230 0.8540 3.6730 1.5460 ; + RECT 3.6230 0.1480 3.6730 0.5040 ; + RECT 3.9270 0.8540 3.9770 1.5460 ; + RECT 3.9270 0.1480 3.9770 0.5040 ; + RECT 4.2310 0.1480 4.2810 0.5040 ; + RECT 4.2310 0.8540 4.2810 1.5460 ; + RECT 4.2710 0.5540 4.3210 0.6040 ; + RECT 3.6230 0.5040 4.3210 0.5540 ; + RECT 4.2710 0.6540 4.3210 0.8040 ; + RECT 3.6230 0.8040 4.3210 0.8540 ; + RECT 3.5110 0.6040 4.2210 0.6540 ; + RECT 3.1670 0.1480 3.2170 0.5040 ; + RECT 3.1670 0.8540 3.2170 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.1670 0.5040 3.5610 0.5540 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.1670 0.8040 3.5610 0.8540 ; + RECT 3.5110 0.6540 3.5610 0.8040 ; + RECT 3.5110 0.5540 3.5610 0.6040 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 0.8120 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 6.2930 0.0680 6.3230 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 6.4450 0.0680 6.4750 1.6060 ; + RECT 6.5970 0.0680 6.6270 1.6060 ; + RECT 7.0530 0.0680 7.0830 1.6060 ; + RECT 6.7490 0.0680 6.7790 1.6060 ; + RECT 6.9010 0.0680 6.9310 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.8850 1.0820 1.9150 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + END +END CGLNPSX16_HVT + +MACRO CGLNPSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.8 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.0090 2.3350 1.1190 ; + RECT 2.2850 0.7020 2.3970 0.7520 ; + RECT 2.2850 0.7520 2.3350 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.7060 2.3770 0.7480 ; + END + ANTENNAGATEAREA 0.0234 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.8000 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.0870 1.3160 2.7610 1.3660 ; + RECT 3.3190 0.9040 3.3690 1.6420 ; + RECT 0.5830 0.9490 0.6330 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5430 1.3540 0.5930 1.6420 ; + RECT 2.7110 1.3660 2.7610 1.6420 ; + RECT 2.7110 1.0920 2.7610 1.3160 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.2590 1.3200 2.3010 1.3620 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.7150 1.1560 2.7570 1.1980 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.7150 1.2480 2.7570 1.2900 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 2.7150 1.3400 2.7570 1.3820 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.8000 0.0300 ; + RECT 1.4790 0.3180 2.4570 0.3680 ; + RECT 3.3190 0.0300 3.3690 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.8630 0.2460 2.9130 0.4770 ; + RECT 2.9030 0.0300 2.9530 0.1960 ; + RECT 2.4070 0.1960 2.9530 0.2460 ; + RECT 0.8870 0.2460 0.9370 0.4700 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.4070 0.3680 2.4570 0.4920 ; + RECT 2.4070 0.2460 2.4570 0.3180 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.3230 0.1580 3.3650 0.2000 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.3230 0.2500 3.3650 0.2920 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 0.8910 0.4080 0.9330 0.4500 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8910 0.3160 0.9330 0.3580 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.8670 0.4150 2.9090 0.4570 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.2240 0.9330 0.2660 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.4110 0.4160 2.4530 0.4580 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2750 0.6290 0.3170 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 3.3230 0.3420 3.3650 0.3840 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.4410 1.1610 3.5610 1.2710 ; + RECT 3.4710 1.2710 3.5210 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.1670 0.1480 3.2170 0.5040 ; + RECT 3.1670 0.5040 3.5610 0.5540 ; + RECT 3.1670 0.8540 3.2170 1.5460 ; + RECT 3.5110 0.8540 3.5610 1.1610 ; + RECT 3.1670 0.8040 3.5610 0.8540 ; + RECT 3.5110 0.5540 3.5610 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.4750 0.3880 3.5170 0.4300 ; + RECT 3.1710 0.1950 3.2130 0.2370 ; + RECT 3.1710 0.4710 3.2130 0.5130 ; + RECT 3.4750 0.2960 3.5170 0.3380 ; + RECT 3.1710 0.3790 3.2130 0.4210 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 0.2040 3.5170 0.2460 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.1710 0.2870 3.2130 0.3290 ; + RECT 3.4750 0.4800 3.5170 0.5220 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + END + ANTENNADIFFAREA 0.2488 ; + END GCLK + OBS + LAYER CO ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.0190 1.2910 3.0610 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + RECT 1.8030 1.2240 1.8450 1.2660 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 1.0430 0.9540 1.0850 0.9960 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.8790 0.7380 1.9210 0.7800 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 1.0430 1.0460 1.0850 1.0880 ; + RECT 2.5630 0.9280 2.6050 0.9700 ; + RECT 2.2590 0.5300 2.3010 0.5720 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.7150 0.5220 2.7570 0.5640 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 1.8790 1.5350 1.9210 1.5770 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 2.5630 0.8360 2.6050 0.8780 ; + RECT 3.0190 0.5300 3.0610 0.5720 ; + RECT 2.4870 1.5350 2.5290 1.5770 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.2590 0.4380 2.3010 0.4800 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 2.9430 0.6940 2.9850 0.7360 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8030 0.4220 1.8450 0.4640 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 2.5630 1.0200 2.6050 1.0620 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.5630 0.4140 2.6050 0.4560 ; + RECT 3.0190 0.4170 3.0610 0.4590 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 2.5630 0.5060 2.6050 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5160 1.2370 0.5580 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.9150 1.7870 ; + LAYER M1 ; + RECT 2.5590 0.6900 3.0050 0.7400 ; + RECT 2.2550 0.4180 2.3050 0.5420 ; + RECT 2.2550 0.5420 2.6090 0.5920 ; + RECT 2.5590 0.3750 2.6090 0.5420 ; + RECT 2.5590 0.7400 2.6090 1.2660 ; + RECT 2.5590 0.5920 2.6090 0.6900 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1930 0.4680 ; + RECT 1.9750 0.7280 2.1930 0.7780 ; + RECT 2.1430 0.4680 2.1930 0.7280 ; + RECT 1.9750 0.7780 2.0250 1.2200 ; + RECT 1.7830 1.2200 2.0250 1.2700 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 1.6070 1.0420 1.9250 1.0920 ; + RECT 0.6430 1.5260 1.6570 1.5760 ; + RECT 1.8750 0.7180 1.9250 1.0420 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 1.7070 1.5310 1.9450 1.5810 ; + RECT 3.0550 0.6040 3.4610 0.6540 ; + RECT 2.7110 0.4890 2.7610 0.5540 ; + RECT 3.0150 0.8860 3.1050 0.9360 ; + RECT 3.0150 0.9360 3.0650 1.3580 ; + RECT 3.0550 0.6540 3.1050 0.8860 ; + RECT 2.6940 0.5540 3.1050 0.6040 ; + RECT 3.0150 0.5430 3.1050 0.5540 ; + RECT 3.0150 0.2820 3.0650 0.5430 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 2.0930 0.6680 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 2.0110 1.5310 2.5490 1.5810 ; + RECT 0.6430 0.0960 2.8530 0.1460 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 0.8120 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.8850 1.0820 1.9150 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + END +END CGLNPSX2_HVT + +MACRO CGLNPSX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.104 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.0090 2.3350 1.1190 ; + RECT 2.2850 0.6930 2.3970 0.7430 ; + RECT 2.2850 0.7430 2.3350 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6970 2.3770 0.7390 ; + END + ANTENNAGATEAREA 0.0234 ; + END SE + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7450 1.1610 3.8650 1.2710 ; + RECT 3.7750 1.2710 3.8250 1.5460 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.1670 0.1480 3.2170 0.5040 ; + RECT 3.1670 0.8540 3.2170 1.5460 ; + RECT 3.1670 0.5040 3.8650 0.5540 ; + RECT 3.8150 0.8540 3.8650 1.1610 ; + RECT 3.1670 0.8040 3.8650 0.8540 ; + RECT 3.8150 0.5540 3.8650 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + END + ANTENNADIFFAREA 0.3976 ; + END GCLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.1040 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.0870 1.3160 2.7610 1.3660 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 3.3190 0.9040 3.3690 1.6420 ; + RECT 0.5830 0.9490 0.6330 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5430 1.3540 0.5930 1.6420 ; + RECT 2.7110 1.3660 2.7610 1.6420 ; + RECT 2.7110 1.0920 2.7610 1.3160 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 3.6270 0.9800 3.6690 1.0220 ; + RECT 3.6270 0.9800 3.6690 1.0220 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 3.6270 1.4400 3.6690 1.4820 ; + RECT 3.6270 1.4400 3.6690 1.4820 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 2.7150 1.2480 2.7570 1.2900 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 2.7150 1.1560 2.7570 1.1980 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.2590 1.3200 2.3010 1.3620 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 2.7150 1.3400 2.7570 1.3820 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.1040 0.0300 ; + RECT 1.4790 0.3180 2.4570 0.3680 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 3.3190 0.0300 3.3690 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.8630 0.2460 2.9130 0.4830 ; + RECT 2.9030 0.0300 2.9530 0.1960 ; + RECT 2.4070 0.1960 2.9530 0.2460 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.4070 0.3680 2.4570 0.4910 ; + RECT 2.4070 0.2460 2.4570 0.3180 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 3.3230 0.1800 3.3650 0.2220 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.3230 0.2720 3.3650 0.3140 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.3230 0.3640 3.3650 0.4060 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 3.6270 0.3640 3.6690 0.4060 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.3230 0.2720 3.3650 0.3140 ; + RECT 2.8670 0.4210 2.9090 0.4630 ; + RECT 3.6270 0.2720 3.6690 0.3140 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.6270 0.2720 3.6690 0.3140 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 3.6270 0.3640 3.6690 0.4060 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.4110 0.4150 2.4530 0.4570 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2960 0.6290 0.3380 ; + RECT 3.3230 0.1800 3.3650 0.2220 ; + RECT 3.3230 0.3640 3.3650 0.4060 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.6270 0.1800 3.6690 0.2220 ; + RECT 3.6270 0.1800 3.6690 0.2220 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 2.5630 1.1120 2.6050 1.1540 ; + RECT 2.5630 1.0200 2.6050 1.0620 ; + RECT 2.5630 0.9280 2.6050 0.9700 ; + RECT 2.5630 0.8360 2.6050 0.8780 ; + RECT 1.0430 0.9540 1.0850 0.9960 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.0430 1.0460 1.0850 1.0880 ; + RECT 2.2590 0.5300 2.3010 0.5720 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 2.7150 0.5200 2.7570 0.5620 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 1.8790 1.5350 1.9210 1.5770 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.8030 1.2240 1.8450 1.2660 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.8790 0.7380 1.9210 0.7800 ; + RECT 2.9430 0.6960 2.9850 0.7380 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8030 0.4220 1.8450 0.4640 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.0190 0.5280 3.0610 0.5700 ; + RECT 2.4870 1.5350 2.5290 1.5770 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.2590 0.4380 2.3010 0.4800 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 2.5630 0.5060 2.6050 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.0190 1.2910 3.0610 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.5630 0.4140 2.6050 0.4560 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.5630 1.2040 2.6050 1.2460 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.2190 1.7870 ; + LAYER M1 ; + RECT 2.5590 0.6920 3.0050 0.7420 ; + RECT 2.2550 0.4180 2.3050 0.5420 ; + RECT 2.2550 0.5420 2.6090 0.5920 ; + RECT 2.5590 0.3810 2.6090 0.5420 ; + RECT 2.5590 0.7420 2.6090 1.2660 ; + RECT 2.5590 0.5920 2.6090 0.6920 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 2.0930 0.6680 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 3.0550 0.6210 3.7650 0.6540 ; + RECT 2.6940 0.6040 3.7650 0.6210 ; + RECT 2.7110 0.4970 2.7610 0.5710 ; + RECT 3.0150 0.8860 3.1050 0.9360 ; + RECT 3.0150 0.2820 3.0650 0.5540 ; + RECT 3.0150 0.9360 3.0650 1.3580 ; + RECT 3.0550 0.6540 3.1050 0.8860 ; + RECT 3.0150 0.5540 3.1050 0.5710 ; + RECT 2.6940 0.5710 3.1050 0.6040 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1930 0.4680 ; + RECT 1.9750 0.7280 2.1930 0.7780 ; + RECT 2.1430 0.4680 2.1930 0.7280 ; + RECT 1.9750 0.7780 2.0250 1.2200 ; + RECT 1.7830 1.2200 2.0250 1.2700 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 1.6070 1.0420 1.9250 1.0920 ; + RECT 0.6430 1.5260 1.6570 1.5760 ; + RECT 1.8750 0.7180 1.9250 1.0420 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 1.7070 1.5310 1.9450 1.5810 ; + RECT 2.0110 1.5310 2.5490 1.5810 ; + RECT 0.6430 0.0960 2.8530 0.1460 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 0.8120 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.8850 1.0820 1.9150 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + END +END CGLNPSX4_HVT + +MACRO CGLNPSX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.712 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 1.0090 2.3350 1.1190 ; + RECT 2.2830 0.6490 2.3970 0.6990 ; + RECT 2.2850 0.6990 2.3350 1.0090 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6530 2.3770 0.6950 ; + END + ANTENNAGATEAREA 0.027 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.7120 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.0870 1.3160 2.7610 1.3660 ; + RECT 3.3190 0.9040 3.3690 1.6420 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 3.9270 0.9040 3.9770 1.6420 ; + RECT 4.2310 0.9040 4.2810 1.6420 ; + RECT 0.5830 0.9490 0.6330 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5430 1.3540 0.5930 1.6420 ; + RECT 2.7110 1.3660 2.7610 1.6420 ; + RECT 2.7110 1.0920 2.7610 1.3160 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 0.5870 0.9700 0.6290 1.0120 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 4.2350 1.2560 4.2770 1.2980 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.9310 1.3480 3.9730 1.3900 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 3.9310 1.2560 3.9730 1.2980 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 3.3230 1.2560 3.3650 1.2980 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5870 1.1540 0.6290 1.1960 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 3.9310 1.1640 3.9730 1.2060 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 4.2350 1.1640 4.2770 1.2060 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 3.3230 0.9800 3.3650 1.0220 ; + RECT 3.6270 0.9800 3.6690 1.0220 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.2350 1.3480 4.2770 1.3900 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 2.7150 1.3400 2.7570 1.3820 ; + RECT 2.1070 1.3200 2.1490 1.3620 ; + RECT 0.5870 1.2460 0.6290 1.2880 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 0.5870 1.0620 0.6290 1.1040 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.3230 1.1640 3.3650 1.2060 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 2.2590 1.3200 2.3010 1.3620 ; + RECT 3.3230 1.3480 3.3650 1.3900 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 3.3230 1.0720 3.3650 1.1140 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.6270 0.9800 3.6690 1.0220 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 3.9310 1.0720 3.9730 1.1140 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 3.9310 0.9800 3.9730 1.0220 ; + RECT 3.9310 0.9800 3.9730 1.0220 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.6270 1.0720 3.6690 1.1140 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.3230 1.4400 3.3650 1.4820 ; + RECT 4.2350 1.0720 4.2770 1.1140 ; + RECT 4.2350 0.9800 4.2770 1.0220 ; + RECT 4.2350 0.9800 4.2770 1.0220 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.7120 0.0300 ; + RECT 1.4790 0.3180 2.4570 0.3680 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 3.9270 0.0300 3.9770 0.4540 ; + RECT 4.2310 0.0300 4.2810 0.4540 ; + RECT 3.3190 0.0300 3.3690 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.8630 0.2460 2.9130 0.4630 ; + RECT 2.9030 0.0300 2.9530 0.1960 ; + RECT 2.4070 0.1960 2.9530 0.2460 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.4070 0.3680 2.4570 0.4770 ; + RECT 2.4070 0.2460 2.4570 0.3180 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.1840 3.3650 0.2260 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 3.3230 0.2760 3.3650 0.3180 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.3230 0.3680 3.3650 0.4100 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 3.6270 0.3640 3.6690 0.4060 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 3.3230 0.2760 3.3650 0.3180 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.8670 0.4010 2.9090 0.4430 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 4.2350 0.3540 4.2770 0.3960 ; + RECT 4.2350 0.2620 4.2770 0.3040 ; + RECT 4.2350 0.2620 4.2770 0.3040 ; + RECT 4.2350 0.1700 4.2770 0.2120 ; + RECT 4.2350 0.1700 4.2770 0.2120 ; + RECT 4.2350 0.3540 4.2770 0.3960 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 3.9310 0.3540 3.9730 0.3960 ; + RECT 3.9310 0.1700 3.9730 0.2120 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 2.1070 0.3220 2.1490 0.3640 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2960 0.6290 0.3380 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.3230 0.1840 3.3650 0.2260 ; + RECT 3.3230 0.3680 3.3650 0.4100 ; + RECT 3.6270 0.1800 3.6690 0.2220 ; + RECT 3.6270 0.1800 3.6690 0.2220 ; + RECT 3.6270 0.2720 3.6690 0.3140 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.6270 0.2720 3.6690 0.3140 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 3.6270 0.3640 3.6690 0.4060 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4110 0.4010 2.4530 0.4430 ; + RECT 3.9310 0.2620 3.9730 0.3040 ; + RECT 3.9310 0.3540 3.9730 0.3960 ; + RECT 3.9310 0.2620 3.9730 0.3040 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.9310 0.1700 3.9730 0.2120 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3830 1.2710 4.4330 1.5460 ; + RECT 4.3530 1.1610 4.4730 1.2710 ; + RECT 4.3830 0.1480 4.4330 0.5040 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 4.0790 0.1480 4.1290 0.5040 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.1670 0.8540 3.2170 1.5460 ; + RECT 3.1670 0.1480 3.2170 0.5040 ; + RECT 4.4230 0.8540 4.4730 1.1610 ; + RECT 3.1670 0.8040 4.4730 0.8540 ; + RECT 3.1670 0.5040 4.4730 0.5540 ; + RECT 4.4230 0.5540 4.4730 0.8040 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.3870 0.4880 4.4290 0.5300 ; + RECT 4.0830 0.4880 4.1250 0.5300 ; + RECT 4.0830 0.2120 4.1250 0.2540 ; + RECT 4.3870 0.3040 4.4290 0.3460 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 4.0830 0.3960 4.1250 0.4380 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 4.3870 0.2120 4.4290 0.2540 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 4.3870 0.3960 4.4290 0.4380 ; + RECT 4.0830 0.3040 4.1250 0.3460 ; + RECT 4.3870 0.4880 4.4290 0.5300 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.0830 0.4880 4.1250 0.5300 ; + RECT 4.0830 0.3040 4.1250 0.3460 ; + RECT 4.3870 0.3040 4.4290 0.3460 ; + RECT 4.3870 0.2120 4.4290 0.2540 ; + RECT 4.0830 0.2120 4.1250 0.2540 ; + RECT 4.3870 0.3960 4.4290 0.4380 ; + RECT 4.0830 0.3960 4.1250 0.4380 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.1710 0.2120 3.2130 0.2540 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 0.3040 3.5170 0.3460 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.1710 0.3040 3.2130 0.3460 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.7790 0.3960 3.8210 0.4380 ; + RECT 3.7790 0.4880 3.8210 0.5300 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 3.1710 1.0240 3.2130 1.0660 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.1710 1.1160 3.2130 1.1580 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.1710 1.3000 3.2130 1.3420 ; + RECT 3.1710 1.4840 3.2130 1.5260 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.1710 1.3920 3.2130 1.4340 ; + RECT 3.7790 0.2120 3.8210 0.2540 ; + RECT 3.1710 0.9320 3.2130 0.9740 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.1710 1.2080 3.2130 1.2500 ; + RECT 3.4750 0.3960 3.5170 0.4380 ; + RECT 3.1710 0.4880 3.2130 0.5300 ; + RECT 3.1710 0.3960 3.2130 0.4380 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.7790 0.3040 3.8210 0.3460 ; + RECT 3.4750 0.2120 3.5170 0.2540 ; + RECT 3.4750 0.4880 3.5170 0.5300 ; + END + ANTENNADIFFAREA 0.6952 ; + END GCLK + OBS + LAYER CO ; + RECT 2.0310 0.6220 2.0730 0.6640 ; + RECT 3.0190 0.3960 3.0610 0.4380 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 2.2590 0.5300 2.3010 0.5720 ; + RECT 3.0190 1.1990 3.0610 1.2410 ; + RECT 2.7150 0.5170 2.7570 0.5590 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.0190 1.2910 3.0610 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 1.8790 1.5350 1.9210 1.5770 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.0190 0.5250 3.0610 0.5670 ; + RECT 2.4870 1.5350 2.5290 1.5770 ; + RECT 3.2470 0.6080 3.2890 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 2.5630 1.1870 2.6050 1.2290 ; + RECT 1.8030 1.2240 1.8450 1.2660 ; + RECT 2.7910 0.1000 2.8330 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.8790 0.7380 1.9210 0.7800 ; + RECT 2.9430 0.6540 2.9850 0.6960 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 1.8030 0.4220 1.8450 0.4640 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.2590 0.4380 2.3010 0.4800 ; + RECT 0.6630 1.5300 0.7050 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 3.3990 0.6080 3.4410 0.6500 ; + RECT 2.5630 0.5060 2.6050 0.5480 ; + RECT 2.5630 1.0950 2.6050 1.1370 ; + RECT 2.5630 1.0030 2.6050 1.0450 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.5630 0.9110 2.6050 0.9530 ; + RECT 2.5630 0.4140 2.6050 0.4560 ; + RECT 2.5630 0.8190 2.6050 0.8610 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.8270 1.7870 ; + LAYER M1 ; + RECT 2.5590 0.6500 3.0050 0.7000 ; + RECT 2.2550 0.4180 2.3050 0.5420 ; + RECT 2.2550 0.5420 2.6090 0.5920 ; + RECT 2.5590 0.7000 2.6090 1.2660 ; + RECT 2.5590 0.5920 2.6090 0.6500 ; + RECT 2.5590 0.3690 2.6090 0.5420 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 2.0930 0.6680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 3.0550 0.6040 4.3730 0.6540 ; + RECT 3.0150 0.8860 3.1050 0.9360 ; + RECT 3.0150 0.2820 3.0650 0.5110 ; + RECT 3.0150 0.5630 3.1050 0.5880 ; + RECT 2.6940 0.5130 3.1050 0.5630 ; + RECT 3.0150 0.5110 3.1050 0.5130 ; + RECT 3.0150 0.9360 3.0650 1.3580 ; + RECT 3.0550 0.6540 3.1050 0.8860 ; + RECT 3.0550 0.5880 3.1050 0.6040 ; + RECT 0.6430 1.5260 1.6570 1.5760 ; + RECT 1.6070 1.0420 1.9250 1.0920 ; + RECT 1.8750 0.7180 1.9250 1.0420 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1930 0.4680 ; + RECT 1.9750 0.7280 2.1930 0.7780 ; + RECT 2.1430 0.4680 2.1930 0.7280 ; + RECT 1.9750 0.7780 2.0250 1.2200 ; + RECT 1.7830 1.2200 2.0250 1.2700 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.7070 1.5310 1.9450 1.5810 ; + RECT 2.0110 1.5310 2.5490 1.5810 ; + RECT 0.6430 0.0960 2.8530 0.1460 ; + LAYER PO ; + RECT 1.8850 0.0680 1.9150 0.8120 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 2.0370 0.0680 2.0670 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 1.8850 1.0820 1.9150 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + END +END CGLNPSX8_HVT + +MACRO CGLPPRX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.496 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8770 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1280 0.8570 0.1700 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.4960 0.0300 ; + RECT 3.1670 0.1970 3.2590 0.2470 ; + RECT 2.8230 0.1970 2.9130 0.2470 ; + RECT 1.0390 0.2200 1.6970 0.2700 ; + RECT 0.2790 0.0300 0.3290 0.3370 ; + RECT 1.0390 0.3320 1.0890 0.5780 ; + RECT 0.8870 0.3320 0.9370 0.5600 ; + RECT 0.5830 0.0300 0.6330 0.2820 ; + RECT 0.5830 0.2820 1.0890 0.3320 ; + RECT 2.0750 0.1880 2.4730 0.1970 ; + RECT 3.1670 0.2470 3.2170 0.3710 ; + RECT 3.2090 0.0300 3.2590 0.1970 ; + RECT 2.8630 0.2470 2.9130 0.3710 ; + RECT 2.8230 0.0300 2.8730 0.1970 ; + RECT 1.6470 0.2700 1.6970 0.4080 ; + RECT 1.6470 0.2190 1.6970 0.2200 ; + RECT 1.0390 0.2700 1.0890 0.2820 ; + RECT 2.0750 0.1470 2.3030 0.1880 ; + RECT 2.2530 0.0300 2.3030 0.1470 ; + RECT 2.2530 0.1970 2.4730 0.2380 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.4980 0.9330 0.5400 ; + RECT 1.0430 0.2400 1.0850 0.2820 ; + RECT 1.0430 0.3320 1.0850 0.3740 ; + RECT 0.8910 0.4060 0.9330 0.4480 ; + RECT 2.8670 0.3090 2.9090 0.3510 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 0.5870 0.1780 0.6290 0.2200 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.6510 0.3460 1.6930 0.3880 ; + RECT 2.8670 0.2170 2.9090 0.2590 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 1.0430 0.5160 1.0850 0.5580 ; + RECT 1.0430 0.4240 1.0850 0.4660 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 0.2830 0.2700 0.3250 0.3120 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 1.6510 0.2540 1.6930 0.2960 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 2.4110 0.1920 2.4530 0.2340 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + END + END VSS + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.6130 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.6130 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6170 0.5530 0.6590 ; + END + ANTENNAGATEAREA 0.018 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.4960 1.7020 ; + RECT 2.1030 0.7580 2.1530 1.2040 ; + RECT 2.8630 0.9260 2.9130 1.6420 ; + RECT 3.1670 0.9260 3.2170 1.6420 ; + RECT 1.3840 1.4560 1.4340 1.6420 ; + RECT 2.5590 0.8980 2.6090 1.2040 ; + RECT 1.0390 0.7990 1.0890 1.4060 ; + RECT 1.6470 1.2040 2.6490 1.2540 ; + RECT 1.6470 0.9000 1.6970 1.2040 ; + RECT 0.8870 0.8120 0.9370 1.4060 ; + RECT 0.5830 1.4060 1.4340 1.4560 ; + RECT 0.5830 0.8060 0.6330 1.4060 ; + RECT 2.5990 1.2540 2.6490 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8190 1.0850 0.8610 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 0.5870 0.8260 0.6290 0.8680 ; + RECT 0.5870 0.8260 0.6290 0.8680 ; + RECT 1.0430 1.0030 1.0850 1.0450 ; + RECT 1.0430 0.9110 1.0850 0.9530 ; + RECT 0.5870 0.9180 0.6290 0.9600 ; + RECT 0.5870 1.0100 0.6290 1.0520 ; + RECT 0.5870 1.0100 0.6290 1.0520 ; + RECT 2.1070 0.7780 2.1490 0.8200 ; + RECT 2.1070 0.9620 2.1490 1.0040 ; + RECT 1.6510 0.9200 1.6930 0.9620 ; + RECT 1.6510 1.0120 1.6930 1.0540 ; + RECT 2.1070 1.0540 2.1490 1.0960 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 2.8670 0.9460 2.9090 0.9880 ; + RECT 2.8670 0.9460 2.9090 0.9880 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.5630 1.0100 2.6050 1.0520 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.5630 1.0100 2.6050 1.0520 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.8910 1.1080 0.9330 1.1500 ; + RECT 1.0430 1.3710 1.0850 1.4130 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 2.8670 1.4060 2.9090 1.4480 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.0430 1.1870 1.0850 1.2290 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 2.8670 1.2220 2.9090 1.2640 ; + RECT 2.8670 1.3140 2.9090 1.3560 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.6510 1.1040 1.6930 1.1460 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 1.2000 0.9330 1.2420 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 2.5630 0.9180 2.6050 0.9600 ; + RECT 2.5630 0.9180 2.6050 0.9600 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 0.8910 0.9240 0.9330 0.9660 ; + RECT 0.8910 1.0160 0.9330 1.0580 ; + RECT 0.8910 0.8320 0.9330 0.8740 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 3.1710 1.3140 3.2130 1.3560 ; + RECT 3.1710 1.2220 3.2130 1.2640 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 1.0430 1.0950 1.0850 1.1370 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 2.1070 0.8700 2.1490 0.9120 ; + RECT 1.0430 1.2790 1.0850 1.3210 ; + RECT 0.8910 1.2920 0.9330 1.3340 ; + RECT 0.5870 0.9180 0.6290 0.9600 ; + RECT 2.8670 1.4060 2.9090 1.4480 ; + END + END VDD + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.0150 0.9670 3.0650 1.5610 ; + RECT 2.9850 0.8340 3.1330 0.8840 ; + RECT 2.9850 0.8840 3.0950 0.9670 ; + RECT 3.0150 0.4170 3.1330 0.4670 ; + RECT 3.0830 0.4670 3.1330 0.8340 ; + RECT 3.0150 0.1970 3.0650 0.4170 ; + END + PORT + LAYER CO ; + RECT 3.0190 0.2170 3.0610 0.2590 ; + RECT 3.0190 0.3090 3.0610 0.3510 ; + RECT 3.0190 0.8540 3.0610 0.8960 ; + RECT 3.0190 0.8540 3.0610 0.8960 ; + RECT 3.0190 0.9460 3.0610 0.9880 ; + RECT 3.0190 0.9460 3.0610 0.9880 ; + RECT 3.0190 1.1300 3.0610 1.1720 ; + RECT 3.0190 1.1300 3.0610 1.1720 ; + RECT 3.0190 1.0380 3.0610 1.0800 ; + RECT 3.0190 1.4060 3.0610 1.4480 ; + RECT 3.0190 1.0380 3.0610 1.0800 ; + RECT 3.0190 1.2220 3.0610 1.2640 ; + RECT 3.0190 1.2220 3.0610 1.2640 ; + RECT 3.0190 1.3140 3.0610 1.3560 ; + RECT 3.0190 1.3140 3.0610 1.3560 ; + RECT 3.0190 0.4010 3.0610 0.4430 ; + RECT 3.0190 1.4060 3.0610 1.4480 ; + RECT 3.0190 0.4010 3.0610 0.4430 ; + END + ANTENNADIFFAREA 0.1488 ; + END GCLK + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 1.3170 0.4210 1.3670 ; + RECT 0.2480 1.3130 0.3590 1.3170 ; + RECT 0.2480 1.3670 0.3590 1.4230 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.3200 0.4010 1.3620 ; + END + ANTENNAGATEAREA 0.018 ; + END EN + OBS + LAYER CO ; + RECT 0.7390 1.1280 0.7810 1.1700 ; + RECT 0.7390 1.0360 0.7810 1.0780 ; + RECT 0.7390 0.9440 0.7810 0.9860 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 1.9550 1.0500 1.9970 1.0920 ; + RECT 2.7150 0.2060 2.7570 0.2480 ; + RECT 1.9550 0.9580 1.9970 1.0000 ; + RECT 2.7150 0.2980 2.7570 0.3400 ; + RECT 1.4990 0.3830 1.5410 0.4250 ; + RECT 2.7150 0.3900 2.7570 0.4320 ; + RECT 2.7150 0.7760 2.7570 0.8180 ; + RECT 2.7150 0.9600 2.7570 1.0020 ; + RECT 2.7150 0.8680 2.7570 0.9100 ; + RECT 1.4990 0.9140 1.5410 0.9560 ; + RECT 1.4990 1.0060 1.5410 1.0480 ; + RECT 0.2830 0.8260 0.3250 0.8680 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 0.9670 0.6680 1.0090 0.7100 ; + RECT 1.5750 0.6470 1.6170 0.6890 ; + RECT 1.1950 1.2380 1.2370 1.2800 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 2.2590 0.7750 2.3010 0.8170 ; + RECT 2.4870 1.5380 2.5290 1.5800 ; + RECT 1.9550 0.4780 1.9970 0.5200 ; + RECT 2.9430 0.6650 2.9850 0.7070 ; + RECT 1.4230 0.0990 1.4650 0.1410 ; + RECT 2.4110 0.7760 2.4530 0.8180 ; + RECT 2.4110 0.8680 2.4530 0.9100 ; + RECT 1.1190 0.0990 1.1610 0.1410 ; + RECT 1.8790 0.1130 1.9210 0.1550 ; + RECT 1.8790 0.7390 1.9210 0.7810 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 1.3470 1.0460 1.3890 1.0880 ; + RECT 1.3470 1.0460 1.3890 1.0880 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.4990 1.0980 1.5410 1.1400 ; + RECT 1.5750 0.0990 1.6170 0.1410 ; + RECT 1.1190 0.0990 1.1610 0.1410 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 3.0950 0.1010 3.1370 0.1430 ; + RECT 2.9430 0.1010 2.9850 0.1430 ; + RECT 0.4350 0.2570 0.4770 0.2990 ; + RECT 2.2590 1.0510 2.3010 1.0930 ; + RECT 2.2590 0.8670 2.3010 0.9090 ; + RECT 0.7390 0.4660 0.7810 0.5080 ; + RECT 2.2590 0.9590 2.3010 1.0010 ; + RECT 1.1950 0.8700 1.2370 0.9120 ; + RECT 1.1950 0.9620 1.2370 1.0040 ; + RECT 1.1950 1.0540 1.2370 1.0960 ; + RECT 1.1950 0.3480 1.2370 0.3900 ; + RECT 1.1950 1.1460 1.2370 1.1880 ; + RECT 0.7390 0.5580 0.7810 0.6000 ; + RECT 0.4350 0.3490 0.4770 0.3910 ; + RECT 1.9550 0.8660 1.9970 0.9080 ; + RECT 1.3470 0.4750 1.3890 0.5170 ; + RECT 2.4870 0.0920 2.5290 0.1340 ; + RECT 1.4990 0.4750 1.5410 0.5170 ; + RECT 1.2710 0.6490 1.3130 0.6910 ; + RECT 0.9670 0.0990 1.0090 0.1410 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 1.4230 1.2550 1.4650 1.2970 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.1950 0.4400 1.2370 0.4820 ; + RECT 1.1950 0.5320 1.2370 0.5740 ; + RECT 2.2590 0.4890 2.3010 0.5310 ; + RECT 2.1830 0.6390 2.2250 0.6810 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.6110 1.7870 ; + LAYER M1 ; + RECT 2.2950 0.6390 2.6850 0.6890 ; + RECT 2.6350 0.5880 2.6850 0.6390 ; + RECT 2.2550 0.7550 2.3450 0.8050 ; + RECT 2.2550 0.4690 2.3050 0.5010 ; + RECT 2.0510 0.5010 2.3450 0.5510 ; + RECT 2.2550 0.8050 2.3050 1.1130 ; + RECT 2.2950 0.6890 2.3450 0.7550 ; + RECT 2.2950 0.5510 2.3450 0.6390 ; + RECT 1.7070 0.0880 1.8070 0.1380 ; + RECT 1.7570 0.1380 1.8070 0.3580 ; + RECT 1.7570 0.3580 2.1010 0.4080 ; + RECT 2.0510 0.4080 2.1010 0.5010 ; + RECT 0.7350 0.6640 1.0290 0.7140 ; + RECT 0.7350 0.7140 0.7850 1.2310 ; + RECT 0.7350 0.4460 0.7850 0.6640 ; + RECT 1.9510 0.6350 2.2450 0.6850 ; + RECT 1.9510 0.8360 2.0410 0.8860 ; + RECT 1.4940 0.4590 2.0010 0.5090 ; + RECT 1.9510 0.4580 2.0010 0.4590 ; + RECT 1.9510 0.5090 2.0010 0.6350 ; + RECT 1.9510 0.8860 2.0010 1.1120 ; + RECT 1.9910 0.6850 2.0410 0.8360 ; + RECT 1.4430 0.8340 1.5440 0.8840 ; + RECT 1.4940 0.8840 1.5440 1.1600 ; + RECT 1.4430 0.5170 1.5440 0.5670 ; + RECT 1.4430 0.5670 1.4930 0.8340 ; + RECT 1.4940 0.5090 1.5440 0.5170 ; + RECT 1.4940 0.3630 1.5440 0.4590 ; + RECT 2.7960 0.6610 3.0050 0.7110 ; + RECT 2.4070 0.8060 2.4570 1.1140 ; + RECT 2.7110 0.8060 2.7610 1.1140 ; + RECT 2.7110 0.1860 2.7610 0.4880 ; + RECT 2.7110 0.4880 2.8460 0.5380 ; + RECT 2.7960 0.7110 2.8460 0.7560 ; + RECT 2.4070 0.7560 2.8460 0.8060 ; + RECT 2.7960 0.5380 2.8460 0.6610 ; + RECT 1.5360 1.5340 2.5490 1.5840 ; + RECT 1.1470 0.8000 1.2410 0.8500 ; + RECT 1.1470 0.5440 1.2410 0.5940 ; + RECT 1.1910 0.3280 1.2410 0.5440 ; + RECT 1.1910 0.8500 1.2410 1.2500 ; + RECT 1.1470 0.5940 1.1970 0.8000 ; + RECT 1.1910 1.2500 1.5860 1.3000 ; + RECT 1.5360 1.3000 1.5860 1.5340 ; + RECT 0.4710 1.5260 1.3330 1.5760 ; + RECT 0.4310 0.2370 0.4810 0.4530 ; + RECT 0.2790 0.4530 0.4810 0.5030 ; + RECT 0.2790 0.5030 0.3290 1.1870 ; + RECT 0.2790 1.1870 0.5210 1.2370 ; + RECT 0.4710 1.2370 0.5210 1.5260 ; + RECT 0.9470 0.0950 1.6370 0.1450 ; + RECT 2.9230 0.0970 3.1580 0.1470 ; + RECT 2.1550 0.3690 2.6340 0.4190 ; + RECT 2.4610 0.0880 2.6340 0.1380 ; + RECT 2.5840 0.1380 2.6340 0.3690 ; + RECT 1.8750 0.0880 1.9250 0.2580 ; + RECT 1.8750 0.2580 2.2050 0.3080 ; + RECT 2.1550 0.3080 2.2050 0.3690 ; + RECT 1.3430 0.6950 1.3930 1.1240 ; + RECT 1.2470 0.6450 1.3930 0.6950 ; + RECT 1.3430 0.3630 1.3930 0.6450 ; + RECT 1.5710 0.7850 1.6210 0.7870 ; + RECT 1.5710 0.7350 1.9410 0.7850 ; + RECT 1.5710 0.6270 1.6210 0.7350 ; + LAYER PO ; + RECT 3.1010 0.0860 3.1310 1.6100 ; + RECT 1.7330 0.0780 1.7630 1.5900 ; + RECT 1.8850 0.6900 1.9150 1.5900 ; + RECT 1.4290 0.0850 1.4590 0.5900 ; + RECT 1.8850 0.0780 1.9150 0.5900 ; + RECT 2.9490 0.0860 2.9790 1.6100 ; + RECT 3.2530 0.0880 3.2830 1.5900 ; + RECT 2.4930 0.0660 2.5230 1.6060 ; + RECT 3.4050 0.0880 3.4350 1.5900 ; + RECT 2.1890 0.0810 2.2190 1.5900 ; + RECT 2.6450 0.0660 2.6750 1.6060 ; + RECT 2.0370 0.0810 2.0670 1.5900 ; + RECT 0.5170 0.1080 0.5470 1.6060 ; + RECT 2.3410 0.0810 2.3710 1.5900 ; + RECT 1.1250 0.0840 1.1550 1.6060 ; + RECT 0.9730 0.0840 1.0030 1.6060 ; + RECT 0.8210 0.1020 0.8510 1.6060 ; + RECT 1.2770 0.0840 1.3070 1.6060 ; + RECT 0.6690 0.1080 0.6990 1.6060 ; + RECT 1.4290 0.6900 1.4590 1.5900 ; + RECT 1.5810 0.0880 1.6110 1.5900 ; + RECT 0.2130 0.1100 0.2430 1.6060 ; + RECT 0.3650 0.1080 0.3950 1.6060 ; + RECT 0.0610 0.1100 0.0910 1.6060 ; + RECT 2.7970 0.0670 2.8270 1.6080 ; + END +END CGLPPRX2_HVT + +MACRO CGLPPRX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 1.3170 0.4210 1.3670 ; + RECT 0.2480 1.3130 0.3590 1.3170 ; + RECT 0.2480 1.3670 0.3590 1.4230 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.3200 0.4010 1.3620 ; + END + ANTENNAGATEAREA 0.018 ; + END EN + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.9850 0.8340 3.1330 0.8840 ; + RECT 2.9850 0.8840 3.0950 0.9670 ; + RECT 3.0830 0.7030 3.1330 0.8340 ; + RECT 3.0150 0.3130 3.0650 0.5290 ; + RECT 3.0830 0.5790 3.1330 0.6530 ; + RECT 3.0150 0.5290 3.1330 0.5790 ; + RECT 3.0830 0.6530 3.9770 0.7030 ; + RECT 3.9270 0.7030 3.9770 1.5700 ; + RECT 3.9270 0.3480 3.9770 0.6530 ; + RECT 3.6230 0.7030 3.6730 1.5600 ; + RECT 3.6230 0.3480 3.6730 0.6530 ; + RECT 3.3190 0.7030 3.3690 1.5600 ; + RECT 3.3190 0.3480 3.3690 0.6530 ; + RECT 3.0150 0.9670 3.0650 1.5610 ; + END + PORT + LAYER CO ; + RECT 3.3230 0.8540 3.3650 0.8960 ; + RECT 3.9310 0.5520 3.9730 0.5940 ; + RECT 3.9310 0.3680 3.9730 0.4100 ; + RECT 3.9310 0.4600 3.9730 0.5020 ; + RECT 3.6270 0.3680 3.6690 0.4100 ; + RECT 3.6270 0.4600 3.6690 0.5020 ; + RECT 3.9310 0.5520 3.9730 0.5940 ; + RECT 3.9310 0.9460 3.9730 0.9880 ; + RECT 3.9310 0.9460 3.9730 0.9880 ; + RECT 3.9310 1.1300 3.9730 1.1720 ; + RECT 3.9310 1.1300 3.9730 1.1720 ; + RECT 3.0190 1.4060 3.0610 1.4480 ; + RECT 3.0190 0.5170 3.0610 0.5590 ; + RECT 3.6270 1.1300 3.6690 1.1720 ; + RECT 3.6270 1.3140 3.6690 1.3560 ; + RECT 3.6270 1.2220 3.6690 1.2640 ; + RECT 3.6270 1.1300 3.6690 1.1720 ; + RECT 3.6270 1.0380 3.6690 1.0800 ; + RECT 3.6270 1.0380 3.6690 1.0800 ; + RECT 3.6270 1.4060 3.6690 1.4480 ; + RECT 3.6270 0.9460 3.6690 0.9880 ; + RECT 3.6270 0.9460 3.6690 0.9880 ; + RECT 3.6270 1.4060 3.6690 1.4480 ; + RECT 3.9310 1.0380 3.9730 1.0800 ; + RECT 3.9310 1.4060 3.9730 1.4480 ; + RECT 3.9310 1.4060 3.9730 1.4480 ; + RECT 3.9310 1.3140 3.9730 1.3560 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 3.3230 1.3140 3.3650 1.3560 ; + RECT 3.3230 1.2220 3.3650 1.2640 ; + RECT 3.3230 1.1300 3.3650 1.1720 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.0380 3.3650 1.0800 ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.3230 0.9460 3.3650 0.9880 ; + RECT 3.3230 1.4060 3.3650 1.4480 ; + RECT 3.9310 1.2220 3.9730 1.2640 ; + RECT 3.9310 1.0380 3.9730 1.0800 ; + RECT 3.0190 1.4060 3.0610 1.4480 ; + RECT 3.9310 0.8540 3.9730 0.8960 ; + RECT 3.6270 0.8540 3.6690 0.8960 ; + RECT 3.3230 0.4600 3.3650 0.5020 ; + RECT 3.3230 0.3680 3.3650 0.4100 ; + RECT 3.3230 0.5520 3.3650 0.5940 ; + RECT 3.3230 0.5520 3.3650 0.5940 ; + RECT 3.6270 0.5520 3.6690 0.5940 ; + RECT 3.6270 0.5520 3.6690 0.5940 ; + RECT 3.0190 1.3140 3.0610 1.3560 ; + RECT 3.0190 1.3140 3.0610 1.3560 ; + RECT 3.0190 1.2220 3.0610 1.2640 ; + RECT 3.0190 1.2220 3.0610 1.2640 ; + RECT 3.0190 1.0380 3.0610 1.0800 ; + RECT 3.0190 1.0380 3.0610 1.0800 ; + RECT 3.0190 1.1300 3.0610 1.1720 ; + RECT 3.0190 1.1300 3.0610 1.1720 ; + RECT 3.0190 0.9460 3.0610 0.9880 ; + RECT 3.0190 0.9460 3.0610 0.9880 ; + RECT 3.0190 0.8540 3.0610 0.8960 ; + RECT 3.0190 0.8540 3.0610 0.8960 ; + RECT 3.0190 0.4250 3.0610 0.4670 ; + RECT 3.0190 0.3330 3.0610 0.3750 ; + RECT 3.0190 0.5170 3.0610 0.5590 ; + END + ANTENNADIFFAREA 0.5952 ; + END GCLK + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 2.1030 0.7580 2.1530 1.2040 ; + RECT 3.1670 0.9260 3.2170 1.6420 ; + RECT 4.0790 0.8340 4.1290 1.6420 ; + RECT 3.7750 0.8340 3.8250 1.6420 ; + RECT 3.4710 0.8340 3.5210 1.6420 ; + RECT 2.8630 0.9260 2.9130 1.6420 ; + RECT 1.3840 1.4560 1.4340 1.6420 ; + RECT 2.5590 0.8980 2.6090 1.2040 ; + RECT 1.0390 0.7990 1.0890 1.4060 ; + RECT 1.6470 1.2040 2.6490 1.2540 ; + RECT 1.6470 0.9000 1.6970 1.2040 ; + RECT 0.5830 1.4060 1.4340 1.4560 ; + RECT 0.5830 0.8100 0.6330 1.4060 ; + RECT 0.8870 0.8120 0.9370 1.4060 ; + RECT 2.5990 1.2540 2.6490 1.6420 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.8540 3.8210 0.8960 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 4.0830 1.1300 4.1250 1.1720 ; + RECT 4.0830 1.3140 4.1250 1.3560 ; + RECT 4.0830 1.2220 4.1250 1.2640 ; + RECT 4.0830 1.1300 4.1250 1.1720 ; + RECT 4.0830 1.0380 4.1250 1.0800 ; + RECT 4.0830 1.0380 4.1250 1.0800 ; + RECT 4.0830 1.4060 4.1250 1.4480 ; + RECT 4.0830 0.9460 4.1250 0.9880 ; + RECT 4.0830 0.9460 4.1250 0.9880 ; + RECT 4.0830 1.4060 4.1250 1.4480 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 1.0430 1.0950 1.0850 1.1370 ; + RECT 2.5630 0.9180 2.6050 0.9600 ; + RECT 2.5630 0.9180 2.6050 0.9600 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 0.8910 1.2000 0.9330 1.2420 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.6510 1.1040 1.6930 1.1460 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + RECT 3.4750 0.8540 3.5170 0.8960 ; + RECT 3.4750 0.8540 3.5170 0.8960 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.4750 0.9460 3.5170 0.9880 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.4060 3.5170 1.4480 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 3.4750 1.2220 3.5170 1.2640 ; + RECT 3.4750 1.2220 3.5170 1.2640 ; + RECT 3.4750 1.3140 3.5170 1.3560 ; + RECT 3.4750 1.0380 3.5170 1.0800 ; + RECT 3.4750 1.1300 3.5170 1.1720 ; + RECT 3.4750 1.3140 3.5170 1.3560 ; + RECT 3.7790 0.9460 3.8210 0.9880 ; + RECT 3.7790 0.9460 3.8210 0.9880 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 1.1300 3.8210 1.1720 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.0380 3.8210 1.0800 ; + RECT 3.7790 1.2220 3.8210 1.2640 ; + RECT 3.7790 1.3140 3.8210 1.3560 ; + RECT 3.7790 1.4060 3.8210 1.4480 ; + RECT 3.7790 1.4060 3.8210 1.4480 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.0430 1.1870 1.0850 1.2290 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 2.8670 1.4060 2.9090 1.4480 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.0430 1.3710 1.0850 1.4130 ; + RECT 0.8910 1.1080 0.9330 1.1500 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 4.0830 0.8540 4.1250 0.8960 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 0.8910 0.8320 0.9330 0.8740 ; + RECT 0.8910 1.0160 0.9330 1.0580 ; + RECT 0.8910 0.9240 0.9330 0.9660 ; + RECT 3.1710 1.4060 3.2130 1.4480 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 2.8670 1.3140 2.9090 1.3560 ; + RECT 2.8670 1.2220 2.9090 1.2640 ; + RECT 3.1710 0.9460 3.2130 0.9880 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 2.8670 1.0380 2.9090 1.0800 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 2.8670 1.1300 2.9090 1.1720 ; + RECT 2.8670 0.9460 2.9090 0.9880 ; + RECT 2.8670 0.9460 2.9090 0.9880 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 3.1710 1.1300 3.2130 1.1720 ; + RECT 2.1070 1.0540 2.1490 1.0960 ; + RECT 1.6510 1.0120 1.6930 1.0540 ; + RECT 1.6510 0.9200 1.6930 0.9620 ; + RECT 2.1070 0.9620 2.1490 1.0040 ; + RECT 2.1070 0.7780 2.1490 0.8200 ; + RECT 0.5870 1.0140 0.6290 1.0560 ; + RECT 0.5870 1.0140 0.6290 1.0560 ; + RECT 2.5630 1.0100 2.6050 1.0520 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.5630 1.0100 2.6050 1.0520 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 2.8670 1.4060 2.9090 1.4480 ; + RECT 0.5870 0.9220 0.6290 0.9640 ; + RECT 0.8910 1.2920 0.9330 1.3340 ; + RECT 1.0430 1.2790 1.0850 1.3210 ; + RECT 2.1070 0.8700 2.1490 0.9120 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 0.5870 0.9220 0.6290 0.9640 ; + RECT 1.0430 0.9110 1.0850 0.9530 ; + RECT 1.0430 1.0030 1.0850 1.0450 ; + RECT 0.5870 0.8300 0.6290 0.8720 ; + RECT 0.5870 0.8300 0.6290 0.8720 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 1.0430 0.8190 1.0850 0.8610 ; + RECT 3.1710 1.0380 3.2130 1.0800 ; + RECT 3.1710 1.2220 3.2130 1.2640 ; + RECT 3.1710 1.3140 3.2130 1.3560 ; + END + END VDD + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.6130 0.6630 0.6630 ; + RECT 0.5530 0.5530 0.6630 0.6130 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6170 0.5530 0.6590 ; + END + ANTENNAGATEAREA 0.018 ; + END SE + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 2.2620 0.1980 2.4730 0.2480 ; + RECT 1.0390 0.1880 1.6970 0.2380 ; + RECT 0.2790 0.0300 0.3290 0.3370 ; + RECT 0.8870 0.3320 0.9370 0.5320 ; + RECT 1.0390 0.3320 1.0890 0.5460 ; + RECT 0.5830 0.0300 0.6330 0.2820 ; + RECT 0.5830 0.2820 1.0890 0.3320 ; + RECT 2.8230 0.1970 4.1290 0.2470 ; + RECT 2.0750 0.1470 2.3120 0.1970 ; + RECT 2.2620 0.0300 2.3120 0.1470 ; + RECT 2.2620 0.1970 2.3120 0.1980 ; + RECT 3.1670 0.2470 3.2170 0.3710 ; + RECT 4.0790 0.2470 4.1290 0.5610 ; + RECT 3.4710 0.2470 3.5210 0.5610 ; + RECT 3.7750 0.2470 3.8250 0.5610 ; + RECT 1.6470 0.2380 1.6970 0.4080 ; + RECT 1.0390 0.2380 1.0890 0.2820 ; + RECT 2.8230 0.0300 2.8730 0.1970 ; + RECT 2.8630 0.2470 2.9130 0.3710 ; + END + PORT + LAYER CO ; + RECT 4.0830 0.4930 4.1250 0.5350 ; + RECT 4.0830 0.3090 4.1250 0.3510 ; + RECT 4.0830 0.2170 4.1250 0.2590 ; + RECT 4.0830 0.4010 4.1250 0.4430 ; + RECT 4.0830 0.4010 4.1250 0.4430 ; + RECT 3.7790 0.4010 3.8210 0.4430 ; + RECT 3.7790 0.4010 3.8210 0.4430 ; + RECT 3.7790 0.2170 3.8210 0.2590 ; + RECT 3.7790 0.3090 3.8210 0.3510 ; + RECT 3.7790 0.4930 3.8210 0.5350 ; + RECT 3.4750 0.4930 3.5170 0.5350 ; + RECT 3.4750 0.3090 3.5170 0.3510 ; + RECT 3.4750 0.2170 3.5170 0.2590 ; + RECT 3.4750 0.4010 3.5170 0.4430 ; + RECT 3.4750 0.4010 3.5170 0.4430 ; + RECT 0.2830 0.2700 0.3250 0.3120 ; + RECT 0.5870 0.2700 0.6290 0.3120 ; + RECT 3.1710 0.2170 3.2130 0.2590 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 2.8670 0.2170 2.9090 0.2590 ; + RECT 0.5870 0.1780 0.6290 0.2200 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.1070 0.1510 2.1490 0.1930 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 2.8670 0.3090 2.9090 0.3510 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.3920 1.0850 0.4340 ; + RECT 1.0430 0.4840 1.0850 0.5260 ; + RECT 3.1710 0.3090 3.2130 0.3510 ; + RECT 1.6510 0.3460 1.6930 0.3880 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.8910 0.3780 0.9330 0.4200 ; + RECT 0.8910 0.2860 0.9330 0.3280 ; + RECT 2.4110 0.2020 2.4530 0.2440 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.6510 0.2540 1.6930 0.2960 ; + RECT 0.2830 0.1780 0.3250 0.2200 ; + RECT 1.0430 0.3000 1.0850 0.3420 ; + RECT 1.0430 0.2080 1.0850 0.2500 ; + RECT 0.8910 0.4700 0.9330 0.5120 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0960 0.8770 0.2250 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1000 0.8570 0.1420 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 3.3990 0.1010 3.4410 0.1430 ; + RECT 3.2470 0.1010 3.2890 0.1430 ; + RECT 3.5510 0.1010 3.5930 0.1430 ; + RECT 3.7030 0.1010 3.7450 0.1430 ; + RECT 4.0070 0.1010 4.0490 0.1430 ; + RECT 3.8550 0.1010 3.8970 0.1430 ; + RECT 2.7150 0.4760 2.7570 0.5180 ; + RECT 0.4350 0.3490 0.4770 0.3910 ; + RECT 0.7390 0.5300 0.7810 0.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 1.5750 0.0920 1.6170 0.1340 ; + RECT 1.4990 1.0980 1.5410 1.1400 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 1.3470 1.0460 1.3890 1.0880 ; + RECT 1.3470 1.0460 1.3890 1.0880 ; + RECT 2.6390 0.6080 2.6810 0.6500 ; + RECT 1.8790 0.7390 1.9210 0.7810 ; + RECT 1.8790 0.1130 1.9210 0.1550 ; + RECT 2.4110 0.8680 2.4530 0.9100 ; + RECT 2.4110 0.7760 2.4530 0.8180 ; + RECT 1.4230 0.0920 1.4650 0.1340 ; + RECT 2.9430 0.6650 2.9850 0.7070 ; + RECT 1.9550 0.4780 1.9970 0.5200 ; + RECT 2.4870 1.5380 2.5290 1.5800 ; + RECT 2.2590 0.7750 2.3010 0.8170 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 2.2590 0.9590 2.3010 1.0010 ; + RECT 0.7390 0.4380 0.7810 0.4800 ; + RECT 2.2590 0.8670 2.3010 0.9090 ; + RECT 2.2590 1.0510 2.3010 1.0930 ; + RECT 0.4350 0.2570 0.4770 0.2990 ; + RECT 2.9430 0.1010 2.9850 0.1430 ; + RECT 3.0950 0.1010 3.1370 0.1430 ; + RECT 1.1190 0.0920 1.1610 0.1340 ; + RECT 2.4110 0.9600 2.4530 1.0020 ; + RECT 0.2830 0.8260 0.3250 0.8680 ; + RECT 1.4990 1.0060 1.5410 1.0480 ; + RECT 1.4990 0.9140 1.5410 0.9560 ; + RECT 1.1950 1.2380 1.2370 1.2800 ; + RECT 1.5750 0.6470 1.6170 0.6890 ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 2.1830 0.6390 2.2250 0.6810 ; + RECT 2.2590 0.4890 2.3010 0.5310 ; + RECT 1.1950 0.5060 1.2370 0.5480 ; + RECT 1.1950 0.4140 1.2370 0.4560 ; + RECT 0.2830 0.9180 0.3250 0.9600 ; + RECT 1.4230 1.2550 1.4650 1.2970 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.2830 1.0100 0.3250 1.0520 ; + RECT 0.9670 0.0920 1.0090 0.1340 ; + RECT 1.2710 0.6490 1.3130 0.6910 ; + RECT 1.4990 0.4750 1.5410 0.5170 ; + RECT 2.4870 0.0920 2.5290 0.1340 ; + RECT 1.3470 0.4750 1.3890 0.5170 ; + RECT 1.9550 0.8660 1.9970 0.9080 ; + RECT 2.7150 0.8680 2.7570 0.9100 ; + RECT 2.7150 0.9600 2.7570 1.0020 ; + RECT 2.7150 0.7760 2.7570 0.8180 ; + RECT 2.7150 0.3840 2.7570 0.4260 ; + RECT 1.4990 0.3830 1.5410 0.4250 ; + RECT 2.7150 0.2920 2.7570 0.3340 ; + RECT 1.9550 0.9580 1.9970 1.0000 ; + RECT 2.7150 0.2000 2.7570 0.2420 ; + RECT 1.9550 1.0500 1.9970 1.0920 ; + RECT 1.3470 0.3830 1.3890 0.4250 ; + RECT 0.7390 0.9440 0.7810 0.9860 ; + RECT 0.7390 1.0360 0.7810 1.0780 ; + RECT 0.7390 1.1280 0.7810 1.1700 ; + RECT 1.1950 1.1460 1.2370 1.1880 ; + RECT 1.1950 0.3220 1.2370 0.3640 ; + RECT 1.1950 1.0540 1.2370 1.0960 ; + RECT 1.1950 0.9620 1.2370 1.0040 ; + RECT 1.1950 0.8700 1.2370 0.9120 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7870 ; + LAYER M1 ; + RECT 2.2950 0.6390 2.6850 0.6890 ; + RECT 2.6350 0.5880 2.6850 0.6390 ; + RECT 1.7070 0.0880 1.8070 0.1380 ; + RECT 1.7570 0.1380 1.8070 0.3580 ; + RECT 1.7570 0.3580 2.1010 0.4080 ; + RECT 2.0510 0.4080 2.1010 0.5010 ; + RECT 2.2550 0.7550 2.3450 0.8050 ; + RECT 2.2550 0.8050 2.3050 1.1130 ; + RECT 2.2550 0.4690 2.3050 0.5010 ; + RECT 2.0510 0.5010 2.3450 0.5510 ; + RECT 2.2950 0.6890 2.3450 0.7550 ; + RECT 2.2950 0.5510 2.3450 0.6390 ; + RECT 2.1550 0.3690 2.6340 0.4190 ; + RECT 2.4620 0.0880 2.6350 0.1380 ; + RECT 2.5840 0.1380 2.6340 0.3690 ; + RECT 1.8750 0.0880 1.9250 0.2580 ; + RECT 1.8750 0.2580 2.2050 0.3080 ; + RECT 2.1550 0.3080 2.2050 0.3690 ; + RECT 2.7960 0.6610 3.0050 0.7110 ; + RECT 2.4070 0.8060 2.4570 1.0220 ; + RECT 2.7110 0.1800 2.7610 0.4880 ; + RECT 2.7110 0.8060 2.7610 1.0220 ; + RECT 2.4070 0.7560 2.8460 0.8060 ; + RECT 2.7110 0.4880 2.8460 0.5380 ; + RECT 2.7960 0.7110 2.8460 0.7560 ; + RECT 2.7960 0.5380 2.8460 0.6610 ; + RECT 0.4710 1.5260 1.3330 1.5760 ; + RECT 0.4310 0.2370 0.4810 0.4530 ; + RECT 0.2790 0.5030 0.3290 1.1870 ; + RECT 0.2790 0.4530 0.4810 0.5030 ; + RECT 0.2790 1.1870 0.5210 1.2370 ; + RECT 0.4710 1.2370 0.5210 1.5260 ; + RECT 1.5360 1.5340 2.5490 1.5840 ; + RECT 1.1910 0.3020 1.2410 0.5180 ; + RECT 1.1470 0.5180 1.2410 0.5680 ; + RECT 1.1470 0.8000 1.2410 0.8500 ; + RECT 1.1910 0.8500 1.2410 1.2500 ; + RECT 1.1470 0.5680 1.1970 0.8000 ; + RECT 1.1910 1.2500 1.5860 1.3000 ; + RECT 1.5360 1.3000 1.5860 1.5340 ; + RECT 2.9230 0.0970 4.0690 0.1470 ; + RECT 1.9510 0.6350 2.2450 0.6850 ; + RECT 1.4430 0.5170 1.5440 0.5670 ; + RECT 1.4940 0.8840 1.5440 1.1600 ; + RECT 1.4430 0.8340 1.5440 0.8840 ; + RECT 1.4940 0.5090 1.5440 0.5170 ; + RECT 1.4940 0.3630 1.5440 0.4590 ; + RECT 1.4430 0.5670 1.4930 0.8340 ; + RECT 1.9510 0.8360 2.0410 0.8860 ; + RECT 1.4940 0.4590 2.0010 0.5090 ; + RECT 1.9510 0.4580 2.0010 0.4590 ; + RECT 1.9510 0.5090 2.0010 0.6350 ; + RECT 1.9510 0.8860 2.0010 1.1120 ; + RECT 1.9910 0.6850 2.0410 0.8360 ; + RECT 0.9470 0.0880 1.6370 0.1380 ; + RECT 0.7350 0.6180 1.0290 0.6680 ; + RECT 0.7350 0.6680 0.7850 1.1900 ; + RECT 0.7350 0.4180 0.7850 0.6180 ; + RECT 1.2470 0.6450 1.3930 0.6950 ; + RECT 1.3430 0.6950 1.3930 1.1240 ; + RECT 1.3430 0.3630 1.3930 0.6450 ; + RECT 1.5710 0.7350 1.9410 0.7850 ; + RECT 1.5710 0.7850 1.6210 0.7870 ; + RECT 1.5710 0.6270 1.6210 0.7350 ; + LAYER PO ; + RECT 3.8610 0.0860 3.8910 1.6100 ; + RECT 4.0130 0.0860 4.0430 1.6100 ; + RECT 3.4050 0.0860 3.4350 1.6100 ; + RECT 3.5570 0.0860 3.5870 1.6100 ; + RECT 3.7090 0.0860 3.7390 1.6100 ; + RECT 3.2530 0.0860 3.2830 1.6100 ; + RECT 2.7970 0.0940 2.8270 1.6080 ; + RECT 0.0610 0.1100 0.0910 1.6060 ; + RECT 0.3650 0.1080 0.3950 1.6060 ; + RECT 0.2130 0.1100 0.2430 1.6060 ; + RECT 1.5810 0.0740 1.6110 1.5900 ; + RECT 1.4290 0.6900 1.4590 1.5900 ; + RECT 0.6690 0.0660 0.6990 1.6060 ; + RECT 1.2770 0.0680 1.3070 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 2.3410 0.0810 2.3710 1.5900 ; + RECT 0.5170 0.1080 0.5470 1.6060 ; + RECT 2.0370 0.0810 2.0670 1.5900 ; + RECT 2.6450 0.1080 2.6750 1.6060 ; + RECT 2.1890 0.0810 2.2190 1.5900 ; + RECT 4.3170 0.1020 4.3470 1.5900 ; + RECT 2.4930 0.0710 2.5230 1.6060 ; + RECT 4.1650 0.1020 4.1950 1.5900 ; + RECT 2.9490 0.0860 2.9790 1.6100 ; + RECT 1.8850 0.0740 1.9150 0.5900 ; + RECT 1.4290 0.0710 1.4590 0.5900 ; + RECT 1.8850 0.6900 1.9150 1.5900 ; + RECT 1.7330 0.0740 1.7630 1.5900 ; + RECT 3.1010 0.0860 3.1310 1.6100 ; + END +END CGLPPRX8_HVT + +MACRO CGLPPSX16_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 6.232 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 5.5990 0.1480 5.6490 0.5040 ; + RECT 5.9030 0.1480 5.9530 0.5040 ; + RECT 5.5990 0.8540 5.6490 1.5460 ; + RECT 5.9030 0.8540 5.9530 1.5460 ; + RECT 5.2950 0.1480 5.3450 0.5040 ; + RECT 5.2950 0.8540 5.3450 1.5460 ; + RECT 4.9910 0.1480 5.0410 0.5040 ; + RECT 4.9910 0.8540 5.0410 1.5460 ; + RECT 4.6870 0.1480 4.7370 0.5040 ; + RECT 4.6870 0.8540 4.7370 1.5460 ; + RECT 4.3830 0.1480 4.4330 0.5040 ; + RECT 4.3830 0.8540 4.4330 1.5460 ; + RECT 4.0790 0.1480 4.1290 0.5040 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 5.9850 0.6630 6.0350 0.8040 ; + RECT 5.9850 0.5540 6.1350 0.6630 ; + RECT 3.4710 0.8040 6.0350 0.8540 ; + RECT 3.4710 0.5530 6.1350 0.5540 ; + RECT 3.4710 0.5040 6.0350 0.5530 ; + END + PORT + LAYER CO ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.9950 0.2880 5.0370 0.3300 ; + RECT 4.9950 0.3800 5.0370 0.4220 ; + RECT 4.9950 0.3800 5.0370 0.4220 ; + RECT 4.9950 0.2880 5.0370 0.3300 ; + RECT 4.9950 0.1960 5.0370 0.2380 ; + RECT 4.9950 0.1960 5.0370 0.2380 ; + RECT 5.2990 0.3800 5.3410 0.4220 ; + RECT 5.2990 0.1960 5.3410 0.2380 ; + RECT 5.2990 0.3800 5.3410 0.4220 ; + RECT 5.2990 0.3800 5.3410 0.4220 ; + RECT 5.2990 0.2880 5.3410 0.3300 ; + RECT 5.2990 0.1960 5.3410 0.2380 ; + RECT 5.2990 0.1960 5.3410 0.2380 ; + RECT 5.2990 0.2880 5.3410 0.3300 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.0240 5.9490 1.0660 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 5.9070 1.2080 5.9490 1.2500 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 5.6030 1.2080 5.6450 1.2500 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.2990 0.2880 5.3410 0.3300 ; + RECT 5.2990 0.2880 5.3410 0.3300 ; + RECT 5.2990 0.1960 5.3410 0.2380 ; + RECT 5.2990 0.3800 5.3410 0.4220 ; + RECT 5.6030 0.3800 5.6450 0.4220 ; + RECT 5.6030 0.1960 5.6450 0.2380 ; + RECT 5.6030 0.2880 5.6450 0.3300 ; + RECT 5.9070 0.1960 5.9490 0.2380 ; + RECT 5.9070 0.2880 5.9490 0.3300 ; + RECT 5.6030 0.2880 5.6450 0.3300 ; + RECT 5.9070 0.2880 5.9490 0.3300 ; + RECT 5.9070 0.1960 5.9490 0.2380 ; + RECT 5.6030 0.1960 5.6450 0.2380 ; + RECT 5.9070 0.3800 5.9490 0.4220 ; + RECT 5.6030 0.3800 5.6450 0.4220 ; + RECT 5.9070 0.3800 5.9490 0.4220 ; + RECT 5.2990 0.4720 5.3410 0.5140 ; + RECT 5.9070 0.4720 5.9490 0.5140 ; + RECT 5.6030 0.4720 5.6450 0.5140 ; + RECT 5.9070 0.4720 5.9490 0.5140 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 5.2990 0.4720 5.3410 0.5140 ; + RECT 4.9950 0.4720 5.0370 0.5140 ; + RECT 4.9950 0.4720 5.0370 0.5140 ; + RECT 5.6030 0.4720 5.6450 0.5140 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 5.2990 0.4720 5.3410 0.5140 ; + RECT 5.2990 0.4720 5.3410 0.5140 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 4.9950 0.9320 5.0370 0.9740 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.6030 1.3000 5.6450 1.3420 ; + RECT 5.9070 1.3000 5.9490 1.3420 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.4840 5.0370 1.5260 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 4.9950 1.3920 5.0370 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.4840 5.3410 1.5260 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.2990 1.3920 5.3410 1.4340 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.3920 5.6450 1.4340 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.6030 1.4840 5.6450 1.5260 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 4.9950 1.3000 5.0370 1.3420 ; + RECT 4.9950 1.2080 5.0370 1.2500 ; + RECT 4.9950 1.0240 5.0370 1.0660 ; + RECT 4.9950 1.1160 5.0370 1.1580 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.0240 5.3410 1.0660 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.2990 1.1160 5.3410 1.1580 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 1.3000 5.3410 1.3420 ; + RECT 5.2990 0.9320 5.3410 0.9740 ; + RECT 5.2990 1.2080 5.3410 1.2500 ; + RECT 5.6030 1.0240 5.6450 1.0660 ; + RECT 5.9070 1.1160 5.9490 1.1580 ; + RECT 5.6030 0.9320 5.6450 0.9740 ; + RECT 5.6030 1.1160 5.6450 1.1580 ; + RECT 5.9070 0.9320 5.9490 0.9740 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 0.2880 4.4290 0.3300 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 5.9070 1.3920 5.9490 1.4340 ; + RECT 5.9070 1.4840 5.9490 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.3870 0.4720 4.4290 0.5140 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.3870 0.3800 4.4290 0.4220 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.3870 0.1960 4.4290 0.2380 ; + RECT 4.3870 0.2880 4.4290 0.3300 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.3870 0.4720 4.4290 0.5140 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 0.1960 4.4290 0.2380 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.3870 0.3800 4.4290 0.4220 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + END + ANTENNADIFFAREA 1.2904 ; + END GCLK + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 0.6180 2.3970 0.6680 ; + RECT 2.2250 0.5530 2.3350 0.6180 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 6.2320 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.6950 1.3160 3.2170 1.3660 ; + RECT 1.7990 1.3350 2.3210 1.3850 ; + RECT 3.9270 0.9040 3.9770 1.6420 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 4.2310 0.9040 4.2810 1.6420 ; + RECT 5.7510 0.9040 5.8010 1.6420 ; + RECT 5.1430 0.9040 5.1930 1.6420 ; + RECT 4.8390 0.9040 4.8890 1.6420 ; + RECT 5.4470 0.9040 5.4970 1.6420 ; + RECT 4.5350 0.9040 4.5850 1.6420 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 0.5830 1.3540 0.6330 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 3.1670 1.3660 3.2170 1.6420 ; + RECT 3.1670 1.2660 3.2170 1.3160 ; + RECT 1.7990 1.1420 1.8490 1.3350 ; + RECT 2.2710 1.3850 2.3210 1.6420 ; + END + PORT + LAYER CO ; + RECT 5.7550 1.1160 5.7970 1.1580 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 5.7550 1.2080 5.7970 1.2500 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 5.7550 1.2080 5.7970 1.2500 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 5.7550 1.3000 5.7970 1.3420 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 5.9830 1.6510 6.0250 1.6930 ; + RECT 6.1350 1.6510 6.1770 1.6930 ; + RECT 5.3750 1.6510 5.4170 1.6930 ; + RECT 5.2230 1.6510 5.2650 1.6930 ; + RECT 5.0710 1.6510 5.1130 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 5.8310 1.6510 5.8730 1.6930 ; + RECT 5.6790 1.6510 5.7210 1.6930 ; + RECT 5.5270 1.6510 5.5690 1.6930 ; + RECT 1.8030 1.2540 1.8450 1.2960 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 5.7550 1.0240 5.7970 1.0660 ; + RECT 5.7550 1.1160 5.7970 1.1580 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.3920 4.8850 1.4340 ; + RECT 4.8430 1.4840 4.8850 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.4840 5.1890 1.5260 ; + RECT 5.1470 1.3920 5.1890 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.3920 5.4930 1.4340 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.4510 1.4840 5.4930 1.5260 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 5.1470 1.2080 5.1890 1.2500 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 4.8430 1.2080 4.8850 1.2500 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 4.8430 1.0240 4.8850 1.0660 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 5.1470 1.3000 5.1890 1.3420 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.1160 5.1890 1.1580 ; + RECT 5.1470 1.0240 5.1890 1.0660 ; + RECT 4.8430 1.3000 4.8850 1.3420 ; + RECT 4.8430 1.1160 4.8850 1.1580 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.1160 5.4930 1.1580 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 5.4510 1.0240 5.4930 1.0660 ; + RECT 5.4510 1.2080 5.4930 1.2500 ; + RECT 5.4510 1.3000 5.4930 1.3420 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.5390 1.1160 4.5810 1.1580 ; + RECT 4.5390 1.0240 4.5810 1.0660 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 4.5390 1.2080 4.5810 1.2500 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 5.7550 1.3920 5.7970 1.4340 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 2.2590 1.3380 2.3010 1.3800 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.7150 1.3200 2.7570 1.3620 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 4.5390 1.2080 4.5810 1.2500 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.5390 1.1160 4.5810 1.1580 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 5.7550 1.4840 5.7970 1.5260 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8030 1.1620 1.8450 1.2040 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.1710 1.3400 3.2130 1.3820 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.5390 1.0240 4.5810 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 6.2320 0.0300 ; + RECT 1.4790 0.3180 2.3050 0.3680 ; + RECT 2.2550 0.1960 3.0650 0.2460 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 3.9270 0.0300 3.9770 0.4540 ; + RECT 4.2310 0.0300 4.2810 0.4540 ; + RECT 4.5350 0.0300 4.5850 0.4540 ; + RECT 4.8390 0.0300 4.8890 0.4540 ; + RECT 5.1430 0.0300 5.1930 0.4540 ; + RECT 5.4470 0.0300 5.4970 0.4540 ; + RECT 5.7510 0.0300 5.8010 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.2550 0.3680 2.3050 0.4830 ; + RECT 1.8390 0.0300 1.8890 0.3180 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 3.0150 0.2460 3.0650 0.5820 ; + RECT 2.5590 0.2460 2.6090 0.4680 ; + RECT 2.2550 0.2460 2.3050 0.3180 ; + END + PORT + LAYER CO ; + RECT 3.0190 0.4910 3.0610 0.5330 ; + RECT 2.5630 0.2220 2.6050 0.2640 ; + RECT 4.8430 0.2880 4.8850 0.3300 ; + RECT 4.8430 0.3800 4.8850 0.4220 ; + RECT 4.8430 0.2880 4.8850 0.3300 ; + RECT 4.8430 0.3800 4.8850 0.4220 ; + RECT 4.8430 0.1960 4.8850 0.2380 ; + RECT 4.8430 0.1960 4.8850 0.2380 ; + RECT 5.1470 0.3800 5.1890 0.4220 ; + RECT 5.1470 0.3800 5.1890 0.4220 ; + RECT 5.1470 0.2880 5.1890 0.3300 ; + RECT 5.1470 0.2880 5.1890 0.3300 ; + RECT 5.1470 0.1960 5.1890 0.2380 ; + RECT 5.1470 0.1960 5.1890 0.2380 ; + RECT 5.4510 0.3800 5.4930 0.4220 ; + RECT 5.7550 0.3800 5.7970 0.4220 ; + RECT 5.7550 0.1960 5.7970 0.2380 ; + RECT 5.4510 0.2880 5.4930 0.3300 ; + RECT 5.4510 0.1960 5.4930 0.2380 ; + RECT 5.7550 0.3800 5.7970 0.4220 ; + RECT 5.4510 0.2880 5.4930 0.3300 ; + RECT 5.7550 0.2880 5.7970 0.3300 ; + RECT 5.4510 0.3800 5.4930 0.4220 ; + RECT 5.7550 0.2880 5.7970 0.3300 ; + RECT 5.4510 0.1960 5.4930 0.2380 ; + RECT 5.7550 0.1960 5.7970 0.2380 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 5.5270 -0.0210 5.5690 0.0210 ; + RECT 5.6790 -0.0210 5.7210 0.0210 ; + RECT 5.0710 -0.0210 5.1130 0.0210 ; + RECT 5.2230 -0.0210 5.2650 0.0210 ; + RECT 5.9830 -0.0210 6.0250 0.0210 ; + RECT 5.3750 -0.0210 5.4170 0.0210 ; + RECT 5.8310 -0.0210 5.8730 0.0210 ; + RECT 6.1350 -0.0210 6.1770 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 4.2350 0.2880 4.2770 0.3300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.5390 0.2880 4.5810 0.3300 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 4.2350 0.3800 4.2770 0.4220 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.2350 0.3800 4.2770 0.4220 ; + RECT 2.2590 0.4000 2.3010 0.4420 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.5390 0.3800 4.5810 0.4220 ; + RECT 4.5390 0.1960 4.5810 0.2380 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.2590 0.3080 2.3010 0.3500 ; + RECT 4.2350 0.2880 4.2770 0.3300 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 2.2590 0.2160 2.3010 0.2580 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 4.2350 0.1960 4.2770 0.2380 ; + RECT 3.0190 0.3070 3.0610 0.3490 ; + RECT 4.5390 0.3800 4.5810 0.4220 ; + RECT 4.5390 0.1960 4.5810 0.2380 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.5630 0.3140 2.6050 0.3560 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.5630 0.4060 2.6050 0.4480 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 4.5390 0.2880 4.5810 0.3300 ; + RECT 3.0190 0.3990 3.0610 0.4410 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + RECT 4.2350 0.1960 4.2770 0.2380 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2960 0.6290 0.3380 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 1.3470 0.4110 1.3890 0.4530 ; + RECT 1.3470 0.4110 1.3890 0.4530 ; + RECT 1.3470 0.4110 1.3890 0.4530 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 1.0430 1.0460 1.0850 1.0880 ; + RECT 1.0430 0.9540 1.0850 0.9960 ; + RECT 3.3230 0.5050 3.3650 0.5470 ; + RECT 3.3230 0.4130 3.3650 0.4550 ; + RECT 5.5270 0.6080 5.5690 0.6500 ; + RECT 5.8310 0.6080 5.8730 0.6500 ; + RECT 4.7670 0.6080 4.8090 0.6500 ; + RECT 4.9190 0.6080 4.9610 0.6500 ; + RECT 5.2230 0.6080 5.2650 0.6500 ; + RECT 5.0710 0.6080 5.1130 0.6500 ; + RECT 5.6790 0.6080 5.7210 0.6500 ; + RECT 5.3750 0.6080 5.4170 0.6500 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 1.3470 0.5030 1.3890 0.5450 ; + RECT 1.3470 0.5030 1.3890 0.5450 ; + RECT 1.3470 0.5030 1.3890 0.5450 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.3230 0.3210 3.3650 0.3630 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.8790 0.6220 1.9210 0.6640 ; + RECT 2.8670 0.3220 2.9090 0.3640 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1700 3.0610 1.2120 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 4.6150 0.6080 4.6570 0.6500 ; + RECT 2.0310 0.8320 2.0730 0.8740 ; + RECT 3.2470 0.7900 3.2890 0.8320 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.6630 0.1000 0.7050 0.1420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 3.3230 1.2910 3.3650 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.1070 0.4380 2.1490 0.4800 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + LAYER NWELL ; + RECT -0.1150 0.6790 6.3470 1.7870 ; + LAYER M1 ; + RECT 2.8630 0.7860 3.3090 0.8360 ; + RECT 2.5420 1.1030 2.9130 1.1530 ; + RECT 2.8630 0.2960 2.9130 0.5180 ; + RECT 2.8630 0.5180 2.9530 0.5680 ; + RECT 2.9030 0.5680 2.9530 0.7860 ; + RECT 2.8630 1.1530 2.9130 1.2660 ; + RECT 2.8630 0.8360 2.9130 1.1030 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 2.4940 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.5180 2.5440 0.5680 ; + RECT 2.4070 0.7700 2.4570 1.1620 ; + RECT 2.4070 0.7200 2.5440 0.7700 ; + RECT 2.4940 0.6680 2.5440 0.7200 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4940 0.5680 2.5440 0.6180 ; + RECT 3.3190 0.6040 5.8930 0.6540 ; + RECT 2.9980 1.1660 3.3690 1.2160 ; + RECT 3.3190 0.8860 3.4090 0.9360 ; + RECT 3.3190 1.2160 3.3690 1.3580 ; + RECT 3.3190 0.9360 3.3690 1.1660 ; + RECT 3.3190 0.2820 3.3690 0.6040 ; + RECT 3.3590 0.6540 3.4090 0.8860 ; + RECT 2.5620 1.4280 2.7010 1.4780 ; + RECT 2.0870 1.2200 2.6120 1.2700 ; + RECT 2.5620 1.2700 2.6120 1.4280 ; + RECT 2.1030 0.7280 2.2880 0.7780 ; + RECT 2.2380 0.7780 2.2880 1.2200 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1530 0.4680 ; + RECT 2.1030 0.4680 2.1530 0.7280 ; + RECT 1.9760 0.8280 2.0930 0.8780 ; + RECT 1.6070 1.0420 2.0260 1.0920 ; + RECT 1.9760 0.8780 2.0260 1.0420 ; + RECT 0.7950 1.5260 1.6570 1.5760 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 1.7070 1.5310 2.0930 1.5810 ; + RECT 2.0110 0.0960 3.1570 0.1460 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 1.9410 0.6680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.6430 0.0960 1.7890 0.1460 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 0.9060 ; + RECT 5.0770 0.0680 5.1070 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 5.3810 0.0680 5.4110 1.6060 ; + RECT 5.2290 0.0680 5.2590 1.6060 ; + RECT 5.5330 0.0680 5.5630 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 5.9890 0.0680 6.0190 1.6060 ; + RECT 2.0370 1.0820 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 6.1410 0.0680 6.1710 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 5.6850 0.0680 5.7150 1.6060 ; + RECT 5.8370 0.0680 5.8670 1.6060 ; + END +END CGLPPSX16_HVT + +MACRO CGLPPSX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.104 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 0.6180 2.3970 0.6680 ; + RECT 2.2250 0.5530 2.3350 0.6180 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.1040 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.6950 1.3160 3.2170 1.3660 ; + RECT 1.7990 1.3350 2.3210 1.3850 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5830 1.3540 0.6330 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 3.1670 1.3660 3.2170 1.6420 ; + RECT 3.1670 1.2660 3.2170 1.3160 ; + RECT 1.7990 1.1420 1.8490 1.3350 ; + RECT 2.2710 1.3850 2.3210 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 3.1710 1.3400 3.2130 1.3820 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 3.6270 1.2560 3.6690 1.2980 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8030 1.1620 1.8450 1.2040 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.6270 1.1640 3.6690 1.2060 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.7150 1.3200 2.7570 1.3620 ; + RECT 3.6270 1.3480 3.6690 1.3900 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 2.2590 1.3380 2.3010 1.3800 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.1040 0.0300 ; + RECT 1.4790 0.3180 2.3050 0.3680 ; + RECT 2.2550 0.1960 3.0650 0.2460 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.2550 0.3680 2.3050 0.4830 ; + RECT 1.8390 0.0300 1.8890 0.3180 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.5590 0.2460 2.6090 0.4080 ; + RECT 3.0150 0.2460 3.0650 0.5820 ; + RECT 2.2550 0.2460 2.3050 0.3180 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.2960 0.9330 0.3380 ; + RECT 3.6270 0.2500 3.6690 0.2920 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5870 0.3880 0.6290 0.4300 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2960 0.6290 0.3380 ; + RECT 2.2590 0.4000 2.3010 0.4420 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.6270 0.1580 3.6690 0.2000 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 2.2590 0.2160 2.3010 0.2580 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.2590 0.3080 2.3010 0.3500 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.0190 0.3070 3.0610 0.3490 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 3.6270 0.3420 3.6690 0.3840 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 3.0190 0.4910 3.0610 0.5330 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.5630 0.3460 2.6050 0.3880 ; + RECT 3.0190 0.3990 3.0610 0.4410 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 3.7750 1.1190 3.8250 1.5460 ; + RECT 3.7450 1.0090 3.8650 1.1190 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.4710 0.5040 3.8650 0.5540 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.8150 0.8540 3.8650 1.0090 ; + RECT 3.4710 0.8040 3.8650 0.8540 ; + RECT 3.8150 0.5540 3.8650 0.8040 ; + END + PORT + LAYER CO ; + RECT 3.7790 0.2960 3.8210 0.3380 ; + RECT 3.4750 0.3790 3.5170 0.4210 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.4750 0.4710 3.5170 0.5130 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 0.1950 3.5170 0.2370 ; + RECT 3.7790 0.4800 3.8210 0.5220 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 0.2040 3.8210 0.2460 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.7790 0.3880 3.8210 0.4300 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.4750 0.2870 3.5170 0.3290 ; + END + ANTENNADIFFAREA 0.2488 ; + END GCLK + OBS + LAYER CO ; + RECT 3.3230 0.3910 3.3650 0.4330 ; + RECT 2.8670 1.0200 2.9090 1.0620 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.0430 0.5070 1.0850 0.5490 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.6630 0.1000 0.7050 0.1420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 2.8670 0.4400 2.9090 0.4820 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.3230 1.2910 3.3650 1.3330 ; + RECT 2.8670 0.8360 2.9090 0.8780 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.0430 0.4150 1.0850 0.4570 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 1.0430 0.3230 1.0850 0.3650 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.8790 0.6220 1.9210 0.6640 ; + RECT 1.3470 0.4670 1.3890 0.5090 ; + RECT 3.3230 0.4830 3.3650 0.5250 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 2.4110 1.0080 2.4530 1.0500 ; + RECT 1.0430 0.2310 1.0850 0.2730 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 3.0190 1.1700 3.0610 1.2120 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 2.8670 0.9280 2.9090 0.9700 ; + RECT 2.0310 0.8320 2.0730 0.8740 ; + RECT 3.2470 0.7900 3.2890 0.8320 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.1070 0.4380 2.1490 0.4800 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.8670 0.3480 2.9090 0.3900 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.2190 1.7870 ; + LAYER M1 ; + RECT 2.8630 0.7860 3.3090 0.8360 ; + RECT 2.5420 1.1030 2.9130 1.1530 ; + RECT 2.8630 0.3130 2.9130 0.4520 ; + RECT 2.8630 0.4520 2.9530 0.5020 ; + RECT 2.9030 0.5020 2.9530 0.7860 ; + RECT 2.8630 1.1530 2.9130 1.2660 ; + RECT 2.8630 0.8360 2.9130 1.1030 ; + RECT 3.3190 0.6040 3.7650 0.6540 ; + RECT 2.9980 1.1660 3.3690 1.2160 ; + RECT 3.3190 0.8860 3.4090 0.9360 ; + RECT 3.3190 1.2160 3.3690 1.3580 ; + RECT 3.3190 0.9360 3.3690 1.1660 ; + RECT 3.3190 0.2820 3.3690 0.6040 ; + RECT 3.3590 0.6540 3.4090 0.8860 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 1.9410 0.6680 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 2.5620 1.4280 2.7010 1.4780 ; + RECT 2.0870 1.2200 2.6120 1.2700 ; + RECT 2.5620 1.2700 2.6120 1.4280 ; + RECT 2.1030 0.7280 2.2880 0.7780 ; + RECT 2.2380 0.7780 2.2880 1.2200 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1530 0.4680 ; + RECT 2.1030 0.4680 2.1530 0.7280 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.0390 0.5190 1.2410 0.5690 ; + RECT 1.1910 0.2820 1.2410 0.5190 ; + RECT 1.0390 0.1960 1.0890 0.5190 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.1910 0.5690 1.2410 1.2040 ; + RECT 2.4940 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.5180 2.5440 0.5680 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4940 0.5680 2.5440 0.6180 ; + RECT 2.4070 0.7700 2.4570 1.1620 ; + RECT 2.4940 0.6680 2.5440 0.7200 ; + RECT 2.4070 0.7200 2.5440 0.7700 ; + RECT 1.6070 1.0420 2.0260 1.0920 ; + RECT 1.9760 0.8280 2.0930 0.8780 ; + RECT 1.9760 0.8780 2.0260 1.0420 ; + RECT 0.7950 1.5260 1.6570 1.5760 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 0.6430 0.0960 1.7890 0.1460 ; + RECT 1.7070 1.5310 2.0930 1.5810 ; + RECT 2.0110 0.0960 3.1570 0.1460 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 0.9060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.0370 1.0820 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + END +END CGLPPSX2_HVT + +MACRO CGLPPSX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 4.408 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 0.6180 2.3970 0.6680 ; + RECT 2.2250 0.5530 2.3350 0.6180 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 4.4080 1.7020 ; + RECT 2.6950 1.3160 3.2170 1.3660 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 1.7990 1.3350 2.3210 1.3850 ; + RECT 3.9270 0.9040 3.9770 1.6420 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 3.1670 1.3660 3.2170 1.6420 ; + RECT 3.1670 1.2660 3.2170 1.3160 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5830 1.3540 0.6330 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 1.7990 1.1420 1.8490 1.3350 ; + RECT 2.2710 1.3850 2.3210 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 2.2590 1.3380 2.3010 1.3800 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + RECT 3.1710 1.3400 3.2130 1.3820 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 1.8030 1.1620 1.8450 1.2040 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.7150 1.3200 2.7570 1.3620 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.8030 1.2540 1.8450 1.2960 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + END + END VDD + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 4.0790 0.1480 4.1290 0.5040 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.4710 0.8040 4.2110 0.8540 ; + RECT 4.1610 0.6630 4.2110 0.8040 ; + RECT 4.1610 0.5540 4.3110 0.6630 ; + RECT 3.4710 0.5530 4.3110 0.5540 ; + RECT 3.4710 0.5040 4.2110 0.5530 ; + END + PORT + LAYER CO ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + END + ANTENNADIFFAREA 0.3976 ; + END GCLK + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 4.4080 0.0300 ; + RECT 1.4790 0.3180 2.3050 0.3680 ; + RECT 2.2550 0.1960 3.0650 0.2460 ; + RECT 3.9270 0.0300 3.9770 0.4540 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.2550 0.3680 2.3050 0.4830 ; + RECT 1.8390 0.0300 1.8890 0.3180 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.5590 0.2460 2.6090 0.4680 ; + RECT 3.0150 0.2460 3.0650 0.5820 ; + RECT 2.2550 0.2460 2.3050 0.3180 ; + END + PORT + LAYER CO ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.2590 0.3080 2.3010 0.3500 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 3.0190 0.4910 3.0610 0.5330 ; + RECT 2.2590 0.4000 2.3010 0.4420 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 3.0190 0.3070 3.0610 0.3490 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 0.5870 0.3670 0.6290 0.4090 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2750 0.6290 0.3170 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 2.2590 0.2160 2.3010 0.2580 ; + RECT 0.8910 0.3880 0.9330 0.4300 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.5630 0.2220 2.6050 0.2640 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.5630 0.3140 2.6050 0.3560 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.5630 0.4060 2.6050 0.4480 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 3.0190 0.3990 3.0610 0.4410 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 0.6630 0.1000 0.7050 0.1420 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 3.3230 1.2910 3.3650 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.8790 0.6220 1.9210 0.6640 ; + RECT 2.8670 0.3220 2.9090 0.3640 ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 3.0190 1.1700 3.0610 1.2120 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 3.3230 0.4830 3.3650 0.5250 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.3230 0.3910 3.3650 0.4330 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + RECT 3.3230 1.1070 3.3650 1.1490 ; + RECT 2.4110 1.0080 2.4530 1.0500 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 2.0310 0.8320 2.0730 0.8740 ; + RECT 3.2470 0.7900 3.2890 0.8320 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.1070 0.4380 2.1490 0.4800 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + LAYER NWELL ; + RECT -0.1150 0.6790 4.5230 1.7870 ; + LAYER M1 ; + RECT 2.8630 0.7860 3.3090 0.8360 ; + RECT 2.5420 1.1030 2.9130 1.1530 ; + RECT 2.8630 0.2960 2.9130 0.5180 ; + RECT 2.8630 0.5180 2.9530 0.5680 ; + RECT 2.9030 0.5680 2.9530 0.7860 ; + RECT 2.8630 1.1530 2.9130 1.2660 ; + RECT 2.8630 0.8360 2.9130 1.1030 ; + RECT 2.4940 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.5180 2.5440 0.5680 ; + RECT 2.4070 0.7700 2.4570 1.1620 ; + RECT 2.4940 0.6680 2.5440 0.7200 ; + RECT 2.4070 0.7200 2.5440 0.7700 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4940 0.5680 2.5440 0.6180 ; + RECT 1.6070 1.0420 2.0260 1.0920 ; + RECT 1.9760 0.8280 2.0930 0.8780 ; + RECT 1.9760 0.8780 2.0260 1.0420 ; + RECT 0.7950 1.5260 1.6570 1.5760 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 3.3190 0.6040 4.0690 0.6540 ; + RECT 2.9980 1.1660 3.3690 1.2160 ; + RECT 3.3190 0.8860 3.4090 0.9360 ; + RECT 3.3190 1.2160 3.3690 1.3580 ; + RECT 3.3190 0.9360 3.3690 1.1660 ; + RECT 3.3190 0.2820 3.3690 0.6040 ; + RECT 3.3590 0.6540 3.4090 0.8860 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 1.9410 0.6680 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 2.5620 1.4280 2.7010 1.4780 ; + RECT 2.0870 1.2200 2.6120 1.2700 ; + RECT 2.5620 1.2700 2.6120 1.4280 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1530 0.4680 ; + RECT 2.1030 0.4680 2.1530 0.7280 ; + RECT 2.1030 0.7280 2.2880 0.7780 ; + RECT 2.2380 0.7780 2.2880 1.2200 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 0.6430 0.0960 1.7890 0.1460 ; + RECT 1.7070 1.5310 2.0930 1.5810 ; + RECT 2.0110 0.0960 3.1570 0.1460 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 0.9060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.0370 1.0820 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + END +END CGLPPSX4_HVT + +MACRO CGLPPSX8_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 5.016 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN GCLK + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 4.3830 0.1480 4.4330 0.5040 ; + RECT 4.6870 0.1480 4.7370 0.5040 ; + RECT 4.3830 0.8540 4.4330 1.5460 ; + RECT 4.6870 0.8540 4.7370 1.5460 ; + RECT 4.0790 0.1480 4.1290 0.5040 ; + RECT 3.7750 0.1480 3.8250 0.5040 ; + RECT 4.0790 0.8540 4.1290 1.5460 ; + RECT 3.7750 0.8540 3.8250 1.5460 ; + RECT 3.4710 0.1480 3.5210 0.5040 ; + RECT 3.4710 0.8540 3.5210 1.5460 ; + RECT 4.7690 0.6630 4.8190 0.8040 ; + RECT 4.7690 0.5540 4.9190 0.6630 ; + RECT 3.4710 0.5530 4.9190 0.5540 ; + RECT 3.4710 0.8040 4.8190 0.8540 ; + RECT 3.4710 0.5040 4.8190 0.5530 ; + END + PORT + LAYER CO ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.3870 0.1960 4.4290 0.2380 ; + RECT 4.3870 0.2880 4.4290 0.3300 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.3870 0.2880 4.4290 0.3300 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.6910 0.2880 4.7330 0.3300 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.6910 1.0240 4.7330 1.0660 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.6910 0.4720 4.7330 0.5140 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.3870 0.4720 4.4290 0.5140 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 4.6910 1.2080 4.7330 1.2500 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 4.3870 1.1160 4.4290 1.1580 ; + RECT 4.6910 0.9320 4.7330 0.9740 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.3870 1.3920 4.4290 1.4340 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 4.3870 0.9320 4.4290 0.9740 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 3.4750 1.0240 3.5170 1.0660 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 3.4750 1.1160 3.5170 1.1580 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 3.7790 1.3000 3.8210 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.4750 1.4840 3.5170 1.5260 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 4.0830 1.0240 4.1250 1.0660 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 3.4750 1.3000 3.5170 1.3420 ; + RECT 3.7790 1.4840 3.8210 1.5260 ; + RECT 3.7790 0.9320 3.8210 0.9740 ; + RECT 4.3870 0.3800 4.4290 0.4220 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 4.6910 1.3000 4.7330 1.3420 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 0.3800 4.1250 0.4220 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.3870 1.2080 4.4290 1.2500 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.0240 3.8210 1.0660 ; + RECT 3.7790 0.3800 3.8210 0.4220 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.3870 1.4840 4.4290 1.5260 ; + RECT 3.4750 0.9320 3.5170 0.9740 ; + RECT 3.7790 0.2880 3.8210 0.3300 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.4750 1.2080 3.5170 1.2500 ; + RECT 3.7790 1.1160 3.8210 1.1580 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 3.4750 0.1960 3.5170 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 3.7790 0.1960 3.8210 0.2380 ; + RECT 3.7790 0.4720 3.8210 0.5140 ; + RECT 4.6910 0.1960 4.7330 0.2380 ; + RECT 4.3870 0.4720 4.4290 0.5140 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.0830 1.4840 4.1250 1.5260 ; + RECT 4.0830 0.9320 4.1250 0.9740 ; + RECT 3.4750 0.4720 3.5170 0.5140 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.3870 1.0240 4.4290 1.0660 ; + RECT 4.3870 0.1960 4.4290 0.2380 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.4750 1.3920 3.5170 1.4340 ; + RECT 4.6910 1.3920 4.7330 1.4340 ; + RECT 4.6910 1.4840 4.7330 1.5260 ; + RECT 3.4750 0.3800 3.5170 0.4220 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 3.7790 1.3920 3.8210 1.4340 ; + RECT 3.7790 1.2080 3.8210 1.2500 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 3.4750 0.2880 3.5170 0.3300 ; + RECT 4.0830 0.2880 4.1250 0.3300 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.6910 0.3800 4.7330 0.4220 ; + RECT 4.3870 0.3800 4.4290 0.4220 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + RECT 4.6910 1.1160 4.7330 1.1580 ; + RECT 4.0830 0.4720 4.1250 0.5140 ; + RECT 4.0830 1.2080 4.1250 1.2500 ; + RECT 4.0830 1.1160 4.1250 1.1580 ; + RECT 4.0830 1.3920 4.1250 1.4340 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.0830 0.1960 4.1250 0.2380 ; + RECT 4.0830 1.3000 4.1250 1.3420 ; + RECT 4.3870 1.3000 4.4290 1.3420 ; + END + ANTENNADIFFAREA 0.6952 ; + END GCLK + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 0.8570 0.9670 0.9670 ; + RECT 0.9170 0.6180 1.0290 0.6680 ; + RECT 0.9170 0.6680 0.9670 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.9670 0.6220 1.0090 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END EN + + PIN SE + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.2250 0.6180 2.3970 0.6680 ; + RECT 2.2250 0.5530 2.3350 0.6180 ; + END + PORT + LAYER CO ; + RECT 2.3350 0.6220 2.3770 0.6640 ; + END + ANTENNAGATEAREA 0.024 ; + END SE + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 5.0160 1.7020 ; + RECT 0.4310 1.3040 1.5450 1.3540 ; + RECT 2.6950 1.3160 3.2170 1.3660 ; + RECT 1.7990 1.3350 2.3210 1.3850 ; + RECT 3.9270 0.9040 3.9770 1.6420 ; + RECT 3.6230 0.9040 3.6730 1.6420 ; + RECT 4.2310 0.9040 4.2810 1.6420 ; + RECT 4.5350 0.9040 4.5850 1.6420 ; + RECT 0.4310 1.0880 0.4810 1.3040 ; + RECT 1.4950 0.7500 1.5450 1.3040 ; + RECT 0.8870 1.0370 0.9370 1.3040 ; + RECT 0.5830 1.3540 0.6330 1.6420 ; + RECT 0.5830 1.1010 0.6330 1.3040 ; + RECT 3.1670 1.3660 3.2170 1.6420 ; + RECT 3.1670 1.2660 3.2170 1.3160 ; + RECT 1.7990 1.1420 1.8490 1.3350 ; + RECT 2.2710 1.3850 2.3210 1.6420 ; + END + PORT + LAYER CO ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 2.2590 1.3380 2.3010 1.3800 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 0.5870 1.3060 0.6290 1.3480 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 0.4350 1.1080 0.4770 1.1500 ; + RECT 1.4990 1.0910 1.5410 1.1330 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 0.8910 1.0710 0.9330 1.1130 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.5390 1.1160 4.5810 1.1580 ; + RECT 4.5390 1.0240 4.5810 1.0660 ; + RECT 4.7670 1.6510 4.8090 1.6930 ; + RECT 4.4630 1.6510 4.5050 1.6930 ; + RECT 4.6150 1.6510 4.6570 1.6930 ; + RECT 4.1590 1.6510 4.2010 1.6930 ; + RECT 4.3110 1.6510 4.3530 1.6930 ; + RECT 4.9190 1.6510 4.9610 1.6930 ; + RECT 1.8030 1.2540 1.8450 1.2960 ; + RECT 1.4990 0.8150 1.5410 0.8570 ; + RECT 1.4990 0.9070 1.5410 0.9490 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.5870 1.1220 0.6290 1.1640 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.8030 1.1620 1.8450 1.2040 ; + RECT 0.5870 1.3980 0.6290 1.4400 ; + RECT 4.0070 1.6510 4.0490 1.6930 ; + RECT 3.5510 1.6510 3.5930 1.6930 ; + RECT 3.2470 1.6510 3.2890 1.6930 ; + RECT 0.5870 1.2140 0.6290 1.2560 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.7910 1.6510 2.8330 1.6930 ; + RECT 2.7150 1.3200 2.7570 1.3620 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 4.2350 1.0240 4.2770 1.0660 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 2.6390 1.6510 2.6810 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 4.5390 1.2080 4.5810 1.2500 ; + RECT 4.2350 1.1160 4.2770 1.1580 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 4.5390 1.3920 4.5810 1.4340 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 2.9430 1.6510 2.9850 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 3.9310 1.2080 3.9730 1.2500 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 4.5390 1.2080 4.5810 1.2500 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 4.5390 1.4840 4.5810 1.5260 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.1710 1.3400 3.2130 1.3820 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 4.5390 1.0240 4.5810 1.0660 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.1160 3.9730 1.1580 ; + RECT 3.9310 1.0240 3.9730 1.0660 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 4.5390 1.1160 4.5810 1.1580 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 3.9310 1.3920 3.9730 1.4340 ; + RECT 3.6270 1.3920 3.6690 1.4340 ; + RECT 4.2350 1.4840 4.2770 1.5260 ; + RECT 3.6270 1.4840 3.6690 1.5260 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 3.8550 1.6510 3.8970 1.6930 ; + RECT 3.7030 1.6510 3.7450 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 1.4990 0.9990 1.5410 1.0410 ; + RECT 3.9310 1.3000 3.9730 1.3420 ; + RECT 3.6270 1.1160 3.6690 1.1580 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 4.5390 1.3000 4.5810 1.3420 ; + RECT 3.3990 1.6510 3.4410 1.6930 ; + RECT 3.6270 1.0240 3.6690 1.0660 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 3.6270 1.2080 3.6690 1.2500 ; + RECT 3.0950 1.6510 3.1370 1.6930 ; + RECT 3.9310 1.4840 3.9730 1.5260 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.4350 1.2920 0.4770 1.3340 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 4.2350 1.2080 4.2770 1.2500 ; + RECT 4.2350 1.3000 4.2770 1.3420 ; + RECT 4.2350 1.3920 4.2770 1.4340 ; + RECT 3.6270 1.3000 3.6690 1.3420 ; + RECT 0.4350 1.2000 0.4770 1.2420 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 5.0160 0.0300 ; + RECT 1.4790 0.3180 2.3050 0.3680 ; + RECT 2.2550 0.1960 3.0650 0.2460 ; + RECT 3.6230 0.0300 3.6730 0.4540 ; + RECT 3.9270 0.0300 3.9770 0.4540 ; + RECT 4.2310 0.0300 4.2810 0.4540 ; + RECT 4.5350 0.0300 4.5850 0.4540 ; + RECT 0.4310 0.1960 0.9370 0.2460 ; + RECT 0.4310 0.2460 0.4810 0.4500 ; + RECT 0.4310 0.0300 0.4810 0.1960 ; + RECT 2.2550 0.3680 2.3050 0.4830 ; + RECT 1.8390 0.0300 1.8890 0.3180 ; + RECT 0.8870 0.2460 0.9370 0.4500 ; + RECT 0.5830 0.2460 0.6330 0.4500 ; + RECT 2.5590 0.2460 2.6090 0.4680 ; + RECT 3.0150 0.2460 3.0650 0.5820 ; + RECT 2.2550 0.2460 2.3050 0.3180 ; + END + PORT + LAYER CO ; + RECT 4.5390 0.1960 4.5810 0.2380 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.2590 0.3080 2.3010 0.3500 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 4.2350 0.1960 4.2770 0.2380 ; + RECT 3.0190 0.3070 3.0610 0.3490 ; + RECT 4.5390 0.3800 4.5810 0.4220 ; + RECT 4.2350 0.2880 4.2770 0.3300 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 4.5390 0.2880 4.5810 0.3300 ; + RECT 4.7670 -0.0210 4.8090 0.0210 ; + RECT 4.4630 -0.0210 4.5050 0.0210 ; + RECT 4.6150 -0.0210 4.6570 0.0210 ; + RECT 4.9190 -0.0210 4.9610 0.0210 ; + RECT 4.3110 -0.0210 4.3530 0.0210 ; + RECT 4.1590 -0.0210 4.2010 0.0210 ; + RECT 2.2590 0.4000 2.3010 0.4420 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 4.2350 0.3800 4.2770 0.4220 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.8030 0.3220 1.8450 0.3640 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 4.5390 0.3800 4.5810 0.4220 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 3.8550 -0.0210 3.8970 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 3.6270 0.1960 3.6690 0.2380 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 0.8910 0.2750 0.9330 0.3170 ; + RECT 4.2350 0.3800 4.2770 0.4220 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.4350 0.2920 0.4770 0.3340 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 3.6270 0.3800 3.6690 0.4220 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 4.2350 0.2880 4.2770 0.3300 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 4.5390 0.1960 4.5810 0.2380 ; + RECT 2.2590 0.2160 2.3010 0.2580 ; + RECT 0.8910 0.3670 0.9330 0.4090 ; + RECT 3.7030 -0.0210 3.7450 0.0210 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 4.0070 -0.0210 4.0490 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.4990 0.3220 1.5410 0.3640 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.5630 0.3140 2.6050 0.3560 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 2.5630 0.4060 2.6050 0.4480 ; + RECT 3.9310 0.3800 3.9730 0.4220 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 3.9310 0.2880 3.9730 0.3300 ; + RECT 4.5390 0.2880 4.5810 0.3300 ; + RECT 3.0190 0.3990 3.0610 0.4410 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + RECT 4.2350 0.1960 4.2770 0.2380 ; + RECT 0.4350 0.3880 0.4770 0.4300 ; + RECT 3.6270 0.2880 3.6690 0.3300 ; + RECT 0.5870 0.3670 0.6290 0.4090 ; + RECT 0.4350 0.2000 0.4770 0.2420 ; + RECT 0.5870 0.2750 0.6290 0.3170 ; + RECT 3.9310 0.1960 3.9730 0.2380 ; + END + END VSS + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 1.4240 0.4210 1.5760 ; + END + PORT + LAYER CO ; + RECT 0.3590 1.5300 0.4010 1.5720 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + OBS + LAYER CO ; + RECT 2.0310 0.1000 2.0730 0.1420 ; + RECT 1.0430 0.9540 1.0850 0.9960 ; + RECT 3.0190 1.1700 3.0610 1.2120 ; + RECT 4.3110 0.6080 4.3530 0.6500 ; + RECT 4.6150 0.6080 4.6570 0.6500 ; + RECT 1.5750 0.6220 1.6170 0.6640 ; + RECT 2.0310 1.5350 2.0730 1.5770 ; + RECT 1.0430 0.8620 1.0850 0.9040 ; + RECT 1.6510 0.8770 1.6930 0.9190 ; + RECT 1.0430 0.7700 1.0850 0.8120 ; + RECT 1.6510 0.7850 1.6930 0.8270 ; + RECT 3.3230 0.3910 3.3650 0.4330 ; + RECT 2.6390 1.4320 2.6810 1.4740 ; + RECT 3.5510 0.6080 3.5930 0.6500 ; + RECT 1.3470 0.5010 1.3890 0.5430 ; + RECT 1.3470 0.5010 1.3890 0.5430 ; + RECT 1.3470 0.5010 1.3890 0.5430 ; + RECT 1.3470 0.4090 1.3890 0.4510 ; + RECT 1.3470 0.4090 1.3890 0.4510 ; + RECT 1.3470 0.4090 1.3890 0.4510 ; + RECT 1.1950 0.4110 1.2370 0.4530 ; + RECT 1.0430 0.2300 1.0850 0.2720 ; + RECT 1.0430 0.3220 1.0850 0.3640 ; + RECT 1.0430 0.4140 1.0850 0.4560 ; + RECT 2.7910 0.6220 2.8330 0.6640 ; + RECT 2.4110 0.4140 2.4530 0.4560 ; + RECT 1.8790 0.6220 1.9210 0.6640 ; + RECT 2.8670 0.3220 2.9090 0.3640 ; + RECT 2.8670 1.1120 2.9090 1.1540 ; + RECT 4.0070 0.6080 4.0490 0.6500 ; + RECT 2.8670 1.2040 2.9090 1.2460 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 3.0950 0.1000 3.1370 0.1420 ; + RECT 1.3470 1.0760 1.3890 1.1180 ; + RECT 1.7270 0.1000 1.7690 0.1420 ; + RECT 0.2830 0.7600 0.3250 0.8020 ; + RECT 1.0430 0.5060 1.0850 0.5480 ; + RECT 1.2710 1.5300 1.3130 1.5720 ; + RECT 0.7390 0.8520 0.7810 0.8940 ; + RECT 2.5630 1.1070 2.6050 1.1490 ; + RECT 0.8150 1.5300 0.8570 1.5720 ; + RECT 0.7390 0.7600 0.7810 0.8020 ; + RECT 0.6630 0.1000 0.7050 0.1420 ; + RECT 3.7030 0.6080 3.7450 0.6500 ; + RECT 2.8670 0.5060 2.9090 0.5480 ; + RECT 0.6630 0.6220 0.7050 0.6640 ; + RECT 1.1950 0.5030 1.2370 0.5450 ; + RECT 3.3230 1.1990 3.3650 1.2410 ; + RECT 2.4110 1.1000 2.4530 1.1420 ; + RECT 4.4630 0.6080 4.5050 0.6500 ; + RECT 4.1590 0.6080 4.2010 0.6500 ; + RECT 2.0310 0.8320 2.0730 0.8740 ; + RECT 3.2470 0.7900 3.2890 0.8320 ; + RECT 1.6510 0.5220 1.6930 0.5640 ; + RECT 2.4110 0.5060 2.4530 0.5480 ; + RECT 1.2710 0.1000 1.3130 0.1420 ; + RECT 2.1070 0.4380 2.1490 0.4800 ; + RECT 1.0430 1.0460 1.0850 1.0880 ; + RECT 0.8150 0.6490 0.8570 0.6910 ; + RECT 1.1950 1.0760 1.2370 1.1180 ; + RECT 2.1070 0.5300 2.1490 0.5720 ; + RECT 1.3470 1.1680 1.3890 1.2100 ; + RECT 2.8670 0.4140 2.9090 0.4560 ; + RECT 3.8550 0.6080 3.8970 0.6500 ; + RECT 1.3470 0.3170 1.3890 0.3590 ; + RECT 3.3230 1.2910 3.3650 1.3330 ; + RECT 1.1950 1.1680 1.2370 1.2100 ; + RECT 0.7390 0.5060 0.7810 0.5480 ; + RECT 1.7270 1.5350 1.7690 1.5770 ; + RECT 0.7390 0.4140 0.7810 0.4560 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 0.2830 0.4420 0.3250 0.4840 ; + RECT 1.3470 0.3170 1.3890 0.3590 ; + RECT 1.3470 0.3170 1.3890 0.3590 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 1.1950 0.3190 1.2370 0.3610 ; + RECT 0.2830 0.8520 0.3250 0.8940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 5.1310 1.7880 ; + LAYER M1 ; + RECT 2.8630 0.7860 3.3090 0.8360 ; + RECT 2.5420 1.1030 2.9130 1.1530 ; + RECT 2.8630 0.2960 2.9130 0.5180 ; + RECT 2.8630 0.5180 2.9530 0.5680 ; + RECT 2.9030 0.5680 2.9530 0.7860 ; + RECT 2.8630 1.1530 2.9130 1.2660 ; + RECT 2.8630 0.8360 2.9130 1.1030 ; + RECT 2.0110 0.0960 3.1570 0.1460 ; + RECT 1.0390 1.2040 1.2410 1.2540 ; + RECT 1.0390 0.5180 1.2410 0.5680 ; + RECT 1.0390 0.7500 1.0890 1.2040 ; + RECT 1.0390 0.1960 1.0890 0.5180 ; + RECT 1.1910 0.5680 1.2410 1.2040 ; + RECT 1.1910 0.2820 1.2410 0.5180 ; + RECT 1.6310 0.5180 1.7370 0.5680 ; + RECT 1.6470 0.7510 1.7370 0.8010 ; + RECT 1.6870 0.6180 1.9410 0.6680 ; + RECT 1.6470 0.8010 1.6970 0.9920 ; + RECT 1.6870 0.6680 1.7370 0.7510 ; + RECT 1.6870 0.5680 1.7370 0.6180 ; + RECT 3.3190 0.6040 4.6770 0.6540 ; + RECT 3.3190 0.8860 3.4090 0.9360 ; + RECT 2.9980 1.1660 3.3690 1.2160 ; + RECT 3.3590 0.6540 3.4090 0.8860 ; + RECT 3.3190 0.2820 3.3690 0.6040 ; + RECT 3.3190 1.2160 3.3690 1.3580 ; + RECT 3.3190 0.9360 3.3690 1.1660 ; + RECT 2.4940 0.6180 2.8530 0.6680 ; + RECT 2.4070 0.5180 2.5440 0.5680 ; + RECT 2.4070 0.7700 2.4570 1.1620 ; + RECT 2.4940 0.6680 2.5440 0.7200 ; + RECT 2.4070 0.7200 2.5440 0.7700 ; + RECT 2.4070 0.3940 2.4570 0.5180 ; + RECT 2.4940 0.5680 2.5440 0.6180 ; + RECT 1.6070 1.0420 2.0260 1.0920 ; + RECT 1.9760 0.8280 2.0930 0.8780 ; + RECT 1.9760 0.8780 2.0260 1.0420 ; + RECT 0.7950 1.5260 1.6570 1.5760 ; + RECT 1.6070 1.0920 1.6570 1.5260 ; + RECT 0.2790 0.6180 0.7250 0.6680 ; + RECT 0.2790 0.6680 0.3290 0.9140 ; + RECT 0.2790 0.4220 0.3290 0.6180 ; + RECT 0.7350 0.5180 0.8610 0.5680 ; + RECT 0.7350 0.7180 0.8610 0.7680 ; + RECT 0.8110 0.5680 0.8610 0.7180 ; + RECT 0.7350 0.7680 0.7850 0.9140 ; + RECT 0.7350 0.3940 0.7850 0.5180 ; + RECT 2.5620 1.4280 2.7010 1.4780 ; + RECT 2.0870 1.2200 2.6120 1.2700 ; + RECT 2.5620 1.2700 2.6120 1.4280 ; + RECT 2.1030 0.7280 2.2880 0.7780 ; + RECT 2.2380 0.7780 2.2880 1.2200 ; + RECT 1.3430 0.6680 1.3930 1.2540 ; + RECT 1.3430 0.4680 1.3930 0.6180 ; + RECT 1.3430 0.2820 1.3930 0.4180 ; + RECT 1.3430 0.6180 1.6370 0.6680 ; + RECT 1.3430 0.4180 2.1530 0.4680 ; + RECT 2.1030 0.4680 2.1530 0.7280 ; + RECT 0.6430 0.0960 1.7890 0.1460 ; + RECT 1.7070 1.5310 2.0930 1.5810 ; + LAYER PO ; + RECT 2.0370 0.0680 2.0670 0.9060 ; + RECT 4.0130 0.0680 4.0430 1.6060 ; + RECT 4.3170 0.0680 4.3470 1.6060 ; + RECT 0.9730 0.0680 1.0030 1.6060 ; + RECT 4.4690 0.0680 4.4990 1.6060 ; + RECT 4.1650 0.0680 4.1950 1.6060 ; + RECT 4.7730 0.0680 4.8030 1.6060 ; + RECT 4.6210 0.0680 4.6510 1.6060 ; + RECT 4.9250 0.0680 4.9550 1.6060 ; + RECT 3.2530 0.0680 3.2830 1.6060 ; + RECT 2.3410 0.0680 2.3710 1.6060 ; + RECT 0.0610 0.0680 0.0910 1.6060 ; + RECT 0.2130 0.0680 0.2430 1.6060 ; + RECT 2.0370 1.0820 2.0670 1.6060 ; + RECT 0.8210 0.0680 0.8510 1.6060 ; + RECT 3.8610 0.0680 3.8910 1.6060 ; + RECT 2.7970 0.0680 2.8270 1.6060 ; + RECT 2.1890 0.0680 2.2190 1.6060 ; + RECT 0.3650 0.0680 0.3950 1.6060 ; + RECT 2.6450 0.0680 2.6750 1.6060 ; + RECT 1.8850 0.0680 1.9150 1.6060 ; + RECT 0.5170 0.0680 0.5470 1.6060 ; + RECT 2.9490 0.0680 2.9790 1.6060 ; + RECT 3.7090 0.0680 3.7390 1.6060 ; + RECT 1.1250 0.0680 1.1550 1.6060 ; + RECT 0.6690 0.0680 0.6990 1.6060 ; + RECT 3.5570 0.0680 3.5870 1.6060 ; + RECT 1.5810 0.0680 1.6110 1.6060 ; + RECT 3.4050 0.0680 3.4350 1.6060 ; + RECT 1.2770 0.0680 1.3070 0.6420 ; + RECT 1.2770 0.9900 1.3070 1.6060 ; + RECT 2.4930 0.0680 2.5230 1.6060 ; + RECT 1.7330 0.0680 1.7630 1.6060 ; + RECT 1.4290 0.0680 1.4590 1.6060 ; + RECT 3.1010 0.0680 3.1310 1.6060 ; + END +END CGLPPSX8_HVT + +MACRO AOI21X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8770 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1240 0.8570 0.1660 ; + END + ANTENNAGATEAREA 0.0213 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.0390 1.0210 1.0890 1.6420 ; + RECT 0.2790 1.1200 0.3290 1.6420 ; + RECT 0.5830 1.2120 0.6330 1.6420 ; + RECT 1.4950 1.2780 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.2320 0.6290 1.2740 ; + RECT 0.5870 1.4160 0.6290 1.4580 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 0.2830 1.2320 0.3250 1.2740 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.0430 1.4090 1.0850 1.4510 ; + RECT 1.0430 1.2250 1.0850 1.2670 ; + RECT 1.0430 1.3170 1.0850 1.3590 ; + RECT 1.0430 1.0410 1.0850 1.0830 ; + RECT 1.0430 1.1330 1.0850 1.1750 ; + RECT 0.2830 1.1400 0.3250 1.1820 ; + RECT 0.2830 1.3240 0.3250 1.3660 ; + RECT 0.2830 1.4160 0.3250 1.4580 ; + RECT 0.5870 1.3240 0.6290 1.3660 ; + END + END VDD + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.8570 0.6630 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8970 0.5530 0.9390 ; + END + ANTENNAGATEAREA 0.0243 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.5620 ; + RECT 1.4950 0.0300 1.5450 0.3970 ; + RECT 0.7350 0.3450 0.7850 0.5690 ; + RECT 0.2790 0.3450 0.3290 0.5690 ; + RECT 0.2790 0.2950 0.7850 0.3450 ; + RECT 0.2790 0.0300 0.3290 0.2950 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4990 0.1510 1.5410 0.1930 ; + RECT 0.7390 0.5070 0.7810 0.5490 ; + RECT 1.4990 0.2430 1.5410 0.2850 ; + RECT 0.7390 0.4150 0.7810 0.4570 ; + RECT 1.0430 0.5000 1.0850 0.5420 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.0430 0.4080 1.0850 0.4500 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.5070 0.3250 0.5490 ; + RECT 0.2830 0.4150 0.3250 0.4570 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7320 0.4010 0.7740 ; + END + ANTENNAGATEAREA 0.0243 ; + END A2 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.0090 1.7270 1.1190 ; + RECT 1.6480 1.1190 1.6980 1.4700 ; + RECT 1.6480 0.7000 1.7360 0.7500 ; + RECT 1.3190 0.7440 1.3930 0.7940 ; + RECT 1.6480 0.7500 1.6980 1.0090 ; + RECT 1.6480 0.1600 1.6980 0.4680 ; + RECT 1.3430 0.1600 1.3930 0.4680 ; + RECT 1.3430 0.7940 1.3930 1.1020 ; + RECT 1.3190 0.4680 1.7360 0.5180 ; + RECT 1.6860 0.5180 1.7360 0.7000 ; + RECT 1.3190 0.5180 1.3690 0.7440 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.0400 1.3890 1.0820 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 1.6510 0.2720 1.6930 0.3140 ; + RECT 1.6510 1.1320 1.6930 1.1740 ; + RECT 1.6510 1.2240 1.6930 1.2660 ; + RECT 1.6510 1.3160 1.6930 1.3580 ; + RECT 1.3470 0.9480 1.3890 0.9900 ; + RECT 1.6510 0.1800 1.6930 0.2220 ; + RECT 1.3470 0.3640 1.3890 0.4060 ; + RECT 1.6510 1.4080 1.6930 1.4500 ; + RECT 1.3470 0.1800 1.3890 0.2220 ; + RECT 1.3470 0.2720 1.3890 0.3140 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 1.6510 0.3640 1.6930 0.4060 ; + RECT 1.6510 0.4560 1.6930 0.4980 ; + RECT 1.6510 0.8560 1.6930 0.8980 ; + RECT 1.6510 0.7640 1.6930 0.8060 ; + RECT 1.3470 0.8560 1.3890 0.8980 ; + RECT 1.3470 0.7640 1.3890 0.8060 ; + RECT 1.3470 0.4560 1.3890 0.4980 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7870 ; + LAYER M1 ; + RECT 1.4190 0.5680 1.6210 0.6500 ; + RECT 1.4950 0.6500 1.5450 1.1520 ; + RECT 1.1910 1.1520 1.5450 1.2020 ; + RECT 1.1910 1.0400 1.2690 1.0900 ; + RECT 1.1910 0.6060 1.2690 0.6560 ; + RECT 1.1910 1.2020 1.2410 1.5630 ; + RECT 1.1910 1.0900 1.2410 1.1520 ; + RECT 1.1910 0.3880 1.2410 0.6060 ; + RECT 1.2190 0.6560 1.2690 1.0400 ; + RECT 1.1170 0.7120 1.1670 0.7760 ; + RECT 0.8870 0.7760 1.1670 0.8260 ; + RECT 0.5830 0.3950 0.6330 0.6510 ; + RECT 0.8870 0.8260 0.9370 1.5750 ; + RECT 0.5830 0.6510 0.9370 0.7010 ; + RECT 0.8870 0.7010 0.9370 0.7760 ; + RECT 0.8870 0.3950 0.9370 0.6510 ; + RECT 0.7350 1.1270 0.7850 1.5700 ; + RECT 0.4310 1.0770 0.7850 1.1270 ; + RECT 0.4310 1.1270 0.4810 1.5750 ; + LAYER PO ; + RECT 1.7330 0.0560 1.7630 1.5970 ; + RECT 0.9730 0.0640 1.0030 1.6130 ; + RECT 1.1250 0.0590 1.1550 1.6130 ; + RECT 1.2770 0.0590 1.3070 1.6130 ; + RECT 0.2130 0.0640 0.2430 1.6130 ; + RECT 1.4290 0.0640 1.4590 1.6040 ; + RECT 1.5810 0.0520 1.6110 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6200 ; + RECT 0.0610 0.0640 0.0910 1.6130 ; + RECT 1.8850 0.0560 1.9150 1.5970 ; + RECT 0.3650 0.0660 0.3950 1.6200 ; + RECT 0.6690 0.0710 0.6990 1.6200 ; + RECT 0.8210 0.0660 0.8510 1.6200 ; + LAYER CO ; + RECT 0.4350 1.1400 0.4770 1.1820 ; + RECT 0.4350 1.2320 0.4770 1.2740 ; + RECT 1.4230 0.5880 1.4650 0.6300 ; + RECT 0.8910 1.3240 0.9330 1.3660 ; + RECT 0.8910 0.5070 0.9330 0.5490 ; + RECT 0.5870 0.4150 0.6290 0.4570 ; + RECT 0.7390 1.4160 0.7810 1.4580 ; + RECT 0.8910 0.4150 0.9330 0.4570 ; + RECT 1.1950 0.4080 1.2370 0.4500 ; + RECT 0.8910 1.2320 0.9330 1.2740 ; + RECT 0.7390 1.1400 0.7810 1.1820 ; + RECT 1.1190 0.7320 1.1610 0.7740 ; + RECT 1.1950 0.5000 1.2370 0.5420 ; + RECT 1.1950 1.4090 1.2370 1.4510 ; + RECT 1.1950 1.3170 1.2370 1.3590 ; + RECT 1.1950 1.2250 1.2370 1.2670 ; + RECT 1.1950 1.1330 1.2370 1.1750 ; + RECT 0.8910 1.0480 0.9330 1.0900 ; + RECT 0.8910 1.1400 0.9330 1.1820 ; + RECT 1.5750 0.5880 1.6170 0.6300 ; + RECT 0.7390 1.3240 0.7810 1.3660 ; + RECT 0.8910 1.4160 0.9330 1.4580 ; + RECT 0.7390 1.2320 0.7810 1.2740 ; + RECT 0.4350 1.4160 0.4770 1.4580 ; + RECT 0.5870 0.5070 0.6290 0.5490 ; + RECT 0.4350 1.3240 0.4770 1.3660 ; + END +END AOI21X2_HVT + +MACRO AOI221X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.1610 1.8790 1.2710 ; + RECT 1.7990 1.2710 1.8490 1.4720 ; + RECT 1.8490 0.4900 1.8990 0.8030 ; + RECT 1.7990 0.8520 1.8890 0.8530 ; + RECT 1.7990 0.8530 1.8490 1.1610 ; + RECT 1.7990 0.8030 1.8990 0.8520 ; + RECT 1.7990 0.1140 1.8490 0.4260 ; + RECT 1.7990 0.4260 1.8990 0.4900 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.8580 1.8450 0.9000 ; + RECT 1.8030 1.0420 1.8450 1.0840 ; + RECT 1.8030 0.1420 1.8450 0.1840 ; + RECT 1.8030 0.9500 1.8450 0.9920 ; + RECT 1.8030 0.2340 1.8450 0.2760 ; + RECT 1.8030 0.3260 1.8450 0.3680 ; + RECT 1.8030 0.4190 1.8450 0.4610 ; + RECT 1.8030 1.4100 1.8450 1.4520 ; + RECT 1.8030 1.2260 1.8450 1.2680 ; + RECT 1.8030 1.3180 1.8450 1.3600 ; + RECT 1.8030 1.1340 1.8450 1.1760 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1360 0.8570 0.1780 ; + END + ANTENNAGATEAREA 0.024 ; + END A3 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.4890 ; + RECT 1.6470 0.0300 1.6970 0.5110 ; + RECT 0.5830 0.0300 0.6330 0.4190 ; + RECT 1.0400 0.0300 1.0900 0.3340 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5870 0.2650 0.6290 0.3070 ; + RECT 0.5870 0.3570 0.6290 0.3990 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.0430 0.2720 1.0850 0.3140 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 1.6510 0.3560 1.6930 0.3980 ; + RECT 1.6510 0.1720 1.6930 0.2140 ; + RECT 1.6510 0.2640 1.6930 0.3060 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.6510 0.4490 1.6930 0.4910 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5550 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6010 0.5530 0.6430 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.3430 1.1670 1.3930 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + RECT 1.6470 1.2060 1.6970 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.6510 1.4100 1.6930 1.4520 ; + RECT 1.6510 1.2260 1.6930 1.2680 ; + RECT 1.6510 1.3180 1.6930 1.3600 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.8500 0.7250 0.9000 ; + RECT 0.6130 0.9000 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8540 0.7050 0.8960 ; + END + ANTENNAGATEAREA 0.024 ; + END A4 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 0.8520 0.4340 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8560 0.4010 0.8980 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0070 0.7730 1.1810 0.8230 ; + RECT 1.0090 0.7050 1.1190 0.7730 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7770 1.1610 0.8190 ; + END + ANTENNAGATEAREA 0.0201 ; + END A5 + OBS + LAYER CO ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.2830 0.3530 0.3250 0.3950 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 0.8910 0.3740 0.9330 0.4160 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 1.0430 1.1270 1.0850 1.1690 ; + RECT 0.8910 0.2820 0.9330 0.3240 ; + RECT 0.2830 0.2610 0.3250 0.3030 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.1950 1.1270 1.2370 1.1690 ; + RECT 1.1950 0.2800 1.2370 0.3220 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.4990 0.3350 1.5410 0.3770 ; + RECT 1.7270 0.6270 1.7690 0.6690 ; + RECT 1.4230 0.8000 1.4650 0.8420 ; + RECT 1.4990 0.4270 1.5410 0.4690 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7870 ; + LAYER M1 ; + RECT 1.4190 0.7740 1.4690 0.9070 ; + RECT 0.8870 0.9070 1.4690 0.9450 ; + RECT 1.2330 0.9450 1.4690 0.9570 ; + RECT 0.2790 0.2410 0.3290 0.7310 ; + RECT 0.8870 0.7810 0.9370 0.8950 ; + RECT 0.2790 0.7310 0.9370 0.7810 ; + RECT 0.8870 0.2620 0.9370 0.7310 ; + RECT 1.1910 0.2530 1.2410 0.6730 ; + RECT 1.1910 1.0810 1.2410 1.5570 ; + RECT 1.1910 0.6730 1.2820 0.6740 ; + RECT 1.1910 0.6740 1.2830 0.7230 ; + RECT 0.8870 0.8950 1.2830 0.9070 ; + RECT 1.2330 0.7230 1.2830 0.8950 ; + RECT 1.2330 0.9570 1.2830 1.0170 ; + RECT 1.1910 1.0170 1.2830 1.0810 ; + RECT 1.4950 0.6230 1.7890 0.6730 ; + RECT 1.4950 1.0020 1.6650 1.0520 ; + RECT 1.6150 0.6730 1.6650 1.0020 ; + RECT 1.4950 1.0520 1.5450 1.5490 ; + RECT 1.4950 0.3150 1.5450 0.6230 ; + RECT 0.7350 1.0070 1.0890 1.0570 ; + RECT 1.0390 1.0570 1.0890 1.5570 ; + RECT 0.7350 1.0570 0.7850 1.2230 ; + RECT 0.5830 1.3230 0.6330 1.5570 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.8850 0.0630 1.9150 1.6040 ; + RECT 1.5810 0.0630 1.6110 1.6040 ; + RECT 1.2770 0.0750 1.3070 1.6210 ; + RECT 1.7330 0.0640 1.7630 1.6040 ; + RECT 1.4290 0.0590 1.4590 1.6210 ; + RECT 2.0370 0.0630 2.0670 1.6040 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + END +END AOI221X1_HVT + +MACRO AOI221X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 1.0090 2.0310 1.1190 ; + RECT 1.9520 1.1190 2.0020 1.4700 ; + RECT 1.9520 0.7000 2.0400 0.7500 ; + RECT 1.6230 0.7440 1.6970 0.7940 ; + RECT 1.9520 0.7500 2.0020 1.0090 ; + RECT 1.9520 0.1600 2.0020 0.4680 ; + RECT 1.6470 0.1600 1.6970 0.4680 ; + RECT 1.6470 0.7940 1.6970 1.1020 ; + RECT 1.6230 0.4680 2.0400 0.5180 ; + RECT 1.9900 0.5180 2.0400 0.7000 ; + RECT 1.6230 0.5180 1.6730 0.7440 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.2720 1.6930 0.3140 ; + RECT 1.9550 0.1800 1.9970 0.2220 ; + RECT 1.9550 1.3160 1.9970 1.3580 ; + RECT 1.9550 1.4080 1.9970 1.4500 ; + RECT 1.9550 1.2240 1.9970 1.2660 ; + RECT 1.9550 1.1320 1.9970 1.1740 ; + RECT 1.6510 0.1800 1.6930 0.2220 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 1.9550 1.0400 1.9970 1.0820 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 1.9550 0.9480 1.9970 0.9900 ; + RECT 1.6510 0.7640 1.6930 0.8060 ; + RECT 1.9550 0.7640 1.9970 0.8060 ; + RECT 1.9550 0.8560 1.9970 0.8980 ; + RECT 1.9550 0.4560 1.9970 0.4980 ; + RECT 1.9550 0.3640 1.9970 0.4060 ; + RECT 1.6510 0.3640 1.6930 0.4060 ; + RECT 1.9550 0.2720 1.9970 0.3140 ; + RECT 1.6510 0.4560 1.6930 0.4980 ; + RECT 1.6510 0.8560 1.6930 0.8980 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7730 1.1830 0.8230 ; + RECT 1.0090 0.8230 1.1190 0.8240 ; + RECT 1.0090 0.7050 1.1190 0.7730 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7770 1.1610 0.8190 ; + END + ANTENNAGATEAREA 0.0228 ; + END A5 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.4270 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8600 0.4010 0.9020 ; + END + ANTENNAGATEAREA 0.0252 ; + END A1 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.8610 0.7250 0.9110 ; + RECT 0.6130 0.9110 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8650 0.7050 0.9070 ; + END + ANTENNAGATEAREA 0.0252 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.7990 1.2880 1.8490 1.6420 ; + RECT 1.3430 1.1120 1.3930 1.6420 ; + RECT 0.4310 1.3870 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.8030 1.3080 1.8450 1.3500 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.4070 0.4770 1.4490 ; + RECT 1.8030 1.4000 1.8450 1.4420 ; + RECT 1.3470 1.3170 1.3890 1.3590 ; + RECT 1.3470 1.2250 1.3890 1.2670 ; + RECT 1.3470 1.4090 1.3890 1.4510 ; + RECT 1.3470 1.1320 1.3890 1.1740 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5560 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5850 0.5530 0.6270 ; + END + ANTENNAGATEAREA 0.0252 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.5130 ; + RECT 1.7990 0.0300 1.8490 0.3800 ; + RECT 1.0400 0.0300 1.0900 0.4140 ; + RECT 0.5830 0.0300 0.6330 0.4370 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2600 1.0850 0.3020 ; + RECT 0.5870 0.3750 0.6290 0.4170 ; + RECT 0.5870 0.2830 0.6290 0.3250 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.3470 0.1750 1.3890 0.2170 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.8030 0.3180 1.8450 0.3600 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.0430 0.3520 1.0850 0.3940 ; + RECT 1.8030 0.2260 1.8450 0.2680 ; + RECT 1.3470 0.3590 1.3890 0.4010 ; + RECT 1.3470 0.2670 1.3890 0.3090 ; + RECT 1.3470 0.4510 1.3890 0.4930 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1310 0.8570 0.1730 ; + END + ANTENNAGATEAREA 0.0252 ; + END A3 + OBS + LAYER CO ; + RECT 1.4990 1.1330 1.5410 1.1750 ; + RECT 1.0430 1.4160 1.0850 1.4580 ; + RECT 1.0430 1.3240 1.0850 1.3660 ; + RECT 1.0430 1.2320 1.0850 1.2740 ; + RECT 1.1950 0.2600 1.2370 0.3020 ; + RECT 1.1950 1.4220 1.2370 1.4640 ; + RECT 1.1950 1.1460 1.2370 1.1880 ; + RECT 1.1950 1.3300 1.2370 1.3720 ; + RECT 1.1950 1.2380 1.2370 1.2800 ; + RECT 0.8910 1.3250 0.9330 1.3670 ; + RECT 0.2830 0.2620 0.3250 0.3040 ; + RECT 0.8910 0.2810 0.9330 0.3230 ; + RECT 0.8910 1.4170 0.9330 1.4590 ; + RECT 0.8910 0.3730 0.9330 0.4150 ; + RECT 0.2830 0.3540 0.3250 0.3960 ; + RECT 0.5870 1.3250 0.6290 1.3670 ; + RECT 0.5870 1.4170 0.6290 1.4590 ; + RECT 0.7390 1.0830 0.7810 1.1250 ; + RECT 0.7390 1.1750 0.7810 1.2170 ; + RECT 0.2830 1.4170 0.3250 1.4590 ; + RECT 0.2830 1.3250 0.3250 1.3670 ; + RECT 1.0430 1.1400 1.0850 1.1820 ; + RECT 1.1950 0.3520 1.2370 0.3940 ; + RECT 1.4990 0.1750 1.5410 0.2170 ; + RECT 1.4990 1.2250 1.5410 1.2670 ; + RECT 1.4990 1.3170 1.5410 1.3590 ; + RECT 1.4990 1.4090 1.5410 1.4510 ; + RECT 1.7270 0.5880 1.7690 0.6300 ; + RECT 1.4990 0.4510 1.5410 0.4930 ; + RECT 1.8790 0.5880 1.9210 0.6300 ; + RECT 1.4990 0.2670 1.5410 0.3090 ; + RECT 1.4990 0.3590 1.5410 0.4010 ; + RECT 1.4230 0.8680 1.4650 0.9100 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.3950 1.7870 ; + LAYER M1 ; + RECT 1.7230 0.5680 1.9250 0.6500 ; + RECT 1.7990 0.6500 1.8490 1.1700 ; + RECT 1.4950 1.1700 1.8490 1.2200 ; + RECT 1.4950 1.0550 1.5730 1.1050 ; + RECT 1.4950 0.6060 1.5730 0.6560 ; + RECT 1.4950 1.2200 1.5450 1.5630 ; + RECT 1.4950 1.1050 1.5450 1.1700 ; + RECT 1.4950 0.1550 1.5450 0.6060 ; + RECT 1.5230 0.6560 1.5730 1.0550 ; + RECT 1.4200 0.8480 1.4700 0.9110 ; + RECT 1.1900 0.9580 1.4700 0.9610 ; + RECT 0.8870 0.9110 1.4700 0.9580 ; + RECT 0.2790 0.2250 0.3290 0.7130 ; + RECT 0.2790 0.7130 0.9370 0.7630 ; + RECT 0.8870 0.7630 0.9370 0.9080 ; + RECT 0.8870 0.5150 0.9370 0.7130 ; + RECT 0.8870 0.2610 0.9370 0.4650 ; + RECT 1.1900 0.9610 1.2400 1.4840 ; + RECT 1.1910 0.2400 1.2410 0.4650 ; + RECT 0.8870 0.9080 1.2400 0.9110 ; + RECT 0.8870 0.5140 1.2260 0.5150 ; + RECT 0.8870 0.4650 1.2410 0.5140 ; + RECT 1.0390 1.0710 1.0890 1.5700 ; + RECT 0.7350 1.0710 0.7850 1.2370 ; + RECT 0.7550 1.0210 1.0890 1.0250 ; + RECT 0.7350 1.0250 1.0890 1.0710 ; + RECT 0.8870 1.3370 0.9370 1.5720 ; + RECT 0.5830 1.3370 0.6330 1.5720 ; + RECT 0.2790 1.2870 0.9370 1.3370 ; + RECT 0.2790 1.3370 0.3290 1.5720 ; + LAYER PO ; + RECT 2.0370 0.0560 2.0670 1.6190 ; + RECT 1.7330 0.0640 1.7630 1.6200 ; + RECT 2.1890 0.0560 2.2190 1.5970 ; + RECT 1.5810 0.0760 1.6110 1.6210 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + RECT 1.8850 0.0520 1.9150 1.6180 ; + RECT 1.4290 0.0590 1.4590 1.6130 ; + RECT 1.1250 0.0770 1.1550 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + END +END AOI221X2_HVT + +MACRO AOI222X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.9210 1.1610 2.0310 1.2710 ; + RECT 1.9510 0.5040 2.0510 0.5540 ; + RECT 1.9510 1.2710 2.0010 1.4720 ; + RECT 1.9510 0.1950 2.0010 0.5040 ; + RECT 2.0010 0.5540 2.0510 0.8030 ; + RECT 1.9510 0.8520 2.0410 0.8530 ; + RECT 1.9510 0.8530 2.0010 1.1610 ; + RECT 1.9510 0.8030 2.0510 0.8520 ; + END + PORT + LAYER CO ; + RECT 1.9550 1.0420 1.9970 1.0840 ; + RECT 1.9550 0.8580 1.9970 0.9000 ; + RECT 1.9550 0.4920 1.9970 0.5340 ; + RECT 1.9550 0.2150 1.9970 0.2570 ; + RECT 1.9550 0.4000 1.9970 0.4420 ; + RECT 1.9550 1.4100 1.9970 1.4520 ; + RECT 1.9550 1.2260 1.9970 1.2680 ; + RECT 1.9550 1.3180 1.9970 1.3600 ; + RECT 1.9550 1.1340 1.9970 1.1760 ; + RECT 1.9550 0.9500 1.9970 0.9920 ; + RECT 1.9550 0.3070 1.9970 0.3490 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7590 1.1810 0.8090 ; + RECT 1.0090 0.6910 1.1190 0.7590 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7630 1.1610 0.8050 ; + END + ANTENNAGATEAREA 0.0228 ; + END A5 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8430 0.4040 0.9530 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8900 0.4010 0.9320 ; + END + ANTENNAGATEAREA 0.0228 ; + END A1 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.9950 0.6630 1.1050 ; + RECT 0.6130 0.8530 0.7250 0.9030 ; + RECT 0.6130 0.9030 0.6630 0.9950 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8570 0.7050 0.8990 ; + END + ANTENNAGATEAREA 0.0228 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.4950 1.1880 1.5450 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + RECT 1.7980 0.7360 1.8480 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 1.8030 1.0320 1.8450 1.0740 ; + RECT 1.8030 1.1240 1.8450 1.1660 ; + RECT 1.8030 0.7560 1.8450 0.7980 ; + RECT 1.8030 0.9400 1.8450 0.9820 ; + RECT 1.8030 1.2160 1.8450 1.2580 ; + RECT 1.4990 1.4090 1.5410 1.4510 ; + RECT 1.4990 1.2250 1.5410 1.2670 ; + RECT 1.4990 1.3170 1.5410 1.3590 ; + RECT 1.8030 1.4920 1.8450 1.5340 ; + RECT 1.8030 1.3080 1.8450 1.3500 ; + RECT 1.8030 1.4000 1.8450 1.4420 ; + RECT 1.8030 0.8480 1.8450 0.8900 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5580 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5850 0.5530 0.6270 ; + END + ANTENNAGATEAREA 0.0228 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.4860 ; + RECT 1.7990 0.0300 1.8490 0.5540 ; + RECT 1.3430 0.0300 1.3930 0.4370 ; + RECT 0.5830 0.0300 0.6330 0.4650 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.4030 0.6290 0.4450 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.5870 0.3110 0.6290 0.3530 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.3470 0.3750 1.3890 0.4170 ; + RECT 1.3470 0.2830 1.3890 0.3250 ; + RECT 1.4990 0.3160 1.5410 0.3580 ; + RECT 1.4990 0.4080 1.5410 0.4500 ; + RECT 1.8030 0.4920 1.8450 0.5340 ; + RECT 1.8030 0.3080 1.8450 0.3500 ; + RECT 1.8030 0.4000 1.8450 0.4420 ; + RECT 1.8030 0.2160 1.8450 0.2580 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1290 0.8570 0.1710 ; + END + ANTENNAGATEAREA 0.0228 ; + END A3 + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1590 0.4010 1.2690 0.5110 ; + RECT 1.1590 0.5110 1.2090 0.5830 ; + RECT 1.1590 0.5830 1.3330 0.6330 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.5870 1.3130 0.6290 ; + END + ANTENNAGATEAREA 0.0228 ; + END A6 + OBS + LAYER CO ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.2830 0.2950 0.3250 0.3370 ; + RECT 0.8910 0.3160 0.9330 0.3580 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 0.8910 0.4080 0.9330 0.4500 ; + RECT 0.2830 0.3870 0.3250 0.4290 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 1.0430 1.1220 1.0850 1.1640 ; + RECT 1.0430 1.2140 1.0850 1.2560 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 1.3470 1.3060 1.3890 1.3480 ; + RECT 1.3470 1.2140 1.3890 1.2560 ; + RECT 1.6510 0.4080 1.6930 0.4500 ; + RECT 1.6510 0.3160 1.6930 0.3580 ; + RECT 1.5750 0.9110 1.6170 0.9530 ; + RECT 1.8790 0.6270 1.9210 0.6690 ; + RECT 1.6510 1.4090 1.6930 1.4510 ; + RECT 1.6510 1.3170 1.6930 1.3590 ; + RECT 1.6510 1.2250 1.6930 1.2670 ; + RECT 1.3470 1.1220 1.3890 1.1640 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.0430 0.4090 1.0850 0.4510 ; + RECT 1.0430 0.3170 1.0850 0.3590 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.1950 1.4080 1.2370 1.4500 ; + RECT 1.1950 1.1320 1.2370 1.1740 ; + RECT 1.1950 1.3160 1.2370 1.3580 ; + RECT 1.1950 1.2240 1.2370 1.2660 ; + LAYER NWELL ; + RECT -0.1160 0.6790 2.3960 1.7880 ; + LAYER M1 ; + RECT 1.6470 0.6230 1.9410 0.6730 ; + RECT 1.6470 1.0910 1.6970 1.5630 ; + RECT 1.6470 0.2740 1.6970 0.6230 ; + RECT 1.6870 0.6730 1.7370 1.0410 ; + RECT 1.6470 1.0410 1.7370 1.0910 ; + RECT 0.8870 0.9080 1.6370 0.9580 ; + RECT 0.2790 0.7750 0.3290 0.7860 ; + RECT 0.2790 0.2750 0.3290 0.7250 ; + RECT 1.1900 0.9580 1.2400 1.4700 ; + RECT 1.1900 0.8950 1.2400 0.9080 ; + RECT 0.8870 0.2900 1.0880 0.3400 ; + RECT 1.0380 0.3400 1.0880 0.4710 ; + RECT 0.2790 0.7250 0.9370 0.7750 ; + RECT 0.8870 0.7750 0.9370 0.9080 ; + RECT 0.8870 0.3400 0.9370 0.7250 ; + RECT 1.0390 1.5200 1.3920 1.5700 ; + RECT 1.3420 1.1020 1.3920 1.5200 ; + RECT 1.0390 1.0990 1.0890 1.5200 ; + RECT 0.7350 1.0990 0.7850 1.2230 ; + RECT 0.7350 1.0490 1.0890 1.0990 ; + RECT 0.8870 1.3230 0.9370 1.5580 ; + RECT 0.5830 1.3230 0.6330 1.5580 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.2790 1.3230 0.3290 1.5580 ; + LAYER PO ; + RECT 2.0370 0.0630 2.0670 1.6040 ; + RECT 1.7330 0.0630 1.7630 1.6040 ; + RECT 1.4290 0.0750 1.4590 1.6160 ; + RECT 1.5810 0.0590 1.6110 1.6130 ; + RECT 2.1890 0.0630 2.2190 1.6040 ; + RECT 1.8850 0.0640 1.9150 1.6040 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + END +END AOI222X1_HVT + +MACRO AOI222X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0730 1.0090 2.1830 1.1190 ; + RECT 2.1040 1.1190 2.1540 1.4700 ; + RECT 2.1040 0.7000 2.1920 0.7500 ; + RECT 1.7750 0.4680 1.8490 0.4690 ; + RECT 1.7750 0.7440 1.8490 0.7940 ; + RECT 2.1040 0.7500 2.1540 1.0090 ; + RECT 2.1030 0.1600 2.1530 0.4680 ; + RECT 1.7990 0.1600 1.8490 0.4680 ; + RECT 1.7990 0.7940 1.8490 1.1020 ; + RECT 1.7750 0.5190 1.8250 0.7440 ; + RECT 2.1420 0.5190 2.1920 0.7000 ; + RECT 2.1030 0.4680 2.1920 0.4690 ; + RECT 1.7750 0.4690 2.1920 0.5190 ; + END + PORT + LAYER CO ; + RECT 2.1070 0.7640 2.1490 0.8060 ; + RECT 2.1070 0.8560 2.1490 0.8980 ; + RECT 2.1070 0.4560 2.1490 0.4980 ; + RECT 2.1070 0.3640 2.1490 0.4060 ; + RECT 1.8030 0.3640 1.8450 0.4060 ; + RECT 1.8030 0.4560 1.8450 0.4980 ; + RECT 1.8030 0.7640 1.8450 0.8060 ; + RECT 1.8030 0.8560 1.8450 0.8980 ; + RECT 2.1070 0.2720 2.1490 0.3140 ; + RECT 2.1070 0.1800 2.1490 0.2220 ; + RECT 2.1070 1.0400 2.1490 1.0820 ; + RECT 1.8030 1.0400 1.8450 1.0820 ; + RECT 1.8030 0.1800 1.8450 0.2220 ; + RECT 2.1070 1.4080 2.1490 1.4500 ; + RECT 2.1070 1.3160 2.1490 1.3580 ; + RECT 2.1070 1.2240 2.1490 1.2660 ; + RECT 2.1070 1.1320 2.1490 1.1740 ; + RECT 1.8030 0.2720 1.8450 0.3140 ; + RECT 1.8030 0.9480 1.8450 0.9900 ; + RECT 2.1070 0.9480 2.1490 0.9900 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1590 0.4830 1.3330 0.5330 ; + RECT 1.1590 0.4010 1.2710 0.4830 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.4870 1.3130 0.5290 ; + END + ANTENNAGATEAREA 0.0261 ; + END A6 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1290 0.8570 0.1710 ; + END + ANTENNAGATEAREA 0.0261 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.2490 0.5110 0.3590 ; + RECT 0.4010 0.4810 0.5730 0.5310 ; + RECT 0.4010 0.3590 0.4510 0.4810 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.4850 0.5530 0.5270 ; + END + ANTENNAGATEAREA 0.0261 ; + END A2 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.8010 0.7250 0.8510 ; + RECT 0.6130 0.8510 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8050 0.7050 0.8470 ; + END + ANTENNAGATEAREA 0.0261 ; + END A4 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.4040 0.9670 ; + RECT 0.3540 0.7840 0.4040 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8040 0.4010 0.8460 ; + END + ANTENNAGATEAREA 0.0261 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 1.9510 0.0300 2.0010 0.3190 ; + RECT 1.4950 0.0300 1.5450 0.4680 ; + RECT 0.5830 0.0300 0.6330 0.4000 ; + RECT 1.3430 0.0300 1.3930 0.4010 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 1.4990 0.3910 1.5410 0.4330 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.3470 0.2470 1.3890 0.2890 ; + RECT 1.3470 0.3390 1.3890 0.3810 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.2460 0.6290 0.2880 ; + RECT 0.5870 0.3380 0.6290 0.3800 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.9550 0.2570 1.9970 0.2990 ; + RECT 1.9550 0.1650 1.9970 0.2070 ; + END + END VSS + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7590 1.1810 0.8090 ; + RECT 1.0090 0.8090 1.1190 0.8150 ; + RECT 1.0090 0.7050 1.1190 0.7590 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7630 1.1610 0.8050 ; + END + ANTENNAGATEAREA 0.0261 ; + END A5 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + RECT 1.4950 1.1790 1.5450 1.6420 ; + RECT 1.9510 1.3700 2.0010 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 1.4940 1.5410 1.5360 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.9550 1.3900 1.9970 1.4320 ; + RECT 1.9550 1.4820 1.9970 1.5240 ; + RECT 1.4990 1.3100 1.5410 1.3520 ; + RECT 1.4990 1.2180 1.5410 1.2600 ; + RECT 1.4990 1.4020 1.5410 1.4440 ; + END + END VDD + OBS + LAYER CO ; + RECT 1.8790 0.5930 1.9210 0.6350 ; + RECT 2.0310 0.5930 2.0730 0.6350 ; + RECT 1.6510 0.3910 1.6930 0.4330 ; + RECT 1.5750 0.8660 1.6170 0.9080 ; + RECT 1.3470 1.2140 1.3890 1.2560 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 1.6510 0.2990 1.6930 0.3410 ; + RECT 1.6510 1.4940 1.6930 1.5360 ; + RECT 1.3470 1.3060 1.3890 1.3480 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.0430 1.2140 1.0850 1.2560 ; + RECT 1.0430 1.1220 1.0850 1.1640 ; + RECT 1.1950 1.0400 1.2370 1.0820 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.8910 0.3760 0.9330 0.4180 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 1.3470 1.0300 1.3890 1.0720 ; + RECT 0.8910 0.2840 0.9330 0.3260 ; + RECT 0.2830 0.2720 0.3250 0.3140 ; + RECT 0.2830 0.3640 0.3250 0.4060 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.1950 1.2240 1.2370 1.2660 ; + RECT 1.1950 1.3160 1.2370 1.3580 ; + RECT 1.1950 1.1320 1.2370 1.1740 ; + RECT 1.1950 1.4080 1.2370 1.4500 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.3470 1.1220 1.3890 1.1640 ; + RECT 1.0430 0.2860 1.0850 0.3280 ; + RECT 1.0430 0.3780 1.0850 0.4200 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 1.6510 1.2180 1.6930 1.2600 ; + RECT 1.6510 1.3100 1.6930 1.3520 ; + RECT 1.6510 1.4020 1.6930 1.4440 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.5470 1.7870 ; + LAYER M1 ; + RECT 1.8750 0.5730 2.0770 0.6550 ; + RECT 1.9510 0.6550 2.0010 1.2290 ; + RECT 1.6470 1.2290 2.0010 1.2790 ; + RECT 1.6470 0.6060 1.7250 0.6560 ; + RECT 1.6470 1.2790 1.6970 1.5630 ; + RECT 1.6470 1.1050 1.6970 1.2290 ; + RECT 1.6470 1.0550 1.7250 1.1050 ; + RECT 1.6470 0.2600 1.6970 0.6060 ; + RECT 1.6750 0.6560 1.7250 1.0550 ; + RECT 1.3430 1.0100 1.3930 1.5200 ; + RECT 0.7350 1.0490 1.0890 1.0990 ; + RECT 1.0390 1.0990 1.0890 1.5200 ; + RECT 1.0390 1.5200 1.3930 1.5700 ; + RECT 0.7350 1.0990 0.7850 1.2230 ; + RECT 0.8870 0.9080 1.6210 0.9580 ; + RECT 1.5710 0.8460 1.6210 0.9080 ; + RECT 0.2790 0.2520 0.3290 0.5840 ; + RECT 1.1900 0.9580 1.2400 1.4700 ; + RECT 1.1900 0.8940 1.2400 0.9080 ; + RECT 0.9040 0.2630 1.0890 0.2640 ; + RECT 0.8870 0.2640 1.0890 0.3130 ; + RECT 1.0390 0.3130 1.0890 0.4400 ; + RECT 0.2790 0.5840 0.9370 0.6340 ; + RECT 0.8870 0.6340 0.9370 0.9080 ; + RECT 0.8870 0.3130 0.9370 0.5840 ; + RECT 0.5830 1.3230 0.6330 1.5580 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 2.1890 0.0560 2.2190 1.5970 ; + RECT 1.4290 0.0750 1.4590 1.6160 ; + RECT 1.8850 0.0640 1.9150 1.6040 ; + RECT 2.3410 0.0560 2.3710 1.5970 ; + RECT 2.0370 0.0520 2.0670 1.6040 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.7330 0.0590 1.7630 1.6130 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 1.5810 0.0590 1.6110 1.6130 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + END +END AOI222X2_HVT + +MACRO AOI22X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.1610 1.5750 1.2710 ; + RECT 1.4950 1.2710 1.5450 1.4730 ; + RECT 1.4950 0.8540 1.5450 1.1610 ; + RECT 1.4950 0.8040 1.5850 0.8540 ; + RECT 1.4950 0.1350 1.5450 0.4430 ; + RECT 1.5350 0.4930 1.5850 0.8040 ; + RECT 1.4950 0.4430 1.5850 0.4930 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.1350 1.5410 1.1770 ; + RECT 1.4990 0.2470 1.5410 0.2890 ; + RECT 1.4990 0.1550 1.5410 0.1970 ; + RECT 1.4990 0.3390 1.5410 0.3810 ; + RECT 1.4990 0.9510 1.5410 0.9930 ; + RECT 1.4990 0.4310 1.5410 0.4730 ; + RECT 1.4990 1.3190 1.5410 1.3610 ; + RECT 1.4990 0.8590 1.5410 0.9010 ; + RECT 1.4990 1.0430 1.5410 1.0850 ; + RECT 1.4990 1.2270 1.5410 1.2690 ; + RECT 1.4990 1.4110 1.5410 1.4530 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4040 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7440 0.4010 0.7860 ; + END + ANTENNAGATEAREA 0.0234 ; + END A1 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.7080 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + END + ANTENNAGATEAREA 0.0234 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.4310 1.3710 0.4810 1.6420 ; + RECT 1.0390 1.0510 1.0890 1.6420 ; + RECT 1.3420 1.1610 1.3920 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.2730 1.3890 1.3150 ; + RECT 1.3470 1.3650 1.3890 1.4070 ; + RECT 0.4350 1.4830 0.4770 1.5250 ; + RECT 0.4350 1.3910 0.4770 1.4330 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.0430 1.0710 1.0850 1.1130 ; + RECT 1.0430 1.3470 1.0850 1.3890 ; + RECT 1.0430 1.4390 1.0850 1.4810 ; + RECT 1.0430 1.1630 1.0850 1.2050 ; + RECT 1.0430 1.2550 1.0850 1.2970 ; + RECT 1.3470 1.1810 1.3890 1.2230 ; + RECT 1.3470 1.4570 1.3890 1.4990 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1350 0.8570 0.1770 ; + END + ANTENNAGATEAREA 0.0234 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.9120 0.5570 1.0090 ; + RECT 0.4010 1.0090 0.5570 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.9320 0.5530 0.9740 ; + END + ANTENNAGATEAREA 0.0234 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.4730 ; + RECT 0.5830 0.0300 0.6330 0.3770 ; + RECT 1.0390 0.0300 1.0890 0.5630 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.0430 0.5010 1.0850 0.5430 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.0430 0.4090 1.0850 0.4510 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.3470 0.1350 1.3890 0.1770 ; + RECT 1.3470 0.2270 1.3890 0.2690 ; + RECT 0.5870 0.3150 0.6290 0.3570 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.3470 0.4110 1.3890 0.4530 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7870 ; + LAYER M1 ; + RECT 1.1910 0.6120 1.4850 0.6620 ; + RECT 1.1910 1.0030 1.3960 1.0530 ; + RECT 1.3460 0.6620 1.3960 1.0030 ; + RECT 1.1910 1.0530 1.2410 1.5640 ; + RECT 1.1910 1.0020 1.2410 1.0030 ; + RECT 1.1910 0.6620 1.2410 0.6630 ; + RECT 1.1910 0.3890 1.2410 0.6120 ; + RECT 0.8870 1.3210 0.9370 1.5550 ; + RECT 0.5830 1.3210 0.6330 1.5550 ; + RECT 0.2790 1.2710 0.9370 1.3210 ; + RECT 0.2790 1.3210 0.3290 1.5550 ; + RECT 0.7350 0.8460 1.1810 0.8960 ; + RECT 0.2790 0.2790 0.3290 0.4520 ; + RECT 0.8870 0.2790 0.9370 0.4520 ; + RECT 0.2790 0.4520 0.9370 0.5020 ; + RECT 0.7580 0.5020 0.8080 0.8130 ; + RECT 0.7350 0.8130 0.8080 0.8460 ; + RECT 0.7350 0.8960 0.7850 1.2120 ; + LAYER PO ; + RECT 1.5810 0.0640 1.6110 1.6050 ; + RECT 1.4290 0.0650 1.4590 1.6050 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 1.7330 0.0640 1.7630 1.6050 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 1.2770 0.0600 1.3070 1.6140 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 1.1250 0.0600 1.1550 1.6140 ; + LAYER CO ; + RECT 0.8910 0.2990 0.9330 0.3410 ; + RECT 0.5870 1.3090 0.6290 1.3510 ; + RECT 0.5870 1.4010 0.6290 1.4430 ; + RECT 0.7390 1.0580 0.7810 1.1000 ; + RECT 0.7390 1.1500 0.7810 1.1920 ; + RECT 0.2830 1.4010 0.3250 1.4430 ; + RECT 0.2830 1.3090 0.3250 1.3510 ; + RECT 0.2830 1.4930 0.3250 1.5350 ; + RECT 0.2830 0.2990 0.3250 0.3410 ; + RECT 1.1950 0.4090 1.2370 0.4510 ; + RECT 0.5870 1.4930 0.6290 1.5350 ; + RECT 1.4230 0.6160 1.4650 0.6580 ; + RECT 1.1190 0.8500 1.1610 0.8920 ; + RECT 1.1950 0.5010 1.2370 0.5430 ; + RECT 1.1950 1.4630 1.2370 1.5050 ; + RECT 0.8910 1.3090 0.9330 1.3510 ; + RECT 0.8910 1.4930 0.9330 1.5350 ; + RECT 1.1950 1.2790 1.2370 1.3210 ; + RECT 1.1950 1.1870 1.2370 1.2290 ; + RECT 0.2830 0.3910 0.3250 0.4330 ; + RECT 1.1950 1.3710 1.2370 1.4130 ; + RECT 0.8910 0.3910 0.9330 0.4330 ; + RECT 1.1950 1.0950 1.2370 1.1370 ; + RECT 0.8910 1.4010 0.9330 1.4430 ; + END +END AOI22X1_HVT + +MACRO AOI22X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.2490 0.8150 0.3590 ; + RECT 0.7350 0.0940 0.8770 0.1440 ; + RECT 0.7350 0.1440 0.7850 0.2490 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.0980 0.8570 0.1400 ; + END + ANTENNAGATEAREA 0.0225 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 0.7050 0.4040 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7440 0.4010 0.7860 ; + END + ANTENNAGATEAREA 0.0225 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 0.5830 0.0300 0.6330 0.3440 ; + RECT 1.0390 0.0300 1.0890 0.4500 ; + RECT 1.4950 0.0300 1.5450 0.3150 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.1610 1.5410 0.2030 ; + RECT 1.4990 0.2530 1.5410 0.2950 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.5870 0.1900 0.6290 0.2320 ; + RECT 0.5870 0.2820 0.6290 0.3240 ; + RECT 1.0430 0.3880 1.0850 0.4300 ; + RECT 1.0430 0.2040 1.0850 0.2460 ; + RECT 1.0430 0.2960 1.0850 0.3380 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.7240 0.5570 1.0090 ; + RECT 0.4010 1.0090 0.5570 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.7440 0.5530 0.7860 ; + END + ANTENNAGATEAREA 0.0225 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + RECT 1.0390 1.0210 1.0890 1.6420 ; + RECT 1.4950 1.3700 1.5450 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4090 1.0850 1.4510 ; + RECT 1.0430 1.2250 1.0850 1.2670 ; + RECT 1.0430 1.3170 1.0850 1.3590 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.0430 1.0410 1.0850 1.0830 ; + RECT 1.0430 1.1330 1.0850 1.1750 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.7080 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + END + ANTENNAGATEAREA 0.0225 ; + END A4 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.0090 1.7270 1.1190 ; + RECT 1.6480 1.1190 1.6980 1.4700 ; + RECT 1.6480 0.7000 1.7360 0.7500 ; + RECT 1.3190 0.7440 1.3930 0.7940 ; + RECT 1.6480 0.7500 1.6980 1.0090 ; + RECT 1.6480 0.1600 1.6980 0.4680 ; + RECT 1.3430 0.7940 1.3930 1.1020 ; + RECT 1.3190 0.4680 1.7360 0.5180 ; + RECT 1.6860 0.5180 1.7360 0.7000 ; + RECT 1.3430 0.1600 1.3930 0.4680 ; + RECT 1.3190 0.5180 1.3690 0.7440 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.8560 1.3890 0.8980 ; + RECT 1.3470 0.7640 1.3890 0.8060 ; + RECT 1.3470 0.4560 1.3890 0.4980 ; + RECT 1.3470 0.3640 1.3890 0.4060 ; + RECT 1.6510 0.3640 1.6930 0.4060 ; + RECT 1.6510 0.4560 1.6930 0.4980 ; + RECT 1.6510 0.8560 1.6930 0.8980 ; + RECT 1.6510 0.7640 1.6930 0.8060 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 1.3470 1.0400 1.3890 1.0820 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 1.3470 0.9480 1.3890 0.9900 ; + RECT 1.6510 0.1800 1.6930 0.2220 ; + RECT 1.3470 0.2720 1.3890 0.3140 ; + RECT 1.3470 0.1800 1.3890 0.2220 ; + RECT 1.6510 0.2720 1.6930 0.3140 ; + RECT 1.6510 1.3160 1.6930 1.3580 ; + RECT 1.6510 1.4080 1.6930 1.4500 ; + RECT 1.6510 1.2240 1.6930 1.2660 ; + RECT 1.6510 1.1320 1.6930 1.1740 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7870 ; + LAYER M1 ; + RECT 1.4190 0.5680 1.6210 0.6500 ; + RECT 1.4950 0.6500 1.5450 1.2290 ; + RECT 1.1910 1.2290 1.5450 1.2790 ; + RECT 1.1910 0.6060 1.2690 0.6560 ; + RECT 1.1910 1.2790 1.2410 1.5630 ; + RECT 1.1910 1.1050 1.2410 1.2290 ; + RECT 1.1910 1.0550 1.2690 1.1050 ; + RECT 1.1910 0.1840 1.2410 0.6060 ; + RECT 1.2190 0.6560 1.2690 1.0550 ; + RECT 1.1160 0.7120 1.1660 0.8130 ; + RECT 0.7350 0.8130 1.1660 0.8630 ; + RECT 0.2790 0.2610 0.3290 0.4220 ; + RECT 0.7350 0.8630 0.7850 1.2230 ; + RECT 0.7580 0.4720 0.8080 0.8130 ; + RECT 0.8870 0.2640 0.9370 0.4220 ; + RECT 0.2790 0.4220 0.9370 0.4720 ; + RECT 0.5830 1.3230 0.6330 1.5570 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.7330 0.0560 1.7630 1.5970 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + RECT 1.5810 0.0520 1.6110 1.6040 ; + RECT 1.8850 0.0560 1.9150 1.5970 ; + RECT 1.4290 0.0640 1.4590 1.6040 ; + RECT 1.1250 0.0590 1.1550 1.6130 ; + LAYER CO ; + RECT 1.1950 0.3880 1.2370 0.4300 ; + RECT 1.5750 0.5880 1.6170 0.6300 ; + RECT 1.4230 0.5880 1.4650 0.6300 ; + RECT 1.1950 1.4090 1.2370 1.4510 ; + RECT 1.1950 1.3170 1.2370 1.3590 ; + RECT 1.1950 1.2250 1.2370 1.2670 ; + RECT 1.1950 1.1330 1.2370 1.1750 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 0.8910 0.3760 0.9330 0.4180 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.8910 0.2840 0.9330 0.3260 ; + RECT 0.2830 0.2810 0.3250 0.3230 ; + RECT 0.2830 0.3730 0.3250 0.4150 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 1.1190 0.7320 1.1610 0.7740 ; + RECT 1.1950 0.2960 1.2370 0.3380 ; + RECT 1.1950 0.2040 1.2370 0.2460 ; + END +END AOI22X2_HVT + +MACRO AOINVX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.6730 1.3370 0.7330 ; + RECT 1.1610 0.7330 1.2750 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6820 1.3130 0.7240 ; + END + ANTENNAGATEAREA 0.0333 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 0.6090 1.5790 0.6630 ; + RECT 1.4650 0.5530 1.5790 0.5590 ; + RECT 1.3430 0.8390 1.3930 1.5640 ; + RECT 1.3430 0.7890 1.5420 0.8390 ; + RECT 1.3470 0.6080 1.5790 0.6090 ; + RECT 1.3430 0.2990 1.3930 0.5590 ; + RECT 1.3430 0.5590 1.5790 0.6080 ; + RECT 1.4920 0.6630 1.5420 0.7890 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.4110 1.3890 0.4530 ; + RECT 1.3470 1.4100 1.3890 1.4520 ; + RECT 1.3470 1.3160 1.3890 1.3580 ; + RECT 1.3470 1.5020 1.3890 1.5440 ; + RECT 1.3470 0.8520 1.3890 0.8940 ; + RECT 1.3470 0.9460 1.3890 0.9880 ; + RECT 1.3470 0.3190 1.3890 0.3610 ; + RECT 1.3470 0.5070 1.3890 0.5490 ; + RECT 1.3470 1.2240 1.3890 1.2660 ; + RECT 1.3470 1.0380 1.3890 1.0800 ; + RECT 1.3470 1.1320 1.3890 1.1740 ; + END + ANTENNADIFFAREA 0.1132 ; + END Y + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.8220 1.0090 1.0120 1.1190 ; + RECT 0.8870 0.9910 0.9370 1.0090 ; + RECT 0.8870 1.2830 1.2450 1.3330 ; + RECT 0.8870 1.1190 0.9370 1.2830 ; + RECT 1.1910 1.3330 1.2410 1.5640 ; + RECT 1.1910 0.9300 1.2410 1.2830 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.3180 1.2370 1.3600 ; + RECT 1.1950 1.4100 1.2370 1.4520 ; + RECT 1.1950 1.5020 1.2370 1.5440 ; + RECT 1.1950 0.9500 1.2370 0.9920 ; + RECT 1.1950 1.0420 1.2370 1.0840 ; + RECT 0.8910 1.1030 0.9330 1.1450 ; + RECT 1.1950 1.1340 1.2370 1.1760 ; + RECT 1.1950 1.2260 1.2370 1.2680 ; + RECT 0.8910 1.0110 0.9330 1.0530 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.6090 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.4510 1.2370 0.4930 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.1950 0.3590 1.2370 0.4010 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.1950 0.5470 1.2370 0.5890 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT 0.7330 0.6790 1.5260 2.6650 ; + LAYER PO ; + RECT 1.5810 0.2390 1.6110 1.6200 ; + RECT 0.3650 0.2390 0.3950 1.6200 ; + RECT 0.5170 1.7200 0.5470 3.1050 ; + RECT 0.6690 0.2390 0.6990 1.6200 ; + RECT 1.5810 1.7200 1.6110 3.1050 ; + RECT 1.7330 0.2390 1.7630 1.6200 ; + RECT 0.5170 0.2390 0.5470 1.6200 ; + RECT 0.2130 0.2390 0.2430 1.6200 ; + RECT 0.6690 1.7200 0.6990 3.1050 ; + RECT 0.2130 1.7200 0.2430 3.1050 ; + RECT 1.7330 1.7200 1.7630 3.1050 ; + RECT 1.8850 0.2390 1.9150 1.6200 ; + RECT 1.8850 1.7200 1.9150 3.1050 ; + RECT 2.0370 0.2390 2.0670 1.6200 ; + RECT 2.0370 1.7200 2.0670 3.1050 ; + RECT 0.0610 1.7200 0.0910 3.1050 ; + RECT 0.0610 0.2390 0.0910 1.6200 ; + RECT 0.8210 1.7200 0.8510 3.1050 ; + RECT 0.9730 1.7200 1.0030 3.1050 ; + RECT 1.1250 1.7200 1.1550 3.1050 ; + RECT 1.2770 1.7240 1.3070 3.1050 ; + RECT 1.4290 1.7200 1.4590 3.1050 ; + RECT 0.8210 0.2390 0.8510 1.6200 ; + RECT 0.9730 0.2390 1.0030 1.6200 ; + RECT 1.1250 0.2390 1.1550 1.6200 ; + RECT 0.3650 1.7200 0.3950 3.1050 ; + RECT 1.2770 0.2390 1.3070 1.6240 ; + RECT 1.4290 0.2390 1.4590 1.6200 ; + END +END AOINVX1_HVT + +MACRO AOINVX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.432 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1570 0.6730 1.4850 0.7330 ; + RECT 1.1570 0.7330 1.2710 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6820 1.3130 0.7240 ; + RECT 1.4230 0.6820 1.4650 0.7240 ; + END + ANTENNAGATEAREA 0.0666 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3270 0.7930 1.6630 0.8430 ; + RECT 1.3430 0.5590 1.7270 0.6090 ; + RECT 1.6130 0.6090 1.7270 0.6630 ; + RECT 1.6130 0.5530 1.7270 0.5590 ; + RECT 1.6130 0.6630 1.6630 0.7930 ; + RECT 1.3430 0.2990 1.3930 0.5590 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3570 1.3890 0.3990 ; + RECT 1.3470 0.3570 1.3890 0.3990 ; + RECT 1.3470 0.5440 1.3890 0.5860 ; + RECT 1.3470 0.4490 1.3890 0.4910 ; + RECT 1.3470 0.4490 1.3890 0.4910 ; + RECT 1.3470 0.7970 1.3890 0.8390 ; + END + ANTENNADIFFAREA 0.1354 ; + END Y + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.8180 1.0090 1.0080 1.1190 ; + RECT 0.8870 0.9910 0.9370 1.0090 ; + RECT 0.8870 1.2430 1.5450 1.2930 ; + RECT 0.8870 1.1190 0.9370 1.2430 ; + RECT 1.4950 1.2930 1.5450 1.5640 ; + RECT 1.4950 0.9300 1.5450 1.2430 ; + RECT 1.1910 1.2930 1.2410 1.5640 ; + RECT 1.1910 0.9300 1.2410 1.2430 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.5020 1.2370 1.5440 ; + RECT 1.1950 1.4100 1.2370 1.4520 ; + RECT 1.1950 1.3180 1.2370 1.3600 ; + RECT 1.1950 1.0420 1.2370 1.0840 ; + RECT 1.4990 1.5020 1.5410 1.5440 ; + RECT 1.4990 1.4100 1.5410 1.4520 ; + RECT 1.4990 1.3180 1.5410 1.3600 ; + RECT 1.1950 0.9500 1.2370 0.9920 ; + RECT 1.4990 1.1340 1.5410 1.1760 ; + RECT 1.4990 1.0420 1.5410 1.0840 ; + RECT 1.4990 0.9500 1.5410 0.9920 ; + RECT 1.4990 1.2260 1.5410 1.2680 ; + RECT 0.8910 1.1030 0.9330 1.1450 ; + RECT 1.1950 1.1340 1.2370 1.1760 ; + RECT 1.1950 1.2260 1.2370 1.2680 ; + RECT 0.8910 1.0110 0.9330 1.0530 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.4320 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.4320 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.6090 ; + RECT 1.4950 0.0300 1.5450 0.5010 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.4320 3.3740 ; + END + PORT + LAYER CO ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.1950 0.3630 1.2370 0.4050 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.4990 0.3470 1.5410 0.3890 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.1950 0.4550 1.2370 0.4970 ; + RECT 1.4990 0.4390 1.5410 0.4810 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.1950 0.5470 1.2370 0.5890 ; + END + END VSS + OBS + LAYER NWELL ; + RECT 0.7290 0.6790 1.7250 2.6650 ; + LAYER PO ; + RECT 0.5170 0.2390 0.5470 1.6220 ; + RECT 0.5170 1.7220 0.5470 3.1070 ; + RECT 0.3650 1.7220 0.3950 3.1070 ; + RECT 0.3650 0.2390 0.3950 1.6220 ; + RECT 1.5810 0.2390 1.6110 1.6220 ; + RECT 0.6690 1.7220 0.6990 3.1070 ; + RECT 0.6690 0.2390 0.6990 1.6220 ; + RECT 0.2130 0.2390 0.2430 1.6220 ; + RECT 0.2130 1.7220 0.2430 3.1070 ; + RECT 1.8850 1.7220 1.9150 3.1070 ; + RECT 1.8850 0.2390 1.9150 1.6220 ; + RECT 2.0370 0.2390 2.0670 1.6220 ; + RECT 2.0370 1.7220 2.0670 3.1070 ; + RECT 2.1890 1.7220 2.2190 3.1070 ; + RECT 2.1890 0.2390 2.2190 1.6220 ; + RECT 2.3410 0.2390 2.3710 1.6220 ; + RECT 2.3410 1.7220 2.3710 3.1070 ; + RECT 0.0610 0.2390 0.0910 1.6220 ; + RECT 0.0610 1.7220 0.0910 3.1070 ; + RECT 0.8210 1.7220 0.8510 3.1070 ; + RECT 0.9730 1.7220 1.0030 3.1070 ; + RECT 1.1250 1.7220 1.1550 3.1070 ; + RECT 1.2770 1.7240 1.3070 3.1070 ; + RECT 1.7330 1.7220 1.7630 3.1070 ; + RECT 1.5810 1.7220 1.6110 3.1070 ; + RECT 1.4290 1.7240 1.4590 3.1070 ; + RECT 0.8210 0.2390 0.8510 1.6220 ; + RECT 0.9730 0.2390 1.0030 1.6220 ; + RECT 1.1250 0.2390 1.1550 1.6220 ; + RECT 1.7330 0.2390 1.7630 1.6220 ; + RECT 1.2770 0.2390 1.3070 1.6240 ; + RECT 1.4290 0.2390 1.4590 1.6240 ; + END +END AOINVX2_HVT + +MACRO AOINVX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.736 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1570 0.6730 1.7890 0.7330 ; + RECT 1.1570 0.7330 1.2710 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.6820 1.3130 0.7240 ; + RECT 1.7270 0.6820 1.7690 0.7240 ; + RECT 1.5750 0.6820 1.6170 0.7240 ; + RECT 1.4230 0.6820 1.4650 0.7240 ; + END + ANTENNAGATEAREA 0.1332 ; + END A + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3430 0.5370 2.0310 0.5870 ; + RECT 1.3430 0.9250 1.9670 0.9750 ; + RECT 1.9170 0.5870 2.0310 0.6630 ; + RECT 1.6470 0.1890 1.6970 0.5370 ; + RECT 1.3430 0.1890 1.3930 0.5370 ; + RECT 1.6470 0.9750 1.6970 1.4580 ; + RECT 1.6470 0.8240 1.6970 0.9250 ; + RECT 1.3430 0.9750 1.3930 1.4580 ; + RECT 1.3430 0.8240 1.3930 0.9250 ; + RECT 1.9170 0.6630 1.9670 0.9250 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.3470 0.2240 1.3890 0.2660 ; + RECT 1.3470 1.0280 1.3890 1.0700 ; + RECT 1.3470 0.4080 1.3890 0.4500 ; + RECT 1.3470 1.3960 1.3890 1.4380 ; + RECT 1.3470 1.3040 1.3890 1.3460 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.3470 1.1200 1.3890 1.1620 ; + RECT 1.3470 1.2120 1.3890 1.2540 ; + RECT 1.6510 0.4080 1.6930 0.4500 ; + RECT 1.3470 0.2240 1.3890 0.2660 ; + RECT 1.3470 0.3160 1.3890 0.3580 ; + RECT 1.6510 0.8440 1.6930 0.8860 ; + RECT 1.3470 0.9360 1.3890 0.9780 ; + RECT 1.3470 0.8440 1.3890 0.8860 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.6510 0.3160 1.6930 0.3580 ; + RECT 1.6510 0.2240 1.6930 0.2660 ; + RECT 1.6510 0.2240 1.6930 0.2660 ; + END + ANTENNADIFFAREA 0.2708 ; + END Y + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.8180 1.0090 1.0080 1.1190 ; + RECT 0.8870 0.9910 0.9370 1.0090 ; + RECT 0.8870 1.5080 1.8650 1.5580 ; + RECT 0.8870 1.1190 0.9370 1.5080 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.1030 0.9330 1.1450 ; + RECT 1.1950 1.5120 1.2370 1.5540 ; + RECT 0.8910 1.0110 0.9330 1.0530 ; + RECT 1.8030 1.5120 1.8450 1.5540 ; + RECT 1.4990 1.5120 1.5410 1.5540 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.7360 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.7360 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.4530 ; + RECT 1.4950 0.0300 1.5450 0.4530 ; + RECT 1.7990 0.0300 1.8490 0.4530 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.7360 3.3740 ; + END + PORT + LAYER CO ; + RECT 1.8030 0.2070 1.8450 0.2490 ; + RECT 1.8030 0.2990 1.8450 0.3410 ; + RECT 1.1950 0.3910 1.2370 0.4330 ; + RECT 1.4990 0.3910 1.5410 0.4330 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.8030 0.3910 1.8450 0.4330 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.1950 0.2990 1.2370 0.3410 ; + RECT 1.1950 0.2070 1.2370 0.2490 ; + RECT 1.1950 0.2070 1.2370 0.2490 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 1.8030 0.2070 1.8450 0.2490 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.4990 0.2070 1.5410 0.2490 ; + RECT 1.4990 0.2990 1.5410 0.3410 ; + RECT 1.4990 0.2070 1.5410 0.2490 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT 0.7290 0.6790 2.0050 2.6650 ; + LAYER PO ; + RECT 1.5810 0.1290 1.6110 1.6240 ; + RECT 0.0610 0.1290 0.0910 1.6220 ; + RECT 0.0610 1.7220 0.0910 3.2170 ; + RECT 0.3650 1.7220 0.3950 3.2170 ; + RECT 2.3410 1.7220 2.3710 3.2170 ; + RECT 2.1890 1.7220 2.2190 3.2170 ; + RECT 2.1890 0.1290 2.2190 1.6220 ; + RECT 1.7330 0.1290 1.7630 1.6240 ; + RECT 0.6690 1.7220 0.6990 3.2170 ; + RECT 0.3650 0.1290 0.3950 1.6190 ; + RECT 0.5170 1.7220 0.5470 3.2170 ; + RECT 0.5170 0.1290 0.5470 1.6220 ; + RECT 0.6690 0.1290 0.6990 1.6220 ; + RECT 2.3410 0.1290 2.3710 1.6220 ; + RECT 2.4930 0.1290 2.5230 1.6220 ; + RECT 2.4930 1.7220 2.5230 3.2170 ; + RECT 2.0370 1.7220 2.0670 3.2170 ; + RECT 2.6450 0.1290 2.6750 1.6220 ; + RECT 2.6450 1.7220 2.6750 3.2170 ; + RECT 0.8210 0.1290 0.8510 1.6220 ; + RECT 0.2130 1.7220 0.2430 3.2170 ; + RECT 0.2130 0.1290 0.2430 1.6190 ; + RECT 1.4290 1.7240 1.4590 3.2170 ; + RECT 1.2770 1.7240 1.3070 3.2170 ; + RECT 1.1250 1.7220 1.1550 3.2170 ; + RECT 0.9730 1.7220 1.0030 3.2170 ; + RECT 0.8210 1.7220 0.8510 3.2170 ; + RECT 0.9730 0.1290 1.0030 1.6220 ; + RECT 1.8850 1.7220 1.9150 3.2170 ; + RECT 1.7330 1.7240 1.7630 3.2170 ; + RECT 1.5810 1.7240 1.6110 3.2170 ; + RECT 1.8850 0.1290 1.9150 1.6220 ; + RECT 1.1250 0.1290 1.1550 1.6220 ; + RECT 2.0370 0.1290 2.0670 1.6220 ; + RECT 1.2770 0.1290 1.3070 1.6240 ; + RECT 1.4290 0.1290 1.4590 1.6240 ; + END +END AOINVX4_HVT + +MACRO BSLEX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.064 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.0640 1.7020 ; + RECT 0.2790 0.7770 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.0640 0.0300 ; + RECT 0.2790 0.4090 0.3290 0.5630 ; + RECT 0.2240 0.0300 0.2740 0.3590 ; + RECT 0.2240 0.3590 0.3290 0.4090 ; + END + PORT + LAYER CO ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.4860 0.3250 0.5280 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + END + END VSS + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 0.0920 0.7400 0.1420 ; + RECT 0.4010 0.1420 0.5110 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + RECT 0.6630 0.0960 0.7050 0.1380 ; + END + ANTENNAGATEAREA 0.02205 ; + END EN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.6630 0.6630 ; + RECT 0.5830 0.6630 0.6330 1.4720 ; + RECT 0.5830 0.1920 0.6330 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.2680 0.6290 0.3100 ; + RECT 0.5870 0.4520 0.6290 0.4940 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + END + ANTENNADIFFAREA 0.1111 ; + ANTENNAGATEAREA 0.1111 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.7050 0.8150 0.8150 ; + RECT 0.7350 0.8150 0.7850 1.4720 ; + RECT 0.7350 0.1920 0.7850 0.7050 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 0.4520 0.7810 0.4940 ; + RECT 0.7390 1.3030 0.7810 1.3450 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.3950 0.7810 1.4370 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.2680 0.7810 0.3100 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + END + ANTENNADIFFAREA 0.1111 ; + ANTENNAGATEAREA 0.1111 ; + END INOUT2 + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 1.1760 1.7730 ; + LAYER M1 ; + RECT 0.4310 1.5280 0.7400 1.5780 ; + RECT 0.4310 0.4700 0.4810 1.5780 ; + RECT 0.4310 0.4200 0.4810 0.4700 ; + LAYER PO ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.7170 0.6990 1.6060 ; + RECT 0.6690 0.0640 0.6990 0.6150 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + RECT 0.8210 0.0660 0.8510 1.6060 ; + LAYER CO ; + RECT 0.4350 0.4860 0.4770 0.5280 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + END +END BSLEX1_HVT + +MACRO BSLEX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.2790 0.7770 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.2240 0.1920 0.3290 0.2420 ; + RECT 0.2240 0.0300 0.2740 0.1920 ; + RECT 0.2790 0.2420 0.3290 0.5630 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4860 0.3250 0.5280 ; + RECT 0.2830 0.3940 0.3250 0.4360 ; + RECT 0.2830 0.3940 0.3250 0.4360 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 0.0920 1.1190 0.1420 ; + RECT 1.0090 0.1420 1.1190 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + RECT 0.6630 0.0960 0.7050 0.1380 ; + RECT 0.8150 0.0960 0.8570 0.1380 ; + END + ANTENNAGATEAREA 0.0387 ; + END EN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.6630 0.6630 ; + RECT 0.5830 1.4220 0.9370 1.4720 ; + RECT 0.5830 0.6630 0.6330 1.4220 ; + RECT 0.5830 0.1920 0.6330 0.5530 ; + RECT 0.8870 0.1920 0.9370 1.4220 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 0.4520 0.9330 0.4940 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 0.8910 0.2680 0.9330 0.3100 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.2680 0.6290 0.3100 ; + RECT 0.5870 0.4520 0.6290 0.4940 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + END + ANTENNADIFFAREA 0.2222 ; + ANTENNAGATEAREA 0.2222 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.1640 0.8150 1.2740 ; + RECT 0.7350 1.2740 0.7850 1.2880 ; + RECT 0.7350 0.1920 0.7850 1.1640 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 0.2680 0.7810 0.3100 ; + RECT 0.7390 0.4520 0.7810 0.4940 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + END + ANTENNADIFFAREA 0.133 ; + ANTENNAGATEAREA 0.133 ; + END INOUT2 + OBS + LAYER NWELL ; + RECT -0.1120 0.6790 1.3280 1.7730 ; + LAYER M1 ; + RECT 0.4310 1.5280 0.8920 1.5780 ; + RECT 0.4310 0.4170 0.4810 1.5780 ; + RECT 0.4310 0.3670 0.4810 0.4170 ; + RECT 0.4310 0.3590 0.4810 0.4170 ; + LAYER PO ; + RECT 0.6690 0.0660 0.6990 0.6150 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.8210 0.0660 0.8510 0.6150 ; + RECT 0.8210 0.7170 0.8510 1.6060 ; + RECT 1.1250 0.0660 1.1550 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.7170 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + RECT 0.9730 0.0660 1.0030 1.6060 ; + LAYER CO ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.4350 0.4860 0.4770 0.5280 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.3940 0.4770 0.4360 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.3940 0.4770 0.4360 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + END +END BSLEX2_HVT + +MACRO BSLEX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.2790 0.7770 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.0270 0.3250 1.0690 ; + RECT 0.2830 1.3030 0.3250 1.3450 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.3950 0.3250 1.4370 ; + RECT 0.2830 1.1190 0.3250 1.1610 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 1.2110 0.3250 1.2530 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.8430 0.3250 0.8850 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.2830 0.9350 0.3250 0.9770 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 0.2240 0.1920 0.3290 0.2420 ; + RECT 0.2240 0.0300 0.2740 0.1920 ; + RECT 0.2790 0.2420 0.3290 0.5630 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.2830 0.4910 0.3250 0.5330 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.2830 0.3990 0.3250 0.4410 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.2830 0.3060 0.3250 0.3480 ; + RECT 0.2830 0.3060 0.3250 0.3480 ; + END + END VSS + + PIN EN + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3240 0.0920 1.4160 0.0950 ; + RECT 1.3060 0.1420 1.4230 0.2070 ; + RECT 0.3240 0.0950 1.4230 0.1420 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.0960 0.8570 0.1380 ; + RECT 0.9670 0.0960 1.0090 0.1380 ; + RECT 0.3590 0.0960 0.4010 0.1380 ; + RECT 0.6630 0.0960 0.7050 0.1380 ; + RECT 1.1190 0.0960 1.1610 0.1380 ; + END + ANTENNAGATEAREA 0.0777 ; + END EN + + PIN INOUT1 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.6630 0.6630 ; + RECT 0.5830 1.4220 1.2410 1.4720 ; + RECT 0.5830 0.6630 0.6330 1.4220 ; + RECT 0.5830 0.1920 0.6330 0.5530 ; + RECT 0.8870 0.3250 0.9370 1.4220 ; + RECT 1.1910 0.1920 1.2410 1.4220 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.4520 0.9330 0.4940 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.8910 0.8430 0.9330 0.8850 ; + RECT 0.8910 0.3600 0.9330 0.4020 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.3030 0.9330 1.3450 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.0270 0.9330 1.0690 ; + RECT 0.8910 1.2110 0.9330 1.2530 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 1.3950 0.9330 1.4370 ; + RECT 0.8910 1.1190 0.9330 1.1610 ; + RECT 0.8910 0.9350 0.9330 0.9770 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 0.8430 1.2370 0.8850 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 1.1950 0.2680 1.2370 0.3100 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 1.1950 0.3600 1.2370 0.4020 ; + RECT 1.1950 0.8430 1.2370 0.8850 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + RECT 1.1950 0.3600 1.2370 0.4020 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 0.5870 1.0270 0.6290 1.0690 ; + RECT 1.1950 0.9350 1.2370 0.9770 ; + RECT 0.5870 1.3030 0.6290 1.3450 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 0.5870 0.2680 0.6290 0.3100 ; + RECT 0.5870 0.4520 0.6290 0.4940 ; + RECT 0.5870 0.9350 0.6290 0.9770 ; + RECT 1.1950 0.9350 1.2370 0.9770 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 0.5870 0.8430 0.6290 0.8850 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 1.1950 0.4520 1.2370 0.4940 ; + RECT 1.1950 1.3030 1.2370 1.3450 ; + RECT 0.5870 1.2110 0.6290 1.2530 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 0.5870 1.1190 0.6290 1.1610 ; + RECT 0.5870 1.3950 0.6290 1.4370 ; + RECT 1.1950 1.0270 1.2370 1.0690 ; + RECT 0.5870 0.3600 0.6290 0.4020 ; + RECT 1.1950 1.2110 1.2370 1.2530 ; + RECT 1.1950 1.3950 1.2370 1.4370 ; + RECT 1.1950 1.1190 1.2370 1.1610 ; + END + ANTENNADIFFAREA 0.3552 ; + ANTENNAGATEAREA 0.3552 ; + END INOUT1 + + PIN INOUT2 + DIRECTION INOUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.1610 0.8150 1.2790 ; + RECT 0.7350 1.2790 0.7850 1.2880 ; + RECT 0.7350 0.2420 0.7850 1.1610 ; + RECT 0.7350 0.1920 1.0890 0.2420 ; + RECT 1.0390 0.2420 1.0890 1.2880 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2680 1.0850 0.3100 ; + RECT 1.0430 0.4520 1.0850 0.4940 ; + RECT 1.0430 0.3600 1.0850 0.4020 ; + RECT 1.0430 0.8430 1.0850 0.8850 ; + RECT 1.0430 0.8430 1.0850 0.8850 ; + RECT 1.0430 0.3600 1.0850 0.4020 ; + RECT 1.0430 0.9350 1.0850 0.9770 ; + RECT 1.0430 0.9350 1.0850 0.9770 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.2110 1.0850 1.2530 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.1190 1.0850 1.1610 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + RECT 0.7390 0.2680 0.7810 0.3100 ; + RECT 0.7390 0.4520 0.7810 0.4940 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.8430 0.7810 0.8850 ; + RECT 0.7390 0.3600 0.7810 0.4020 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 0.9350 0.7810 0.9770 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.2110 0.7810 1.2530 ; + RECT 0.7390 1.1190 0.7810 1.1610 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 0.7390 1.0270 0.7810 1.0690 ; + RECT 1.0430 1.0270 1.0850 1.0690 ; + END + ANTENNADIFFAREA 0.266 ; + ANTENNAGATEAREA 0.266 ; + END INOUT2 + OBS + LAYER CO ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.9670 1.5320 1.0090 1.5740 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.4910 0.4770 0.5330 ; + RECT 0.4350 1.0270 0.4770 1.0690 ; + RECT 0.4350 0.3990 0.4770 0.4410 ; + RECT 0.4350 1.3030 0.4770 1.3450 ; + RECT 0.4350 0.3990 0.4770 0.4410 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.3950 0.4770 1.4370 ; + RECT 0.4350 1.1190 0.4770 1.1610 ; + RECT 0.8150 1.5320 0.8570 1.5740 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 1.2110 0.4770 1.2530 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 0.4350 0.9350 0.4770 0.9770 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 1.1190 1.5320 1.1610 1.5740 ; + RECT 0.9670 1.5320 1.0090 1.5740 ; + RECT 0.6630 1.5320 0.7050 1.5740 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.8430 0.4770 0.8850 ; + RECT 0.4350 0.3070 0.4770 0.3490 ; + LAYER NWELL ; + RECT -0.1120 0.6790 1.6320 1.7730 ; + LAYER M1 ; + RECT 0.4310 1.5280 1.1960 1.5780 ; + RECT 0.4310 0.3220 0.4810 1.5780 ; + RECT 0.4310 0.2720 0.4810 0.3220 ; + LAYER PO ; + RECT 1.1250 0.0660 1.1550 0.6150 ; + RECT 1.1250 0.7170 1.1550 1.6060 ; + RECT 0.9730 0.0660 1.0030 0.6150 ; + RECT 0.9730 0.7170 1.0030 1.6060 ; + RECT 0.6690 0.0660 0.6990 0.6150 ; + RECT 1.4290 0.0660 1.4590 1.6060 ; + RECT 0.2130 0.0660 0.2430 1.6060 ; + RECT 0.8210 0.0660 0.8510 0.6150 ; + RECT 0.8210 0.7170 0.8510 1.6060 ; + RECT 1.2770 0.0660 1.3070 1.6060 ; + RECT 0.0610 0.0660 0.0910 1.6060 ; + RECT 0.6690 0.7170 0.6990 1.6060 ; + RECT 0.5170 0.0660 0.5470 1.6060 ; + RECT 0.3650 0.0660 0.3950 1.6060 ; + END +END BSLEX4_HVT + +MACRO AO221X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.3940 ; + RECT 0.5830 0.0300 0.6330 0.3660 ; + RECT 1.0400 0.0300 1.0900 0.3970 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5870 0.2120 0.6290 0.2540 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.5870 0.3040 0.6290 0.3460 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4990 0.2400 1.5410 0.2820 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4990 0.3320 1.5410 0.3740 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6170 1.0090 1.7270 1.1190 ; + RECT 1.6480 1.1190 1.6980 1.4700 ; + RECT 1.6480 0.7330 1.7360 0.7830 ; + RECT 1.6480 0.7830 1.6980 1.0090 ; + RECT 1.3430 0.8060 1.3930 1.1020 ; + RECT 1.3150 0.7440 1.3930 0.8060 ; + RECT 1.6480 0.1740 1.6980 0.4820 ; + RECT 1.6480 0.4820 1.7360 0.5030 ; + RECT 1.3430 0.1740 1.3930 0.5030 ; + RECT 1.3150 0.5530 1.3650 0.7440 ; + RECT 1.6860 0.5530 1.7360 0.7330 ; + RECT 1.3150 0.5030 1.7360 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.2860 1.3890 0.3280 ; + RECT 1.3470 0.7640 1.3890 0.8060 ; + RECT 1.3470 0.8560 1.3890 0.8980 ; + RECT 1.3470 0.3780 1.3890 0.4200 ; + RECT 1.3470 0.4700 1.3890 0.5120 ; + RECT 1.6510 0.7640 1.6930 0.8060 ; + RECT 1.6510 0.8560 1.6930 0.8980 ; + RECT 1.6510 0.4700 1.6930 0.5120 ; + RECT 1.6510 0.3780 1.6930 0.4200 ; + RECT 1.6510 1.2240 1.6930 1.2660 ; + RECT 1.6510 1.4080 1.6930 1.4500 ; + RECT 1.6510 1.3160 1.6930 1.3580 ; + RECT 1.3470 0.9480 1.3890 0.9900 ; + RECT 1.3470 1.0400 1.3890 1.0820 ; + RECT 1.6510 1.0400 1.6930 1.0820 ; + RECT 1.6510 0.9480 1.6930 0.9900 ; + RECT 1.6510 1.1320 1.6930 1.1740 ; + RECT 1.6510 0.2860 1.6930 0.3280 ; + RECT 1.6510 0.1940 1.6930 0.2360 ; + RECT 1.3470 0.1940 1.3890 0.2360 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6830 0.2500 0.8370 0.3600 ; + RECT 0.7360 0.1080 0.8770 0.1580 ; + RECT 0.7360 0.1580 0.7860 0.2500 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1120 0.8570 0.1540 ; + END + ANTENNAGATEAREA 0.0279 ; + END A3 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.2490 0.5110 0.3590 ; + RECT 0.4010 0.4980 0.5730 0.5480 ; + RECT 0.4010 0.3590 0.4510 0.4980 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.5020 0.5530 0.5440 ; + END + ANTENNAGATEAREA 0.0279 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.4950 1.2700 1.5450 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.8560 0.6630 0.9670 ; + RECT 0.6130 0.8080 0.6630 0.8560 ; + RECT 0.6130 0.7580 0.7250 0.8080 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7620 0.7050 0.8040 ; + END + ANTENNAGATEAREA 0.0279 ; + END A4 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2350 0.7050 0.4090 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7520 0.4010 0.7940 ; + END + ANTENNAGATEAREA 0.0279 ; + END A1 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7590 1.1810 0.8090 ; + RECT 1.0090 0.8090 1.1190 0.8230 ; + RECT 1.0090 0.7050 1.1190 0.7590 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7630 1.1610 0.8050 ; + END + ANTENNAGATEAREA 0.0246 ; + END A5 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7870 ; + LAYER M1 ; + RECT 1.4190 0.6030 1.6210 0.6850 ; + RECT 1.1900 1.1700 1.5430 1.2200 ; + RECT 1.4930 0.6850 1.5430 1.1700 ; + RECT 0.2790 0.2760 0.3290 0.5980 ; + RECT 0.2790 0.6320 0.9370 0.6480 ; + RECT 0.8870 0.6480 0.9370 0.9170 ; + RECT 0.8870 0.2730 0.9370 0.5820 ; + RECT 0.8870 0.9170 1.2400 0.9670 ; + RECT 1.1900 1.2200 1.2400 1.5570 ; + RECT 1.1900 0.9670 1.2400 1.1700 ; + RECT 1.1920 0.3090 1.2420 0.5820 ; + RECT 0.2790 0.5980 1.2420 0.6320 ; + RECT 0.8870 0.5820 1.2420 0.5980 ; + RECT 0.7350 1.0180 1.0890 1.0680 ; + RECT 1.0390 1.0680 1.0890 1.5680 ; + RECT 0.7350 1.0680 0.7850 1.2230 ; + RECT 0.5830 1.3230 0.6330 1.5500 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.7330 0.0700 1.7630 1.6110 ; + RECT 1.2770 0.0750 1.3070 1.6160 ; + RECT 1.4290 0.0780 1.4590 1.6180 ; + RECT 1.5810 0.0660 1.6110 1.6180 ; + RECT 1.8850 0.0700 1.9150 1.6110 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + LAYER CO ; + RECT 0.8910 0.2930 0.9330 0.3350 ; + RECT 1.1950 1.1270 1.2370 1.1690 ; + RECT 0.2830 0.2960 0.3250 0.3380 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.1950 0.3370 1.2370 0.3790 ; + RECT 0.5870 1.4880 0.6290 1.5300 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.4230 0.6230 1.4650 0.6650 ; + RECT 1.5750 0.6230 1.6170 0.6650 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.5870 1.3960 0.6290 1.4380 ; + RECT 0.5870 1.3040 0.6290 1.3460 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.2830 0.3880 0.3250 0.4300 ; + RECT 0.8910 0.3850 0.9330 0.4270 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.0430 1.1270 1.0850 1.1690 ; + END +END AO221X2_HVT + +MACRO AO222X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.976 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1590 0.2490 1.2710 0.3590 ; + RECT 1.1590 0.3590 1.2090 0.5390 ; + RECT 1.1590 0.5390 1.3330 0.5890 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.5430 1.3130 0.5850 ; + END + ANTENNAGATEAREA 0.0249 ; + END A6 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7030 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1360 0.8570 0.1780 ; + END + ANTENNAGATEAREA 0.0249 ; + END A3 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.9760 0.0300 ; + RECT 1.4950 0.0300 1.5450 0.4840 ; + RECT 0.5830 0.0300 0.6330 0.4800 ; + RECT 1.3430 0.0300 1.3930 0.4660 ; + END + PORT + LAYER CO ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.4990 0.3300 1.5410 0.3720 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.4990 0.1460 1.5410 0.1880 ; + RECT 1.4990 0.2380 1.5410 0.2800 ; + RECT 0.5870 0.3260 0.6290 0.3680 ; + RECT 1.4990 0.4220 1.5410 0.4640 ; + RECT 0.5870 0.4180 0.6290 0.4600 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.3470 0.4040 1.3890 0.4460 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.3470 0.3120 1.3890 0.3540 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3990 0.5530 0.5560 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6000 0.5530 0.6420 ; + END + ANTENNAGATEAREA 0.0249 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.9760 1.7020 ; + RECT 1.4950 1.0120 1.5450 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.4920 1.5410 1.5340 ; + RECT 1.4990 1.3080 1.5410 1.3500 ; + RECT 1.4990 1.4000 1.5410 1.4420 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4990 1.1240 1.5410 1.1660 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.4990 1.0320 1.5410 1.0740 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 1.4990 1.2160 1.5410 1.2580 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6150 1.1490 1.7270 1.2590 ; + RECT 1.6470 0.4340 1.7470 0.4840 ; + RECT 1.6470 0.1260 1.6970 0.4340 ; + RECT 1.6470 1.2590 1.6970 1.5540 ; + RECT 1.6970 0.4840 1.7470 0.8030 ; + RECT 1.6470 0.8640 1.6970 1.1490 ; + RECT 1.6470 0.8030 1.7470 0.8640 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4920 1.6930 1.5340 ; + RECT 1.6510 1.3080 1.6930 1.3500 ; + RECT 1.6510 1.4000 1.6930 1.4420 ; + RECT 1.6510 1.2160 1.6930 1.2580 ; + RECT 1.6510 0.2380 1.6930 0.2800 ; + RECT 1.6510 1.1240 1.6930 1.1660 ; + RECT 1.6510 0.9400 1.6930 0.9820 ; + RECT 1.6510 1.0320 1.6930 1.0740 ; + RECT 1.6510 0.8480 1.6930 0.8900 ; + RECT 1.6510 0.1460 1.6930 0.1880 ; + RECT 1.6510 0.4220 1.6930 0.4640 ; + RECT 1.6510 0.3300 1.6930 0.3720 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5510 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.8390 0.7250 0.8890 ; + RECT 0.6130 0.8890 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8430 0.7050 0.8850 ; + END + ANTENNAGATEAREA 0.0249 ; + END A4 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2470 0.8250 0.4210 0.9680 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8450 0.4010 0.8870 ; + END + ANTENNAGATEAREA 0.0249 ; + END A1 + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0070 0.7590 1.1810 0.8090 ; + RECT 1.0070 0.8090 1.1170 0.8150 ; + RECT 1.0070 0.7050 1.1170 0.7590 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7630 1.1610 0.8050 ; + END + ANTENNAGATEAREA 0.0249 ; + END A5 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 2.0910 1.7870 ; + LAYER M1 ; + RECT 1.4610 0.6360 1.6370 0.6860 ; + RECT 1.1900 0.9070 1.5110 0.9080 ; + RECT 0.8870 0.9080 1.5110 0.9570 ; + RECT 1.4610 0.6860 1.5110 0.9070 ; + RECT 0.2790 0.3180 0.3290 0.7170 ; + RECT 0.8870 0.9570 1.2400 0.9580 ; + RECT 1.1900 0.8940 1.2400 0.9070 ; + RECT 1.1900 0.9580 1.2400 1.4700 ; + RECT 1.0390 0.3630 1.0890 0.4870 ; + RECT 0.8870 0.3130 1.0890 0.3630 ; + RECT 0.8870 0.7670 0.9370 0.9080 ; + RECT 0.2790 0.7170 0.9370 0.7670 ; + RECT 0.8870 0.3630 0.9370 0.7170 ; + RECT 1.3420 1.1720 1.3920 1.5340 ; + RECT 1.0390 1.0710 1.0890 1.5340 ; + RECT 1.0390 1.5340 1.3920 1.5840 ; + RECT 0.7350 1.0710 0.7850 1.2230 ; + RECT 0.7350 1.0210 1.0890 1.0710 ; + RECT 0.5830 1.3230 0.6330 1.5570 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.7330 0.0750 1.7630 1.6160 ; + RECT 1.4290 0.0750 1.4590 1.6160 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.8850 0.0750 1.9150 1.6160 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 1.5810 0.0760 1.6110 1.6160 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + LAYER CO ; + RECT 0.2830 0.4300 0.3250 0.4720 ; + RECT 0.8910 0.4280 0.9330 0.4700 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 1.5750 0.6390 1.6170 0.6810 ; + RECT 0.8910 0.3360 0.9330 0.3780 ; + RECT 0.2830 0.3380 0.3250 0.3800 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.1950 1.2240 1.2370 1.2660 ; + RECT 1.1950 1.3160 1.2370 1.3580 ; + RECT 1.1950 1.1320 1.2370 1.1740 ; + RECT 1.1950 1.4080 1.2370 1.4500 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.0430 0.4250 1.0850 0.4670 ; + RECT 1.0430 0.3330 1.0850 0.3750 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 1.3470 1.2140 1.3890 1.2560 ; + RECT 1.3470 1.3060 1.3890 1.3480 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.0430 1.2140 1.0850 1.2560 ; + RECT 1.0430 1.1220 1.0850 1.1640 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + END +END AO222X1_HVT + +MACRO AO222X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7210 1.1810 0.7710 ; + RECT 1.0090 0.7710 1.1190 0.8150 ; + RECT 1.0090 0.6990 1.1190 0.7210 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7250 1.1610 0.7670 ; + END + ANTENNAGATEAREA 0.03 ; + END A5 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.8570 0.4050 0.9670 ; + RECT 0.3550 0.7050 0.4050 0.8570 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7250 0.4010 0.7670 ; + END + ANTENNAGATEAREA 0.03 ; + END A1 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.7100 0.7250 0.7600 ; + RECT 0.6130 0.7600 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7140 0.7050 0.7560 ; + END + ANTENNAGATEAREA 0.03 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + RECT 1.6470 1.2650 1.6970 1.6420 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.6510 1.3900 1.6930 1.4320 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.6510 1.2980 1.6930 1.3400 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.6510 1.4820 1.6930 1.5240 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7690 1.0090 1.8790 1.1190 ; + RECT 1.8000 1.1190 1.8500 1.4700 ; + RECT 1.8000 0.4820 1.8880 0.5030 ; + RECT 1.4950 0.8060 1.5450 1.1020 ; + RECT 1.4710 0.7440 1.5450 0.8060 ; + RECT 1.8000 0.7830 1.8500 1.0090 ; + RECT 1.8000 0.1740 1.8500 0.4820 ; + RECT 1.4950 0.1740 1.5450 0.5030 ; + RECT 1.4710 0.5530 1.5210 0.7440 ; + RECT 1.8380 0.5530 1.8880 0.7290 ; + RECT 1.8000 0.7290 1.8880 0.7830 ; + RECT 1.4710 0.5030 1.8880 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4700 1.5410 0.5120 ; + RECT 1.4990 0.3780 1.5410 0.4200 ; + RECT 1.4990 0.7640 1.5410 0.8060 ; + RECT 1.8030 0.2860 1.8450 0.3280 ; + RECT 1.4990 0.1940 1.5410 0.2360 ; + RECT 1.8030 1.4080 1.8450 1.4500 ; + RECT 1.8030 1.3160 1.8450 1.3580 ; + RECT 1.8030 1.2240 1.8450 1.2660 ; + RECT 1.8030 1.1320 1.8450 1.1740 ; + RECT 1.4990 0.2860 1.5410 0.3280 ; + RECT 1.4990 1.0400 1.5410 1.0820 ; + RECT 1.4990 0.9480 1.5410 0.9900 ; + RECT 1.8030 0.8560 1.8450 0.8980 ; + RECT 1.4990 0.8560 1.5410 0.8980 ; + RECT 1.8030 0.9480 1.8450 0.9900 ; + RECT 1.8030 0.3780 1.8450 0.4200 ; + RECT 1.8030 0.4700 1.8450 0.5120 ; + RECT 1.8030 0.7640 1.8450 0.8060 ; + RECT 1.8030 0.1940 1.8450 0.2360 ; + RECT 1.8030 1.0400 1.8450 1.0820 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.4950 0.8160 0.5450 ; + RECT 0.7050 0.4000 0.8150 0.4950 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.4990 0.5530 0.5410 ; + END + ANTENNAGATEAREA 0.03 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.4570 ; + RECT 1.6470 0.0300 1.6970 0.3940 ; + RECT 0.5830 0.0300 0.6330 0.4390 ; + END + PORT + LAYER CO ; + RECT 1.6510 0.1480 1.6930 0.1900 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.3470 0.3030 1.3890 0.3450 ; + RECT 1.3470 0.3950 1.3890 0.4370 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.6510 0.3320 1.6930 0.3740 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5870 0.3770 0.6290 0.4190 ; + RECT 0.5870 0.2850 0.6290 0.3270 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.6510 0.2400 1.6930 0.2820 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.9030 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1060 0.8570 0.1480 ; + END + ANTENNAGATEAREA 0.03 ; + END A3 + + PIN A6 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 0.4010 1.2840 0.5110 ; + RECT 1.2340 0.5110 1.2840 0.5130 ; + RECT 1.2340 0.5130 1.3330 0.5630 ; + END + PORT + LAYER CO ; + RECT 1.2710 0.5170 1.3130 0.5590 ; + END + ANTENNAGATEAREA 0.03 ; + END A6 + OBS + LAYER CO ; + RECT 1.5750 0.6230 1.6170 0.6650 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 0.8910 0.4060 0.9330 0.4480 ; + RECT 0.2830 0.3920 0.3250 0.4340 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 0.7390 1.0690 0.7810 1.1110 ; + RECT 0.7390 1.1610 0.7810 1.2030 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 1.0430 1.1220 1.0850 1.1640 ; + RECT 1.0430 1.2140 1.0850 1.2560 ; + RECT 1.3470 1.4900 1.3890 1.5320 ; + RECT 1.3470 1.3980 1.3890 1.4400 ; + RECT 1.3470 1.3060 1.3890 1.3480 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.0430 0.3090 1.0850 0.3510 ; + RECT 1.0430 0.4010 1.0850 0.4430 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.1950 1.4080 1.2370 1.4500 ; + RECT 1.1950 1.1320 1.2370 1.1740 ; + RECT 1.1950 1.3160 1.2370 1.3580 ; + RECT 1.1950 1.2240 1.2370 1.2660 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.7270 0.6230 1.7690 0.6650 ; + RECT 0.2830 0.3000 0.3250 0.3420 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.8910 0.3140 0.9330 0.3560 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.2430 1.7870 ; + LAYER M1 ; + RECT 1.5710 0.6030 1.7730 0.6850 ; + RECT 1.1900 1.1520 1.6950 1.2020 ; + RECT 1.6450 1.2020 1.6950 1.2050 ; + RECT 1.6450 0.6850 1.6950 1.1520 ; + RECT 0.2790 0.2800 0.3290 0.5970 ; + RECT 0.8870 0.9210 1.2400 0.9710 ; + RECT 1.1900 1.2020 1.2400 1.4700 ; + RECT 1.1900 0.9710 1.2400 1.1520 ; + RECT 1.1900 0.9070 1.2400 0.9210 ; + RECT 1.0390 0.3390 1.0890 0.4630 ; + RECT 0.8870 0.2890 1.0890 0.3390 ; + RECT 0.8870 0.6470 0.9370 0.9210 ; + RECT 0.2790 0.5970 0.9390 0.6470 ; + RECT 0.8870 0.3390 0.9370 0.5970 ; + RECT 0.7350 1.0210 1.0890 1.0710 ; + RECT 1.3430 1.2860 1.3930 1.5200 ; + RECT 1.0390 1.5200 1.3930 1.5700 ; + RECT 1.0390 1.0710 1.0890 1.5200 ; + RECT 0.7350 1.0710 0.7850 1.2230 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.5830 1.3230 0.6330 1.5570 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.4290 0.0750 1.4590 1.6160 ; + RECT 1.8850 0.0750 1.9150 1.6160 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 1.7330 0.0660 1.7630 1.6180 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 1.5810 0.0780 1.6110 1.6180 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 2.0370 0.0750 2.0670 1.6160 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 1.2770 0.0760 1.3070 1.6210 ; + END +END AO222X2_HVT + +MACRO AO22X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.9200 0.5570 1.0090 ; + RECT 0.5070 1.0090 0.6630 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.9400 0.5530 0.9820 ; + END + ANTENNAGATEAREA 0.0234 ; + END A2 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2260 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1280 0.8570 0.1700 ; + END + ANTENNAGATEAREA 0.0234 ; + END A3 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.5030 ; + RECT 0.5830 0.0300 0.6330 0.4020 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.1650 1.0850 0.2070 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.0430 0.4410 1.0850 0.4830 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.0430 0.2570 1.0850 0.2990 ; + RECT 1.0430 0.3490 1.0850 0.3910 ; + RECT 0.5870 0.3400 0.6290 0.3820 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 1.0390 0.8400 1.0890 1.6420 ; + RECT 0.4310 1.3580 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.9230 1.0850 0.9650 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.3780 0.4770 1.4200 ; + RECT 0.4350 1.4700 0.4770 1.5120 ; + RECT 1.0430 1.4750 1.0850 1.5170 ; + RECT 1.0430 1.1070 1.0850 1.1490 ; + RECT 1.0430 1.1990 1.0850 1.2410 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.0430 1.0150 1.0850 1.0570 ; + RECT 1.0430 1.2910 1.0850 1.3330 ; + RECT 1.0430 1.3830 1.0850 1.4250 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.1610 1.2710 1.2710 ; + RECT 1.1910 1.2710 1.2410 1.5370 ; + RECT 1.1910 0.8360 1.2410 1.1610 ; + RECT 1.1910 0.7860 1.2810 0.8360 ; + RECT 1.2310 0.5040 1.2810 0.7860 ; + RECT 1.1910 0.1450 1.2410 0.4380 ; + RECT 1.1910 0.4380 1.2810 0.5040 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1650 1.2370 0.2070 ; + RECT 1.1950 0.3490 1.2370 0.3910 ; + RECT 1.1950 0.2570 1.2370 0.2990 ; + RECT 1.1950 0.9230 1.2370 0.9650 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 1.0150 1.2370 1.0570 ; + RECT 1.1950 1.4750 1.2370 1.5170 ; + RECT 1.1950 0.4410 1.2370 0.4830 ; + RECT 1.1950 1.2910 1.2370 1.3330 ; + RECT 1.1950 1.3830 1.2370 1.4250 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.7080 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + END + ANTENNAGATEAREA 0.0234 ; + END A4 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4040 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7440 0.4010 0.7860 ; + END + ANTENNAGATEAREA 0.0234 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7870 ; + LAYER M1 ; + RECT 0.7580 0.6180 1.1810 0.6680 ; + RECT 0.2790 0.5030 0.3290 0.5700 ; + RECT 0.2790 0.3040 0.3290 0.4530 ; + RECT 0.7350 0.8130 0.8080 0.8630 ; + RECT 0.7580 0.6680 0.8080 0.8130 ; + RECT 0.7350 0.8630 0.7850 1.2080 ; + RECT 0.8870 0.5030 0.9370 0.5680 ; + RECT 0.2790 0.4530 0.9370 0.5030 ; + RECT 0.8870 0.3010 0.9370 0.4530 ; + RECT 0.7580 0.5030 0.8080 0.6180 ; + RECT 0.5830 1.3080 0.6330 1.5430 ; + RECT 0.2790 1.2580 0.9370 1.3080 ; + RECT 0.8870 1.3080 0.9370 1.5420 ; + RECT 0.2790 1.3080 0.3290 1.5420 ; + LAYER PO ; + RECT 1.2770 0.0750 1.3070 1.6160 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 1.4290 0.0750 1.4590 1.6160 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 1.1250 0.0760 1.1550 1.6160 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + LAYER CO ; + RECT 0.2830 1.2960 0.3250 1.3380 ; + RECT 0.8910 1.2960 0.9330 1.3380 ; + RECT 0.2830 1.3880 0.3250 1.4300 ; + RECT 0.2830 1.4800 0.3250 1.5220 ; + RECT 0.7390 1.1460 0.7810 1.1880 ; + RECT 0.7390 1.0540 0.7810 1.0960 ; + RECT 0.5870 1.4800 0.6290 1.5220 ; + RECT 0.5870 1.3880 0.6290 1.4300 ; + RECT 0.2830 0.5080 0.3250 0.5500 ; + RECT 0.8910 0.5060 0.9330 0.5480 ; + RECT 0.8910 1.4800 0.9330 1.5220 ; + RECT 1.1190 0.6220 1.1610 0.6640 ; + RECT 0.8910 0.4140 0.9330 0.4560 ; + RECT 0.8910 0.3220 0.9330 0.3640 ; + RECT 0.2830 0.3240 0.3250 0.3660 ; + RECT 0.2830 0.4160 0.3250 0.4580 ; + RECT 0.5870 1.2960 0.6290 1.3380 ; + RECT 0.8910 1.3880 0.9330 1.4300 ; + END +END AO22X1_HVT + +MACRO AO22X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3130 0.8070 1.4320 0.9670 ; + RECT 1.3440 0.9670 1.3940 1.4700 ; + RECT 1.0390 0.3440 1.3940 0.3940 ; + RECT 1.0110 0.4680 1.0890 0.5180 ; + RECT 1.3820 0.5180 1.4320 0.8070 ; + RECT 1.3440 0.4680 1.4320 0.5180 ; + RECT 1.0390 0.1600 1.0890 0.3440 ; + RECT 1.3440 0.3940 1.3940 0.4680 ; + RECT 1.3440 0.1600 1.3940 0.3440 ; + RECT 1.0390 0.3940 1.0890 0.4680 ; + RECT 1.0110 0.5180 1.0610 0.7300 ; + RECT 1.0390 0.7920 1.0890 1.0880 ; + RECT 1.0110 0.7300 1.0890 0.7920 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.1180 1.3890 1.1600 ; + RECT 1.0430 0.8420 1.0850 0.8840 ; + RECT 1.0430 0.3640 1.0850 0.4060 ; + RECT 1.0430 0.4560 1.0850 0.4980 ; + RECT 1.3470 0.8420 1.3890 0.8840 ; + RECT 1.3470 0.4560 1.3890 0.4980 ; + RECT 1.3470 0.3640 1.3890 0.4060 ; + RECT 1.0430 0.9340 1.0850 0.9760 ; + RECT 1.0430 1.0260 1.0850 1.0680 ; + RECT 1.3470 1.0260 1.3890 1.0680 ; + RECT 1.3470 0.9340 1.3890 0.9760 ; + RECT 1.3470 1.2100 1.3890 1.2520 ; + RECT 1.3470 0.1800 1.3890 0.2220 ; + RECT 1.3470 0.2720 1.3890 0.3140 ; + RECT 1.0430 0.2720 1.0850 0.3140 ; + RECT 1.3470 1.3020 1.3890 1.3440 ; + RECT 1.0430 0.1800 1.0850 0.2220 ; + RECT 1.3470 1.3940 1.3890 1.4360 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.5530 0.7080 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.6000 0.7050 0.6420 ; + END + ANTENNAGATEAREA 0.0246 ; + END A4 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.4310 1.3730 0.4810 1.6420 ; + RECT 1.1910 1.2510 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.3930 0.4770 1.4350 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.1950 1.3760 1.2370 1.4180 ; + RECT 1.1950 1.4680 1.2370 1.5100 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1950 1.2840 1.2370 1.3260 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.4350 1.4850 0.4770 1.5270 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5070 0.8660 0.5570 1.0090 ; + RECT 0.4010 1.0090 0.5570 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8860 0.5530 0.9280 ; + END + ANTENNAGATEAREA 0.0246 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.2930 ; + RECT 0.5830 0.0300 0.6330 0.4030 ; + END + PORT + LAYER CO ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.1950 0.2310 1.2370 0.2730 ; + RECT 1.1950 0.1390 1.2370 0.1810 ; + RECT 0.5870 0.3410 0.6290 0.3830 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + END + END VSS + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4040 0.8160 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7300 0.4010 0.7720 ; + END + ANTENNAGATEAREA 0.0246 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1250 0.8570 0.1670 ; + END + ANTENNAGATEAREA 0.0246 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7870 ; + LAYER M1 ; + RECT 1.1150 0.5740 1.3170 0.6560 ; + RECT 0.7350 1.1380 1.2390 1.1880 ; + RECT 1.1890 0.6560 1.2390 1.1380 ; + RECT 0.2790 0.5030 0.3290 0.5360 ; + RECT 0.2790 0.2700 0.3290 0.4530 ; + RECT 0.7350 1.1880 0.7850 1.1890 ; + RECT 0.7350 1.0150 0.7850 1.1380 ; + RECT 0.8870 0.5030 0.9370 1.1380 ; + RECT 0.2790 0.4530 0.9370 0.5030 ; + RECT 0.8870 0.2700 0.9370 0.4530 ; + RECT 0.8870 1.3230 0.9370 1.5570 ; + RECT 0.5830 1.3230 0.6330 1.5570 ; + RECT 0.2790 1.2730 0.9370 1.3230 ; + RECT 0.2790 1.3230 0.3290 1.5570 ; + LAYER PO ; + RECT 1.4290 0.0560 1.4590 1.5970 ; + RECT 1.2770 0.0520 1.3070 1.6040 ; + RECT 1.5810 0.0560 1.6110 1.5970 ; + RECT 1.1250 0.0640 1.1550 1.6040 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + LAYER CO ; + RECT 1.1190 0.5940 1.1610 0.6360 ; + RECT 1.2710 0.5940 1.3130 0.6360 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 0.8910 0.2900 0.9330 0.3320 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.2830 0.2900 0.3250 0.3320 ; + RECT 0.2830 0.4740 0.3250 0.5160 ; + RECT 0.8910 0.3820 0.9330 0.4240 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.8910 0.4740 0.9330 0.5160 ; + RECT 0.2830 0.3820 0.3250 0.4240 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.7390 1.0350 0.7810 1.0770 ; + RECT 0.7390 1.1270 0.7810 1.1690 ; + END +END AO22X2_HVT + +MACRO AOBUFX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.128 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0050 0.7470 1.1810 0.8070 ; + RECT 1.0050 0.8070 1.1190 0.8150 ; + RECT 1.0050 0.7050 1.1190 0.7470 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7560 1.1610 0.7980 ; + END + ANTENNAGATEAREA 0.0306 ; + END A + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 0.9910 0.7850 1.0090 ; + RECT 0.7350 1.2870 1.0890 1.3370 ; + RECT 0.7350 1.1190 0.7850 1.2870 ; + RECT 1.0390 1.3370 1.0890 1.4280 ; + RECT 1.0390 0.8860 1.0890 1.2870 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.0430 1.2740 1.0850 1.3160 ; + RECT 1.0430 1.3660 1.0850 1.4080 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.0430 1.1820 1.0850 1.2240 ; + RECT 1.0430 1.0900 1.0850 1.1320 ; + RECT 1.0430 0.9060 1.0850 0.9480 ; + RECT 1.0430 0.9980 1.0850 1.0400 ; + RECT 0.8150 1.2910 0.8570 1.3330 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.1280 1.7020 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8940 2.5130 1.0890 2.5630 ; + RECT 0.8530 2.7570 1.0890 2.8070 ; + RECT 0.8530 2.6810 0.9670 2.7570 ; + RECT 1.0390 1.9290 1.0890 2.5130 ; + RECT 0.8940 2.5630 0.9440 2.6810 ; + RECT 1.0390 2.8070 1.0890 3.1550 ; + END + PORT + LAYER CO ; + RECT 1.0430 2.0410 1.0850 2.0830 ; + RECT 1.0430 1.9490 1.0850 1.9910 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + RECT 1.0430 2.8020 1.0850 2.8440 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + RECT 1.0430 2.9860 1.0850 3.0280 ; + RECT 1.0430 2.2250 1.0850 2.2670 ; + RECT 1.0430 2.4090 1.0850 2.4510 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.0430 2.1330 1.0850 2.1750 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.0430 2.5010 1.0850 2.5430 ; + RECT 1.0430 2.3170 1.0850 2.3590 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.1280 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.6140 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.1280 3.3740 ; + RECT 1.1910 2.7820 1.2410 3.3140 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.2760 1.0850 0.3180 ; + RECT 1.0430 0.3680 1.0850 0.4100 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.0430 0.5520 1.0850 0.5940 ; + RECT 1.0430 0.4600 1.0850 0.5020 ; + RECT 1.0430 0.4600 1.0850 0.5020 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.1950 2.8020 1.2370 2.8440 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.0430 0.2760 1.0850 0.3180 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 1.1950 3.0030 1.2370 3.0450 ; + END + END VSS + OBS + LAYER NWELL ; + RECT 0.6410 0.6790 1.4660 2.6650 ; + LAYER M1 ; + RECT 0.7950 1.8100 1.2410 1.8600 ; + RECT 1.1910 1.8600 1.2410 2.5640 ; + RECT 1.0990 2.6140 1.4850 2.6740 ; + RECT 1.1750 1.1760 1.3860 1.2260 ; + RECT 1.1910 0.5110 1.4850 0.5610 ; + RECT 1.1910 1.2260 1.2410 1.4280 ; + RECT 1.1910 0.5610 1.2410 0.6140 ; + RECT 1.1910 0.2560 1.2410 0.5110 ; + RECT 1.3360 0.5610 1.3860 1.1760 ; + LAYER PO ; + RECT 1.8850 1.7220 1.9150 3.2150 ; + RECT 1.8850 0.1290 1.9150 1.6220 ; + RECT 0.9730 1.7230 1.0030 3.2150 ; + RECT 1.4290 0.1340 1.4590 3.2150 ; + RECT 0.6690 1.7230 0.6990 3.2150 ; + RECT 0.6690 0.1340 0.6990 1.4980 ; + RECT 0.8210 0.1340 0.8510 3.2150 ; + RECT 0.5170 1.7220 0.5470 3.2150 ; + RECT 0.5170 0.1290 0.5470 1.6220 ; + RECT 1.1250 1.7230 1.1550 3.2150 ; + RECT 0.3650 1.7220 0.3950 3.2150 ; + RECT 0.3650 0.1290 0.3950 1.6220 ; + RECT 0.2130 1.7220 0.2430 3.2150 ; + RECT 1.2770 1.7230 1.3070 3.2150 ; + RECT 0.2130 0.1290 0.2430 1.6220 ; + RECT 0.0610 1.7220 0.0910 3.2150 ; + RECT 0.0610 0.1290 0.0910 1.6220 ; + RECT 1.5810 1.7220 1.6110 3.2150 ; + RECT 1.5810 0.1290 1.6110 1.6220 ; + RECT 1.7330 1.7220 1.7630 3.2150 ; + RECT 1.7330 0.1290 1.7630 1.6220 ; + RECT 0.9730 0.1340 1.0030 1.4980 ; + RECT 2.0370 1.7220 2.0670 3.2150 ; + RECT 1.1250 0.1340 1.1550 1.4980 ; + RECT 2.0370 0.1290 2.0670 1.6220 ; + RECT 1.2770 0.1340 1.3070 1.4980 ; + LAYER CO ; + RECT 1.1950 0.4600 1.2370 0.5020 ; + RECT 1.1950 1.3660 1.2370 1.4080 ; + RECT 1.1950 1.1800 1.2370 1.2220 ; + RECT 1.1950 1.2740 1.2370 1.3160 ; + RECT 1.1950 0.4600 1.2370 0.5020 ; + RECT 1.1950 0.5520 1.2370 0.5940 ; + RECT 1.4230 0.5150 1.4650 0.5570 ; + RECT 1.1950 1.8560 1.2370 1.8980 ; + RECT 1.4230 2.6230 1.4650 2.6650 ; + RECT 0.8150 1.8140 0.8570 1.8560 ; + RECT 1.1950 1.9480 1.2370 1.9900 ; + RECT 1.1950 2.2260 1.2370 2.2680 ; + RECT 1.1190 2.6230 1.1610 2.6650 ; + RECT 1.1950 0.2760 1.2370 0.3180 ; + RECT 1.1950 0.3680 1.2370 0.4100 ; + RECT 1.1950 0.2760 1.2370 0.3180 ; + RECT 1.1950 2.4100 1.2370 2.4520 ; + RECT 1.1950 2.1340 1.2370 2.1760 ; + RECT 1.1950 2.3180 1.2370 2.3600 ; + RECT 1.1950 2.0400 1.2370 2.0820 ; + RECT 1.1950 2.5020 1.2370 2.5440 ; + END +END AOBUFX1_HVT + +MACRO AOBUFX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0050 0.7660 1.1810 0.8260 ; + RECT 1.0050 0.7050 1.1190 0.7660 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7750 1.1610 0.8170 ; + END + ANTENNAGATEAREA 0.0306 ; + END A + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 0.9910 0.7850 1.0090 ; + RECT 0.7350 1.3060 1.0890 1.3560 ; + RECT 0.7350 1.1190 0.7850 1.3060 ; + RECT 1.0390 1.3560 1.0890 1.4470 ; + RECT 1.0390 0.9970 1.0890 1.3060 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.3100 0.8570 1.3520 ; + RECT 1.0430 1.1090 1.0850 1.1510 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.0430 1.2930 1.0850 1.3350 ; + RECT 1.0430 1.3850 1.0850 1.4270 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + RECT 1.0430 1.0170 1.0850 1.0590 ; + RECT 1.0430 1.2010 1.0850 1.2430 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8940 2.5040 1.3930 2.5540 ; + RECT 0.8530 2.7570 1.3930 2.8070 ; + RECT 0.8530 2.6810 0.9670 2.7570 ; + RECT 1.0390 1.9200 1.0890 2.5040 ; + RECT 1.3430 1.8280 1.3930 2.5040 ; + RECT 0.8940 2.5540 0.9440 2.6810 ; + RECT 1.0390 2.8070 1.0890 3.1550 ; + RECT 1.3430 2.8070 1.3930 3.1550 ; + END + PORT + LAYER CO ; + RECT 1.3470 2.9860 1.3890 3.0280 ; + RECT 1.3470 2.8940 1.3890 2.9360 ; + RECT 1.0430 2.8020 1.0850 2.8440 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + RECT 1.0430 2.9860 1.0850 3.0280 ; + RECT 1.0430 2.2160 1.0850 2.2580 ; + RECT 1.3470 2.2160 1.3890 2.2580 ; + RECT 1.0430 2.4000 1.0850 2.4420 ; + RECT 1.3470 3.0780 1.3890 3.1200 ; + RECT 1.3470 2.4000 1.3890 2.4420 ; + RECT 1.3470 2.1240 1.3890 2.1660 ; + RECT 1.3470 2.4920 1.3890 2.5340 ; + RECT 1.3470 2.3080 1.3890 2.3500 ; + RECT 1.3470 2.8940 1.3890 2.9360 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.3470 2.8020 1.3890 2.8440 ; + RECT 1.0430 2.1240 1.0850 2.1660 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.0430 2.4920 1.0850 2.5340 ; + RECT 1.0430 2.3080 1.0850 2.3500 ; + RECT 1.3470 3.0780 1.3890 3.1200 ; + RECT 1.0430 2.0320 1.0850 2.0740 ; + RECT 1.3470 1.8480 1.3890 1.8900 ; + RECT 1.3470 1.9400 1.3890 1.9820 ; + RECT 1.3470 2.0320 1.3890 2.0740 ; + RECT 1.0430 1.9400 1.0850 1.9820 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.5420 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.2800 3.3740 ; + RECT 1.1910 2.8910 1.2410 3.3140 ; + END + PORT + LAYER CO ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 1.1950 3.0030 1.2370 3.0450 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.0430 0.3880 1.0850 0.4300 ; + RECT 1.0430 0.3880 1.0850 0.4300 ; + RECT 1.0430 0.4800 1.0850 0.5220 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.0430 0.2960 1.0850 0.3380 ; + RECT 1.0430 0.2040 1.0850 0.2460 ; + RECT 1.0430 0.2040 1.0850 0.2460 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.2710 2.6230 1.3130 2.6650 ; + RECT 1.4230 2.6230 1.4650 2.6650 ; + RECT 0.8150 1.8140 0.8570 1.8560 ; + RECT 1.1950 1.8030 1.2370 1.8450 ; + RECT 1.1950 2.0810 1.2370 2.1230 ; + RECT 1.1190 2.6230 1.1610 2.6650 ; + RECT 1.1950 2.3570 1.2370 2.3990 ; + RECT 1.1950 1.9890 1.2370 2.0310 ; + RECT 1.1950 2.1730 1.2370 2.2150 ; + RECT 1.1950 1.8950 1.2370 1.9370 ; + RECT 1.1950 0.4600 1.2370 0.5020 ; + RECT 1.1950 1.3850 1.2370 1.4270 ; + RECT 1.1950 1.1990 1.2370 1.2410 ; + RECT 1.1950 1.2930 1.2370 1.3350 ; + RECT 1.1950 2.2650 1.2370 2.3070 ; + RECT 1.1950 0.2760 1.2370 0.3180 ; + RECT 1.1950 0.3680 1.2370 0.4100 ; + RECT 1.1950 0.2760 1.2370 0.3180 ; + RECT 1.1950 1.0130 1.2370 1.0550 ; + RECT 1.1950 1.1070 1.2370 1.1490 ; + RECT 1.1950 0.9210 1.2370 0.9630 ; + RECT 1.1950 0.4600 1.2370 0.5020 ; + RECT 1.1950 0.5520 1.2370 0.5940 ; + RECT 1.4230 0.4480 1.4650 0.4900 ; + LAYER NWELL ; + RECT 0.6390 0.6790 1.6170 2.6650 ; + LAYER M1 ; + RECT 0.7950 1.8100 1.2410 1.8600 ; + RECT 1.1910 1.8600 1.2410 2.4190 ; + RECT 1.1910 1.7830 1.2410 1.8100 ; + RECT 1.0990 2.6140 1.4850 2.6740 ; + RECT 1.1750 1.1950 1.3860 1.2450 ; + RECT 1.1910 0.4440 1.4850 0.4940 ; + RECT 1.1910 1.2450 1.2410 1.4470 ; + RECT 1.1910 0.9010 1.2410 1.1950 ; + RECT 1.1910 0.4940 1.2410 0.6140 ; + RECT 1.1910 0.2560 1.2410 0.4440 ; + RECT 1.3360 0.4940 1.3860 1.1950 ; + LAYER PO ; + RECT 1.7330 0.0710 1.7630 1.6050 ; + RECT 0.2130 1.7390 0.2430 3.2730 ; + RECT 0.2130 0.0710 0.2430 1.6050 ; + RECT 0.3650 0.0710 0.3950 1.6050 ; + RECT 0.3650 1.7390 0.3950 3.2730 ; + RECT 0.5170 1.7390 0.5470 3.2730 ; + RECT 0.5170 0.0710 0.5470 1.6050 ; + RECT 1.7330 1.7390 1.7630 3.2730 ; + RECT 1.8850 1.7390 1.9150 3.2730 ; + RECT 1.8850 0.0710 1.9150 1.6050 ; + RECT 2.0370 0.0710 2.0670 1.6050 ; + RECT 2.0370 1.7390 2.0670 3.2730 ; + RECT 0.0610 1.7390 0.0910 3.2730 ; + RECT 0.0610 0.0710 0.0910 1.6050 ; + RECT 0.9730 1.7230 1.0030 3.2150 ; + RECT 2.1890 0.0710 2.2190 1.6050 ; + RECT 2.1890 1.7390 2.2190 3.2730 ; + RECT 1.5810 0.1340 1.6110 1.5170 ; + RECT 1.5810 1.7230 1.6110 3.2150 ; + RECT 1.4290 0.1340 1.4590 3.2150 ; + RECT 0.6690 1.7230 0.6990 3.2150 ; + RECT 0.6690 0.1340 0.6990 1.5170 ; + RECT 0.8210 0.1340 0.8510 3.2150 ; + RECT 1.1250 1.7230 1.1550 3.2150 ; + RECT 1.2770 1.7230 1.3070 3.2150 ; + RECT 0.9730 0.1340 1.0030 1.5170 ; + RECT 1.1250 0.1340 1.1550 1.5170 ; + RECT 1.2770 0.1340 1.3070 1.5170 ; + END +END AOBUFX2_HVT + +MACRO AOBUFX4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0050 0.8690 1.1810 0.9290 ; + RECT 1.0050 0.9290 1.1190 0.9670 ; + RECT 1.0050 0.8570 1.1190 0.8690 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.8780 1.1610 0.9200 ; + END + ANTENNAGATEAREA 0.0306 ; + END A + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.6660 1.0090 0.8560 1.1190 ; + RECT 0.7350 0.9910 0.7850 1.0090 ; + RECT 0.7350 1.4200 1.0890 1.4700 ; + RECT 0.7350 1.1190 0.7850 1.4200 ; + RECT 1.0390 1.4700 1.0890 1.5600 ; + RECT 1.0390 1.0180 1.0890 1.4200 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.1300 1.0850 1.1720 ; + RECT 1.0430 1.0380 1.0850 1.0800 ; + RECT 1.0430 1.2220 1.0850 1.2640 ; + RECT 1.0430 1.3140 1.0850 1.3560 ; + RECT 0.8150 1.4240 0.8570 1.4660 ; + RECT 0.7390 1.1030 0.7810 1.1450 ; + RECT 1.0430 1.4060 1.0850 1.4480 ; + RECT 1.0430 1.4980 1.0850 1.5400 ; + RECT 0.7390 1.0110 0.7810 1.0530 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8940 2.5040 1.6970 2.5540 ; + RECT 0.8530 2.7570 1.6970 2.8070 ; + RECT 0.8530 2.6810 0.9670 2.7570 ; + RECT 1.0390 1.9200 1.0890 2.5040 ; + RECT 1.3430 1.9200 1.3930 2.5040 ; + RECT 1.6470 1.9200 1.6970 2.5040 ; + RECT 0.8940 2.5540 0.9440 2.6810 ; + RECT 1.6470 2.8070 1.6970 3.1550 ; + RECT 1.0390 2.8070 1.0890 3.1550 ; + RECT 1.3430 2.8070 1.3930 3.1550 ; + END + PORT + LAYER CO ; + RECT 1.6510 3.0780 1.6930 3.1200 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + RECT 1.3470 2.9860 1.3890 3.0280 ; + RECT 1.3470 2.8940 1.3890 2.9360 ; + RECT 1.0430 2.0320 1.0850 2.0740 ; + RECT 1.0430 2.8020 1.0850 2.8440 ; + RECT 1.0430 2.8940 1.0850 2.9360 ; + RECT 1.0430 2.9860 1.0850 3.0280 ; + RECT 1.6510 2.8940 1.6930 2.9360 ; + RECT 1.6510 3.0780 1.6930 3.1200 ; + RECT 1.0430 2.2160 1.0850 2.2580 ; + RECT 1.6510 2.8020 1.6930 2.8440 ; + RECT 1.3470 2.2160 1.3890 2.2580 ; + RECT 1.0430 2.4000 1.0850 2.4420 ; + RECT 1.3470 3.0780 1.3890 3.1200 ; + RECT 1.3470 2.4000 1.3890 2.4420 ; + RECT 1.3470 2.1240 1.3890 2.1660 ; + RECT 1.3470 2.4920 1.3890 2.5340 ; + RECT 1.3470 2.3080 1.3890 2.3500 ; + RECT 1.3470 2.8940 1.3890 2.9360 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.3470 2.8020 1.3890 2.8440 ; + RECT 1.0430 1.9400 1.0850 1.9820 ; + RECT 1.0430 3.0780 1.0850 3.1200 ; + RECT 1.0430 2.4920 1.0850 2.5340 ; + RECT 1.0430 2.3080 1.0850 2.3500 ; + RECT 1.6510 2.2160 1.6930 2.2580 ; + RECT 1.6510 2.4000 1.6930 2.4420 ; + RECT 1.6510 2.4920 1.6930 2.5340 ; + RECT 1.3470 3.0780 1.3890 3.1200 ; + RECT 1.6510 2.1240 1.6930 2.1660 ; + RECT 1.6510 2.8940 1.6930 2.9360 ; + RECT 1.6510 2.0320 1.6930 2.0740 ; + RECT 1.6510 1.9400 1.6930 1.9820 ; + RECT 1.6510 2.9860 1.6930 3.0280 ; + RECT 1.0430 2.1240 1.0850 2.1660 ; + RECT 1.6510 2.3080 1.6930 2.3500 ; + RECT 1.3470 2.0320 1.3890 2.0740 ; + RECT 1.3470 1.9400 1.3890 1.9820 ; + END + ANTENNADIFFAREA 0.3976 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0280 2.5840 0.0280 ; + RECT 1.0390 0.0280 1.0890 0.5420 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + RECT 1.4950 2.8910 1.5450 3.3140 ; + RECT 1.1910 2.8910 1.2410 3.3140 ; + END + PORT + LAYER CO ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 1.0430 0.4800 1.0850 0.5220 ; + RECT 1.0430 0.3880 1.0850 0.4300 ; + RECT 1.0430 0.2960 1.0850 0.3380 ; + RECT 1.4990 2.9110 1.5410 2.9530 ; + RECT 1.4990 3.0950 1.5410 3.1370 ; + RECT 1.4990 3.0030 1.5410 3.0450 ; + RECT 1.4990 2.9110 1.5410 2.9530 ; + RECT 1.4990 3.0950 1.5410 3.1370 ; + RECT 1.0430 0.2040 1.0850 0.2460 ; + RECT 1.0430 0.2040 1.0850 0.2460 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 1.1950 3.0030 1.2370 3.0450 ; + RECT 1.1950 2.9110 1.2370 2.9530 ; + RECT 1.1950 3.0950 1.2370 3.1370 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.1950 0.2760 1.2370 0.3180 ; + RECT 1.1950 0.3680 1.2370 0.4100 ; + RECT 1.1950 1.1260 1.2370 1.1680 ; + RECT 1.1950 0.5520 1.2370 0.5940 ; + RECT 1.1950 1.2200 1.2370 1.2620 ; + RECT 1.1950 1.0340 1.2370 1.0760 ; + RECT 1.4230 0.5410 1.4650 0.5830 ; + RECT 1.2710 2.6230 1.3130 2.6650 ; + RECT 1.5750 2.6230 1.6170 2.6650 ; + RECT 0.8150 1.8140 0.8570 1.8560 ; + RECT 1.1950 1.8030 1.2370 1.8450 ; + RECT 1.1950 2.0810 1.2370 2.1230 ; + RECT 1.1190 2.6230 1.1610 2.6650 ; + RECT 1.4990 1.8030 1.5410 1.8450 ; + RECT 1.4990 2.0810 1.5410 2.1230 ; + RECT 1.4990 2.2650 1.5410 2.3070 ; + RECT 1.1950 2.3570 1.2370 2.3990 ; + RECT 1.1950 1.9890 1.2370 2.0310 ; + RECT 1.1950 2.1730 1.2370 2.2150 ; + RECT 1.1950 1.8950 1.2370 1.9370 ; + RECT 1.4990 1.9890 1.5410 2.0310 ; + RECT 1.4990 2.1730 1.5410 2.2150 ; + RECT 1.4990 1.8950 1.5410 1.9370 ; + RECT 1.4990 2.3570 1.5410 2.3990 ; + RECT 1.1950 0.4600 1.2370 0.5020 ; + RECT 1.1950 2.2650 1.2370 2.3070 ; + RECT 1.4230 2.6230 1.4650 2.6650 ; + RECT 1.1950 1.4980 1.2370 1.5400 ; + RECT 1.1950 1.3120 1.2370 1.3540 ; + RECT 1.1950 1.4060 1.2370 1.4480 ; + LAYER NWELL ; + RECT 0.6420 0.6790 1.7780 2.6650 ; + LAYER M1 ; + RECT 0.7950 1.8100 1.5450 1.8600 ; + RECT 1.4950 1.8600 1.5450 2.4190 ; + RECT 1.4950 1.7830 1.5450 1.8100 ; + RECT 1.1910 1.8600 1.2410 2.4190 ; + RECT 1.1910 1.7830 1.2410 1.8100 ; + RECT 1.0990 2.6140 1.6370 2.6740 ; + RECT 1.1910 1.3080 1.3860 1.3580 ; + RECT 1.1910 0.5370 1.4850 0.5870 ; + RECT 1.1910 1.3580 1.2410 1.5600 ; + RECT 1.1910 1.0140 1.2410 1.3080 ; + RECT 1.3360 1.3580 1.3860 1.3590 ; + RECT 1.1910 0.5870 1.2410 0.6140 ; + RECT 1.1910 0.2560 1.2410 0.5370 ; + RECT 1.3360 0.5870 1.3860 1.3080 ; + LAYER PO ; + RECT 0.0610 1.7230 0.0910 3.2150 ; + RECT 0.0610 0.1340 0.0910 1.6230 ; + RECT 0.5170 0.1340 0.5470 1.6230 ; + RECT 0.3650 1.7230 0.3950 3.2150 ; + RECT 0.3650 0.1340 0.3950 1.6230 ; + RECT 0.5170 1.7230 0.5470 3.2150 ; + RECT 0.2130 0.1340 0.2430 1.6230 ; + RECT 0.2130 1.7230 0.2430 3.2150 ; + RECT 1.8850 1.7230 1.9150 3.2150 ; + RECT 1.8850 0.1340 1.9150 1.6230 ; + RECT 2.0370 0.1340 2.0670 1.6230 ; + RECT 2.0370 1.7230 2.0670 3.2150 ; + RECT 1.5810 1.7230 1.6110 3.2150 ; + RECT 1.5810 0.1330 1.6110 1.6230 ; + RECT 0.9730 1.7230 1.0030 3.2150 ; + RECT 1.7330 0.1330 1.7630 1.6230 ; + RECT 1.7330 1.7230 1.7630 3.2150 ; + RECT 2.1890 1.7230 2.2190 3.2150 ; + RECT 2.1890 0.1340 2.2190 1.6230 ; + RECT 1.4290 0.1340 1.4590 3.2150 ; + RECT 0.6690 1.7230 0.6990 3.2150 ; + RECT 0.6690 0.1340 0.6990 1.6230 ; + RECT 0.8210 0.1340 0.8510 3.2150 ; + RECT 2.3410 0.1340 2.3710 1.6230 ; + RECT 2.3410 1.7230 2.3710 3.2150 ; + RECT 2.4930 1.7230 2.5230 3.2150 ; + RECT 1.1250 1.7230 1.1550 3.2150 ; + RECT 1.2770 1.7230 1.3070 3.2150 ; + RECT 2.4930 0.1340 2.5230 1.6230 ; + RECT 0.9730 0.1330 1.0030 1.6230 ; + RECT 1.1250 0.1330 1.1550 1.6230 ; + RECT 1.2770 0.1330 1.3070 1.6230 ; + END +END AOBUFX4_HVT + +MACRO AODFFARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.192 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 3.0050 1.0890 3.0550 ; + RECT 0.7050 2.4370 1.0890 2.4870 ; + RECT 0.7050 2.3770 0.8150 2.4370 ; + RECT 1.0390 1.8950 1.0890 2.4370 ; + RECT 1.0390 3.0550 1.0890 3.2160 ; + RECT 0.7050 2.4870 0.7550 3.0050 ; + END + PORT + LAYER CO ; + RECT 1.0430 3.0350 1.0850 3.0770 ; + RECT 1.0430 2.1200 1.0850 2.1620 ; + RECT 1.0430 2.3960 1.0850 2.4380 ; + RECT 1.0430 2.1200 1.0850 2.1620 ; + RECT 1.0430 2.3960 1.0850 2.4380 ; + RECT 1.0430 3.1270 1.0850 3.1690 ; + RECT 1.0430 2.3040 1.0850 2.3460 ; + RECT 1.0430 1.9360 1.0850 1.9780 ; + RECT 1.0430 2.0280 1.0850 2.0700 ; + RECT 1.0430 1.9360 1.0850 1.9780 ; + RECT 1.0430 2.0280 1.0850 2.0700 ; + RECT 1.0430 2.2120 1.0850 2.2540 ; + RECT 1.0430 2.2120 1.0850 2.2540 ; + RECT 1.0430 2.3040 1.0850 2.3460 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 1.4650 1.1810 1.5920 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5340 1.1610 1.5760 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.6550 0.7520 0.8150 0.8190 ; + RECT 0.4840 0.7020 0.8770 0.7520 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.7060 0.8570 0.7480 ; + RECT 0.6630 0.7060 0.7050 0.7480 ; + RECT 0.5110 0.7060 0.5530 0.7480 ; + END + ANTENNAGATEAREA 0.036 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1790 0.1580 2.3350 0.2070 ; + RECT 2.1790 0.1080 3.0050 0.1580 ; + RECT 2.1790 0.0970 2.3350 0.1080 ; + END + PORT + LAYER CO ; + RECT 2.9430 0.1110 2.9850 0.1530 ; + RECT 2.1830 0.1440 2.2250 0.1860 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 2.1230 0.3590 2.1830 ; + RECT 0.2490 3.1600 0.8010 3.2100 ; + RECT 0.2490 2.1830 0.2990 3.1600 ; + RECT 0.2490 2.0730 0.7850 2.1230 ; + RECT 0.7350 1.8950 0.7850 2.0730 ; + END + PORT + LAYER CO ; + RECT 0.7390 3.1640 0.7810 3.2060 ; + RECT 0.7390 1.9360 0.7810 1.9780 ; + RECT 0.7390 1.9360 0.7810 1.9780 ; + RECT 0.7390 2.0280 0.7810 2.0700 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.7350 1.4230 0.7850 1.5660 ; + RECT 1.3430 1.3910 2.4730 1.4410 ; + RECT 0.6290 1.3380 0.8320 1.4230 ; + RECT 0.7350 1.0550 0.7850 1.2880 ; + RECT 1.1910 1.3380 1.2410 1.3860 ; + RECT 1.1910 1.1200 1.2410 1.2880 ; + RECT 1.3430 1.1330 1.3930 1.2880 ; + RECT 1.3430 1.3380 1.3930 1.3910 ; + RECT 0.1870 1.2880 1.3930 1.3380 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.2320 1.2370 1.2740 ; + RECT 0.2070 1.2920 0.2490 1.3340 ; + RECT 1.3470 1.2460 1.3890 1.2880 ; + RECT 0.7390 1.4460 0.7810 1.4880 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 1.3470 1.3380 1.3890 1.3800 ; + RECT 1.1950 1.3240 1.2370 1.3660 ; + RECT 1.1950 1.1400 1.2370 1.1820 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.1920 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.1920 0.0300 ; + RECT 0.4140 0.3620 0.7850 0.4120 ; + RECT 1.0420 0.2180 1.3930 0.2680 ; + RECT 2.4070 0.3590 2.4570 0.4830 ; + RECT 1.9970 0.0300 2.0470 0.3090 ; + RECT 1.9970 0.3090 2.4570 0.3590 ; + RECT 0.7350 0.4120 0.7850 0.4410 ; + RECT 0.7350 0.0300 0.7850 0.3620 ; + RECT 1.1910 0.2680 1.2410 0.4720 ; + RECT 1.3430 0.2680 1.3930 0.4720 ; + RECT 1.0420 0.0300 1.0920 0.2180 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.1920 3.3740 ; + RECT 1.4710 2.9820 2.6370 3.0320 ; + RECT 0.8870 3.1440 0.9370 3.3140 ; + RECT 1.4710 3.0320 1.5210 3.3140 ; + END + PORT + LAYER CO ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.5630 2.9860 2.6050 3.0280 ; + RECT 0.4350 0.3660 0.4770 0.4080 ; + RECT 2.4110 0.4210 2.4530 0.4630 ; + RECT 1.6510 2.9860 1.6930 3.0280 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.4110 0.3290 2.4530 0.3710 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4990 2.9860 1.5410 3.0280 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.1950 0.4100 1.2370 0.4520 ; + RECT 0.7390 0.3660 0.7810 0.4080 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.8910 3.1640 0.9330 3.2060 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.3470 0.2970 1.3890 0.3390 ; + RECT 1.1950 0.2220 1.2370 0.2640 ; + RECT 1.3470 0.4100 1.3890 0.4520 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.8790 0.7880 1.9210 0.8300 ; + RECT 2.4870 0.5660 2.5290 0.6080 ; + RECT 1.9550 2.7780 1.9970 2.8200 ; + RECT 1.8030 1.2740 1.8450 1.3160 ; + RECT 2.4110 2.8860 2.4530 2.9280 ; + RECT 1.6510 1.1820 1.6930 1.2240 ; + RECT 0.8910 0.5140 0.9330 0.5560 ; + RECT 1.5750 0.6710 1.6170 0.7130 ; + RECT 2.2590 1.2900 2.3010 1.3320 ; + RECT 2.0310 1.0270 2.0730 1.0690 ; + RECT 1.9550 0.4600 1.9970 0.5020 ; + RECT 2.3350 0.5660 2.3770 0.6080 ; + RECT 2.1830 3.1100 2.2250 3.1520 ; + RECT 1.5750 3.1580 1.6170 3.2000 ; + RECT 2.1070 2.8380 2.1490 2.8800 ; + RECT 2.4110 2.1640 2.4530 2.2060 ; + RECT 1.4990 2.0160 1.5410 2.0580 ; + RECT 1.8790 0.1020 1.9210 0.1440 ; + RECT 2.6390 2.6840 2.6810 2.7260 ; + RECT 0.2070 1.7580 0.2490 1.8000 ; + RECT 2.5630 2.0460 2.6050 2.0880 ; + RECT 1.5750 1.5440 1.6170 1.5860 ; + RECT 2.7910 1.0270 2.8330 1.0690 ; + RECT 2.6390 1.1840 2.6810 1.2260 ; + RECT 1.7270 0.1020 1.7690 0.1440 ; + RECT 2.7910 2.5370 2.8330 2.5790 ; + RECT 1.7270 2.5580 1.7690 2.6000 ; + RECT 0.8150 2.7140 0.8570 2.7560 ; + RECT 1.9550 1.2900 1.9970 1.3320 ; + RECT 1.5750 1.8950 1.6170 1.9370 ; + RECT 2.3350 2.6400 2.3770 2.6820 ; + RECT 0.8910 1.9800 0.9330 2.0220 ; + RECT 2.4110 2.0460 2.4530 2.0880 ; + RECT 0.8910 2.1640 0.9330 2.2060 ; + RECT 2.4870 2.6840 2.5290 2.7260 ; + RECT 1.0430 0.7820 1.0850 0.8240 ; + RECT 2.3350 0.8630 2.3770 0.9050 ; + RECT 1.2710 0.1020 1.3130 0.1440 ; + RECT 0.8910 2.2560 0.9330 2.2980 ; + RECT 1.7270 1.5440 1.7690 1.5860 ; + RECT 1.8790 3.2100 1.9210 3.2520 ; + RECT 1.8030 1.1820 1.8450 1.2240 ; + RECT 2.1070 1.1840 2.1490 1.2260 ; + RECT 1.8030 2.0160 1.8450 2.0580 ; + RECT 1.2710 2.7140 1.3130 2.7560 ; + RECT 1.4230 2.5930 1.4650 2.6350 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 0.8910 1.0840 0.9330 1.1260 ; + RECT 1.4990 0.5280 1.5410 0.5700 ; + RECT 1.6510 1.2740 1.6930 1.3160 ; + RECT 2.9430 3.2100 2.9850 3.2520 ; + RECT 2.4870 1.5440 2.5290 1.5860 ; + RECT 0.8910 2.2560 0.9330 2.2980 ; + RECT 1.6510 2.3460 1.6930 2.3880 ; + RECT 1.1950 2.8860 1.2370 2.9280 ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 0.8910 1.9800 0.9330 2.0220 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 0.8910 2.0720 0.9330 2.1140 ; + RECT 0.8910 2.0720 0.9330 2.1140 ; + RECT 2.1830 2.4180 2.2250 2.4600 ; + RECT 1.4230 0.6440 1.4650 0.6860 ; + RECT 1.1950 2.1160 1.2370 2.1580 ; + RECT 2.1070 2.3180 2.1490 2.3600 ; + RECT 0.8910 1.1760 0.9330 1.2180 ; + RECT 2.2590 2.0160 2.3010 2.0580 ; + RECT 0.8910 2.1640 0.9330 2.2060 ; + RECT 1.2710 0.6440 1.3130 0.6860 ; + RECT 0.5870 0.5140 0.6290 0.5560 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 1.9550 2.3460 1.9970 2.3880 ; + RECT 1.4230 1.8960 1.4650 1.9380 ; + RECT 0.8910 1.0840 0.9330 1.1260 ; + RECT 0.8910 1.1760 0.9330 1.2180 ; + RECT 1.0430 0.8740 1.0850 0.9160 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 0.9670 2.7140 1.0090 2.7560 ; + RECT 2.2590 2.8860 2.3010 2.9280 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + LAYER NWELL ; + RECT -0.0820 0.6790 3.3070 2.6650 ; + LAYER M1 ; + RECT 1.1510 2.1120 1.2760 2.1620 ; + RECT 1.7070 2.5540 1.8500 2.6040 ; + RECT 0.8110 2.8820 2.1530 2.9320 ; + RECT 2.0630 2.5510 2.1530 2.6010 ; + RECT 0.8110 2.5920 1.2010 2.6420 ; + RECT 2.0630 2.3640 2.1130 2.5510 ; + RECT 2.0630 2.3140 2.1710 2.3640 ; + RECT 1.1510 2.1620 1.2010 2.5920 ; + RECT 1.8000 2.6040 1.8500 2.8820 ; + RECT 2.1030 2.6010 2.1530 2.8820 ; + RECT 0.8110 2.6420 0.8610 2.8820 ; + RECT 1.6310 0.3370 1.7210 0.3870 ; + RECT 1.6470 1.0600 1.6970 1.3360 ; + RECT 1.6710 0.3870 1.7210 1.0100 ; + RECT 0.8870 1.0100 1.7210 1.0600 ; + RECT 0.8870 0.8010 0.9770 0.8510 ; + RECT 0.8870 0.6010 0.9770 0.6510 ; + RECT 0.5670 0.5100 0.9370 0.5600 ; + RECT 0.8870 1.0600 0.9370 1.2380 ; + RECT 0.8870 0.8510 0.9370 1.0100 ; + RECT 0.9270 0.6510 0.9770 0.8010 ; + RECT 0.8870 0.5600 0.9370 0.6010 ; + RECT 0.8870 0.4770 0.9370 0.5100 ; + RECT 1.7990 1.1800 2.7010 1.2300 ; + RECT 1.7990 0.4560 2.0170 0.5060 ; + RECT 1.7710 0.6660 1.8490 0.7160 ; + RECT 1.7990 0.3770 1.8490 0.4560 ; + RECT 1.7990 0.5060 1.8490 0.6660 ; + RECT 1.7710 0.7160 1.8210 1.0190 ; + RECT 1.7990 1.2300 1.8490 1.3360 ; + RECT 1.7990 1.0720 1.8490 1.1800 ; + RECT 1.7710 1.0190 1.8490 1.0720 ; + RECT 2.5350 2.0420 2.6250 2.0920 ; + RECT 0.1870 1.7520 2.5850 1.8080 ; + RECT 1.1510 2.0120 1.8780 2.0620 ; + RECT 2.5350 1.8080 2.5850 2.0420 ; + RECT 1.1510 1.8080 1.2010 2.0120 ; + RECT 0.8870 1.8080 0.9370 2.3410 ; + RECT 2.2380 2.0120 2.4570 2.0620 ; + RECT 2.4070 2.5330 2.8530 2.5830 ; + RECT 2.4070 2.0620 2.4570 2.5330 ; + RECT 2.7550 2.9320 2.8050 2.9360 ; + RECT 2.2360 2.8820 2.8050 2.9320 ; + RECT 2.7550 2.5830 2.8050 2.8820 ; + RECT 1.9350 1.2860 2.3210 1.3360 ; + RECT 2.2660 2.6360 2.4070 2.6860 ; + RECT 2.1630 2.4140 2.3160 2.4640 ; + RECT 1.4190 2.2260 1.4690 2.6550 ; + RECT 2.2660 2.4640 2.3160 2.6360 ; + RECT 2.2660 2.2260 2.3160 2.4140 ; + RECT 1.4190 2.1760 2.3160 2.2260 ; + RECT 1.5430 1.5400 2.5490 1.5900 ; + RECT 2.0110 1.0230 2.8530 1.0730 ; + RECT 1.5710 3.1060 2.4170 3.1560 ; + RECT 1.5710 3.1560 1.6210 3.2200 ; + RECT 1.4950 0.5400 1.6210 0.5900 ; + RECT 1.4950 0.4160 1.5450 0.5400 ; + RECT 1.4950 0.7900 1.5450 0.9360 ; + RECT 1.4950 0.7400 1.6210 0.7900 ; + RECT 1.5710 0.5900 1.6210 0.7400 ; + RECT 1.9270 2.7740 2.0170 2.8240 ; + RECT 0.9470 2.7100 1.6570 2.7600 ; + RECT 1.9460 2.4100 1.9960 2.7740 ; + RECT 1.6070 2.3420 2.0010 2.3920 ; + RECT 1.6070 2.7600 1.6570 2.7650 ; + RECT 1.6070 2.3920 1.6570 2.7100 ; + RECT 1.9510 2.3210 2.0010 2.3420 ; + RECT 1.9460 2.3920 2.0010 2.4100 ; + RECT 1.0390 0.6400 1.4850 0.6900 ; + RECT 1.0390 0.6900 1.0890 0.9360 ; + RECT 1.0390 0.4440 1.0890 0.6400 ; + RECT 1.8590 3.2060 3.0050 3.2560 ; + RECT 1.8750 0.8590 2.3970 0.9090 ; + RECT 1.8750 0.7630 1.9250 0.8590 ; + RECT 2.4670 2.6800 2.7010 2.7300 ; + RECT 2.3150 0.5620 2.5490 0.6120 ; + RECT 1.4030 1.8910 1.6380 1.9410 ; + RECT 1.2370 0.0980 1.9470 0.1480 ; + LAYER PO ; + RECT 2.3410 0.0900 2.3710 0.6400 ; + RECT 0.5170 0.0900 0.5470 3.2820 ; + RECT 1.7330 1.7550 1.7630 3.2820 ; + RECT 0.3650 0.2490 0.3950 3.2820 ; + RECT 2.6450 0.0900 2.6750 3.2820 ; + RECT 1.4290 2.5610 1.4590 3.2820 ; + RECT 0.2130 0.2490 0.2430 3.2820 ; + RECT 2.7970 0.0900 2.8270 3.2820 ; + RECT 1.4290 1.7550 1.4590 2.3580 ; + RECT 1.7330 0.7950 1.7630 1.6280 ; + RECT 0.6690 0.0900 0.6990 3.2820 ; + RECT 2.1890 1.7550 2.2190 2.4920 ; + RECT 1.1250 1.7550 1.1550 3.2820 ; + RECT 2.1890 2.6520 2.2190 3.2820 ; + RECT 0.8210 1.7400 0.8510 3.2820 ; + RECT 1.7330 0.0900 1.7630 0.6440 ; + RECT 1.2770 1.7550 1.3070 3.2820 ; + RECT 2.3410 0.7800 2.3710 3.2820 ; + RECT 0.9730 0.0900 1.0030 1.6280 ; + RECT 2.0370 0.0900 2.0670 1.6280 ; + RECT 1.4290 0.0900 1.4590 1.6280 ; + RECT 1.8850 0.0900 1.9150 1.6280 ; + RECT 1.2770 0.0900 1.3070 1.6280 ; + RECT 2.1890 0.0900 2.2190 1.6280 ; + RECT 1.1250 0.0900 1.1550 1.6280 ; + RECT 2.4930 0.0900 2.5230 1.6280 ; + RECT 1.5810 0.0900 1.6110 3.2820 ; + RECT 0.8210 0.0900 0.8510 1.6280 ; + RECT 2.0370 1.7550 2.0670 3.2820 ; + RECT 1.8850 1.7550 1.9150 3.2820 ; + RECT 0.9730 1.7400 1.0030 3.2820 ; + RECT 3.1010 0.0900 3.1310 3.2820 ; + RECT 0.0610 0.2480 0.0910 3.2660 ; + RECT 2.4930 1.7550 2.5230 3.2820 ; + RECT 2.9490 0.0900 2.9790 3.2820 ; + END +END AODFFARX1_HVT + +MACRO AODFFARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 3.648 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 1.4550 1.1810 1.5840 ; + END + PORT + LAYER CO ; + RECT 1.1190 1.5340 1.1610 1.5760 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.1310 0.8770 0.1810 ; + RECT 0.6330 0.1810 0.8150 0.2430 ; + RECT 0.6330 0.0970 0.8150 0.1310 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1350 0.8570 0.1770 ; + RECT 0.6630 0.1350 0.7050 0.1770 ; + RECT 0.5110 0.1350 0.5530 0.1770 ; + END + ANTENNAGATEAREA 0.0375 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.1790 0.1080 3.4630 0.1580 ; + RECT 2.1790 0.1580 2.3350 0.2070 ; + RECT 2.1790 0.0970 2.3350 0.1080 ; + END + PORT + LAYER CO ; + RECT 3.3990 0.1120 3.4410 0.1540 ; + RECT 2.1830 0.1440 2.2250 0.1860 ; + END + ANTENNAGATEAREA 0.0438 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 3.1370 0.2070 3.2530 ; + RECT 0.5830 1.8780 0.6330 2.3820 ; + RECT 0.1150 3.0300 0.6330 3.0800 ; + RECT 0.5830 3.0800 0.6330 3.2020 ; + RECT 0.1150 2.3820 0.6330 2.4320 ; + RECT 0.1150 3.0800 0.1650 3.1370 ; + RECT 0.1150 2.4320 0.1650 3.0300 ; + END + PORT + LAYER CO ; + RECT 0.5870 2.2840 0.6290 2.3260 ; + RECT 0.5870 2.2840 0.6290 2.3260 ; + RECT 0.5870 2.0080 0.6290 2.0500 ; + RECT 0.5870 2.1000 0.6290 2.1420 ; + RECT 0.5870 1.9160 0.6290 1.9580 ; + RECT 0.5870 1.9160 0.6290 1.9580 ; + RECT 0.5870 1.9160 0.6290 1.9580 ; + RECT 0.5870 3.1130 0.6290 3.1550 ; + RECT 0.5870 3.1130 0.6290 3.1550 ; + RECT 0.5870 2.1920 0.6290 2.2340 ; + RECT 0.5870 2.2840 0.6290 2.3260 ; + RECT 0.5870 2.1920 0.6290 2.2340 ; + RECT 0.5870 2.0080 0.6290 2.0500 ; + RECT 0.5870 2.1920 0.6290 2.2340 ; + RECT 0.5870 2.0080 0.6290 2.0500 ; + RECT 0.5870 2.1000 0.6290 2.1420 ; + RECT 0.5870 2.2840 0.6290 2.3260 ; + RECT 0.5870 1.9160 0.6290 1.9580 ; + RECT 0.5870 2.1920 0.6290 2.2340 ; + RECT 0.5870 2.0080 0.6290 2.0500 ; + RECT 0.5870 2.1000 0.6290 2.1420 ; + RECT 0.5870 2.1000 0.6290 2.1420 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.3910 2.4960 0.9370 2.5460 ; + RECT 0.8870 2.9700 0.9370 3.2020 ; + RECT 0.8870 1.8880 0.9370 2.4960 ; + RECT 0.3910 2.8330 0.5110 2.9200 ; + RECT 0.3910 2.5460 0.4410 2.8330 ; + RECT 0.3910 2.9200 0.9370 2.9700 ; + END + PORT + LAYER CO ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 0.8910 3.0210 0.9330 3.0630 ; + RECT 0.8910 3.1130 0.9330 3.1550 ; + RECT 0.8910 3.1130 0.9330 3.1550 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 2.3760 0.9330 2.4180 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 2.3760 0.9330 2.4180 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.7350 1.4230 0.7850 1.5660 ; + RECT 1.3430 1.3910 2.4730 1.4410 ; + RECT 0.6550 1.3380 0.8320 1.4230 ; + RECT 0.7350 1.0550 0.7850 1.2880 ; + RECT 1.1910 1.3380 1.2410 1.3860 ; + RECT 1.1910 1.1200 1.2410 1.2880 ; + RECT 1.3430 1.1330 1.3930 1.2880 ; + RECT 1.3430 1.3380 1.3930 1.3910 ; + RECT 0.1560 1.2880 1.3930 1.3380 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 0.2070 1.2920 0.2490 1.3340 ; + RECT 1.3470 1.3380 1.3890 1.3800 ; + RECT 1.1950 1.3240 1.2370 1.3660 ; + RECT 1.1950 1.1400 1.2370 1.1820 ; + RECT 0.2070 1.2920 0.2490 1.3340 ; + RECT 1.3470 1.1540 1.3890 1.1960 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 1.1950 1.2320 1.2370 1.2740 ; + RECT 1.3470 1.2460 1.3890 1.2880 ; + RECT 0.7390 1.4460 0.7810 1.4880 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + RECT 2.4110 1.3950 2.4530 1.4370 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 3.6480 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 3.6480 0.0300 ; + RECT 0.2390 0.3620 0.8220 0.4120 ; + RECT 1.0420 0.2180 1.3930 0.2680 ; + RECT 2.4070 0.3590 2.4570 0.4830 ; + RECT 1.9970 0.0300 2.0470 0.3090 ; + RECT 1.9970 0.3090 2.4570 0.3590 ; + RECT 0.3910 0.0300 0.4410 0.3620 ; + RECT 1.1910 0.2680 1.2410 0.4720 ; + RECT 1.3430 0.2680 1.3930 0.4720 ; + RECT 1.0420 0.0300 1.0920 0.2180 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 3.6480 3.3740 ; + RECT 1.4710 2.9990 3.0820 3.0490 ; + RECT 1.0390 2.9270 1.0890 3.3140 ; + RECT 0.7350 3.0380 0.7850 3.3140 ; + RECT 0.4310 3.1300 0.4810 3.3140 ; + RECT 1.4710 3.0490 1.5210 3.3140 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.7390 0.3660 0.7810 0.4080 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 2.9430 -0.0210 2.9850 0.0210 ; + RECT 3.0950 -0.0210 3.1370 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 1.3470 0.2970 1.3890 0.3390 ; + RECT 1.1950 0.2220 1.2370 0.2640 ; + RECT 1.3470 0.4100 1.3890 0.4520 ; + RECT 3.3990 3.3230 3.4410 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 3.0190 3.0030 3.0610 3.0450 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.4110 0.4210 2.4530 0.4630 ; + RECT 1.6510 3.0030 1.6930 3.0450 ; + RECT 0.4350 0.3660 0.4770 0.4080 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 2.4110 0.3290 2.4530 0.3710 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 3.5510 -0.0210 3.5930 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.7390 3.0580 0.7810 3.1000 ; + RECT 1.0430 2.9660 1.0850 3.0080 ; + RECT 1.0430 3.0580 1.0850 3.1000 ; + RECT 1.0430 2.9660 1.0850 3.0080 ; + RECT 0.4350 3.1500 0.4770 3.1920 ; + RECT 0.7390 3.1500 0.7810 3.1920 ; + RECT 0.7390 3.0580 0.7810 3.1000 ; + RECT 1.0430 3.0580 1.0850 3.1000 ; + RECT 1.0430 2.9660 1.0850 3.0080 ; + RECT 1.0430 3.1500 1.0850 3.1920 ; + RECT 1.0430 2.9660 1.0850 3.0080 ; + RECT 3.5510 3.3230 3.5930 3.3650 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 2.9430 3.3230 2.9850 3.3650 ; + RECT 1.4990 3.0030 1.5410 3.0450 ; + RECT 3.0950 3.3230 3.1370 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 3.2470 3.3230 3.2890 3.3650 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 3.2470 -0.0210 3.2890 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 3.3990 -0.0210 3.4410 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 1.1950 0.4100 1.2370 0.4520 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.0430 2.2840 1.0850 2.3260 ; + RECT 0.9670 2.7000 1.0090 2.7420 ; + RECT 1.0430 2.2840 1.0850 2.3260 ; + RECT 1.0430 2.1920 1.0850 2.2340 ; + RECT 1.0430 2.2840 1.0850 2.3260 ; + RECT 0.9670 2.7000 1.0090 2.7420 ; + RECT 1.0430 2.1920 1.0850 2.2340 ; + RECT 0.4350 2.1440 0.4770 2.1860 ; + RECT 0.4350 2.2360 0.4770 2.2780 ; + RECT 0.7390 2.1440 0.7810 2.1860 ; + RECT 0.7390 2.3280 0.7810 2.3700 ; + RECT 0.7390 2.2360 0.7810 2.2780 ; + RECT 2.6390 0.8630 2.6810 0.9050 ; + RECT 2.4870 1.8700 2.5290 1.9120 ; + RECT 1.2710 0.6440 1.3130 0.6860 ; + RECT 1.4990 0.7820 1.5410 0.8240 ; + RECT 1.9550 2.3460 1.9970 2.3880 ; + RECT 1.4230 1.8960 1.4650 1.9380 ; + RECT 2.2590 2.9030 2.3010 2.9450 ; + RECT 1.4990 0.8740 1.5410 0.9160 ; + RECT 2.5630 2.0160 2.6050 2.0580 ; + RECT 0.8910 1.0840 0.9330 1.1260 ; + RECT 0.8910 1.1760 0.9330 1.2180 ; + RECT 0.7390 2.1440 0.7810 2.1860 ; + RECT 1.0430 0.8740 1.0850 0.9160 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.0430 0.4640 1.0850 0.5060 ; + RECT 1.8790 0.8840 1.9210 0.9260 ; + RECT 2.4870 0.5660 2.5290 0.6080 ; + RECT 1.9550 2.7780 1.9970 2.8200 ; + RECT 1.8030 1.2740 1.8450 1.3160 ; + RECT 2.8670 2.9030 2.9090 2.9450 ; + RECT 1.6510 1.1820 1.6930 1.2240 ; + RECT 0.8910 0.5140 0.9330 0.5560 ; + RECT 1.5750 0.6710 1.6170 0.7130 ; + RECT 2.2590 1.2900 2.3010 1.3320 ; + RECT 2.0310 1.0270 2.0730 1.0690 ; + RECT 1.9550 0.4600 1.9970 0.5020 ; + RECT 2.3350 0.5660 2.3770 0.6080 ; + RECT 2.1830 3.1100 2.2250 3.1520 ; + RECT 1.5750 3.1480 1.6170 3.1900 ; + RECT 0.5870 0.5130 0.6290 0.5550 ; + RECT 2.1070 2.8010 2.1490 2.8430 ; + RECT 0.7390 2.0520 0.7810 2.0940 ; + RECT 1.0430 2.0080 1.0850 2.0500 ; + RECT 1.0430 2.0080 1.0850 2.0500 ; + RECT 1.7270 2.5580 1.7690 2.6000 ; + RECT 1.9550 1.2900 1.9970 1.3320 ; + RECT 0.4350 2.0520 0.4770 2.0940 ; + RECT 0.4350 1.9600 0.4770 2.0020 ; + RECT 1.5750 1.8950 1.6170 1.9370 ; + RECT 1.0430 2.0080 1.0850 2.0500 ; + RECT 2.3350 1.8700 2.3770 1.9120 ; + RECT 2.8670 2.0460 2.9090 2.0880 ; + RECT 1.0430 2.1000 1.0850 2.1420 ; + RECT 2.4110 2.3180 2.4530 2.3600 ; + RECT 1.0430 2.1920 1.0850 2.2340 ; + RECT 1.0430 2.2840 1.0850 2.3260 ; + RECT 1.0430 2.3760 1.0850 2.4180 ; + RECT 1.0430 2.1920 1.0850 2.2340 ; + RECT 1.0430 2.3760 1.0850 2.4180 ; + RECT 1.0430 1.9160 1.0850 1.9580 ; + RECT 0.4350 2.0520 0.4770 2.0940 ; + RECT 0.7390 1.8680 0.7810 1.9100 ; + RECT 2.8670 2.1640 2.9090 2.2060 ; + RECT 1.4990 2.0160 1.5410 2.0580 ; + RECT 1.0430 1.9160 1.0850 1.9580 ; + RECT 1.8790 0.0920 1.9210 0.1340 ; + RECT 0.2070 1.7670 0.2490 1.8090 ; + RECT 3.0950 2.6840 3.1370 2.7260 ; + RECT 1.0430 2.1000 1.0850 2.1420 ; + RECT 0.7390 2.0520 0.7810 2.0940 ; + RECT 3.0190 2.0460 3.0610 2.0880 ; + RECT 1.5750 1.5380 1.6170 1.5800 ; + RECT 3.2470 1.0270 3.2890 1.0690 ; + RECT 3.0950 1.1840 3.1370 1.2260 ; + RECT 1.7270 0.0920 1.7690 0.1340 ; + RECT 3.2470 2.5370 3.2890 2.5790 ; + RECT 1.0430 1.9160 1.0850 1.9580 ; + RECT 1.0430 2.1000 1.0850 2.1420 ; + RECT 1.0430 2.0080 1.0850 2.0500 ; + RECT 0.5110 2.7000 0.5530 2.7420 ; + RECT 0.7390 2.2360 0.7810 2.2780 ; + RECT 0.8150 2.7000 0.8570 2.7420 ; + RECT 0.4350 2.1440 0.4770 2.1860 ; + RECT 1.0430 1.8240 1.0850 1.8660 ; + RECT 0.7390 1.9600 0.7810 2.0020 ; + RECT 0.4350 2.2360 0.4770 2.2780 ; + RECT 0.6630 2.7000 0.7050 2.7420 ; + RECT 0.8150 2.7000 0.8570 2.7420 ; + RECT 1.0430 1.8240 1.0850 1.8660 ; + RECT 1.0430 1.8240 1.0850 1.8660 ; + RECT 1.0430 2.1000 1.0850 2.1420 ; + RECT 2.9430 2.6840 2.9850 2.7260 ; + RECT 1.0430 0.7820 1.0850 0.8240 ; + RECT 2.3350 0.8630 2.3770 0.9050 ; + RECT 1.2710 0.0920 1.3130 0.1340 ; + RECT 1.0430 1.8240 1.0850 1.8660 ; + RECT 1.7270 1.5380 1.7690 1.5800 ; + RECT 1.8790 3.2100 1.9210 3.2520 ; + RECT 1.8030 1.1820 1.8450 1.2240 ; + RECT 1.0430 1.9160 1.0850 1.9580 ; + RECT 2.1070 1.1840 2.1490 1.2260 ; + RECT 1.8030 2.0160 1.8450 2.0580 ; + RECT 1.2710 2.7000 1.3130 2.7420 ; + RECT 1.4230 2.5780 1.4650 2.6200 ; + RECT 0.7390 1.9600 0.7810 2.0020 ; + RECT 1.4990 0.4360 1.5410 0.4780 ; + RECT 0.4350 1.9600 0.4770 2.0020 ; + RECT 0.8910 1.0840 0.9330 1.1260 ; + RECT 1.4990 0.5280 1.5410 0.5700 ; + RECT 2.3350 3.1100 2.3770 3.1520 ; + RECT 1.6510 1.2740 1.6930 1.3160 ; + RECT 3.3990 3.2100 3.4410 3.2520 ; + RECT 2.4870 1.5380 2.5290 1.5800 ; + RECT 1.6510 2.3460 1.6930 2.3880 ; + RECT 1.1950 2.8000 1.2370 2.8420 ; + RECT 1.8030 0.3970 1.8450 0.4390 ; + RECT 2.4110 2.8000 2.4530 2.8420 ; + RECT 1.6510 0.3410 1.6930 0.3830 ; + RECT 2.1830 1.8700 2.2250 1.9120 ; + RECT 1.4230 0.6440 1.4650 0.6860 ; + RECT 1.1950 2.1160 1.2370 2.1580 ; + RECT 2.1070 2.3180 2.1490 2.3600 ; + RECT 0.8910 1.1760 0.9330 1.2180 ; + RECT 2.2590 2.0160 2.3010 2.0580 ; + RECT 2.6390 1.8700 2.6810 1.9120 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.7580 2.6650 ; + LAYER M1 ; + RECT 1.8000 2.8820 2.1530 2.9320 ; + RECT 2.1030 2.7970 2.4750 2.8470 ; + RECT 1.1510 2.1120 1.2760 2.1620 ; + RECT 0.6780 2.7960 1.8500 2.8460 ; + RECT 1.8000 2.6040 1.8500 2.7960 ; + RECT 1.7070 2.5540 1.8500 2.6040 ; + RECT 1.8000 2.8460 1.8500 2.8820 ; + RECT 2.1030 2.8470 2.1530 2.8820 ; + RECT 2.0630 2.5510 2.1530 2.6010 ; + RECT 2.0630 2.3640 2.1130 2.5510 ; + RECT 2.0630 2.3140 2.4780 2.3640 ; + RECT 1.1510 2.1620 1.2010 2.5960 ; + RECT 0.6780 2.5960 1.2010 2.6460 ; + RECT 2.1030 2.6010 2.1530 2.7970 ; + RECT 0.6780 2.8460 0.7280 2.8470 ; + RECT 0.6780 2.7460 0.7280 2.7960 ; + RECT 0.4910 2.6960 0.7280 2.7460 ; + RECT 0.6780 2.6460 0.7280 2.6960 ; + RECT 1.6310 0.3370 1.7210 0.3870 ; + RECT 1.6470 1.0600 1.6970 1.3360 ; + RECT 1.6710 0.3870 1.7210 1.0100 ; + RECT 0.8870 1.0100 1.7210 1.0600 ; + RECT 0.8870 0.8010 0.9770 0.8510 ; + RECT 0.5670 0.5090 0.9770 0.5590 ; + RECT 0.8870 1.0600 0.9370 1.2380 ; + RECT 0.8870 0.8510 0.9370 1.0100 ; + RECT 0.8870 0.4770 0.9370 0.5090 ; + RECT 0.9270 0.6110 0.9770 0.8010 ; + RECT 0.8870 0.5590 0.9770 0.6110 ; + RECT 1.7990 1.1800 3.1590 1.2300 ; + RECT 1.7990 0.4560 2.0170 0.5060 ; + RECT 1.7990 0.3770 1.8490 0.4560 ; + RECT 1.7990 1.2300 1.8490 1.3360 ; + RECT 1.7990 0.5060 1.8490 0.7100 ; + RECT 1.7710 0.7680 1.8210 1.0190 ; + RECT 1.7990 1.0720 1.8490 1.1800 ; + RECT 1.7710 1.0190 1.8490 1.0720 ; + RECT 1.7710 0.7100 1.8490 0.7680 ; + RECT 1.8750 0.8590 2.7060 0.9090 ; + RECT 1.8750 0.9090 1.9250 0.9460 ; + RECT 2.9230 2.6800 3.1570 2.7300 ; + RECT 0.7950 2.6960 1.6570 2.7460 ; + RECT 1.9270 2.7740 2.0170 2.8240 ; + RECT 1.9510 2.3210 2.0010 2.3420 ; + RECT 1.6070 2.3920 1.6570 2.6960 ; + RECT 1.6070 2.3420 2.0010 2.3920 ; + RECT 1.9460 2.4100 1.9960 2.7740 ; + RECT 1.9460 2.3920 2.0010 2.4100 ; + RECT 2.3150 0.5620 2.5490 0.6120 ; + RECT 1.1510 2.0120 1.8780 2.0620 ; + RECT 0.1870 1.7600 3.0420 1.8160 ; + RECT 2.9910 2.0420 3.0810 2.0920 ; + RECT 1.0390 1.8160 1.0890 2.4380 ; + RECT 1.1510 1.8160 1.2010 2.0120 ; + RECT 2.9910 1.8160 3.0410 2.0420 ; + RECT 0.7350 1.8160 0.7850 2.3900 ; + RECT 0.4310 1.8160 0.4810 2.2980 ; + RECT 2.2380 2.0120 2.9140 2.0620 ; + RECT 2.8630 2.5330 3.3090 2.5830 ; + RECT 2.8630 2.0620 2.9130 2.5330 ; + RECT 3.2110 2.5830 3.2610 2.8990 ; + RECT 2.2360 2.9450 3.2510 2.9490 ; + RECT 2.2360 2.8990 3.2610 2.9450 ; + RECT 1.4030 1.8910 1.6380 1.9410 ; + RECT 1.5710 3.1060 2.4140 3.1560 ; + RECT 1.5710 3.1560 1.6210 3.2170 ; + RECT 1.2370 0.0880 1.9470 0.1380 ; + RECT 1.9350 1.2860 2.3210 1.3360 ; + RECT 1.4190 2.1760 2.1490 2.2260 ; + RECT 1.4190 2.2260 1.4690 2.6460 ; + RECT 2.0990 1.8660 2.7160 1.9160 ; + RECT 2.0990 1.9160 2.1490 2.1760 ; + RECT 1.5430 1.5340 2.5490 1.5840 ; + RECT 2.0110 1.0230 3.3100 1.0730 ; + RECT 1.4950 0.5400 1.6210 0.5900 ; + RECT 1.4950 0.4160 1.5450 0.5400 ; + RECT 1.4950 0.7900 1.5450 0.9360 ; + RECT 1.4950 0.7400 1.6210 0.7900 ; + RECT 1.5710 0.5900 1.6210 0.7400 ; + RECT 1.0390 0.6400 1.4850 0.6900 ; + RECT 1.0390 0.6900 1.0890 0.9360 ; + RECT 1.0390 0.4440 1.0890 0.6400 ; + RECT 1.8590 3.2060 3.4620 3.2560 ; + LAYER PO ; + RECT 0.0610 0.0960 0.0910 3.2820 ; + RECT 0.2130 0.0900 0.2430 3.2820 ; + RECT 3.5570 0.0900 3.5870 3.2820 ; + RECT 1.1250 1.7400 1.1550 3.2820 ; + RECT 0.9730 1.7400 1.0030 3.2820 ; + RECT 0.8210 1.7400 0.8510 3.2820 ; + RECT 0.6690 1.6430 0.6990 3.2760 ; + RECT 0.5170 1.6170 0.5470 3.2820 ; + RECT 0.6690 0.0960 0.6990 1.5050 ; + RECT 2.4930 1.8470 2.5230 2.4920 ; + RECT 2.3410 0.0900 2.3710 0.6400 ; + RECT 1.7330 1.7550 1.7630 3.2820 ; + RECT 2.3410 2.7060 2.3710 3.2820 ; + RECT 2.3410 1.8510 2.3710 2.5020 ; + RECT 3.1010 0.0900 3.1310 3.2820 ; + RECT 1.4290 2.5460 1.4590 3.2820 ; + RECT 0.3650 0.0900 0.3950 3.2820 ; + RECT 2.6450 0.0720 2.6750 3.2800 ; + RECT 2.4930 2.7060 2.5230 3.2820 ; + RECT 3.2530 0.0900 3.2830 3.2820 ; + RECT 1.4290 1.7550 1.4590 2.3580 ; + RECT 1.7330 1.0120 1.7630 1.6280 ; + RECT 2.1890 1.8380 2.2190 2.4920 ; + RECT 2.1890 2.6520 2.2190 3.2820 ; + RECT 1.7330 0.0810 1.7630 0.6940 ; + RECT 1.2770 1.7550 1.3070 3.2820 ; + RECT 2.7970 1.7550 2.8270 3.2820 ; + RECT 0.9730 0.0900 1.0030 1.6280 ; + RECT 2.0370 0.0900 2.0670 1.6280 ; + RECT 1.4290 0.0800 1.4590 1.6280 ; + RECT 1.8850 0.0810 1.9150 1.6280 ; + RECT 1.2770 0.0830 1.3070 1.6280 ; + RECT 2.1890 0.0900 2.2190 1.6280 ; + RECT 1.1250 0.0900 1.1550 1.6280 ; + RECT 2.4930 0.0900 2.5230 1.6280 ; + RECT 1.5810 0.0810 1.6110 3.2820 ; + RECT 2.3410 0.7800 2.3710 1.6440 ; + RECT 0.8210 0.0900 0.8510 1.6280 ; + RECT 2.0370 1.7550 2.0670 3.2820 ; + RECT 0.5170 0.0960 0.5470 1.4660 ; + RECT 1.8850 1.7550 1.9150 3.2820 ; + RECT 2.9490 1.7550 2.9790 3.2820 ; + RECT 3.4050 0.0900 3.4350 3.2820 ; + END +END AODFFARX2_HVT + +MACRO AODFFNARX1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 2.4370 0.6330 2.4870 ; + RECT 0.2490 2.3770 0.3590 2.4370 ; + RECT 0.2490 3.0080 0.6330 3.0580 ; + RECT 0.5830 1.8950 0.6330 2.4370 ; + RECT 0.5830 3.0580 0.6330 3.2190 ; + RECT 0.2490 2.4870 0.2990 3.0080 ; + END + PORT + LAYER CO ; + RECT 0.5870 2.1200 0.6290 2.1620 ; + RECT 0.5870 2.3960 0.6290 2.4380 ; + RECT 0.5870 3.1300 0.6290 3.1720 ; + RECT 0.5870 2.3040 0.6290 2.3460 ; + RECT 0.5870 1.9360 0.6290 1.9780 ; + RECT 0.5870 2.0280 0.6290 2.0700 ; + RECT 0.5870 1.9360 0.6290 1.9780 ; + RECT 0.5870 2.0280 0.6290 2.0700 ; + RECT 0.5870 2.2120 0.6290 2.2540 ; + RECT 0.5870 2.2120 0.6290 2.2540 ; + RECT 0.5870 2.3040 0.6290 2.3460 ; + RECT 0.5870 3.0380 0.6290 3.0800 ; + RECT 0.5870 2.1200 0.6290 2.1620 ; + RECT 0.5870 2.3960 0.6290 2.4380 ; + END + ANTENNADIFFAREA 0.1244 ; + END Q + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.4550 0.7250 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.6630 1.5340 0.7050 1.5760 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7020 0.4210 0.7520 ; + RECT 0.2490 0.7520 0.3590 0.8170 ; + RECT 0.2490 0.6970 0.3590 0.7020 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7060 0.4010 0.7480 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7230 0.1580 1.8790 0.2070 ; + RECT 1.7230 0.1080 2.5490 0.1580 ; + RECT 1.7230 0.0970 1.8790 0.1080 ; + END + PORT + LAYER CO ; + RECT 2.4870 0.1110 2.5290 0.1530 ; + RECT 1.7270 0.1440 1.7690 0.1860 ; + END + ANTENNAGATEAREA 0.04419 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 2.1230 0.2070 2.1830 ; + RECT 0.0970 3.1630 0.3450 3.2130 ; + RECT 0.0970 2.1830 0.1470 3.1630 ; + RECT 0.0970 2.0730 0.3290 2.1230 ; + RECT 0.2790 1.8950 0.3290 2.0730 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.9360 0.3250 1.9780 ; + RECT 0.2830 1.9360 0.3250 1.9780 ; + RECT 0.2830 2.0280 0.3250 2.0700 ; + RECT 0.2830 3.1670 0.3250 3.2090 ; + END + ANTENNADIFFAREA 0.1244 ; + END QN + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.2790 1.4230 0.3290 1.5660 ; + RECT 0.8870 1.3910 2.0170 1.4410 ; + RECT 0.2210 1.3380 0.3760 1.4230 ; + RECT 0.2790 1.0550 0.3290 1.2880 ; + RECT 0.7350 1.3380 0.7850 1.3860 ; + RECT 0.7350 1.1200 0.7850 1.2880 ; + RECT 0.8870 1.1330 0.9370 1.2880 ; + RECT 0.8870 1.3380 0.9370 1.3910 ; + RECT 0.0350 1.2880 0.9370 1.3380 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.2460 0.9330 1.2880 ; + RECT 0.2830 1.4460 0.3250 1.4880 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 0.2830 1.1760 0.3250 1.2180 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.2830 1.0840 0.3250 1.1260 ; + RECT 0.2830 1.1760 0.3250 1.2180 ; + RECT 0.8910 1.3380 0.9330 1.3800 ; + RECT 0.7390 1.3240 0.7810 1.3660 ; + RECT 0.7390 1.1400 0.7810 1.1820 ; + RECT 0.8910 1.1540 0.9330 1.1960 ; + RECT 0.7390 1.2320 0.7810 1.2740 ; + RECT 0.0550 1.2920 0.0970 1.3340 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 0.5860 0.2180 0.9370 0.2680 ; + RECT 0.2790 0.0300 0.3290 0.4410 ; + RECT 1.9510 0.3590 2.0010 0.4830 ; + RECT 1.5410 0.0300 1.5910 0.3090 ; + RECT 1.5410 0.3090 2.0010 0.3590 ; + RECT 0.7350 0.2680 0.7850 0.4720 ; + RECT 0.8870 0.2680 0.9370 0.4720 ; + RECT 0.5860 0.0300 0.6360 0.2180 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.5840 3.3740 ; + RECT 1.0150 2.9990 2.1690 3.0490 ; + RECT 0.4310 3.1470 0.4810 3.3140 ; + RECT 1.0150 3.0490 1.0650 3.3140 ; + END + PORT + LAYER CO ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.9550 0.3290 1.9970 0.3710 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 0.7390 0.4100 0.7810 0.4520 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 0.4350 3.1670 0.4770 3.2090 ; + RECT 0.2830 0.3660 0.3250 0.4080 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.8910 0.2970 0.9330 0.3390 ; + RECT 0.7390 0.2220 0.7810 0.2640 ; + RECT 0.8910 0.4100 0.9330 0.4520 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.1070 3.0030 2.1490 3.0450 ; + RECT 1.9550 0.4210 1.9970 0.4630 ; + RECT 1.1950 3.0030 1.2370 3.0450 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.0430 3.0030 1.0850 3.0450 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.8030 1.2900 1.8450 1.3320 ; + RECT 1.5750 1.0270 1.6170 1.0690 ; + RECT 1.4990 0.4600 1.5410 0.5020 ; + RECT 1.8790 0.5660 1.9210 0.6080 ; + RECT 1.7270 3.1100 1.7690 3.1520 ; + RECT 1.1190 3.1630 1.1610 3.2050 ; + RECT 1.6510 2.8380 1.6930 2.8800 ; + RECT 2.1070 2.0460 2.1490 2.0880 ; + RECT 0.8150 1.5380 0.8570 1.5800 ; + RECT 2.3350 1.0270 2.3770 1.0690 ; + RECT 2.1830 1.1840 2.2250 1.2260 ; + RECT 1.2710 0.1220 1.3130 0.1640 ; + RECT 2.3350 2.5370 2.3770 2.5790 ; + RECT 1.2710 2.5580 1.3130 2.6000 ; + RECT 0.3590 2.7170 0.4010 2.7590 ; + RECT 1.4990 1.2900 1.5410 1.3320 ; + RECT 1.1190 1.8950 1.1610 1.9370 ; + RECT 1.8790 2.6400 1.9210 2.6820 ; + RECT 0.4350 1.9800 0.4770 2.0220 ; + RECT 1.9550 2.0460 1.9970 2.0880 ; + RECT 0.4350 2.1640 0.4770 2.2060 ; + RECT 2.0310 2.6840 2.0730 2.7260 ; + RECT 0.5870 0.7820 0.6290 0.8240 ; + RECT 1.8790 0.8630 1.9210 0.9050 ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 0.4350 2.2560 0.4770 2.2980 ; + RECT 1.2710 1.5380 1.3130 1.5800 ; + RECT 1.4230 3.2100 1.4650 3.2520 ; + RECT 1.3470 1.1820 1.3890 1.2240 ; + RECT 1.6510 1.1840 1.6930 1.2260 ; + RECT 1.3470 2.0160 1.3890 2.0580 ; + RECT 0.8150 2.7170 0.8570 2.7590 ; + RECT 0.9670 2.5930 1.0090 2.6350 ; + RECT 1.0430 0.4360 1.0850 0.4780 ; + RECT 0.4350 1.0840 0.4770 1.1260 ; + RECT 1.0430 0.5280 1.0850 0.5700 ; + RECT 1.1950 1.2740 1.2370 1.3160 ; + RECT 2.4870 3.2100 2.5290 3.2520 ; + RECT 2.0310 1.5380 2.0730 1.5800 ; + RECT 0.4350 2.2560 0.4770 2.2980 ; + RECT 1.1950 2.3460 1.2370 2.3880 ; + RECT 0.7390 2.8860 0.7810 2.9280 ; + RECT 1.3470 0.3970 1.3890 0.4390 ; + RECT 0.4350 1.9800 0.4770 2.0220 ; + RECT 1.1950 0.3410 1.2370 0.3830 ; + RECT 0.4350 2.0720 0.4770 2.1140 ; + RECT 0.4350 2.0720 0.4770 2.1140 ; + RECT 1.7270 2.4180 1.7690 2.4600 ; + RECT 0.9670 0.6440 1.0090 0.6860 ; + RECT 0.7390 2.1160 0.7810 2.1580 ; + RECT 1.6510 2.3180 1.6930 2.3600 ; + RECT 0.4350 1.1760 0.4770 1.2180 ; + RECT 1.8030 2.0160 1.8450 2.0580 ; + RECT 0.4350 2.1640 0.4770 2.2060 ; + RECT 0.8150 0.6440 0.8570 0.6860 ; + RECT 1.0430 0.7820 1.0850 0.8240 ; + RECT 1.4990 2.3460 1.5410 2.3880 ; + RECT 0.9670 1.8960 1.0090 1.9380 ; + RECT 0.5110 2.7170 0.5530 2.7590 ; + RECT 1.8030 2.8990 1.8450 2.9410 ; + RECT 0.4350 1.0840 0.4770 1.1260 ; + RECT 0.4350 1.1760 0.4770 1.2180 ; + RECT 0.5870 0.8740 0.6290 0.9160 ; + RECT 1.1950 0.3410 1.2370 0.3830 ; + RECT 1.1950 0.3410 1.2370 0.3830 ; + RECT 1.3470 0.3970 1.3890 0.4390 ; + RECT 1.3470 0.3970 1.3890 0.4390 ; + RECT 0.5870 0.4640 0.6290 0.5060 ; + RECT 0.5870 0.4640 0.6290 0.5060 ; + RECT 0.5870 0.4640 0.6290 0.5060 ; + RECT 1.4230 0.8840 1.4650 0.9260 ; + RECT 2.0310 0.5660 2.0730 0.6080 ; + RECT 1.4990 2.7780 1.5410 2.8200 ; + RECT 1.3470 1.2740 1.3890 1.3160 ; + RECT 1.9550 2.8990 1.9970 2.9410 ; + RECT 1.1950 1.1820 1.2370 1.2240 ; + RECT 0.4350 0.5140 0.4770 0.5560 ; + RECT 1.0430 0.8740 1.0850 0.9160 ; + RECT 1.9550 2.1640 1.9970 2.2060 ; + RECT 1.0430 2.0160 1.0850 2.0580 ; + RECT 1.4230 0.1220 1.4650 0.1640 ; + RECT 2.1830 2.6840 2.2250 2.7260 ; + RECT 0.0550 1.7660 0.0970 1.8080 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 2.6650 ; + LAYER M1 ; + RECT 0.6950 2.1120 0.8200 2.1620 ; + RECT 1.2510 2.5540 1.3940 2.6040 ; + RECT 0.3550 2.8820 1.6970 2.9320 ; + RECT 1.6070 2.5510 1.6970 2.6010 ; + RECT 0.3550 2.6130 0.7450 2.6630 ; + RECT 1.6070 2.3640 1.6570 2.5510 ; + RECT 1.6070 2.3140 1.7150 2.3640 ; + RECT 0.6950 2.1620 0.7450 2.6130 ; + RECT 1.3440 2.6040 1.3940 2.8820 ; + RECT 1.6470 2.6010 1.6970 2.8820 ; + RECT 0.3550 2.6630 0.4050 2.8820 ; + RECT 1.1750 0.3370 1.2650 0.3870 ; + RECT 1.1910 1.0600 1.2410 1.3360 ; + RECT 1.2150 0.3870 1.2650 1.0100 ; + RECT 0.4310 1.0100 1.2650 1.0600 ; + RECT 0.4310 0.8010 0.5210 0.8510 ; + RECT 0.4310 0.6010 0.5210 0.6510 ; + RECT 0.4310 1.0600 0.4810 1.2380 ; + RECT 0.4310 0.8510 0.4810 1.0100 ; + RECT 0.4310 0.4770 0.4810 0.6010 ; + RECT 0.4710 0.6510 0.5210 0.8010 ; + RECT 1.3430 1.1800 2.2450 1.2300 ; + RECT 1.3430 0.4560 1.5610 0.5060 ; + RECT 1.3430 0.3770 1.3930 0.4560 ; + RECT 1.3430 1.2300 1.3930 1.3360 ; + RECT 1.3430 0.5060 1.3930 0.7100 ; + RECT 1.3150 0.7680 1.3650 1.0190 ; + RECT 1.3430 1.0720 1.3930 1.1800 ; + RECT 1.3150 1.0190 1.3930 1.0720 ; + RECT 1.3150 0.7100 1.3930 0.7680 ; + RECT 1.8100 2.6360 1.9510 2.6860 ; + RECT 1.7070 2.4140 1.8600 2.4640 ; + RECT 0.9630 2.2260 1.0130 2.6550 ; + RECT 1.8100 2.4640 1.8600 2.6360 ; + RECT 1.8100 2.2260 1.8600 2.4140 ; + RECT 0.9630 2.1760 1.8600 2.2260 ; + RECT 0.0350 1.7600 2.1290 1.8160 ; + RECT 0.6950 2.0120 1.4220 2.0620 ; + RECT 2.0790 2.0420 2.1690 2.0920 ; + RECT 0.6950 1.8160 0.7450 2.0120 ; + RECT 2.0790 1.8160 2.1290 2.0420 ; + RECT 0.4310 1.8160 0.4810 2.3410 ; + RECT 1.9510 2.5330 2.3970 2.5830 ; + RECT 1.7820 2.0120 2.0010 2.0620 ; + RECT 2.2990 2.5830 2.3490 2.8950 ; + RECT 1.7800 2.8950 2.3490 2.9450 ; + RECT 1.9510 2.0620 2.0010 2.5330 ; + RECT 0.7830 1.5340 2.0930 1.5840 ; + RECT 1.5550 1.0230 2.3970 1.0730 ; + RECT 1.1150 3.1060 1.9610 3.1560 ; + RECT 1.1150 3.1560 1.1650 3.2270 ; + RECT 1.0390 0.5400 1.1650 0.5900 ; + RECT 1.0390 0.1680 1.0890 0.5400 ; + RECT 1.0390 0.1180 1.4910 0.1680 ; + RECT 1.0390 0.7900 1.0890 0.9360 ; + RECT 1.0390 0.7400 1.1650 0.7900 ; + RECT 1.1150 0.5900 1.1650 0.7400 ; + RECT 1.4710 2.7740 1.5610 2.8240 ; + RECT 0.4910 2.7130 1.2010 2.7630 ; + RECT 1.4900 2.4100 1.5400 2.7740 ; + RECT 1.1510 2.3420 1.5450 2.3920 ; + RECT 1.1510 2.3920 1.2010 2.7130 ; + RECT 1.4950 2.3210 1.5450 2.3420 ; + RECT 1.4900 2.3920 1.5450 2.4100 ; + RECT 0.5830 0.6400 1.0290 0.6900 ; + RECT 0.5830 0.6900 0.6330 0.9360 ; + RECT 0.5830 0.4440 0.6330 0.6400 ; + RECT 1.4030 3.2060 2.5490 3.2560 ; + RECT 1.4190 0.8590 1.9410 0.9090 ; + RECT 1.4190 0.9090 1.4690 0.9460 ; + RECT 2.0110 2.6800 2.2450 2.7300 ; + RECT 1.8590 0.5620 2.0930 0.6120 ; + RECT 0.9470 1.8910 1.1820 1.9410 ; + RECT 1.4790 1.2860 1.8650 1.3360 ; + LAYER PO ; + RECT 1.8850 0.0900 1.9150 0.6400 ; + RECT 1.2770 1.7550 1.3070 3.2820 ; + RECT 0.2130 0.2490 0.2430 3.2820 ; + RECT 2.1890 0.0900 2.2190 3.2820 ; + RECT 0.9730 2.5610 1.0030 3.2820 ; + RECT 0.0610 0.2490 0.0910 3.2820 ; + RECT 2.3410 0.0900 2.3710 3.2820 ; + RECT 0.9730 1.7550 1.0030 2.3580 ; + RECT 1.2770 1.0120 1.3070 1.6280 ; + RECT 1.7330 1.7550 1.7630 2.4920 ; + RECT 0.6690 1.7550 0.6990 3.2820 ; + RECT 1.7330 2.6520 1.7630 3.2820 ; + RECT 0.3650 1.7400 0.3950 3.2820 ; + RECT 1.2770 0.0900 1.3070 0.5640 ; + RECT 0.8210 1.7550 0.8510 3.2820 ; + RECT 1.8850 0.7800 1.9150 3.2820 ; + RECT 0.5170 0.0900 0.5470 1.6280 ; + RECT 1.5810 0.0900 1.6110 1.6280 ; + RECT 0.9730 0.0900 1.0030 1.6280 ; + RECT 1.4290 0.0900 1.4590 1.6280 ; + RECT 0.8210 0.0900 0.8510 1.6280 ; + RECT 1.7330 0.0900 1.7630 1.6280 ; + RECT 0.6690 0.0900 0.6990 1.6280 ; + RECT 2.0370 0.0900 2.0670 1.6280 ; + RECT 1.1250 0.0900 1.1550 3.2820 ; + RECT 0.3650 0.0900 0.3950 1.6280 ; + RECT 1.5810 1.7550 1.6110 3.2820 ; + RECT 1.4290 1.7550 1.4590 3.2820 ; + RECT 0.5170 1.7400 0.5470 3.2820 ; + RECT 2.0370 1.7550 2.0670 3.2820 ; + RECT 2.4930 0.0900 2.5230 3.2820 ; + END +END AODFFNARX1_HVT + +MACRO AODFFNARX2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.888 BY 3.344 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN CLK + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8570 1.4550 1.0290 1.5840 ; + END + PORT + LAYER CO ; + RECT 0.9670 1.5340 1.0090 1.5760 ; + END + ANTENNAGATEAREA 0.0342 ; + END CLK + + PIN D + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7020 0.7250 0.7520 ; + RECT 0.5530 0.7520 0.6630 0.8150 ; + RECT 0.5530 0.6970 0.6630 0.7020 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7060 0.7050 0.7480 ; + END + ANTENNAGATEAREA 0.0255 ; + END D + + PIN RSTB + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 2.0270 0.1080 2.8530 0.1580 ; + RECT 2.0270 0.1580 2.1830 0.2070 ; + RECT 2.0270 0.0970 2.1830 0.1080 ; + END + PORT + LAYER CO ; + RECT 2.7910 0.1110 2.8330 0.1530 ; + RECT 2.0310 0.1440 2.0730 0.1860 ; + END + ANTENNAGATEAREA 0.0441 ; + END RSTB + + PIN QN + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.0970 3.1370 0.2070 3.2530 ; + RECT 0.4310 1.8780 0.4810 2.3820 ; + RECT 0.1150 3.0300 0.4810 3.0800 ; + RECT 0.4310 3.0800 0.4810 3.2020 ; + RECT 0.1150 2.3820 0.4810 2.4320 ; + RECT 0.1150 3.0800 0.1650 3.1370 ; + RECT 0.1150 2.4320 0.1650 3.0300 ; + END + PORT + LAYER CO ; + RECT 0.4350 1.9160 0.4770 1.9580 ; + RECT 0.4350 1.9160 0.4770 1.9580 ; + RECT 0.4350 1.9160 0.4770 1.9580 ; + RECT 0.4350 3.1130 0.4770 3.1550 ; + RECT 0.4350 3.1130 0.4770 3.1550 ; + RECT 0.4350 2.2840 0.4770 2.3260 ; + RECT 0.4350 2.2840 0.4770 2.3260 ; + RECT 0.4350 2.0080 0.4770 2.0500 ; + RECT 0.4350 2.1000 0.4770 2.1420 ; + RECT 0.4350 2.2840 0.4770 2.3260 ; + RECT 0.4350 1.9160 0.4770 1.9580 ; + RECT 0.4350 2.1920 0.4770 2.2340 ; + RECT 0.4350 2.1920 0.4770 2.2340 ; + RECT 0.4350 2.0080 0.4770 2.0500 ; + RECT 0.4350 2.1000 0.4770 2.1420 ; + RECT 0.4350 2.1000 0.4770 2.1420 ; + RECT 0.4350 2.0080 0.4770 2.0500 ; + RECT 0.4350 2.1000 0.4770 2.1420 ; + RECT 0.4350 2.2840 0.4770 2.3260 ; + RECT 0.4350 2.1920 0.4770 2.2340 ; + RECT 0.4350 2.0080 0.4770 2.0500 ; + RECT 0.4350 2.1920 0.4770 2.2340 ; + END + ANTENNADIFFAREA 0.1488 ; + END QN + + PIN Q + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2390 2.4960 0.7850 2.5460 ; + RECT 0.7350 2.9700 0.7850 3.2020 ; + RECT 0.7350 1.8880 0.7850 2.4960 ; + RECT 0.2390 2.8330 0.3590 2.9200 ; + RECT 0.2390 2.5460 0.2890 2.8330 ; + RECT 0.2390 2.9200 0.7850 2.9700 ; + END + PORT + LAYER CO ; + RECT 0.7390 2.0080 0.7810 2.0500 ; + RECT 0.7390 2.0080 0.7810 2.0500 ; + RECT 0.7390 3.0210 0.7810 3.0630 ; + RECT 0.7390 3.1130 0.7810 3.1550 ; + RECT 0.7390 3.1130 0.7810 3.1550 ; + RECT 0.7390 2.2840 0.7810 2.3260 ; + RECT 0.7390 2.2840 0.7810 2.3260 ; + RECT 0.7390 2.3760 0.7810 2.4180 ; + RECT 0.7390 2.1920 0.7810 2.2340 ; + RECT 0.7390 2.1920 0.7810 2.2340 ; + RECT 0.7390 2.2840 0.7810 2.3260 ; + RECT 0.7390 2.3760 0.7810 2.4180 ; + RECT 0.7390 2.2840 0.7810 2.3260 ; + RECT 0.7390 2.1920 0.7810 2.2340 ; + RECT 0.7390 2.1920 0.7810 2.2340 ; + RECT 0.7390 1.9160 0.7810 1.9580 ; + RECT 0.7390 1.9160 0.7810 1.9580 ; + RECT 0.7390 1.9160 0.7810 1.9580 ; + RECT 0.7390 2.0080 0.7810 2.0500 ; + RECT 0.7390 1.9160 0.7810 1.9580 ; + RECT 0.7390 2.1000 0.7810 2.1420 ; + RECT 0.7390 2.1000 0.7810 2.1420 ; + RECT 0.7390 2.1000 0.7810 2.1420 ; + RECT 0.7390 2.1000 0.7810 2.1420 ; + RECT 0.7390 2.0080 0.7810 2.0500 ; + END + ANTENNADIFFAREA 0.1488 ; + END Q + + PIN VDDG + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.5830 1.4230 0.6330 1.5660 ; + RECT 1.1910 1.3910 2.3210 1.4410 ; + RECT 0.5250 1.3380 0.6800 1.4230 ; + RECT 0.5830 1.0550 0.6330 1.2880 ; + RECT 1.0390 1.3380 1.0890 1.3860 ; + RECT 1.0390 1.1200 1.0890 1.2880 ; + RECT 1.1910 1.1330 1.2410 1.2880 ; + RECT 1.1910 1.3380 1.2410 1.3910 ; + RECT 0.0350 1.2880 1.2410 1.3380 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.0840 0.6290 1.1260 ; + RECT 0.5870 1.0840 0.6290 1.1260 ; + RECT 1.1950 1.2460 1.2370 1.2880 ; + RECT 0.5870 1.4460 0.6290 1.4880 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 2.2590 1.3950 2.3010 1.4370 ; + RECT 0.5870 1.1760 0.6290 1.2180 ; + RECT 1.1950 1.3380 1.2370 1.3800 ; + RECT 1.0430 1.3240 1.0850 1.3660 ; + RECT 1.0430 1.1400 1.0850 1.1820 ; + RECT 1.1950 1.1540 1.2370 1.1960 ; + RECT 1.0430 1.2320 1.0850 1.2740 ; + RECT 0.0550 1.2920 0.0970 1.3340 ; + RECT 0.5870 1.1760 0.6290 1.2180 ; + END + END VDDG + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.8880 1.7020 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.8880 0.0300 ; + RECT 0.8900 0.2180 1.2410 0.2680 ; + RECT 0.5830 0.0300 0.6330 0.4410 ; + RECT 2.2550 0.3590 2.3050 0.4830 ; + RECT 1.8450 0.0300 1.8950 0.3090 ; + RECT 1.8450 0.3090 2.3050 0.3590 ; + RECT 1.0390 0.2680 1.0890 0.4720 ; + RECT 1.1910 0.2680 1.2410 0.4720 ; + RECT 0.8900 0.0300 0.9400 0.2180 ; + END + PORT + LAYER M1 ; + RECT 0.0000 3.3140 2.8880 3.3740 ; + RECT 1.3190 2.9990 2.4730 3.0490 ; + RECT 0.5830 3.0380 0.6330 3.3140 ; + RECT 0.2790 3.1300 0.3290 3.3140 ; + RECT 0.8870 2.9270 0.9370 3.3140 ; + RECT 1.3190 3.0490 1.3690 3.3140 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.4100 1.2370 0.4520 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 2.4110 3.0030 2.4530 3.0450 ; + RECT 2.2590 0.4210 2.3010 0.4630 ; + RECT 1.4990 3.0030 1.5410 3.0450 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.5750 3.3230 1.6170 3.3650 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.2590 0.3290 2.3010 0.3710 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 0.9670 3.3230 1.0090 3.3650 ; + RECT 1.1190 3.3230 1.1610 3.3650 ; + RECT 1.2710 3.3230 1.3130 3.3650 ; + RECT 1.4230 3.3230 1.4650 3.3650 ; + RECT 1.7270 3.3230 1.7690 3.3650 ; + RECT 0.5870 3.0580 0.6290 3.1000 ; + RECT 0.8910 2.9660 0.9330 3.0080 ; + RECT 0.8910 3.0580 0.9330 3.1000 ; + RECT 0.8910 2.9660 0.9330 3.0080 ; + RECT 0.2830 3.1500 0.3250 3.1920 ; + RECT 0.5870 3.1500 0.6290 3.1920 ; + RECT 0.5870 3.0580 0.6290 3.1000 ; + RECT 0.8910 3.0580 0.9330 3.1000 ; + RECT 0.8910 2.9660 0.9330 3.0080 ; + RECT 0.8910 3.1500 0.9330 3.1920 ; + RECT 0.8910 2.9660 0.9330 3.0080 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 2.6390 3.3230 2.6810 3.3650 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 2.7910 -0.0210 2.8330 0.0210 ; + RECT 2.7910 3.3230 2.8330 3.3650 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.5110 3.3230 0.5530 3.3650 ; + RECT 1.0430 0.4100 1.0850 0.4520 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 1.8790 3.3230 1.9210 3.3650 ; + RECT 2.0310 3.3230 2.0730 3.3650 ; + RECT 0.0550 3.3230 0.0970 3.3650 ; + RECT 0.5870 0.3660 0.6290 0.4080 ; + RECT 0.6630 3.3230 0.7050 3.3650 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 2.1830 3.3230 2.2250 3.3650 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + RECT 1.3470 3.0030 1.3890 3.0450 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 0.8150 3.3230 0.8570 3.3650 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2070 3.3230 0.2490 3.3650 ; + RECT 0.3590 3.3230 0.4010 3.3650 ; + RECT 1.1950 0.2970 1.2370 0.3390 ; + RECT 1.0430 0.2220 1.0850 0.2640 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 2.6390 -0.0210 2.6810 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 2.3350 3.3230 2.3770 3.3650 ; + RECT 2.4870 3.3230 2.5290 3.3650 ; + END + END VSS + OBS + LAYER CO ; + RECT 1.4990 0.3410 1.5410 0.3830 ; + RECT 1.6510 0.3970 1.6930 0.4390 ; + RECT 1.6510 0.3970 1.6930 0.4390 ; + RECT 0.8910 0.4640 0.9330 0.5060 ; + RECT 0.8910 0.4640 0.9330 0.5060 ; + RECT 0.8910 0.4640 0.9330 0.5060 ; + RECT 1.7270 0.8840 1.7690 0.9260 ; + RECT 2.3350 0.5660 2.3770 0.6080 ; + RECT 1.8030 2.7780 1.8450 2.8200 ; + RECT 1.6510 1.2740 1.6930 1.3160 ; + RECT 2.2590 2.8990 2.3010 2.9410 ; + RECT 1.4990 1.1820 1.5410 1.2240 ; + RECT 0.7390 0.5140 0.7810 0.5560 ; + RECT 1.1190 1.5380 1.1610 1.5800 ; + RECT 2.1070 1.2900 2.1490 1.3320 ; + RECT 1.8790 1.0270 1.9210 1.0690 ; + RECT 1.8030 0.4600 1.8450 0.5020 ; + RECT 2.1830 0.5660 2.2250 0.6080 ; + RECT 2.0310 3.1100 2.0730 3.1520 ; + RECT 1.4230 3.1580 1.4650 3.2000 ; + RECT 1.9550 2.8380 1.9970 2.8800 ; + RECT 0.5870 2.0520 0.6290 2.0940 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 1.5750 2.5580 1.6170 2.6000 ; + RECT 1.8030 1.2900 1.8450 1.3320 ; + RECT 0.2830 2.0520 0.3250 2.0940 ; + RECT 0.2830 1.9600 0.3250 2.0020 ; + RECT 1.4230 1.8950 1.4650 1.9370 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 2.1830 2.6400 2.2250 2.6820 ; + RECT 2.2590 2.0460 2.3010 2.0880 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 2.3350 2.6840 2.3770 2.7260 ; + RECT 0.8910 0.7820 0.9330 0.8240 ; + RECT 2.1830 0.8630 2.2250 0.9050 ; + RECT 0.8910 1.8240 0.9330 1.8660 ; + RECT 1.5750 1.5380 1.6170 1.5800 ; + RECT 1.7270 3.2100 1.7690 3.2520 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 2.3760 0.9330 2.4180 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.3760 0.9330 2.4180 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8150 2.7000 0.8570 2.7420 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.8910 2.2840 0.9330 2.3260 ; + RECT 0.8150 2.7000 0.8570 2.7420 ; + RECT 0.8910 2.1920 0.9330 2.2340 ; + RECT 0.2830 2.1440 0.3250 2.1860 ; + RECT 0.2830 2.2360 0.3250 2.2780 ; + RECT 0.5870 2.1440 0.6290 2.1860 ; + RECT 0.5870 2.3280 0.6290 2.3700 ; + RECT 0.5870 2.2360 0.6290 2.2780 ; + RECT 2.4110 2.0460 2.4530 2.0880 ; + RECT 1.4230 1.5380 1.4650 1.5800 ; + RECT 2.6390 1.0270 2.6810 1.0690 ; + RECT 2.4870 1.1840 2.5290 1.2260 ; + RECT 1.5750 0.1220 1.6170 0.1640 ; + RECT 2.6390 2.5370 2.6810 2.5790 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 2.0080 0.9330 2.0500 ; + RECT 0.3590 2.7000 0.4010 2.7420 ; + RECT 0.5870 2.2360 0.6290 2.2780 ; + RECT 0.6630 2.7000 0.7050 2.7420 ; + RECT 0.2830 2.1440 0.3250 2.1860 ; + RECT 0.8910 1.8240 0.9330 1.8660 ; + RECT 0.5870 1.9600 0.6290 2.0020 ; + RECT 0.2830 2.2360 0.3250 2.2780 ; + RECT 0.5110 2.7000 0.5530 2.7420 ; + RECT 0.6630 2.7000 0.7050 2.7420 ; + RECT 0.8910 1.8240 0.9330 1.8660 ; + RECT 0.8910 1.8240 0.9330 1.8660 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 1.6510 1.1820 1.6930 1.2240 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 1.9550 1.1840 1.9970 1.2260 ; + RECT 1.6510 2.0160 1.6930 2.0580 ; + RECT 1.1190 2.7000 1.1610 2.7420 ; + RECT 1.2710 2.5780 1.3130 2.6200 ; + RECT 0.5870 1.9600 0.6290 2.0020 ; + RECT 1.3470 0.4360 1.3890 0.4780 ; + RECT 0.2830 1.9600 0.3250 2.0020 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 1.3470 0.5280 1.3890 0.5700 ; + RECT 1.4990 1.2740 1.5410 1.3160 ; + RECT 2.7910 3.2100 2.8330 3.2520 ; + RECT 2.3350 1.5380 2.3770 1.5800 ; + RECT 1.4990 2.3460 1.5410 2.3880 ; + RECT 1.0430 2.8000 1.0850 2.8420 ; + RECT 1.6510 0.3970 1.6930 0.4390 ; + RECT 1.4990 0.3410 1.5410 0.3830 ; + RECT 2.0310 2.4180 2.0730 2.4600 ; + RECT 1.2710 0.6440 1.3130 0.6860 ; + RECT 1.0430 2.1160 1.0850 2.1580 ; + RECT 1.9550 2.3180 1.9970 2.3600 ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 2.1070 2.0160 2.1490 2.0580 ; + RECT 1.1190 0.6440 1.1610 0.6860 ; + RECT 1.3470 0.7820 1.3890 0.8240 ; + RECT 1.8030 2.3460 1.8450 2.3880 ; + RECT 1.2710 1.8960 1.3130 1.9380 ; + RECT 2.1070 2.8990 2.1490 2.9410 ; + RECT 1.3470 0.8740 1.3890 0.9160 ; + RECT 0.2830 2.0520 0.3250 2.0940 ; + RECT 0.5870 1.8680 0.6290 1.9100 ; + RECT 2.2590 2.1640 2.3010 2.2060 ; + RECT 1.3470 2.0160 1.3890 2.0580 ; + RECT 0.8910 1.9160 0.9330 1.9580 ; + RECT 1.7270 0.1220 1.7690 0.1640 ; + RECT 0.7390 1.0840 0.7810 1.1260 ; + RECT 0.7390 1.1760 0.7810 1.2180 ; + RECT 0.5870 2.1440 0.6290 2.1860 ; + RECT 0.8910 0.8740 0.9330 0.9160 ; + RECT 1.4990 0.3410 1.5410 0.3830 ; + RECT 2.4870 2.6840 2.5290 2.7260 ; + RECT 0.8910 2.1000 0.9330 2.1420 ; + RECT 0.0550 1.7660 0.0970 1.8080 ; + RECT 0.5870 2.0520 0.6290 2.0940 ; + LAYER NWELL ; + RECT -0.1150 0.6790 3.0030 2.6650 ; + LAYER M1 ; + RECT 1.6480 2.8820 2.0010 2.9320 ; + RECT 0.9990 2.1120 1.1240 2.1620 ; + RECT 0.5260 2.7960 1.6980 2.8460 ; + RECT 1.6480 2.6040 1.6980 2.7960 ; + RECT 1.5550 2.5540 1.6980 2.6040 ; + RECT 1.6480 2.8460 1.6980 2.8820 ; + RECT 1.9110 2.5510 2.0010 2.6010 ; + RECT 1.9110 2.3640 1.9610 2.5510 ; + RECT 1.9110 2.3140 2.0190 2.3640 ; + RECT 0.9990 2.1620 1.0490 2.5960 ; + RECT 1.9510 2.6010 2.0010 2.8820 ; + RECT 0.5260 2.5960 1.0490 2.6460 ; + RECT 0.5260 2.8460 0.5760 2.8470 ; + RECT 0.5260 2.7460 0.5760 2.7960 ; + RECT 0.3390 2.6960 0.5760 2.7460 ; + RECT 0.5260 2.6460 0.5760 2.6960 ; + RECT 1.4790 0.3370 1.5690 0.3870 ; + RECT 1.4950 1.0600 1.5450 1.3360 ; + RECT 1.5190 0.3870 1.5690 1.0100 ; + RECT 0.7350 1.0100 1.5690 1.0600 ; + RECT 0.7350 0.8010 0.8250 0.8510 ; + RECT 0.7350 0.6010 0.8250 0.6510 ; + RECT 0.7350 1.0600 0.7850 1.2380 ; + RECT 0.7350 0.8510 0.7850 1.0100 ; + RECT 0.7350 0.4770 0.7850 0.6010 ; + RECT 0.7750 0.6510 0.8250 0.8010 ; + RECT 1.6470 1.1800 2.5490 1.2300 ; + RECT 1.6470 0.4560 1.8650 0.5060 ; + RECT 1.6470 0.3770 1.6970 0.4560 ; + RECT 1.6470 1.2300 1.6970 1.3360 ; + RECT 1.6470 0.5060 1.6970 0.7100 ; + RECT 1.6190 0.7680 1.6690 1.0190 ; + RECT 1.6470 1.0720 1.6970 1.1800 ; + RECT 1.6190 1.0190 1.6970 1.0720 ; + RECT 1.6190 0.7100 1.6970 0.7680 ; + RECT 1.2510 1.8910 1.4860 1.9410 ; + RECT 1.4190 3.1060 2.2650 3.1560 ; + RECT 1.4190 3.1560 1.4690 3.2200 ; + RECT 1.3430 0.5400 1.4690 0.5900 ; + RECT 1.3430 0.1180 1.7950 0.1680 ; + RECT 1.3430 0.1680 1.3930 0.5400 ; + RECT 1.3430 0.7900 1.3930 0.9360 ; + RECT 1.3430 0.7400 1.4690 0.7900 ; + RECT 1.4190 0.5900 1.4690 0.7400 ; + RECT 2.3830 2.0420 2.4730 2.0920 ; + RECT 0.0350 1.7600 2.4330 1.8160 ; + RECT 0.9990 2.0120 1.7260 2.0620 ; + RECT 0.8870 1.8160 0.9370 2.4380 ; + RECT 2.3830 1.8160 2.4330 2.0420 ; + RECT 0.9990 1.8160 1.0490 2.0120 ; + RECT 0.5830 1.8160 0.6330 2.3900 ; + RECT 0.2790 1.8160 0.3290 2.2980 ; + RECT 2.0860 2.0120 2.3050 2.0620 ; + RECT 2.2550 2.5330 2.7010 2.5830 ; + RECT 2.2550 2.0620 2.3050 2.5330 ; + RECT 2.6030 2.5830 2.6530 2.8950 ; + RECT 2.0840 2.8950 2.6530 2.9450 ; + RECT 1.7830 1.2860 2.1690 1.3360 ; + RECT 2.1140 2.6360 2.2550 2.6860 ; + RECT 2.0110 2.4140 2.1640 2.4640 ; + RECT 1.2670 2.2260 1.3170 2.6460 ; + RECT 2.1140 2.4640 2.1640 2.6360 ; + RECT 2.1140 2.2260 2.1640 2.4140 ; + RECT 1.2670 2.1760 2.1640 2.2260 ; + RECT 1.0990 1.5340 2.3970 1.5840 ; + RECT 1.8590 1.0230 2.7010 1.0730 ; + RECT 1.7750 2.7740 1.8650 2.8240 ; + RECT 0.6430 2.6960 1.5050 2.7460 ; + RECT 1.7940 2.4100 1.8440 2.7740 ; + RECT 1.4550 2.3420 1.8490 2.3920 ; + RECT 1.4550 2.3920 1.5050 2.6960 ; + RECT 1.7990 2.3210 1.8490 2.3420 ; + RECT 1.7940 2.3920 1.8490 2.4100 ; + RECT 0.8870 0.6400 1.3330 0.6900 ; + RECT 0.8870 0.6900 0.9370 0.9360 ; + RECT 0.8870 0.4440 0.9370 0.6400 ; + RECT 1.7070 3.2060 2.8530 3.2560 ; + RECT 1.7230 0.8590 2.2450 0.9090 ; + RECT 1.7230 0.9090 1.7730 0.9460 ; + RECT 2.3150 2.6800 2.5490 2.7300 ; + RECT 2.1630 0.5620 2.3970 0.6120 ; + LAYER PO ; + RECT 0.9730 1.7400 1.0030 3.2820 ; + RECT 0.8210 1.7400 0.8510 3.2820 ; + RECT 0.6690 1.7400 0.6990 3.2820 ; + RECT 0.5170 0.2490 0.5470 3.2820 ; + RECT 0.0610 0.0900 0.0910 3.2820 ; + RECT 0.3650 1.7400 0.3950 3.2820 ; + RECT 0.2130 1.7400 0.2430 3.2820 ; + RECT 0.2130 0.0900 0.2430 1.6280 ; + RECT 2.1890 0.0900 2.2190 0.6400 ; + RECT 1.5810 1.7550 1.6110 3.2820 ; + RECT 2.4930 0.0900 2.5230 3.2820 ; + RECT 1.2770 2.5460 1.3070 3.2820 ; + RECT 2.6450 0.0900 2.6750 3.2820 ; + RECT 1.2770 1.7550 1.3070 2.3580 ; + RECT 1.5810 1.0120 1.6110 1.6280 ; + RECT 2.0370 1.7550 2.0670 2.4920 ; + RECT 2.0370 2.6520 2.0670 3.2820 ; + RECT 1.5810 0.0900 1.6110 0.5640 ; + RECT 1.1250 1.7550 1.1550 3.2820 ; + RECT 2.1890 0.7800 2.2190 3.2820 ; + RECT 0.8210 0.0900 0.8510 1.6280 ; + RECT 1.8850 0.0900 1.9150 1.6280 ; + RECT 1.2770 0.0900 1.3070 1.6280 ; + RECT 1.7330 0.0900 1.7630 1.6280 ; + RECT 1.1250 0.0900 1.1550 1.6280 ; + RECT 2.0370 0.0900 2.0670 1.6280 ; + RECT 0.9730 0.0900 1.0030 1.6280 ; + RECT 2.3410 0.0900 2.3710 1.6280 ; + RECT 1.4290 0.0900 1.4590 3.2820 ; + RECT 0.6690 0.0900 0.6990 1.6280 ; + RECT 1.8850 1.7550 1.9150 3.2820 ; + RECT 0.3650 0.2490 0.3950 1.6210 ; + RECT 1.7330 1.7550 1.7630 3.2820 ; + RECT 2.3410 1.7550 2.3710 3.2820 ; + RECT 2.7970 0.0900 2.8270 3.2820 ; + END +END AODFFNARX2_HVT + +MACRO AOI21X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.5620 ; + RECT 1.3430 0.0300 1.3930 0.4890 ; + RECT 0.7350 0.3380 0.7850 0.5620 ; + RECT 0.2790 0.3380 0.3290 0.5620 ; + RECT 0.2790 0.2880 0.7850 0.3380 ; + RECT 0.2790 0.0300 0.3290 0.2880 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.4080 1.0850 0.4500 ; + RECT 1.0430 0.5000 1.0850 0.5420 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.7390 0.4080 0.7810 0.4500 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.3470 0.2430 1.3890 0.2850 ; + RECT 1.3470 0.1510 1.3890 0.1930 ; + RECT 0.2830 0.5000 0.3250 0.5420 ; + RECT 1.3470 0.4270 1.3890 0.4690 ; + RECT 0.7390 0.5000 0.7810 0.5420 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.3470 0.3350 1.3890 0.3770 ; + RECT 0.2830 0.4080 0.3250 0.4500 ; + END + END VSS + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.1610 1.5750 1.2710 ; + RECT 1.4950 1.2710 1.5450 1.5540 ; + RECT 1.4950 0.8030 1.5850 0.8530 ; + RECT 1.4950 0.8530 1.5450 1.1610 ; + RECT 1.5350 0.5010 1.5850 0.8030 ; + RECT 1.4950 0.1140 1.5450 0.4300 ; + RECT 1.4950 0.4300 1.5850 0.5010 ; + END + PORT + LAYER CO ; + RECT 1.4990 1.4920 1.5410 1.5340 ; + RECT 1.4990 0.9400 1.5410 0.9820 ; + RECT 1.4990 0.4300 1.5410 0.4720 ; + RECT 1.4990 0.3380 1.5410 0.3800 ; + RECT 1.4990 0.2460 1.5410 0.2880 ; + RECT 1.4990 1.2160 1.5410 1.2580 ; + RECT 1.4990 1.4000 1.5410 1.4420 ; + RECT 1.4990 1.3080 1.5410 1.3500 ; + RECT 1.4990 0.1540 1.5410 0.1960 ; + RECT 1.4990 1.1240 1.5410 1.1660 ; + RECT 1.4990 0.8480 1.5410 0.8900 ; + RECT 1.4990 1.0320 1.5410 1.0740 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7250 0.4010 0.7670 ; + END + ANTENNAGATEAREA 0.0243 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.5830 1.1720 0.6330 1.6420 ; + RECT 0.2790 1.0280 0.3290 1.6420 ; + RECT 1.0390 1.0000 1.0890 1.6420 ; + RECT 1.3420 1.1040 1.3920 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.5870 1.4680 0.6290 1.5100 ; + RECT 0.5870 1.2840 0.6290 1.3260 ; + RECT 0.2830 1.4620 0.3250 1.5040 ; + RECT 1.0430 1.2960 1.0850 1.3380 ; + RECT 1.0430 1.2040 1.0850 1.2460 ; + RECT 1.0430 1.4800 1.0850 1.5220 ; + RECT 1.0430 1.3880 1.0850 1.4300 ; + RECT 1.0430 1.1120 1.0850 1.1540 ; + RECT 0.2830 1.3700 0.3250 1.4120 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.1860 0.3250 1.2280 ; + RECT 1.3470 1.4000 1.3890 1.4420 ; + RECT 1.3470 1.3080 1.3890 1.3500 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5870 1.1920 0.6290 1.2340 ; + RECT 0.2830 1.0940 0.3250 1.1360 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3760 0.6290 1.4180 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.3470 1.2160 1.3890 1.2580 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.0430 1.0200 1.0850 1.0620 ; + RECT 0.2830 1.2780 0.3250 1.3200 ; + RECT 1.3470 1.1240 1.3890 1.1660 ; + RECT 1.3470 1.4920 1.3890 1.5340 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8770 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1170 0.8570 0.1590 ; + END + ANTENNAGATEAREA 0.0228 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4920 0.8570 0.6630 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8900 0.5530 0.9320 ; + END + ANTENNAGATEAREA 0.0243 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7870 ; + LAYER M1 ; + RECT 0.5830 0.7570 1.1810 0.8070 ; + RECT 0.5830 0.3880 0.6330 0.7570 ; + RECT 0.8870 0.8070 0.9370 1.5630 ; + RECT 0.8870 0.3880 0.9370 0.7570 ; + RECT 1.1910 0.6230 1.4850 0.6730 ; + RECT 1.1910 0.9610 1.3960 1.0110 ; + RECT 1.3460 0.6730 1.3960 0.9610 ; + RECT 1.1910 0.3880 1.2410 0.6230 ; + RECT 1.1910 1.0110 1.2410 1.5230 ; + RECT 0.7350 1.0770 0.7850 1.5200 ; + RECT 0.4310 1.0270 0.7850 1.0770 ; + RECT 0.4310 1.0770 0.4810 1.5250 ; + LAYER PO ; + RECT 1.5810 0.0630 1.6110 1.6040 ; + RECT 0.2130 0.0640 0.2430 1.6130 ; + RECT 1.4290 0.0640 1.4590 1.6040 ; + RECT 0.9730 0.0640 1.0030 1.6130 ; + RECT 1.2770 0.0590 1.3070 1.6130 ; + RECT 0.5170 0.0640 0.5470 1.6130 ; + RECT 0.0610 0.0640 0.0910 1.6130 ; + RECT 1.7330 0.0630 1.7630 1.6040 ; + RECT 0.3650 0.0590 0.3950 1.6130 ; + RECT 0.8210 0.0590 0.8510 1.6130 ; + RECT 0.6690 0.0640 0.6990 1.6130 ; + RECT 1.1250 0.0590 1.1550 1.6130 ; + LAYER CO ; + RECT 0.8910 0.5000 0.9330 0.5420 ; + RECT 1.1950 1.0930 1.2370 1.1350 ; + RECT 1.1950 1.1850 1.2370 1.2270 ; + RECT 1.1950 1.2770 1.2370 1.3190 ; + RECT 1.1950 1.0010 1.2370 1.0430 ; + RECT 1.1950 1.4610 1.2370 1.5030 ; + RECT 1.1950 1.3690 1.2370 1.4110 ; + RECT 0.7390 1.1310 0.7810 1.1730 ; + RECT 0.8910 1.1470 0.9330 1.1890 ; + RECT 1.1950 0.4080 1.2370 0.4500 ; + RECT 0.4350 1.4540 0.4770 1.4960 ; + RECT 0.8910 0.4080 0.9330 0.4500 ; + RECT 1.1950 0.5000 1.2370 0.5420 ; + RECT 0.7390 1.2230 0.7810 1.2650 ; + RECT 1.1190 0.7610 1.1610 0.8030 ; + RECT 0.8910 1.2390 0.9330 1.2810 ; + RECT 0.4350 1.2700 0.4770 1.3120 ; + RECT 0.7390 1.3150 0.7810 1.3570 ; + RECT 0.4350 1.1780 0.4770 1.2200 ; + RECT 0.8910 1.3310 0.9330 1.3730 ; + RECT 0.5870 0.4080 0.6290 0.4500 ; + RECT 0.8910 1.4230 0.9330 1.4650 ; + RECT 0.4350 1.3620 0.4770 1.4040 ; + RECT 0.5870 0.5000 0.6290 0.5420 ; + RECT 1.4230 0.6270 1.4650 0.6690 ; + RECT 0.4350 1.0860 0.4770 1.1280 ; + RECT 0.7390 1.4070 0.7810 1.4490 ; + END +END AOI21X1_HVT + +MACRO AND2X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.216 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.8870 0.1170 0.9370 0.5530 ; + RECT 0.9740 0.6030 1.1190 0.6630 ; + RECT 0.8870 0.5530 1.1190 0.6030 ; + RECT 0.8870 0.9090 0.9370 1.5590 ; + RECT 0.8870 0.8590 1.0240 0.9090 ; + RECT 0.9740 0.6630 1.0240 0.8590 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 0.2420 0.9330 0.2840 ; + RECT 0.8910 0.1500 0.9330 0.1920 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 0.1500 0.9330 0.1920 ; + RECT 0.8910 0.4260 0.9330 0.4680 ; + RECT 0.8910 0.3340 0.9330 0.3760 ; + RECT 0.8910 0.3340 0.9330 0.3760 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.8870 0.5730 0.9370 ; + RECT 0.4010 0.9370 0.5110 0.9670 ; + RECT 0.4010 0.8570 0.5110 0.8870 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8910 0.5530 0.9330 ; + END + ANTENNAGATEAREA 0.0243 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0243 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.2160 1.7020 ; + RECT 0.7350 0.8930 0.7850 1.6420 ; + RECT 0.4310 1.3630 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.2160 0.0300 ; + RECT 0.7350 0.0300 0.7850 0.5030 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.7390 0.4260 0.7810 0.4680 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.7390 0.2420 0.7810 0.2840 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.3210 1.7730 ; + LAYER M1 ; + RECT 0.5830 0.6560 0.8770 0.7060 ; + RECT 0.2790 1.0940 0.3290 1.5690 ; + RECT 0.6240 0.6560 0.6740 1.1430 ; + RECT 0.3040 1.0940 0.6720 1.1440 ; + RECT 0.5830 1.1190 0.6330 1.5690 ; + RECT 0.5830 0.1060 0.6330 0.6960 ; + LAYER PO ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + RECT 0.9730 0.0720 1.0030 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 0.8210 0.0720 0.8510 1.6060 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + LAYER CO ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.8150 0.6600 0.8570 0.7020 ; + END +END AND2X1_HVT + +MACRO AND2X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1310 0.5530 1.2710 0.6630 ; + RECT 0.7350 0.1300 0.7850 0.5030 ; + RECT 0.7350 0.5030 1.1810 0.5530 ; + RECT 1.0390 0.1300 1.0890 0.5030 ; + RECT 1.0390 0.7530 1.0890 1.5440 ; + RECT 0.7350 0.7530 0.7850 1.5440 ; + RECT 0.7350 0.7030 1.1810 0.7530 ; + RECT 1.1310 0.6630 1.1810 0.7030 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.2420 0.7810 0.2840 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 0.7390 0.4260 0.7810 0.4680 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 0.8380 0.7810 0.8800 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 0.8380 0.7810 0.8800 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.7350 0.6630 0.7850 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.7390 0.5530 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.024 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 0.8870 0.8180 0.9370 1.6420 ; + RECT 0.4310 1.1950 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.4350 1.2150 0.4770 1.2570 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.4350 1.3070 0.4770 1.3490 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.4350 1.4910 0.4770 1.5330 ; + RECT 0.4350 1.3990 0.4770 1.4410 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.8870 0.0300 0.9370 0.3980 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.8910 0.3360 0.9330 0.3780 ; + RECT 0.8910 0.3360 0.9330 0.3780 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8910 0.1520 0.9330 0.1940 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.8910 0.1520 0.9330 0.1940 ; + RECT 0.8910 0.2440 0.9330 0.2860 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4830 1.7730 ; + LAYER M1 ; + RECT 0.1490 0.6030 1.0290 0.6530 ; + RECT 0.1490 0.6080 0.1990 0.9150 ; + RECT 0.2790 0.8650 0.3290 1.5530 ; + RECT 0.1500 0.8650 0.6330 0.9150 ; + RECT 0.5830 0.8890 0.6330 1.5530 ; + RECT 0.5830 0.1210 0.6330 0.6470 ; + LAYER PO ; + RECT 0.9730 0.0720 1.0030 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6030 ; + RECT 0.8210 0.0720 0.8510 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 1.2770 0.0720 1.3070 1.6100 ; + LAYER CO ; + RECT 0.5870 0.4170 0.6290 0.4590 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 0.8150 0.6070 0.8570 0.6490 ; + RECT 0.5870 0.2330 0.6290 0.2750 ; + RECT 0.5870 0.1410 0.6290 0.1830 ; + RECT 0.9670 0.6070 1.0090 0.6490 ; + RECT 0.5870 1.2150 0.6290 1.2570 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + RECT 0.2830 1.2150 0.3250 1.2570 ; + RECT 0.2830 1.3070 0.3250 1.3490 ; + RECT 0.2830 1.4910 0.3250 1.5330 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.5870 0.3250 0.6290 0.3670 ; + END +END AND2X2_HVT + +MACRO AND2X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7370 0.4210 0.7870 ; + RECT 0.2490 0.7870 0.3590 0.8160 ; + RECT 0.2490 0.7050 0.3590 0.7370 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7400 0.4010 0.7820 ; + END + ANTENNAGATEAREA 0.0234 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.7370 0.6630 0.7870 ; + RECT 0.5530 0.7870 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7370 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.7400 0.5530 0.7820 ; + END + ANTENNAGATEAREA 0.0234 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.3980 ; + RECT 0.2790 0.0300 0.3290 0.4800 ; + RECT 0.8870 0.0300 0.9370 0.3980 ; + END + PORT + LAYER CO ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 1.1950 0.2440 1.2370 0.2860 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.1950 0.3360 1.2370 0.3780 ; + RECT 1.1950 0.1520 1.2370 0.1940 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.8910 0.3360 0.9330 0.3780 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8910 0.3360 0.9330 0.3780 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 0.2830 0.1420 0.3250 0.1840 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.2830 0.3260 0.3250 0.3680 ; + RECT 0.2830 0.4180 0.3250 0.4600 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.2830 0.2340 0.3250 0.2760 ; + RECT 0.8910 0.1520 0.9330 0.1940 ; + RECT 0.8910 0.1520 0.9330 0.1940 ; + RECT 0.8910 0.2440 0.9330 0.2860 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.4310 1.1960 0.4810 1.6420 ; + RECT 1.1910 0.8180 1.2410 1.6420 ; + RECT 0.8870 0.8180 0.9370 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 0.4350 1.4920 0.4770 1.5340 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.2160 0.4770 1.2580 ; + RECT 0.4350 1.4000 0.4770 1.4420 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.4350 1.3080 0.4770 1.3500 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + END + END VDD + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4280 0.5530 1.5750 0.6630 ; + RECT 1.3430 0.7590 1.3930 1.5440 ; + RECT 1.0390 0.7590 1.0890 1.5440 ; + RECT 0.7350 0.7590 0.7850 1.5440 ; + RECT 0.7350 0.7090 1.4780 0.7590 ; + RECT 1.3430 0.1320 1.3930 0.4830 ; + RECT 1.0390 0.1300 1.0890 0.4830 ; + RECT 0.7350 0.1300 0.7850 0.4830 ; + RECT 0.7350 0.4830 1.4780 0.5330 ; + RECT 1.4280 0.6630 1.4780 0.7090 ; + RECT 1.4280 0.5330 1.4780 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.3470 0.2440 1.3890 0.2860 ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 1.3470 0.1520 1.3890 0.1940 ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 1.3470 0.4280 1.3890 0.4700 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 0.7390 0.3340 0.7810 0.3760 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 0.7390 1.3900 0.7810 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 0.4260 0.7810 0.4680 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 0.7390 0.1500 0.7810 0.1920 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 0.7390 1.4820 0.7810 1.5240 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 0.7390 1.2980 0.7810 1.3400 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 0.7390 1.1140 0.7810 1.1560 ; + RECT 0.7390 1.2060 0.7810 1.2480 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 0.7390 0.2420 0.7810 0.2840 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 0.7390 0.8380 0.7810 0.8800 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.7390 0.8380 0.7810 0.8800 ; + RECT 0.7390 0.9300 0.7810 0.9720 ; + RECT 0.7390 1.0220 0.7810 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + END + ANTENNADIFFAREA 0.3972 ; + END Y + OBS + LAYER CO ; + RECT 0.2830 1.3080 0.3250 1.3500 ; + RECT 0.2830 1.2160 0.3250 1.2580 ; + RECT 0.5870 1.3080 0.6290 1.3500 ; + RECT 0.5870 1.4000 0.6290 1.4420 ; + RECT 0.2830 1.4920 0.3250 1.5340 ; + RECT 0.5870 1.4920 0.6290 1.5340 ; + RECT 0.5870 1.2160 0.6290 1.2580 ; + RECT 0.2830 1.4000 0.3250 1.4420 ; + RECT 1.2710 0.5950 1.3130 0.6370 ; + RECT 0.5870 0.2340 0.6290 0.2760 ; + RECT 0.5870 0.4180 0.6290 0.4600 ; + RECT 0.5870 0.3260 0.6290 0.3680 ; + RECT 0.8150 0.5950 0.8570 0.6370 ; + RECT 1.1190 0.5950 1.1610 0.6370 ; + RECT 0.5870 0.1420 0.6290 0.1840 ; + RECT 0.9670 0.5950 1.0090 0.6370 ; + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7730 ; + LAYER M1 ; + RECT 0.1490 0.5910 1.3330 0.6410 ; + RECT 0.1490 0.6170 0.1990 0.9170 ; + RECT 0.2790 0.8670 0.3290 1.5540 ; + RECT 0.1490 0.8670 0.6330 0.9170 ; + RECT 0.5830 0.8920 0.6330 1.5540 ; + RECT 0.5830 0.1220 0.6330 0.6410 ; + LAYER PO ; + RECT 0.2130 0.0710 0.2430 1.6040 ; + RECT 0.5170 0.0710 0.5470 1.6040 ; + RECT 0.3650 0.0710 0.3950 1.6040 ; + RECT 0.6690 0.0710 0.6990 1.6040 ; + RECT 0.8210 0.0720 0.8510 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 0.9730 0.0720 1.0030 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6040 ; + END +END AND2X4_HVT + +MACRO AND3X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.368 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7370 0.7250 0.7870 ; + RECT 0.5530 0.7870 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7370 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7420 0.7050 0.7840 ; + END + ANTENNAGATEAREA 0.0204 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0390 0.4800 1.1660 0.5300 ; + RECT 1.0390 0.8150 1.0890 1.5440 ; + RECT 1.0390 0.1210 1.0890 0.4800 ; + RECT 1.1160 0.5300 1.1660 0.7050 ; + RECT 1.1160 0.7050 1.2710 0.7650 ; + RECT 1.0390 0.7650 1.2710 0.8150 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 1.0430 0.4170 1.0850 0.4590 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 1.0430 0.3250 1.0850 0.3670 ; + RECT 1.0430 0.2330 1.0850 0.2750 ; + RECT 1.0430 0.1410 1.0850 0.1830 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0410 0.5730 1.0910 ; + RECT 0.4010 1.0910 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0410 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0450 0.5530 1.0870 ; + END + ANTENNAGATEAREA 0.0204 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.3680 0.0300 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + RECT 0.8870 0.0300 0.9370 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.3680 1.7020 ; + RECT 0.8870 0.8180 0.9370 1.6420 ; + RECT 0.2790 1.2850 0.3290 1.6420 ; + RECT 0.5830 1.2850 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.4910 0.3250 1.5330 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 0.5870 1.3070 0.6290 1.3490 ; + RECT 0.2830 1.3070 0.3250 1.3490 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7370 0.4210 0.7870 ; + RECT 0.2490 0.7050 0.3590 0.7370 ; + RECT 0.2490 0.7870 0.3590 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7420 0.4010 0.7840 ; + END + ANTENNAGATEAREA 0.0204 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.4820 1.7730 ; + LAYER M1 ; + RECT 0.7840 0.6580 1.0480 0.7080 ; + RECT 0.4310 1.1840 0.4810 1.5530 ; + RECT 0.7750 0.5650 0.8250 1.2340 ; + RECT 0.7350 0.1210 0.7850 0.6140 ; + RECT 0.4560 1.1840 0.8090 1.2340 ; + RECT 0.7350 1.1870 0.7850 1.5530 ; + RECT 0.7350 0.5650 0.8080 0.6150 ; + LAYER PO ; + RECT 1.1250 0.0720 1.1550 1.6030 ; + RECT 1.2770 0.0720 1.3070 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + LAYER CO ; + RECT 0.9670 0.6620 1.0090 0.7040 ; + RECT 0.7390 1.4910 0.7810 1.5330 ; + RECT 0.7390 1.3070 0.7810 1.3490 ; + RECT 0.7390 1.3990 0.7810 1.4410 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 0.4350 1.4910 0.4770 1.5330 ; + RECT 0.4350 1.3990 0.4770 1.4410 ; + RECT 0.4350 1.3070 0.4770 1.3490 ; + END +END AND3X1_HVT + +MACRO AND3X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.2780 0.5530 1.4230 0.6630 ; + RECT 1.1910 0.7640 1.2410 1.5440 ; + RECT 0.8870 0.7640 0.9370 1.5440 ; + RECT 0.8870 0.1290 0.9370 0.4790 ; + RECT 0.8870 0.4790 1.3280 0.5290 ; + RECT 1.1910 0.1290 1.2410 0.4790 ; + RECT 0.8870 0.7140 1.3280 0.7640 ; + RECT 1.2780 0.6630 1.3280 0.7140 ; + RECT 1.2780 0.5290 1.3280 0.5530 ; + END + PORT + LAYER CO ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 0.8910 0.3330 0.9330 0.3750 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.3330 1.2370 0.3750 ; + RECT 1.1950 0.4250 1.2370 0.4670 ; + RECT 0.8910 0.1490 0.9330 0.1910 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 0.3330 0.9330 0.3750 ; + RECT 0.8910 0.2410 0.9330 0.2830 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 0.1490 0.9330 0.1910 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 0.4250 0.9330 0.4670 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 1.1950 0.1490 1.2370 0.1910 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 1.1950 0.2410 1.2370 0.2830 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + END + ANTENNADIFFAREA 0.2488 ; + END Y + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0198 ; + END A3 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 1.0390 0.8140 1.0890 1.6420 ; + RECT 0.5830 1.3790 0.6330 1.6420 ; + RECT 0.2790 1.3790 0.3290 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 1.0430 0.8380 1.0850 0.8800 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 0.2830 1.4910 0.3250 1.5330 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + END + END VDD + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.0410 0.0300 1.0910 0.3980 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.1510 1.0850 0.1930 ; + RECT 1.0430 0.2430 1.0850 0.2850 ; + RECT 1.0430 0.3350 1.0850 0.3770 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0198 ; + END A2 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0198 ; + END A1 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6110 1.7730 ; + LAYER M1 ; + RECT 0.7350 0.6140 1.1810 0.6640 ; + RECT 0.4310 1.1870 0.4810 1.5530 ; + RECT 0.7350 1.1870 0.7850 1.5530 ; + RECT 0.4560 1.1870 0.8250 1.2370 ; + RECT 0.7750 0.6140 0.8250 1.2110 ; + RECT 0.7350 0.1210 0.7850 0.6420 ; + LAYER PO ; + RECT 1.1250 0.0710 1.1550 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6030 ; + RECT 0.9730 0.0710 1.0030 1.6040 ; + RECT 1.4290 0.0720 1.4590 1.6030 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + LAYER CO ; + RECT 1.1190 0.6180 1.1610 0.6600 ; + RECT 0.9670 0.6180 1.0090 0.6600 ; + RECT 0.4350 1.4910 0.4770 1.5330 ; + RECT 0.4350 1.3990 0.4770 1.4410 ; + RECT 0.7390 1.3990 0.7810 1.4410 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 0.7390 1.4910 0.7810 1.5330 ; + END +END AND3X2_HVT + +MACRO AND3X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4950 0.1150 1.5450 0.5220 ; + RECT 1.1910 0.1150 1.2410 0.5220 ; + RECT 0.8870 0.5220 1.6660 0.5530 ; + RECT 0.8870 0.1150 0.9370 0.5220 ; + RECT 1.4950 0.7720 1.5450 1.5590 ; + RECT 1.1910 0.7720 1.2410 1.5590 ; + RECT 0.8870 0.7720 0.9370 1.5590 ; + RECT 0.8870 0.7220 1.6660 0.7720 ; + RECT 1.6160 0.6630 1.6660 0.7220 ; + RECT 1.6160 0.5720 1.7360 0.6630 ; + RECT 0.8870 0.5530 1.7360 0.5720 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.2440 1.5410 0.2860 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.1520 1.5410 0.1940 ; + RECT 1.4990 0.3360 1.5410 0.3780 ; + RECT 1.4990 0.4280 1.5410 0.4700 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.2980 1.5410 1.3400 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 1.1140 1.5410 1.1560 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 1.1950 1.2980 1.2370 1.3400 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 1.1950 1.0220 1.2370 1.0640 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 0.8910 1.2060 0.9330 1.2480 ; + RECT 0.8910 1.2980 0.9330 1.3400 ; + RECT 0.8910 1.1140 0.9330 1.1560 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.4990 0.9300 1.5410 0.9720 ; + RECT 1.4990 1.0220 1.5410 1.0640 ; + RECT 1.1950 1.1140 1.2370 1.1560 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.1950 1.3900 1.2370 1.4320 ; + RECT 1.4990 1.3900 1.5410 1.4320 ; + RECT 1.1950 1.4820 1.2370 1.5240 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.4990 1.2060 1.5410 1.2480 ; + RECT 1.4990 1.4820 1.5410 1.5240 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.4990 0.8380 1.5410 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.8380 1.2370 0.8800 ; + RECT 1.1950 0.9300 1.2370 0.9720 ; + RECT 1.1950 1.2060 1.2370 1.2480 ; + RECT 1.1950 0.4260 1.2370 0.4680 ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 1.3900 0.9330 1.4320 ; + RECT 0.8910 0.9300 0.9330 0.9720 ; + RECT 0.8910 1.4820 0.9330 1.5240 ; + RECT 0.8910 1.0220 0.9330 1.0640 ; + RECT 0.8910 0.8380 0.9330 0.8800 ; + RECT 0.8910 0.3340 0.9330 0.3760 ; + RECT 0.8910 0.3340 0.9330 0.3760 ; + RECT 0.8910 0.1500 0.9330 0.1920 ; + RECT 0.8910 0.2420 0.9330 0.2840 ; + RECT 0.8910 0.1500 0.9330 0.1920 ; + RECT 0.8910 0.4260 0.9330 0.4680 ; + END + ANTENNADIFFAREA 0.3972 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0204 ; + END A1 + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0204 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.3430 0.0300 1.3930 0.4130 ; + RECT 1.0390 0.0300 1.0890 0.4130 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.1520 1.3890 0.1940 ; + RECT 1.3470 0.2440 1.3890 0.2860 ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 1.3470 0.3360 1.3890 0.3780 ; + RECT 1.3470 0.1520 1.3890 0.1940 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.0430 0.3360 1.0850 0.3780 ; + RECT 1.0430 0.3360 1.0850 0.3780 ; + RECT 1.0430 0.1520 1.0850 0.1940 ; + RECT 1.0430 0.1520 1.0850 0.1940 ; + RECT 1.0430 0.2440 1.0850 0.2860 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 1.3430 0.9100 1.3930 1.6420 ; + RECT 1.0390 0.9100 1.0890 1.6420 ; + RECT 0.2790 1.3790 0.3290 1.6420 ; + RECT 0.5830 1.3790 0.6330 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.2060 1.0850 1.2480 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.4820 1.0850 1.5240 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 0.5870 1.3990 0.6290 1.4410 ; + RECT 0.5870 1.4910 0.6290 1.5330 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.2830 1.3990 0.3250 1.4410 ; + RECT 0.2830 1.4910 0.3250 1.5330 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.0220 1.0850 1.0640 ; + RECT 1.0430 1.2980 1.0850 1.3400 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 1.1140 1.0850 1.1560 ; + RECT 1.0430 1.3900 1.0850 1.4320 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.0430 0.9300 1.0850 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0204 ; + END A3 + OBS + LAYER CO ; + RECT 0.7390 0.3250 0.7810 0.3670 ; + RECT 0.7390 0.4170 0.7810 0.4590 ; + RECT 1.4230 0.6260 1.4650 0.6680 ; + RECT 0.4350 1.4910 0.4770 1.5330 ; + RECT 0.4350 1.3990 0.4770 1.4410 ; + RECT 0.7390 1.4910 0.7810 1.5330 ; + RECT 0.7390 1.3990 0.7810 1.4410 ; + RECT 0.7390 0.2330 0.7810 0.2750 ; + RECT 0.7390 0.1410 0.7810 0.1830 ; + RECT 1.2710 0.6260 1.3130 0.6680 ; + RECT 0.9670 0.6260 1.0090 0.6680 ; + RECT 1.1190 0.6260 1.1610 0.6680 ; + LAYER NWELL ; + RECT -0.0910 0.6790 1.9150 1.7730 ; + LAYER M1 ; + RECT 0.7840 0.6220 1.4850 0.6720 ; + RECT 0.4310 1.1850 0.4810 1.5530 ; + RECT 0.7800 0.5710 0.8300 1.2100 ; + RECT 0.7350 0.1210 0.7850 0.6140 ; + RECT 0.4560 1.1850 0.8300 1.2350 ; + RECT 0.7350 1.1850 0.7850 1.5530 ; + RECT 0.7350 0.5690 0.8300 0.6190 ; + LAYER PO ; + RECT 0.9730 0.0720 1.0030 1.6040 ; + RECT 1.5810 0.0720 1.6110 1.6030 ; + RECT 1.7330 0.0720 1.7630 1.6030 ; + RECT 1.4290 0.0720 1.4590 1.6040 ; + RECT 1.2770 0.0720 1.3070 1.6040 ; + RECT 1.1250 0.0720 1.1550 1.6040 ; + RECT 0.0610 0.0710 0.0910 1.6030 ; + RECT 0.8210 0.0710 0.8510 1.6030 ; + RECT 0.2130 0.0710 0.2430 1.6030 ; + RECT 0.5170 0.0710 0.5470 1.6030 ; + RECT 0.3650 0.0710 0.3950 1.6030 ; + RECT 0.6690 0.0710 0.6990 1.6030 ; + END +END AND3X4_HVT + +MACRO AND4X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1910 0.1170 1.2410 0.5510 ; + RECT 1.2900 0.6010 1.4330 0.6630 ; + RECT 1.1910 0.5510 1.3150 0.5530 ; + RECT 1.1910 0.5530 1.4330 0.6010 ; + RECT 1.1910 0.8050 1.2410 1.5570 ; + RECT 1.1910 0.7550 1.3400 0.8050 ; + RECT 1.2900 0.6630 1.3400 0.7550 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.1950 0.4260 1.2370 0.4680 ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 1.1950 0.3340 1.2370 0.3760 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.0350 1.2370 1.0770 ; + RECT 1.1950 1.1270 1.2370 1.1690 ; + RECT 1.1950 1.0350 1.2370 1.0770 ; + RECT 1.1950 0.9430 1.2370 0.9850 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.1270 1.2370 1.1690 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 0.8510 1.2370 0.8930 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.0390 0.8770 1.0890 ; + RECT 0.7050 1.0090 0.8150 1.0390 ; + RECT 0.7050 1.0890 0.8150 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.0430 0.8570 1.0850 ; + END + ANTENNAGATEAREA 0.0183 ; + END A1 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0183 ; + END A3 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0183 ; + END A4 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.4880 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.0430 0.3340 1.0850 0.3760 ; + RECT 1.0430 0.4260 1.0850 0.4680 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0183 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 1.0390 0.8310 1.0890 1.6420 ; + RECT 0.7350 1.3820 0.7850 1.6420 ; + RECT 0.4310 1.3820 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.7390 1.4020 0.7810 1.4440 ; + RECT 1.0430 0.8510 1.0850 0.8930 ; + RECT 0.7390 1.4940 0.7810 1.5360 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.0430 1.1270 1.0850 1.1690 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 0.9430 1.0850 0.9850 ; + RECT 1.0430 1.1270 1.0850 1.1690 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.0430 1.0350 1.0850 1.0770 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.0430 1.0350 1.0850 1.0770 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 0.4350 1.4020 0.4770 1.4440 ; + RECT 0.4350 1.4940 0.4770 1.5360 ; + END + END VDD + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7730 ; + LAYER M1 ; + RECT 0.9700 0.6500 1.1810 0.7000 ; + RECT 0.2790 1.2600 0.3290 1.5560 ; + RECT 0.5830 1.2860 0.6330 1.5560 ; + RECT 0.9270 0.6220 0.9770 1.2880 ; + RECT 0.8870 0.6220 0.9700 0.6720 ; + RECT 0.8870 0.1210 0.9370 0.6470 ; + RECT 0.2790 1.2630 0.9770 1.3130 ; + RECT 0.8870 1.2760 0.9370 1.5560 ; + LAYER PO ; + RECT 1.2770 0.0720 1.3070 1.6090 ; + RECT 1.4290 0.0720 1.4590 1.6090 ; + RECT 1.1250 0.0720 1.1550 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + LAYER CO ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.1190 0.6540 1.1610 0.6960 ; + RECT 0.8910 1.4020 0.9330 1.4440 ; + RECT 0.8910 1.4940 0.9330 1.5360 ; + RECT 0.2830 1.4020 0.3250 1.4440 ; + RECT 0.2830 1.4940 0.3250 1.5360 ; + RECT 0.5870 1.4020 0.6290 1.4440 ; + RECT 0.5870 1.4940 0.6290 1.5360 ; + END +END AND4X1_HVT + +MACRO AND4X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.28 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0165 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.2800 0.0300 ; + RECT 1.6470 0.0300 1.6970 0.4860 ; + RECT 1.3430 0.0300 1.3930 0.3020 ; + RECT 1.9510 0.0300 2.0010 0.4860 ; + RECT 1.0390 0.0300 1.0890 0.3040 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + END + PORT + LAYER CO ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.9550 0.4240 1.9970 0.4660 ; + RECT 1.9550 0.3320 1.9970 0.3740 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.9550 0.2400 1.9970 0.2820 ; + RECT 1.9550 0.1480 1.9970 0.1900 ; + RECT 1.9550 0.1480 1.9970 0.1900 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 1.6510 0.1480 1.6930 0.1900 ; + RECT 1.6510 0.1480 1.6930 0.1900 ; + RECT 1.6510 0.3320 1.6930 0.3740 ; + RECT 1.6510 0.4240 1.6930 0.4660 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.3470 0.2400 1.3890 0.2820 ; + RECT 1.3470 0.1480 1.3890 0.1900 ; + RECT 1.6510 0.2400 1.6930 0.2820 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.2800 1.7020 ; + RECT 1.6470 0.8230 1.6970 1.6420 ; + RECT 1.9510 0.9150 2.0010 1.6420 ; + RECT 1.3430 1.0990 1.3930 1.6420 ; + RECT 0.7350 1.3820 0.7850 1.6420 ; + RECT 1.0390 1.1990 1.0890 1.6420 ; + RECT 0.4310 1.3780 0.4810 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.9550 0.9350 1.9970 0.9770 ; + RECT 1.9550 0.9350 1.9970 0.9770 ; + RECT 1.9550 1.1190 1.9970 1.1610 ; + RECT 1.9550 1.0270 1.9970 1.0690 ; + RECT 1.6510 0.8430 1.6930 0.8850 ; + RECT 1.6510 0.8430 1.6930 0.8850 ; + RECT 1.6510 0.9350 1.6930 0.9770 ; + RECT 1.6510 0.9350 1.6930 0.9770 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.6510 1.0270 1.6930 1.0690 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.2110 1.6930 1.2530 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 0.7390 1.4020 0.7810 1.4440 ; + RECT 0.7390 1.4940 0.7810 1.5360 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.3030 1.9970 1.3450 ; + RECT 1.6510 1.1190 1.6930 1.1610 ; + RECT 1.9550 1.4870 1.9970 1.5290 ; + RECT 1.9550 1.3950 1.9970 1.4370 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 0.4350 1.3980 0.4770 1.4400 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 0.4350 1.4900 0.4770 1.5320 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.4870 1.6930 1.5290 ; + RECT 1.6510 1.3030 1.6930 1.3450 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.6510 1.3950 1.6930 1.4370 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.9550 1.2110 1.9970 1.2530 ; + END + END VDD + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0165 ; + END A4 + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0165 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.0390 0.8770 1.0890 ; + RECT 0.7050 1.0090 0.8150 1.0390 ; + RECT 0.7050 1.0890 0.8150 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.0430 0.8570 1.0850 ; + END + ANTENNAGATEAREA 0.0165 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.7990 0.1200 1.8490 0.5400 ; + RECT 1.7990 0.7930 1.8490 1.5640 ; + RECT 1.7990 0.7430 2.0940 0.7930 ; + RECT 2.0440 0.6630 2.0940 0.7430 ; + RECT 2.0440 0.5900 2.1830 0.6630 ; + RECT 1.7990 0.5530 2.1830 0.5900 ; + RECT 1.7990 0.5400 2.0940 0.5530 ; + END + PORT + LAYER CO ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 0.9350 1.8450 0.9770 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.3950 1.8450 1.4370 ; + RECT 1.8030 0.1480 1.8450 0.1900 ; + RECT 1.8030 1.3030 1.8450 1.3450 ; + RECT 1.8030 1.2110 1.8450 1.2530 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.4870 1.8450 1.5290 ; + RECT 1.8030 1.0270 1.8450 1.0690 ; + RECT 1.8030 0.9350 1.8450 0.9770 ; + RECT 1.8030 0.3320 1.8450 0.3740 ; + RECT 1.8030 0.4240 1.8450 0.4660 ; + RECT 1.8030 0.2400 1.8450 0.2820 ; + RECT 1.8030 0.1480 1.8450 0.1900 ; + RECT 1.8030 1.1190 1.8450 1.1610 ; + END + ANTENNADIFFAREA 0.1488 ; + END Y + OBS + LAYER CO ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.1190 0.6600 1.1610 0.7020 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 0.8910 1.4830 0.9330 1.5250 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 0.2830 1.3820 0.3250 1.4240 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 0.2830 1.4740 0.3250 1.5160 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.4230 0.7330 1.4650 0.7750 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.8790 0.6440 1.9210 0.6860 ; + RECT 1.7270 0.6440 1.7690 0.6860 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 0.5870 1.3890 0.6290 1.4310 ; + RECT 0.5870 1.4810 0.6290 1.5230 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.4990 0.2400 1.5410 0.2820 ; + RECT 1.4990 0.1480 1.5410 0.1900 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 0.8910 1.3910 0.9330 1.4330 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.3950 1.7730 ; + LAYER M1 ; + RECT 1.5350 0.6400 1.9410 0.6900 ; + RECT 1.4950 1.0710 1.5450 1.5640 ; + RECT 1.4950 1.0210 1.5850 1.0710 ; + RECT 1.4950 0.1200 1.5450 0.4610 ; + RECT 1.4950 0.4610 1.5850 0.5110 ; + RECT 1.5350 0.5110 1.5850 0.6400 ; + RECT 1.5350 0.6900 1.5850 1.0210 ; + RECT 0.9270 0.6720 1.1810 0.7060 ; + RECT 0.8870 0.6560 1.1810 0.6720 ; + RECT 0.2790 1.3130 0.3290 1.5590 ; + RECT 0.5830 1.3130 0.6330 1.5590 ; + RECT 0.2790 1.2630 0.9770 1.3130 ; + RECT 0.8870 0.1210 0.9370 0.6220 ; + RECT 0.8870 1.3130 0.9370 1.5590 ; + RECT 0.9270 0.7060 0.9770 1.2630 ; + RECT 0.8870 0.6220 0.9770 0.6560 ; + RECT 1.2900 0.7290 1.4850 0.7790 ; + RECT 1.1910 0.9900 1.2410 1.5570 ; + RECT 1.1910 0.9400 1.3400 0.9900 ; + RECT 1.1910 0.1170 1.2410 0.5510 ; + RECT 1.1910 0.5510 1.3150 0.5530 ; + RECT 1.1910 0.5530 1.3400 0.6010 ; + RECT 1.2900 0.7790 1.3400 0.9400 ; + RECT 1.2900 0.6010 1.3400 0.7290 ; + LAYER PO ; + RECT 1.2770 0.0720 1.3070 1.6090 ; + RECT 1.4290 0.0620 1.4590 1.6090 ; + RECT 1.1250 0.0720 1.1550 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 1.7330 0.0620 1.7630 1.6090 ; + RECT 1.8850 0.0620 1.9150 1.6090 ; + RECT 2.1890 0.0620 2.2190 1.6090 ; + RECT 2.0370 0.0620 2.0670 1.6090 ; + RECT 1.5810 0.0620 1.6110 1.6090 ; + END +END AND4X2_HVT + +MACRO AND4X4_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 2.584 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 2.5840 1.7020 ; + RECT 1.3430 0.8230 1.3930 1.6420 ; + RECT 0.4310 1.3770 0.4810 1.6420 ; + RECT 0.7350 1.3770 0.7850 1.6420 ; + RECT 1.0390 1.1990 1.0890 1.6420 ; + RECT 1.7990 1.0080 1.8490 1.6420 ; + RECT 2.1030 1.0080 2.1530 1.6420 ; + END + PORT + LAYER CO ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.3040 2.1490 1.3460 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.1070 1.3040 2.1490 1.3460 ; + RECT 2.1070 1.4880 2.1490 1.5300 ; + RECT 2.1070 1.2120 2.1490 1.2540 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.0430 1.2190 1.0850 1.2610 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.3030 1.3890 1.3450 ; + RECT 1.3470 1.3950 1.3890 1.4370 ; + RECT 1.3470 1.4870 1.3890 1.5290 ; + RECT 1.3470 1.2110 1.3890 1.2530 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 1.8030 1.3960 1.8450 1.4380 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.4350 1.4890 0.4770 1.5310 ; + RECT 0.7390 1.3970 0.7810 1.4390 ; + RECT 2.3350 1.6510 2.3770 1.6930 ; + RECT 0.7390 1.4890 0.7810 1.5310 ; + RECT 2.4870 1.6510 2.5290 1.6930 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.8030 1.2120 1.8450 1.2540 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.8790 1.6510 1.9210 1.6930 ; + RECT 2.1070 1.2120 2.1490 1.2540 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 1.4880 1.8450 1.5300 ; + RECT 1.8030 1.3040 1.8450 1.3460 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.8030 1.2120 1.8450 1.2540 ; + RECT 1.8030 1.3040 1.8450 1.3460 ; + RECT 2.1070 1.3960 2.1490 1.4380 ; + RECT 2.1070 1.1200 2.1490 1.1620 ; + RECT 2.1070 1.1200 2.1490 1.1620 ; + RECT 2.1070 1.0280 2.1490 1.0700 ; + RECT 1.8030 1.1200 1.8450 1.1620 ; + RECT 1.8030 1.1200 1.8450 1.1620 ; + RECT 1.8030 1.0280 1.8450 1.0700 ; + RECT 1.8030 1.0280 1.8450 1.0700 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 2.0310 1.6510 2.0730 1.6930 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 0.9350 1.3890 0.9770 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 1.3470 0.8430 1.3890 0.8850 ; + RECT 1.3470 0.9350 1.3890 0.9770 ; + RECT 1.3470 1.0270 1.3890 1.0690 ; + RECT 1.3470 0.8430 1.3890 0.8850 ; + RECT 1.3470 1.1190 1.3890 1.1610 ; + RECT 2.1830 1.6510 2.2250 1.6930 ; + RECT 0.4350 1.3970 0.4770 1.4390 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.0430 1.4950 1.0850 1.5370 ; + RECT 1.0430 1.3110 1.0850 1.3530 ; + RECT 1.0430 1.4030 1.0850 1.4450 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 0.7350 0.7250 0.7850 ; + RECT 0.5530 0.7050 0.6630 0.7350 ; + RECT 0.5530 0.7850 0.6630 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.7390 0.7050 0.7810 ; + END + ANTENNAGATEAREA 0.0165 ; + END A2 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7350 0.4210 0.7850 ; + RECT 0.2490 0.7850 0.3590 0.8150 ; + RECT 0.2490 0.7050 0.3590 0.7350 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7390 0.4010 0.7810 ; + END + ANTENNAGATEAREA 0.0165 ; + END A4 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.6470 0.1150 1.6970 0.5140 ; + RECT 1.6470 0.8760 1.6970 1.5650 ; + RECT 1.9510 0.1150 2.0010 0.5140 ; + RECT 2.2550 0.1150 2.3050 0.5140 ; + RECT 1.9510 0.8760 2.0010 1.5650 ; + RECT 2.2550 0.8760 2.3050 1.5650 ; + RECT 1.6470 0.8260 2.4100 0.8760 ; + RECT 2.3600 0.6630 2.4100 0.8260 ; + RECT 1.6470 0.5140 2.4100 0.5530 ; + RECT 2.3600 0.5640 2.4970 0.6630 ; + RECT 1.6470 0.5530 2.4970 0.5640 ; + END + PORT + LAYER CO ; + RECT 1.6510 1.4880 1.6930 1.5300 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.6510 1.2120 1.6930 1.2540 ; + RECT 1.6510 1.3040 1.6930 1.3460 ; + RECT 1.6510 0.3340 1.6930 0.3760 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 1.9550 1.1200 1.9970 1.1620 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.9550 0.4260 1.9970 0.4680 ; + RECT 1.9550 0.3340 1.9970 0.3760 ; + RECT 1.6510 0.3340 1.6930 0.3760 ; + RECT 1.6510 0.1500 1.6930 0.1920 ; + RECT 1.6510 0.2420 1.6930 0.2840 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.6510 0.1500 1.6930 0.1920 ; + RECT 1.6510 0.4260 1.6930 0.4680 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.2120 2.3010 1.2540 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.6510 1.3960 1.6930 1.4380 ; + RECT 1.9550 1.2120 1.9970 1.2540 ; + RECT 1.9550 1.2120 1.9970 1.2540 ; + RECT 1.9550 1.3040 1.9970 1.3460 ; + RECT 1.9550 1.3040 1.9970 1.3460 ; + RECT 1.9550 1.3960 1.9970 1.4380 ; + RECT 1.9550 1.4880 1.9970 1.5300 ; + RECT 2.2590 1.4880 2.3010 1.5300 ; + RECT 2.2590 1.3960 2.3010 1.4380 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 2.2590 1.3040 2.3010 1.3460 ; + RECT 1.9550 1.1200 1.9970 1.1620 ; + RECT 1.9550 0.9360 1.9970 0.9780 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 1.9550 1.0280 1.9970 1.0700 ; + RECT 2.2590 1.0280 2.3010 1.0700 ; + RECT 2.2590 1.1200 2.3010 1.1620 ; + RECT 2.2590 0.9360 2.3010 0.9780 ; + RECT 2.2590 0.9360 2.3010 0.9780 ; + RECT 1.9550 0.9360 1.9970 0.9780 ; + RECT 1.9550 1.0280 1.9970 1.0700 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.6510 1.1200 1.6930 1.1620 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.6510 1.0280 1.6930 1.0700 ; + RECT 1.6510 0.9360 1.6930 0.9780 ; + RECT 1.9550 0.1500 1.9970 0.1920 ; + RECT 1.9550 0.2420 1.9970 0.2840 ; + RECT 2.2590 0.4280 2.3010 0.4700 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.1520 2.3010 0.1940 ; + RECT 2.2590 0.3360 2.3010 0.3780 ; + RECT 2.2590 0.2440 2.3010 0.2860 ; + END + ANTENNADIFFAREA 0.3972 ; + END Y + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 2.5840 0.0300 ; + RECT 1.0390 0.0300 1.0890 0.3040 ; + RECT 1.7990 0.0300 1.8490 0.4130 ; + RECT 0.2790 0.0300 0.3290 0.4790 ; + RECT 1.3430 0.0300 1.3930 0.4800 ; + RECT 2.1030 0.0300 2.1530 0.4130 ; + END + PORT + LAYER CO ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 2.0310 -0.0210 2.0730 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + RECT 0.2830 0.2330 0.3250 0.2750 ; + RECT 0.2830 0.3250 0.3250 0.3670 ; + RECT 0.2830 0.4170 0.3250 0.4590 ; + RECT 0.2830 0.1410 0.3250 0.1830 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.8030 0.3360 1.8450 0.3780 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.0430 0.2420 1.0850 0.2840 ; + RECT 1.3470 0.1420 1.3890 0.1840 ; + RECT 1.3470 0.2340 1.3890 0.2760 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 1.8030 0.1520 1.8450 0.1940 ; + RECT 1.8030 0.2440 1.8450 0.2860 ; + RECT 1.0430 0.1500 1.0850 0.1920 ; + RECT 1.8790 -0.0210 1.9210 0.0210 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.3360 2.1490 0.3780 ; + RECT 2.1070 0.2440 2.1490 0.2860 ; + RECT 2.1070 0.1520 2.1490 0.1940 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 1.3470 0.1420 1.3890 0.1840 ; + RECT 2.4870 -0.0210 2.5290 0.0210 ; + RECT 1.3470 0.4180 1.3890 0.4600 ; + RECT 1.3470 0.3260 1.3890 0.3680 ; + RECT 1.3470 0.3260 1.3890 0.3680 ; + RECT 2.1830 -0.0210 2.2250 0.0210 ; + RECT 2.3350 -0.0210 2.3770 0.0210 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 1.0390 0.5730 1.0890 ; + RECT 0.4010 1.0890 0.5110 1.1190 ; + RECT 0.4010 1.0090 0.5110 1.0390 ; + END + PORT + LAYER CO ; + RECT 0.5110 1.0430 0.5530 1.0850 ; + END + ANTENNAGATEAREA 0.0165 ; + END A3 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 1.0390 0.8770 1.0890 ; + RECT 0.7050 1.0090 0.8150 1.0390 ; + RECT 0.7050 1.0890 0.8150 1.1190 ; + END + PORT + LAYER CO ; + RECT 0.8150 1.0430 0.8570 1.0850 ; + END + ANTENNAGATEAREA 0.0165 ; + END A1 + OBS + LAYER CO ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + RECT 1.1950 1.3110 1.2370 1.3530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.3950 1.5410 1.4370 ; + RECT 1.4990 1.3030 1.5410 1.3450 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 1.4990 1.2110 1.5410 1.2530 ; + RECT 1.4990 1.4870 1.5410 1.5290 ; + RECT 0.8910 0.4170 0.9330 0.4590 ; + RECT 0.8910 0.3250 0.9330 0.3670 ; + RECT 0.8910 0.2330 0.9330 0.2750 ; + RECT 0.8910 0.1410 0.9330 0.1830 ; + RECT 1.4230 0.6030 1.4650 0.6450 ; + RECT 1.7270 0.6460 1.7690 0.6880 ; + RECT 1.8790 0.6460 1.9210 0.6880 ; + RECT 2.1830 0.6460 2.2250 0.6880 ; + RECT 1.1190 0.6600 1.1610 0.7020 ; + RECT 0.5870 1.3970 0.6290 1.4390 ; + RECT 0.5870 1.4890 0.6290 1.5310 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 1.1950 0.2420 1.2370 0.2840 ; + RECT 1.1950 0.1500 1.2370 0.1920 ; + RECT 2.0310 0.6460 2.0730 0.6880 ; + RECT 1.4990 0.1420 1.5410 0.1840 ; + RECT 1.4990 0.1420 1.5410 0.1840 ; + RECT 1.4990 0.2340 1.5410 0.2760 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 1.4990 0.3260 1.5410 0.3680 ; + RECT 1.4990 0.3260 1.5410 0.3680 ; + RECT 1.4990 0.4180 1.5410 0.4600 ; + RECT 1.4990 0.9350 1.5410 0.9770 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 0.8430 1.5410 0.8850 ; + RECT 1.4990 0.9350 1.5410 0.9770 ; + RECT 1.4990 1.0270 1.5410 1.0690 ; + RECT 1.4990 0.8430 1.5410 0.8850 ; + RECT 1.4990 1.1190 1.5410 1.1610 ; + RECT 0.2830 1.4890 0.3250 1.5310 ; + RECT 0.2830 1.3970 0.3250 1.4390 ; + RECT 0.8910 1.4890 0.9330 1.5310 ; + RECT 0.8910 1.3970 0.9330 1.4390 ; + RECT 1.1950 1.2190 1.2370 1.2610 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.4030 1.2370 1.4450 ; + RECT 1.1950 1.4950 1.2370 1.5370 ; + LAYER NWELL ; + RECT -0.1150 0.6790 2.6990 1.7730 ; + LAYER M1 ; + RECT 0.8870 0.6560 1.1810 0.6720 ; + RECT 0.9270 0.6720 1.1810 0.7060 ; + RECT 0.2790 1.3130 0.3290 1.5590 ; + RECT 0.5830 1.3130 0.6330 1.5590 ; + RECT 0.2790 1.2630 0.9770 1.3130 ; + RECT 0.8870 0.1210 0.9370 0.6220 ; + RECT 0.8870 1.3130 0.9370 1.5590 ; + RECT 0.9270 0.7060 0.9770 1.2630 ; + RECT 0.8870 0.6220 0.9770 0.6560 ; + RECT 1.5350 0.6420 2.2450 0.6920 ; + RECT 1.4950 0.4550 1.5850 0.5050 ; + RECT 1.4950 0.1140 1.5450 0.4550 ; + RECT 1.4950 0.7480 1.5450 1.5640 ; + RECT 1.4950 0.6980 1.5850 0.7480 ; + RECT 1.5350 0.6920 1.5850 0.6980 ; + RECT 1.5350 0.5050 1.5850 0.6420 ; + RECT 1.2310 0.6010 1.4850 0.6490 ; + RECT 1.1910 0.5990 1.4850 0.6010 ; + RECT 1.1910 0.1170 1.2410 0.5510 ; + RECT 1.1910 0.5510 1.2810 0.5990 ; + RECT 1.1910 0.9220 1.2410 1.5570 ; + RECT 1.1910 0.8720 1.2810 0.9220 ; + RECT 1.2310 0.6490 1.2810 0.8720 ; + LAYER PO ; + RECT 0.0610 0.0710 0.0910 1.6090 ; + RECT 0.8210 0.0710 0.8510 1.6090 ; + RECT 0.2130 0.0710 0.2430 1.6090 ; + RECT 0.5170 0.0710 0.5470 1.6090 ; + RECT 0.3650 0.0710 0.3950 1.6090 ; + RECT 0.6690 0.0710 0.6990 1.6090 ; + RECT 0.9730 0.0710 1.0030 1.6090 ; + RECT 1.1250 0.0720 1.1550 1.6090 ; + RECT 1.4290 0.0640 1.4590 1.6170 ; + RECT 1.2770 0.0720 1.3070 1.6090 ; + RECT 1.5810 0.0640 1.6110 1.6080 ; + RECT 1.8850 0.0720 1.9150 1.6100 ; + RECT 2.0370 0.0720 2.0670 1.6100 ; + RECT 2.1890 0.0720 2.2190 1.6100 ; + RECT 2.4930 0.0720 2.5230 1.6100 ; + RECT 2.3410 0.0720 2.3710 1.6100 ; + RECT 1.7330 0.0720 1.7630 1.6100 ; + END +END AND4X4_HVT + +MACRO ANTENNA_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 0.456 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 0.4560 1.7020 ; + END + PORT + LAYER CO ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + END + END VDD + + PIN INP + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2000 0.8150 0.2560 1.1510 ; + RECT 0.2000 0.2950 0.2560 0.7050 ; + RECT 0.0970 0.7050 0.2560 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.2070 1.0630 0.2490 1.1050 ; + RECT 0.2070 0.9250 0.2490 0.9670 ; + RECT 0.2070 0.4920 0.2490 0.5340 ; + RECT 0.2070 0.3540 0.2490 0.3960 ; + END + ANTENNADIFFAREA 0.0805 ; + ANTENNAGATEAREA 0.0805 ; + END INP + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 0.4560 0.0300 ; + END + PORT + LAYER CO ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + END + END VSS + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 0.5710 1.7730 ; + END +END ANTENNA_HVT + +MACRO AO21X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.52 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.5200 1.7020 ; + RECT 0.5820 1.2050 0.6320 1.6420 ; + RECT 0.2790 1.1130 0.3290 1.6420 ; + RECT 1.0390 0.8280 1.0890 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.0430 1.4920 1.0850 1.5340 ; + RECT 0.5870 1.3170 0.6290 1.3590 ; + RECT 1.0430 0.8480 1.0850 0.8900 ; + RECT 1.0430 1.2160 1.0850 1.2580 ; + RECT 0.5870 1.4090 0.6290 1.4510 ; + RECT 0.2830 1.4090 0.3250 1.4510 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.0430 0.9400 1.0850 0.9820 ; + RECT 1.0430 1.1240 1.0850 1.1660 ; + RECT 0.5870 1.2250 0.6290 1.2670 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 0.2830 1.2250 0.3250 1.2670 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 0.2830 1.3170 0.3250 1.3590 ; + RECT 1.0430 1.0320 1.0850 1.0740 ; + RECT 1.0430 1.4000 1.0850 1.4420 ; + RECT 1.0430 1.3080 1.0850 1.3500 ; + RECT 0.2830 1.1330 0.3250 1.1750 ; + END + END VDD + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4920 0.8570 0.6630 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8900 0.5530 0.9320 ; + END + ANTENNAGATEAREA 0.0243 ; + END A1 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.1610 1.1610 1.2710 1.2710 ; + RECT 1.1910 1.2710 1.2410 1.5540 ; + RECT 1.1910 0.8550 1.2410 1.1610 ; + RECT 1.1910 0.8050 1.2810 0.8550 ; + RECT 1.1910 0.4420 1.2810 0.4920 ; + RECT 1.1910 0.1340 1.2410 0.4420 ; + RECT 1.2310 0.4920 1.2810 0.8050 ; + END + PORT + LAYER CO ; + RECT 1.1950 0.4300 1.2370 0.4720 ; + RECT 1.1950 0.2460 1.2370 0.2880 ; + RECT 1.1950 1.4920 1.2370 1.5340 ; + RECT 1.1950 0.3380 1.2370 0.3800 ; + RECT 1.1950 0.9400 1.2370 0.9820 ; + RECT 1.1950 1.2160 1.2370 1.2580 ; + RECT 1.1950 1.4000 1.2370 1.4420 ; + RECT 1.1950 0.8480 1.2370 0.8900 ; + RECT 1.1950 1.3080 1.2370 1.3500 ; + RECT 1.1950 1.0320 1.2370 1.0740 ; + RECT 1.1950 1.1240 1.2370 1.1660 ; + RECT 1.1950 0.1540 1.2370 0.1960 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2490 0.7050 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7250 0.4010 0.7670 ; + END + ANTENNAGATEAREA 0.0243 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.5200 0.0300 ; + RECT 1.0400 0.0300 1.0900 0.4960 ; + RECT 0.7350 0.3380 0.7850 0.5620 ; + RECT 0.2790 0.3380 0.3290 0.5620 ; + RECT 0.2790 0.2880 0.7850 0.3380 ; + RECT 0.2790 0.0300 0.3290 0.2880 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.4080 0.7810 0.4500 ; + RECT 1.0430 0.3420 1.0850 0.3840 ; + RECT 0.2830 0.5000 0.3250 0.5420 ; + RECT 1.0430 0.4340 1.0850 0.4760 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 1.0430 0.2500 1.0850 0.2920 ; + RECT 1.0430 0.1580 1.0850 0.2000 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.2830 0.4080 0.3250 0.4500 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.7390 0.5000 0.7810 0.5420 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8770 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1170 0.8570 0.1590 ; + END + ANTENNAGATEAREA 0.0225 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.6350 1.7870 ; + LAYER M1 ; + RECT 0.5830 0.6200 1.1810 0.6700 ; + RECT 0.5830 0.3880 0.6330 0.6200 ; + RECT 0.8870 0.6700 0.9370 1.5680 ; + RECT 0.8870 0.3880 0.9370 0.6200 ; + RECT 0.7350 1.1200 0.7850 1.5630 ; + RECT 0.4310 1.0700 0.7850 1.1200 ; + RECT 0.4310 1.1200 0.4810 1.5680 ; + LAYER PO ; + RECT 1.2770 0.0630 1.3070 1.6040 ; + RECT 0.2130 0.0640 0.2430 1.6130 ; + RECT 1.1250 0.0640 1.1550 1.6040 ; + RECT 0.8210 0.0590 0.8510 1.6130 ; + RECT 0.9730 0.0640 1.0030 1.6130 ; + RECT 0.6690 0.0640 0.6990 1.6130 ; + RECT 0.0610 0.0640 0.0910 1.6130 ; + RECT 1.4290 0.0630 1.4590 1.6040 ; + RECT 0.3650 0.0590 0.3950 1.6130 ; + RECT 0.5170 0.0640 0.5470 1.6130 ; + LAYER CO ; + RECT 1.1190 0.6240 1.1610 0.6660 ; + RECT 0.7390 1.1330 0.7810 1.1750 ; + RECT 0.8910 1.2250 0.9330 1.2670 ; + RECT 0.4350 1.3170 0.4770 1.3590 ; + RECT 0.4350 1.4090 0.4770 1.4510 ; + RECT 0.8910 0.4080 0.9330 0.4500 ; + RECT 0.8910 1.3170 0.9330 1.3590 ; + RECT 0.4350 1.2250 0.4770 1.2670 ; + RECT 0.8910 1.1330 0.9330 1.1750 ; + RECT 0.7390 1.2250 0.7810 1.2670 ; + RECT 0.5870 0.5000 0.6290 0.5420 ; + RECT 0.8910 1.4090 0.9330 1.4510 ; + RECT 0.7390 1.3170 0.7810 1.3590 ; + RECT 0.8910 0.5000 0.9330 0.5420 ; + RECT 0.5870 0.4080 0.6290 0.4500 ; + RECT 0.7390 1.4090 0.7810 1.4510 ; + RECT 0.4350 1.1330 0.4770 1.1750 ; + RECT 0.8910 1.0410 0.9330 1.0830 ; + END +END AO21X1_HVT + +MACRO AO21X2_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.672 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.3120 1.0090 1.4230 1.1190 ; + RECT 1.3440 1.1190 1.3940 1.5440 ; + RECT 1.3440 0.7000 1.4320 0.7500 ; + RECT 1.3440 0.7500 1.3940 1.0090 ; + RECT 1.3820 0.5180 1.4320 0.7000 ; + RECT 1.3440 0.1600 1.3940 0.4680 ; + RECT 1.0390 0.1600 1.0890 0.4680 ; + RECT 1.0100 0.7440 1.0890 0.7940 ; + RECT 1.0390 0.7940 1.0890 1.1020 ; + RECT 1.0100 0.4680 1.4320 0.5180 ; + RECT 1.0100 0.5180 1.0600 0.7440 ; + END + PORT + LAYER CO ; + RECT 1.0430 0.4560 1.0850 0.4980 ; + RECT 1.0430 0.7640 1.0850 0.8060 ; + RECT 1.0430 0.8560 1.0850 0.8980 ; + RECT 1.3470 0.8380 1.3890 0.8800 ; + RECT 1.3470 0.9300 1.3890 0.9720 ; + RECT 1.3470 0.4560 1.3890 0.4980 ; + RECT 1.3470 0.3640 1.3890 0.4060 ; + RECT 1.3470 1.0220 1.3890 1.0640 ; + RECT 1.3470 1.4820 1.3890 1.5240 ; + RECT 1.3470 0.2720 1.3890 0.3140 ; + RECT 1.3470 1.3900 1.3890 1.4320 ; + RECT 1.3470 1.2980 1.3890 1.3400 ; + RECT 1.0430 0.3640 1.0850 0.4060 ; + RECT 1.0430 0.2720 1.0850 0.3140 ; + RECT 1.3470 0.1800 1.3890 0.2220 ; + RECT 1.3470 1.2060 1.3890 1.2480 ; + RECT 1.0430 0.9480 1.0850 0.9900 ; + RECT 1.0430 0.1800 1.0850 0.2220 ; + RECT 1.3470 1.1140 1.3890 1.1560 ; + RECT 1.0430 1.0400 1.0850 1.0820 ; + END + ANTENNADIFFAREA 0.2484 ; + END Y + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4910 0.8570 0.6650 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.8970 0.5530 0.9390 ; + END + ANTENNAGATEAREA 0.0243 ; + END A1 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.6720 0.0300 ; + RECT 1.1910 0.0300 1.2410 0.3970 ; + RECT 0.7350 0.3450 0.7850 0.5690 ; + RECT 0.2790 0.3450 0.3290 0.5690 ; + RECT 0.2790 0.2950 0.7850 0.3450 ; + RECT 0.2790 0.0300 0.3290 0.2950 ; + END + PORT + LAYER CO ; + RECT 0.7390 0.4150 0.7810 0.4570 ; + RECT 1.1950 0.3350 1.2370 0.3770 ; + RECT 1.1950 0.2430 1.2370 0.2850 ; + RECT 0.2830 0.5070 0.3250 0.5490 ; + RECT 0.2830 0.4150 0.3250 0.4570 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.1950 0.1510 1.2370 0.1930 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 0.7390 0.5070 0.7810 0.5490 ; + END + END VSS + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 0.7050 0.4210 0.8150 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.7320 0.4010 0.7740 ; + END + ANTENNAGATEAREA 0.0243 ; + END A2 + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.6720 1.7020 ; + RECT 0.5830 1.2120 0.6330 1.6420 ; + RECT 0.2790 1.1200 0.3290 1.6420 ; + RECT 1.1910 1.2880 1.2410 1.6420 ; + END + PORT + LAYER CO ; + RECT 0.2830 1.1400 0.3250 1.1820 ; + RECT 0.2830 1.3240 0.3250 1.3660 ; + RECT 0.5870 1.3240 0.6290 1.3660 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 1.1950 1.3080 1.2370 1.3500 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 1.1950 1.4000 1.2370 1.4420 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.1950 1.4920 1.2370 1.5340 ; + RECT 0.2830 1.2320 0.3250 1.2740 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 0.5870 1.4160 0.6290 1.4580 ; + RECT 0.5870 1.2320 0.6290 1.2740 ; + RECT 0.2830 1.4160 0.3250 1.4580 ; + END + END VDD + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7040 0.0970 0.8770 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1240 0.8570 0.1660 ; + END + ANTENNAGATEAREA 0.0225 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.7870 1.7860 ; + LAYER M1 ; + RECT 1.1150 0.5680 1.3170 0.6500 ; + RECT 1.1980 0.6500 1.2480 1.1520 ; + RECT 0.8870 1.1520 1.2480 1.2020 ; + RECT 0.5830 0.3950 0.6330 0.6510 ; + RECT 0.8870 1.2020 0.9370 1.5750 ; + RECT 0.5830 0.6510 0.9370 0.7010 ; + RECT 0.8870 0.7010 0.9370 1.1520 ; + RECT 0.8870 0.3950 0.9370 0.6510 ; + RECT 0.7350 1.1270 0.7850 1.5700 ; + RECT 0.4310 1.0770 0.7850 1.1270 ; + RECT 0.4310 1.1270 0.4810 1.5750 ; + LAYER PO ; + RECT 1.4290 0.0560 1.4590 1.5970 ; + RECT 0.5170 0.0710 0.5470 1.6200 ; + RECT 1.5810 0.0560 1.6110 1.5970 ; + RECT 0.0610 0.0640 0.0910 1.6130 ; + RECT 0.6690 0.0710 0.6990 1.6200 ; + RECT 0.3650 0.0660 0.3950 1.6200 ; + RECT 0.8210 0.0660 0.8510 1.6200 ; + RECT 1.2770 0.0520 1.3070 1.6040 ; + RECT 0.9730 0.0640 1.0030 1.6130 ; + RECT 1.1250 0.0640 1.1550 1.6040 ; + RECT 0.2130 0.0640 0.2430 1.6130 ; + LAYER CO ; + RECT 0.8910 0.5070 0.9330 0.5490 ; + RECT 1.2710 0.5880 1.3130 0.6300 ; + RECT 0.4350 1.1400 0.4770 1.1820 ; + RECT 0.7390 1.1400 0.7810 1.1820 ; + RECT 0.7390 1.2320 0.7810 1.2740 ; + RECT 0.8910 1.1400 0.9330 1.1820 ; + RECT 0.8910 1.2320 0.9330 1.2740 ; + RECT 0.8910 0.4150 0.9330 0.4570 ; + RECT 0.8910 1.4160 0.9330 1.4580 ; + RECT 0.8910 1.3240 0.9330 1.3660 ; + RECT 0.8910 1.0480 0.9330 1.0900 ; + RECT 0.7390 1.4160 0.7810 1.4580 ; + RECT 0.5870 0.5070 0.6290 0.5490 ; + RECT 0.5870 0.4150 0.6290 0.4570 ; + RECT 0.4350 1.2320 0.4770 1.2740 ; + RECT 1.1190 0.5880 1.1610 0.6300 ; + RECT 0.7390 1.3240 0.7810 1.3660 ; + RECT 0.4350 1.3240 0.4770 1.3660 ; + RECT 0.4350 1.4160 0.4770 1.4580 ; + END +END AO21X2_HVT + +MACRO AO221X1_HVT + CLASS CORE ; + SOURCE USER ; + ORIGIN 0 0 ; + SIZE 1.824 BY 1.672 ; + SYMMETRY X Y R90 ; + SITE unit ; + + PIN A5 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.0090 0.7730 1.1810 0.8230 ; + RECT 1.0090 0.7050 1.1190 0.7730 ; + END + PORT + LAYER CO ; + RECT 1.1190 0.7770 1.1610 0.8190 ; + END + ANTENNAGATEAREA 0.021 ; + END A5 + + PIN A1 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.2480 0.8570 0.4240 0.9670 ; + END + PORT + LAYER CO ; + RECT 0.3590 0.8660 0.4010 0.9080 ; + END + ANTENNAGATEAREA 0.0249 ; + END A1 + + PIN A4 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.5530 1.0090 0.6630 1.1190 ; + RECT 0.6130 0.8540 0.7250 0.9040 ; + RECT 0.6130 0.9040 0.6630 1.0090 ; + END + PORT + LAYER CO ; + RECT 0.6630 0.8580 0.7050 0.9000 ; + END + ANTENNAGATEAREA 0.0249 ; + END A4 + + PIN Y + DIRECTION OUTPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 1.4650 1.1610 1.5750 1.2710 ; + RECT 1.4950 1.2710 1.5450 1.5540 ; + RECT 1.4950 0.1460 1.5450 0.4530 ; + RECT 1.4950 0.8640 1.5850 0.8650 ; + RECT 1.4950 0.8650 1.5450 1.1610 ; + RECT 1.5450 0.5040 1.5950 0.8030 ; + RECT 1.4950 0.4530 1.5950 0.5040 ; + RECT 1.4950 0.8030 1.5950 0.8640 ; + END + PORT + LAYER CO ; + RECT 1.4990 0.4420 1.5410 0.4840 ; + RECT 1.4990 1.4920 1.5410 1.5340 ; + RECT 1.4990 1.1240 1.5410 1.1660 ; + RECT 1.4990 0.9400 1.5410 0.9820 ; + RECT 1.4990 1.0320 1.5410 1.0740 ; + RECT 1.4990 0.8480 1.5410 0.8900 ; + RECT 1.4990 0.1660 1.5410 0.2080 ; + RECT 1.4990 0.3500 1.5410 0.3920 ; + RECT 1.4990 0.2580 1.5410 0.3000 ; + RECT 1.4990 1.2160 1.5410 1.2580 ; + RECT 1.4990 1.4000 1.5410 1.4420 ; + RECT 1.4990 1.3080 1.5410 1.3500 ; + END + ANTENNADIFFAREA 0.1244 ; + END Y + + PIN VDD + DIRECTION INOUT ; + USE POWER ; + PORT + LAYER M1 ; + RECT 0.0000 1.6420 1.8240 1.7020 ; + RECT 0.4310 1.3740 0.4810 1.6420 ; + RECT 1.3420 0.7350 1.3920 1.6420 ; + END + PORT + LAYER CO ; + RECT 1.3470 1.4920 1.3890 1.5340 ; + RECT 0.4350 1.4860 0.4770 1.5280 ; + RECT 0.4350 1.3940 0.4770 1.4360 ; + RECT 1.3470 1.2160 1.3890 1.2580 ; + RECT 0.0550 1.6510 0.0970 1.6930 ; + RECT 0.2070 1.6510 0.2490 1.6930 ; + RECT 1.3470 1.1240 1.3890 1.1660 ; + RECT 0.3590 1.6510 0.4010 1.6930 ; + RECT 0.5110 1.6510 0.5530 1.6930 ; + RECT 0.6630 1.6510 0.7050 1.6930 ; + RECT 1.3470 0.9400 1.3890 0.9820 ; + RECT 0.8150 1.6510 0.8570 1.6930 ; + RECT 0.9670 1.6510 1.0090 1.6930 ; + RECT 1.1190 1.6510 1.1610 1.6930 ; + RECT 1.3470 1.0320 1.3890 1.0740 ; + RECT 1.2710 1.6510 1.3130 1.6930 ; + RECT 1.3470 0.8480 1.3890 0.8900 ; + RECT 1.4230 1.6510 1.4650 1.6930 ; + RECT 1.5750 1.6510 1.6170 1.6930 ; + RECT 1.7270 1.6510 1.7690 1.6930 ; + RECT 1.3470 1.4000 1.3890 1.4420 ; + RECT 1.3470 1.3080 1.3890 1.3500 ; + RECT 1.3470 0.7560 1.3890 0.7980 ; + END + END VDD + + PIN A2 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.4010 0.5530 0.5730 0.6630 ; + END + PORT + LAYER CO ; + RECT 0.5110 0.6070 0.5530 0.6490 ; + END + ANTENNAGATEAREA 0.0249 ; + END A2 + + PIN VSS + DIRECTION INOUT ; + USE GROUND ; + PORT + LAYER M1 ; + RECT 0.0000 -0.0300 1.8240 0.0300 ; + RECT 1.0400 0.0300 1.0900 0.3660 ; + RECT 0.5830 0.0300 0.6330 0.4710 ; + RECT 1.3430 0.0300 1.3930 0.4840 ; + END + PORT + LAYER CO ; + RECT 1.3470 0.3300 1.3890 0.3720 ; + RECT 0.0550 -0.0210 0.0970 0.0210 ; + RECT 1.0430 0.3040 1.0850 0.3460 ; + RECT 0.2070 -0.0210 0.2490 0.0210 ; + RECT 0.3590 -0.0210 0.4010 0.0210 ; + RECT 0.5110 -0.0210 0.5530 0.0210 ; + RECT 0.6630 -0.0210 0.7050 0.0210 ; + RECT 0.8150 -0.0210 0.8570 0.0210 ; + RECT 0.9670 -0.0210 1.0090 0.0210 ; + RECT 0.5870 0.4090 0.6290 0.4510 ; + RECT 1.3470 0.4220 1.3890 0.4640 ; + RECT 0.5870 0.3170 0.6290 0.3590 ; + RECT 1.1190 -0.0210 1.1610 0.0210 ; + RECT 1.2710 -0.0210 1.3130 0.0210 ; + RECT 1.3470 0.2380 1.3890 0.2800 ; + RECT 1.3470 0.1460 1.3890 0.1880 ; + RECT 1.4230 -0.0210 1.4650 0.0210 ; + RECT 1.5750 -0.0210 1.6170 0.0210 ; + RECT 1.7270 -0.0210 1.7690 0.0210 ; + END + END VSS + + PIN A3 + DIRECTION INPUT ; + USE SIGNAL ; + PORT + LAYER M1 ; + RECT 0.7050 0.0970 0.8630 0.2070 ; + END + PORT + LAYER CO ; + RECT 0.8150 0.1320 0.8570 0.1740 ; + END + ANTENNAGATEAREA 0.0249 ; + END A3 + OBS + LAYER NWELL ; + RECT -0.1150 0.6790 1.9390 1.7870 ; + LAYER M1 ; + RECT 1.1910 0.6350 1.4850 0.6850 ; + RECT 0.2790 0.2960 0.3290 0.7280 ; + RECT 0.2790 0.7280 0.9370 0.7780 ; + RECT 0.8870 0.7780 0.9370 0.9080 ; + RECT 0.8870 0.5600 0.9370 0.7280 ; + RECT 0.8870 0.3210 0.9370 0.5100 ; + RECT 1.1910 0.9580 1.2410 1.5710 ; + RECT 0.8870 0.9080 1.2410 0.9580 ; + RECT 1.1910 0.5600 1.2410 0.6350 ; + RECT 1.1910 0.2660 1.2410 0.5100 ; + RECT 0.8870 0.5100 1.2410 0.5600 ; + RECT 0.8870 1.3240 0.9370 1.5570 ; + RECT 0.5830 1.3240 0.6330 1.5570 ; + RECT 0.2790 1.2740 0.9370 1.3240 ; + RECT 0.2790 1.3240 0.3290 1.5570 ; + RECT 1.0390 1.0710 1.0890 1.5710 ; + RECT 0.7350 1.0210 1.0890 1.0710 ; + RECT 0.7350 1.0710 0.7850 1.2240 ; + LAYER PO ; + RECT 1.2770 0.0750 1.3070 1.6160 ; + RECT 1.5810 0.0750 1.6110 1.6160 ; + RECT 1.1250 0.0760 1.1550 1.6210 ; + RECT 0.2130 0.0720 0.2430 1.6210 ; + RECT 0.8210 0.0720 0.8510 1.6210 ; + RECT 1.4290 0.0760 1.4590 1.6160 ; + RECT 0.9730 0.0760 1.0030 1.6210 ; + RECT 0.0610 0.0720 0.0910 1.6210 ; + RECT 1.7330 0.0750 1.7630 1.6160 ; + RECT 0.3650 0.0670 0.3950 1.6210 ; + RECT 0.5170 0.0720 0.5470 1.6210 ; + RECT 0.6690 0.0720 0.6990 1.6210 ; + LAYER CO ; + RECT 0.8910 0.3410 0.9330 0.3830 ; + RECT 0.8910 1.4030 0.9330 1.4450 ; + RECT 1.4230 0.6390 1.4650 0.6810 ; + RECT 0.8910 0.4330 0.9330 0.4750 ; + RECT 0.2830 0.4080 0.3250 0.4500 ; + RECT 0.5870 1.3110 0.6290 1.3530 ; + RECT 0.5870 1.4030 0.6290 1.4450 ; + RECT 0.7390 1.0700 0.7810 1.1120 ; + RECT 0.7390 1.1620 0.7810 1.2040 ; + RECT 0.2830 1.4950 0.3250 1.5370 ; + RECT 0.2830 1.4030 0.3250 1.4450 ; + RECT 0.8910 1.3110 0.9330 1.3530 ; + RECT 0.2830 1.3110 0.3250 1.3530 ; + RECT 0.5870 1.4950 0.6290 1.5370 ; + RECT 1.0430 1.2140 1.0850 1.2560 ; + RECT 1.0430 1.3980 1.0850 1.4400 ; + RECT 1.0430 1.3060 1.0850 1.3480 ; + RECT 1.1950 0.3040 1.2370 0.3460 ; + RECT 1.0430 1.4900 1.0850 1.5320 ; + RECT 1.1950 1.4900 1.2370 1.5320 ; + RECT 1.1950 1.1220 1.2370 1.1640 ; + RECT 1.1950 1.2140 1.2370 1.2560 ; + RECT 1.1950 1.3980 1.2370 1.4400 ; + RECT 1.1950 1.3060 1.2370 1.3480 ; + RECT 1.0430 1.1220 1.0850 1.1640 ; + RECT 0.2830 0.3160 0.3250 0.3580 ; + RECT 0.8910 1.4950 0.9330 1.5370 ; + END +END AO221X1_HVT + +END LIBRARY diff --git a/asic_flow/libs/saed32nm_tf_itf_tluplus.map b/asic_flow/libs/saed32nm_tf_itf_tluplus.map new file mode 100644 index 0000000..e853dbc --- /dev/null +++ b/asic_flow/libs/saed32nm_tf_itf_tluplus.map @@ -0,0 +1,93 @@ +*######################################################################################### +*# SAED 32/28NM 1p9m STAR-RC itf2tluplus mapping file # +*# # +*# Revision History: # +*# Rev. date what # +*# --------------------------------------------------------------------------------------# +*# 1.0 --/---/2011 (First draft) # +*######################################################################################### +*********************************************************************** +**** **** +**** The data contained in the file is created for educational **** +**** and training purposes only and are not recommended **** +**** for fabrication **** +**** **** +*********************************************************************** +**** **** +**** Copyright (C) 2013 Synopsys, Inc. **** +**** **** +*********************************************************************** +**** **** +**** The 32/28nm Generic Library ("Library") is unsupported **** +**** Confidential Information of Synopsys, Inc. ("Synopsys") **** +**** provided to you as Documentation under the terms of the **** +**** End User Software License Agreement between you or your **** +**** employer and Synopsys ("License Agreement") and you agree **** +**** not to distribute or disclose the Library without the **** +**** prior written consent of Synopsys. The Library IS NOT an **** +**** item of Licensed Software or Licensed Product under the **** +**** License Agreement. Synopsys and/or its licensors own **** +**** and shall retain all right, title and interest in and **** +**** to the Library and all modifications thereto, including **** +**** all intellectual property rights embodied therein. All **** +**** rights in and to any Library modifications you make are **** +**** hereby assigned to Synopsys. If you do not agree with **** +**** this notice, including the disclaimer below, then you **** +**** are not authorized to use the Library. **** +**** **** +**** **** +**** THIS LIBRARY IS BEING DISTRIBUTED BY SYNOPSYS SOLELY ON AN **** +**** "AS IS" BASIS, WITH NO INTELLECUTAL PROPERTY **** +**** INDEMNIFICATION AND NO SUPPORT. ANY EXPRESS OR IMPLIED **** +**** WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED **** +**** WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR **** +**** PURPOSE ARE HEREBY DISCLAIMED. IN NO EVENT SHALL SYNOPSYS **** +**** BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, **** +**** EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT **** +**** LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; **** +**** LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) **** +**** HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN **** +**** CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE **** +**** OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS **** +**** DOCUMENTATION, EVEN IF ADVISED OF THE POSSIBILITY OF **** +**** SUCH DAMAGE. **** +**** **** +*********************************************************************** + + + +conducting_layers + DIFF DIFF + PO POLY + M1 M1 + M2 M2 + M3 M3 + M4 M4 + M5 M5 + M6 M6 + M7 M7 + M8 M8 + M9 M9 + MRDL MRDL +via_layers + CO POLYCONT + VIA1 VIA1 + VIA2 VIA2 + VIA3 VIA3 + VIA4 VIA4 + VIA5 VIA5 + VIA6 VIA6 + VIA7 VIA7 + VIA8 VIA8 + VIARDL VIARDL +marker_layers + metal1_pin + metal2_pin + metal3_pin + metal4_pin + metal5_pin + metal6_pin + metal7_pin + metal8_pin + metal9_pin + \ No newline at end of file diff --git a/asic_flow/scripts/icc2/icc.tcl b/asic_flow/scripts/icc2/icc.tcl new file mode 100644 index 0000000..0f1d043 --- /dev/null +++ b/asic_flow/scripts/icc2/icc.tcl @@ -0,0 +1,137 @@ +#/**************************************************/ +#/* ICC2 Compiler Script for Synopsys */ +#/* */ +#/* icc2_shell -f icc.tcl */ +#/* */ +#/* SAED EDK 32nm */ +#/**************************************************/ + +set PDKDIR /home/aedc4/libs/tsmc_32nm/SAED32_EDK +set SAED32_EDK /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib +set synopsys /home/aedc4/Apps/syn/T-2022.03-SP5-1 +#set synopsys /home/aedc4/synopsys/syn/T-2022.03-SP5-1 + +set search_path [concat $search_path $SAED32_EDK] +set search_path [concat $search_path $SAED32_EDK/stdcell_hvt $SAED32_EDK/stdcell_hvt/db_nldm] +set search_path [concat $search_path ${synopsys}/libraries/syn ${synopsys}/dw/syn_ver ${synopsys}/dw/sim_ver] +#set search_path [concat $search_path $proj/input] +set alib_library_analysis_path $SAED32_EDK + +set link_library [set target_library [concat [list saed32hvt_ss0p7v125c.db] [list dw_foundation.sldb]]] +set synthetic_library [list dw_foundation.sldb] +set target_library "saed32hvt_ss0p7v125c.db" + +set std_cell_home /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt/db_nldm +set lib_home /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt + +set_app_var search_path "* $std_cell_home" +#set_app_var target_library "saed32hvt_ss0p7v125c.db" +set_app_var link_library "* $target_library" + +#remove_design -all + +#--------------------------------------------- +# Create Milkyway Database +#---------------------------------------------- + +set mw_logic0_net VSS +set mw_logic1_net VDD + +set_tlu_plus_files -max_tluplus ../libs/saed32nm_1p9m_Cmax.tluplus -min_tluplus ../libs/saed32nm_1p9m_Cmin.tluplus -tech2itf_map ../libs/saed32nm_tf_itf_tluplus.map + +create_mw_lib -technology ../libs/saed32nm_1p9m_mw.tf -mw_reference_library {../libs/milkyway/saed32nm_hvt_1p9m} -bus_naming_style {[%d]} -open ./full_adder + +read_verilog -dirty_netlist {../output/full_adder.out.v} + +uniquify_fp_mw_cel +current_design full_adder + +link + +source ../output/full_adder.sdc + +check_timing + + +#------------------------------------------------ +# Floorplan +#------------------------------------------------ + +derive_pg_connection -power_net VDD -power_pin VDD -ground_net VSS -ground_pin VSS +derive_pg_connection -power_net VDD -ground_net VSS -tie + +derive_pg_connection -create_net + +create_floorplan -control_type aspect_ratio -core_aspect_ratio 1 -core_utilization 0.7 \ + -left_io2core 10 -bottom_io2core 10 -right_io2core 10 -top_io2core 10 \ + -start_first_row -flip_first_row + +derive_pg_connection -verbose + +set_power_plan_strategy s1 -core -nets {VDD VSS} \ + -template ../scripts/power_mesh.tcl:top -extension {{stop: outermost_ring}} +compile_power_plan -strategy s1 + +preroute_standard_cells -nets {VDD VSS} -connect both -fill_empty_rows + +save_mw_cel -as init_design_icc + + +#------------------------------------------ +# Placement +# ----------------------------------------- + +set_app_var timing_enable_multiple_clocks_per_reg true +set_fix_multiple_port_nets -all -buffer_constants + +set_ignored_layers -max_routing_layer M8 -min_routing_layer M1 +set_app_var enable_recovery_removal_arcs true + +set_ideal_network [all_fanout -flat -clock_tree ] +set_app_var compile_instance_name_prefix icc_place + +place_opt -continue_on_missing_scandef + +save_mw_cel -as place_opt + + +#------------------------- +# CTS +#------------------------- + +set_clock_tree_references -references INVX4_HVT +define_routing_rule iccrm_clock_double_spacing -default_reference_rule -multiplier_spacing 2 + +set_clock_tree_options -layer_list "M5 M6" +set_app_var cts_instance_name_prefix CTS +set_delay_calculation_option -arnoldi_effort medium + +clock_opt -only_cts -no_clock_route + +remove_ideal_network [all_fanout -flat -clock_tree] + +set_fix_hold [all_clocks] + +clock_opt -no_clock_route -only_psyn -area_recovery +route_zrt_group -all_clock_nets -reuse_existing_global_route true + +save_mw_cel -as clock_opt_icc + +#---------------------------- +# ROUTE +#---------------------------- + +set_si_options -delta_delay true -route_xtalk_prevention true \ + -route_xtalk_prevention_threshold 0.25 -analysis_effort medium + +set_route_zrt_common_options -post_detail_route_redundant_via_insertion medium + + +set_route_zrt_common_options -post_detail_route_redundant_via_insertion medium + +route_opt -initial_route_only +route_opt -skip_initial_route -xtalk_reduction + +save_mw_cel -as route_opt_icc + +exit diff --git a/asic_flow/scripts/icc2/icc2.tcl b/asic_flow/scripts/icc2/icc2.tcl new file mode 100644 index 0000000..8eb06b7 --- /dev/null +++ b/asic_flow/scripts/icc2/icc2.tcl @@ -0,0 +1,98 @@ +#/**************************************************/ +#/* ICC2 Compiler Script for Synopsys */ +#/* */ +#/* icc2_shell -f icc2.tcl */ +#/* */ +#/* SAED EDK 32nm */ +#/**************************************************/ + +set PDKDIR /home/aedc4/libs/tsmc_32nm/SAED32_EDK +set SAED32_EDK /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib +set synopsys /home/aedc4/Apps/syn/T-2022.03-SP5-1 +#set synopsys /home/aedc4/synopsys/syn/T-2022.03-SP5-1 + +set search_path [concat $search_path $SAED32_EDK] +set search_path [concat $search_path $SAED32_EDK/stdcell_hvt $SAED32_EDK/stdcell_hvt/db_nldm] +set search_path [concat $search_path ${synopsys}/libraries/syn ${synopsys}/dw/syn_ver ${synopsys}/dw/sim_ver] + +set search_path {* /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt/db_nldm} +set link_library {* saed32hvt_ss0p7v125c.db} +set_app_options -list {lib.configuration.default_flow_setup {}}; +set_app_options -list {lib.configuration.output_dir {CLIBs}} +set_app_options -list {lib.configuration.lef_site_mapping {}} +set_app_options -list {lib.configuration.process_label_mapping {}} +set_app_options -list {lib.configuration.display_lm_messages {false}} + +#--------------------------------------------- +# Create Milkyway Database +#---------------------------------------------- + +create_lib -ref_libs {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_hvt_1p9m.lef} -technology /home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_1p9m_mw.tf fa_icc2 + +read_parasitic_tech -name {parasitics} -tlup {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_1p9m_Cmax.tluplus} -layermap {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_tf_itf_tluplus.map} + +read_verilog -top full_adder ../../output/full_adder.out.v + +save_lib -all + +#------------------------------------------------ +# Floorplan +#------------------------------------------------ + +initialize_floorplan -core_utilization 0.5 -core_offset {5 5 5 5} + +#------------------------------------------ +# Power Rings +# ----------------------------------------- + +connect_pg_net -automatic -all_blocks +create_net -power {VDD} +create_net -ground {VSS} + +create_pg_ring_pattern ring_pattern -horizontal_layer M1 \ + -horizontal_width {1.5} -horizontal_spacing {0.5} \ + -vertical_layer M2 -vertical_width {1.5} -vertical_spacing {0.5} +set_pg_strategy core_ring \ + -pattern {{name: ring_pattern} \ + {nets: {VDD VSS}} {offset: {0.5 0.5}}} -core +compile_pg -strategies core_ring + +create_pg_mesh_pattern mesh_pattern -layers { {{horizontal_layer: M1} {width: 0.75} {pitch: 15} {spacing: interleaving}} {{vertical_layer: M2} {width: 0.84} {pitch: 33.6} {spacing: interleaving}} } +set_pg_strategy mesh_strategy -polygon {{1.000 4.880} {16.144 11.990}} -pattern {{pattern: mesh_pattern}{nets: {VDD VSS}}} -blockage {macros: all} +create_pg_std_cell_conn_pattern std_cell_pattern +set_pg_strategy std_cell_strategy -polygon {{1.000 4.880} {16.144 11.990}} -pattern {{pattern: std_cell_pattern}{nets: {VDD VSS}}} +compile_pg -ignore_via_drc + +#------------------------------------------ +# Pin I/O +# ----------------------------------------- + +set_app_options -name plan.pins.incremental -value true -block [current_block] +set_app_options -name plan.pins.layer_range -value 5 -block [current_block] +set_app_options -name plan.pins.pin_range -value 10.00 -block [current_block] +place_pins -self -ports {VDD VSS a b ci co sum} + +save_lib -all + +#------------------------------------------ +# Placement +# ----------------------------------------- + +set_app_options -name place.coarse.fix_hard_macros -value false +set_app_options -name plan.place.auto_create_blockages -value auto +create_placement -floorplan -timing_driven +save_lib -all + +# ----------------------------------------- +# Route +# ----------------------------------------- + +#clock_opt +route_auto -max_detail_route_iterations 5 + +save_block -hier -force -label post_route +save_lib -all + +start_gui + +#exit diff --git a/asic_flow/scripts/run_dc.tcl b/asic_flow/scripts/run_dc.tcl new file mode 100644 index 0000000..ca97d7b --- /dev/null +++ b/asic_flow/scripts/run_dc.tcl @@ -0,0 +1,121 @@ +#/**************************************************/ +#/* DC Compile Script for Synopsys Tools */ +#/* */ +#/* dc_shell-t -f run_dc.tcl */ +#/* */ +#/* SAED EDK 32nm */ +#/**************************************************/ + +#/* Top-level Module - MODIFY as required */ +set top_module full_adder + +#/* All verilog .sv files should be placed inside rtl */ +set rtlPath "../input/rtl/" + +#/* The name of the clock pin. If no clock-pin */ +#/* exists, pick anything */ +set my_clock_pin clk + +#/* Target frequency in MHz for optimization */ +set my_clk_freq_MHz 1000 + +#/* Delay of input signals (Clock-to-Q, Package etc.) */ +set my_input_delay_ns 0.1 + +#/* Reserved time for output signals (Holdtime etc.) */ +set my_output_delay_ns 0.1 + +# MODIFY as required - aedc4 might need to change +set PDKDIR /home/aedc4/libs/tsmc_32nm/SAED32_EDK +set SAED32_EDK /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib +set synopsys /home/aedc4/Apps/syn/T-2022.03-SP5-1 + +#/**************************************************/ +#/* No modifications needed below */ +#/**************************************************/ +exec mkdir -p ../log ../output ../report + +set search_path [concat $search_path $SAED32_EDK] +set search_path [concat $search_path $SAED32_EDK/stdcell_hvt $SAED32_EDK/stdcell_hvt/db_nldm] +set search_path [concat $search_path ${synopsys}/libraries/syn ${synopsys}/dw/syn_ver ${synopsys}/dw/sim_ver] + +set link_library [set target_library [concat [list saed32hvt_ss0p7v125c.db] [list dw_foundation.sldb]]] +set synthetic_library [list dw_foundation.sldb] +set target_library "saed32hvt_ss0p7v125c.db" + +#Compiler directives +set compile_effort "low" +set compile_no_new_cells_at_top_level false +set hdlin_auto_save_templates false +set wire_load_mode enclosed +set timing_use_enhanced_capacitance_modeling true +set verilogout_single_bit false +remove_design -all + +define_design_lib WORK -path .template + +# read RTL +analyze -format sverilog [glob ${rtlPath}*.sv] > ../log/1.${top_module}_analyse.log +elaborate $top_module > ../log/2.${top_module}_elaborate.log +current_design $top_module +check_design > ../log/3.${top_module}_check_design.rpt + +# Link Design +link +uniquify + +# Default SDC Constraints (can be an sdc file) +set my_period [expr 1000 / $my_clk_freq_MHz] + +set find_clock [ find port [list $my_clock_pin] ] +if { $find_clock != [list] } { + set clk_name $my_clock_pin + create_clock -period $my_period $clk_name +} else { + set clk_name vclk + create_clock -period $my_period -name $clk_name +} + +set_input_delay $my_input_delay_ns -clock $clk_name [remove_from_collection [all_inputs] $my_clock_pin] +set_output_delay $my_output_delay_ns -clock $clk_name [all_outputs] +#read_sdc ${top_module}.sdc + +#More compiler directives +set compile_effort "high" +set_app_var ungroup_keep_original_design true +set_register_merging [get_designs $top_module] false +set compile_seqmap_propagate_constants false +set compile_seqmap_propagate_high_effort false +# More constraints and setup before compile +foreach_in_collection design [ get_designs "*" ] { + current_design $design + #feedthrough / outputs / constants + set_fix_multiple_port_nets -all +} +current_design $top_module + +# Compile +compile -ungroup_all -map_effort medium -incremental_mapping -map_effort medium + +check_design +report_constraint -all_violators + +# Write Out Design and Constraints - Hierarchical +current_design $top_module +change_names -rules verilog -hierarchy +write -format verilog -hierarchy -output ../output/${top_module}.out.v +write -format ddc -output ../output/${top_module}.out.ddc +write_sdc ../output/${top_module}.sdc + +# Write Reports +report_port > ../report/${top_module}_port.rpt +report_area > ../report/${top_module}_area.rpt +report_cell > ../report/${top_module}_cell.rpt +report_reference > ../report/${top_module}_area_reference.rpt +report_power > ../report/${top_module}_power.rpt +report_timing -path full -max_paths 100 -nets -transition_time -capacitance -significant_digits 3 -nosplit > ../report/${top_module}_timing.rpt + +# start GUI +gui_start + +#quit diff --git a/asic_flow/scripts/run_dc_45.tcl b/asic_flow/scripts/run_dc_45.tcl new file mode 100644 index 0000000..b3b28dc --- /dev/null +++ b/asic_flow/scripts/run_dc_45.tcl @@ -0,0 +1,126 @@ +#/**************************************************/ +#/* DC Compile Script for Synopsys Tool */ +#/* */ +#/* dc_shell-t -f run_dc.tcl */ +#/* */ +#/* OSU FREEPDK 45nm */ +#/**************************************************/ + +#/* Top-level Module */ +set top_module full_adder + +#/* All verilog .sv files should be placed inside rtl */ +set rtlPath "../input/rtl/" + +#/* The name of the clock pin. If no clock-pin */ +#/* exists, pick anything */ +set my_clock_pin clk + +#/* Target frequency in MHz for optimization */ +set my_clk_freq_MHz 1000 + +#/* Delay of input signals (Clock-to-Q, Package etc.) */ +set my_input_delay_ns 0.1 + +#/* Reserved time for output signals (Holdtime etc.) */ +set my_output_delay_ns 0.1 + +#/**************************************************/ +#/* No modifications needed below */ +#/**************************************************/ +exec mkdir -p ../log ../output ../report + +set PDKDIR /home/aedc4/Software/FreePDK45 +set OSU_FREEPDK /home/aedc4/Software/FreePDK45/osu_soc/lib/files +set search_path [concat $search_path $OSU_FREEPDK] +set alib_library_analysis_path $OSU_FREEPDK + +set link_library [set target_library [concat [list gscl45nm.db] [list dw_foundation.sldb]]] +set synthetic_library [list dw_foundation.sldb] +set target_library "gscl45nm.db" +define_design_lib WORK -path ./WORK +set verilogout_show_unconnected_pins "true" + +#Compiler directives +set compile_effort "low" +set compile_no_new_cells_at_top_level false +set hdlin_auto_save_templates false +set wire_load_mode enclosed +set timing_use_enhanced_capacitance_modeling true +set verilogout_single_bit false +remove_design -all + +define_design_lib WORK -path .template + +# read RTL +analyze -format sverilog [glob ${rtlPath}*.sv] > ../log/1.${top_module}_analyse.log +#analyze -format verilog [glob ${rtlPath}*.v] +elaborate $top_module > ../log/2.${top_module}_elaborate.log +current_design $top_module +check_design > ../log/3.${top_module}_check_design.rpt + +# Link Design +link +uniquify + +# Default SDC Constraints (can be an sdc file) +set my_period [expr 1000 / $my_clk_freq_MHz] + +set find_clock [ find port [list $my_clock_pin] ] +if { $find_clock != [list] } { + set clk_name $my_clock_pin + create_clock -period $my_period $clk_name +} else { + set clk_name vclk + create_clock -period $my_period -name $clk_name +} + +set_driving_cell -lib_cell INVX1 [all_inputs] +set_input_delay $my_input_delay_ns -clock $clk_name [remove_from_collection [all_inputs] $my_clock_pin] +set_output_delay $my_output_delay_ns -clock $clk_name [all_outputs] + +#More compiler directives +set compile_effort "high" +set_app_var ungroup_keep_original_design true +set_register_merging [get_designs $top_module] false +set compile_seqmap_propagate_constants false +set compile_seqmap_propagate_high_effort false +# More constraints and setup before compile +foreach_in_collection design [ get_designs "*" ] { + current_design $design + #feedthrough / outputs / constants + set_fix_multiple_port_nets -all +} +current_design $top_module + +# Compile +compile -ungroup_all -map_effort medium -incremental_mapping -map_effort medium + +check_design +report_constraint -all_violators + +# Write Out Design and Constraints - Hierarchical +current_design $top_module +change_names -rules verilog -hierarchy +write -format verilog -hierarchy -output ../output/${top_module}.out.v +write_sdc ../output/${top_module}.sdc + +# Write Reports +report_port > ../report/${top_module}_port.rpt +report_area > ../report/${top_module}_area.rpt +report_cell > ../report/${top_module}_cell.rpt +report_reference > ../report/${top_module}_area_reference.rpt +report_power > ../report/${top_module}_power.rpt +report_timing -path full -max_paths 100 -nets -transition_time -capacitance -significant_digits 3 -nosplit > ../report/${top_module}_timing.rpt + +set unmapped_designs [get_designs -filter "is_unmapped == true" $top_module] +if { [sizeof_collection $unmapped_designs] != 0 } { + echo "****************************************************" + echo "* ERROR!!!! Compile finished with unmapped logic. *" + echo "****************************************************" +} + +# start GUI +gui_start + +#quit From e66142765ce2dfc30110ec85a80bfd8206c1d1a1 Mon Sep 17 00:00:00 2001 From: Kithmin Wickremasinghe Date: Mon, 3 Apr 2023 22:59:13 -0700 Subject: [PATCH 02/18] Delete run_dc.tcl --- asic_flow/run_dc.tcl | 86 -------------------------------------------- 1 file changed, 86 deletions(-) delete mode 100755 asic_flow/run_dc.tcl diff --git a/asic_flow/run_dc.tcl b/asic_flow/run_dc.tcl deleted file mode 100755 index 909aa15..0000000 --- a/asic_flow/run_dc.tcl +++ /dev/null @@ -1,86 +0,0 @@ -set top_module mvm_uart_system -set rtlPath "../../rtl/" -exec mkdir -p log netlist - -# Target library -set target_library -set link_library $target_library - -#Compiler directives -set compile_effort "low" -set compile_no_new_cells_at_top_level false -set hdlin_auto_save_templates false -set wire_load_mode enclosed -set timing_use_enhanced_capacitance_modeling true -set verilogout_single_bit false -remove_design -all - -define_design_lib WORK -path .template - -# read RTL -analyze -format sverilog [glob ${rtlPath}*.sv] -analyze -format verilog [glob ${rtlPath}*.v] -elaborate $top_module -current_design $top_module -check_design > log/${top_module}_check.rep - -# Link Design -link - -# Default SDC Constraints (can be an sdc file) -set clock_period 0.7 -set io_delay 0.2 -create_clock -name clk -period $clock_period [get_ports clk] -set_input_delay -clock [get_clocks clk] -add_delay -max $io_delay [all_inputs] -set_output_delay -clock [get_clocks clk] -add_delay -max $io_delay [all_outputs] -# set_input_delay -clock [get_clocks clk] -add_delay -max $io_delay [get_ports {key[52]}] -# read_sdc ${top_module}.sdc - -propagate_constraints -current_design $top_module - -set_cost_priority {max_transition max_fanout max_delay max_capacitance} -set_fix_multiple_port_nets -all -buffer_constants -set_fix_hold [all_clocks] - -set_driving_cell -lib_cell BUFFD8 -pin Z [all_inputs] -# set_load [get_attribute "$target_library/BUFFD8/A" fanout_load] [all_outputs] -foreach_in_collection p [all_outputs] { - set_load 0.050 $p -} - -#More compiler directives -set compile_effort "high" -set_app_var ungroup_keep_original_design true -set_register_merging [get_designs $top_module] false -set compile_seqmap_propagate_constants false -set compile_seqmap_propagate_high_effort false -# More constraints and setup before compile -foreach_in_collection design [ get_designs "*" ] { - current_design $design - #feedthrough / outputs / constants - set_fix_multiple_port_nets -all -} -current_design $top_module - -# Compile -compile_ultra -no_autoungroup -timing_high_effort_script -exact_map - -# Write Out Design - Hierarchical -current_design $top_module -change_names -rules verilog -hierarchy -write -format verilog -hier -output netlist/${top_module}.out.v - -# Write Reports -report_area > log/${top_module}_area.rep -report_reference > log/${top_module}_area_reference.rep -report_power > log/${top_module}_power.rep -report_timing -path full -max_paths 100 -nets -transition_time -capacitance -significant_digits 3 -nosplit > log/${top_module}_timing.rep - - -set unmapped_designs [get_designs -filter "is_unmapped == true" $top_module] -if { [sizeof_collection $unmapped_designs] != 0 } { - echo "****************************************************" - echo "* ERROR!!!! Compile finished with unmapped logic. *" - echo "****************************************************" -} From 399dbd1d750f87fd358a653fd15d70db4208c3a5 Mon Sep 17 00:00:00 2001 From: Kithmin Wickremasinghe Date: Tue, 4 Apr 2023 01:02:31 -0700 Subject: [PATCH 03/18] Delete icc.tcl --- asic_flow/scripts/icc2/icc.tcl | 137 --------------------------------- 1 file changed, 137 deletions(-) delete mode 100644 asic_flow/scripts/icc2/icc.tcl diff --git a/asic_flow/scripts/icc2/icc.tcl b/asic_flow/scripts/icc2/icc.tcl deleted file mode 100644 index 0f1d043..0000000 --- a/asic_flow/scripts/icc2/icc.tcl +++ /dev/null @@ -1,137 +0,0 @@ -#/**************************************************/ -#/* ICC2 Compiler Script for Synopsys */ -#/* */ -#/* icc2_shell -f icc.tcl */ -#/* */ -#/* SAED EDK 32nm */ -#/**************************************************/ - -set PDKDIR /home/aedc4/libs/tsmc_32nm/SAED32_EDK -set SAED32_EDK /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib -set synopsys /home/aedc4/Apps/syn/T-2022.03-SP5-1 -#set synopsys /home/aedc4/synopsys/syn/T-2022.03-SP5-1 - -set search_path [concat $search_path $SAED32_EDK] -set search_path [concat $search_path $SAED32_EDK/stdcell_hvt $SAED32_EDK/stdcell_hvt/db_nldm] -set search_path [concat $search_path ${synopsys}/libraries/syn ${synopsys}/dw/syn_ver ${synopsys}/dw/sim_ver] -#set search_path [concat $search_path $proj/input] -set alib_library_analysis_path $SAED32_EDK - -set link_library [set target_library [concat [list saed32hvt_ss0p7v125c.db] [list dw_foundation.sldb]]] -set synthetic_library [list dw_foundation.sldb] -set target_library "saed32hvt_ss0p7v125c.db" - -set std_cell_home /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt/db_nldm -set lib_home /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt - -set_app_var search_path "* $std_cell_home" -#set_app_var target_library "saed32hvt_ss0p7v125c.db" -set_app_var link_library "* $target_library" - -#remove_design -all - -#--------------------------------------------- -# Create Milkyway Database -#---------------------------------------------- - -set mw_logic0_net VSS -set mw_logic1_net VDD - -set_tlu_plus_files -max_tluplus ../libs/saed32nm_1p9m_Cmax.tluplus -min_tluplus ../libs/saed32nm_1p9m_Cmin.tluplus -tech2itf_map ../libs/saed32nm_tf_itf_tluplus.map - -create_mw_lib -technology ../libs/saed32nm_1p9m_mw.tf -mw_reference_library {../libs/milkyway/saed32nm_hvt_1p9m} -bus_naming_style {[%d]} -open ./full_adder - -read_verilog -dirty_netlist {../output/full_adder.out.v} - -uniquify_fp_mw_cel -current_design full_adder - -link - -source ../output/full_adder.sdc - -check_timing - - -#------------------------------------------------ -# Floorplan -#------------------------------------------------ - -derive_pg_connection -power_net VDD -power_pin VDD -ground_net VSS -ground_pin VSS -derive_pg_connection -power_net VDD -ground_net VSS -tie - -derive_pg_connection -create_net - -create_floorplan -control_type aspect_ratio -core_aspect_ratio 1 -core_utilization 0.7 \ - -left_io2core 10 -bottom_io2core 10 -right_io2core 10 -top_io2core 10 \ - -start_first_row -flip_first_row - -derive_pg_connection -verbose - -set_power_plan_strategy s1 -core -nets {VDD VSS} \ - -template ../scripts/power_mesh.tcl:top -extension {{stop: outermost_ring}} -compile_power_plan -strategy s1 - -preroute_standard_cells -nets {VDD VSS} -connect both -fill_empty_rows - -save_mw_cel -as init_design_icc - - -#------------------------------------------ -# Placement -# ----------------------------------------- - -set_app_var timing_enable_multiple_clocks_per_reg true -set_fix_multiple_port_nets -all -buffer_constants - -set_ignored_layers -max_routing_layer M8 -min_routing_layer M1 -set_app_var enable_recovery_removal_arcs true - -set_ideal_network [all_fanout -flat -clock_tree ] -set_app_var compile_instance_name_prefix icc_place - -place_opt -continue_on_missing_scandef - -save_mw_cel -as place_opt - - -#------------------------- -# CTS -#------------------------- - -set_clock_tree_references -references INVX4_HVT -define_routing_rule iccrm_clock_double_spacing -default_reference_rule -multiplier_spacing 2 - -set_clock_tree_options -layer_list "M5 M6" -set_app_var cts_instance_name_prefix CTS -set_delay_calculation_option -arnoldi_effort medium - -clock_opt -only_cts -no_clock_route - -remove_ideal_network [all_fanout -flat -clock_tree] - -set_fix_hold [all_clocks] - -clock_opt -no_clock_route -only_psyn -area_recovery -route_zrt_group -all_clock_nets -reuse_existing_global_route true - -save_mw_cel -as clock_opt_icc - -#---------------------------- -# ROUTE -#---------------------------- - -set_si_options -delta_delay true -route_xtalk_prevention true \ - -route_xtalk_prevention_threshold 0.25 -analysis_effort medium - -set_route_zrt_common_options -post_detail_route_redundant_via_insertion medium - - -set_route_zrt_common_options -post_detail_route_redundant_via_insertion medium - -route_opt -initial_route_only -route_opt -skip_initial_route -xtalk_reduction - -save_mw_cel -as route_opt_icc - -exit From 0a9b424f916552b29c12b31a65d61f0f32447ecc Mon Sep 17 00:00:00 2001 From: Kithmin Wickremasinghe Date: Tue, 4 Apr 2023 03:07:03 -0700 Subject: [PATCH 04/18] Add files via upload --- asic_flow/scripts/icc2/icc2.tcl | 29 ++++++++++++++++------------- 1 file changed, 16 insertions(+), 13 deletions(-) diff --git a/asic_flow/scripts/icc2/icc2.tcl b/asic_flow/scripts/icc2/icc2.tcl index 8eb06b7..634d861 100644 --- a/asic_flow/scripts/icc2/icc2.tcl +++ b/asic_flow/scripts/icc2/icc2.tcl @@ -6,16 +6,13 @@ #/* SAED EDK 32nm */ #/**************************************************/ +# MODIFY as required + set PDKDIR /home/aedc4/libs/tsmc_32nm/SAED32_EDK set SAED32_EDK /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib set synopsys /home/aedc4/Apps/syn/T-2022.03-SP5-1 -#set synopsys /home/aedc4/synopsys/syn/T-2022.03-SP5-1 -set search_path [concat $search_path $SAED32_EDK] set search_path [concat $search_path $SAED32_EDK/stdcell_hvt $SAED32_EDK/stdcell_hvt/db_nldm] -set search_path [concat $search_path ${synopsys}/libraries/syn ${synopsys}/dw/syn_ver ${synopsys}/dw/sim_ver] - -set search_path {* /home/aedc4/libs/tsmc_32nm/SAED32_EDK/lib/stdcell_hvt/db_nldm} set link_library {* saed32hvt_ss0p7v125c.db} set_app_options -list {lib.configuration.default_flow_setup {}}; set_app_options -list {lib.configuration.output_dir {CLIBs}} @@ -23,31 +20,35 @@ set_app_options -list {lib.configuration.lef_site_mapping {}} set_app_options -list {lib.configuration.process_label_mapping {}} set_app_options -list {lib.configuration.display_lm_messages {false}} -#--------------------------------------------- -# Create Milkyway Database +#---------------------------------------------- +# Create Library #---------------------------------------------- create_lib -ref_libs {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_hvt_1p9m.lef} -technology /home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_1p9m_mw.tf fa_icc2 read_parasitic_tech -name {parasitics} -tlup {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_1p9m_Cmax.tluplus} -layermap {/home/aedc4/0_SysV_KithminR/ASIC_flow_demo/libs/saed32nm_tf_itf_tluplus.map} -read_verilog -top full_adder ../../output/full_adder.out.v +#--------------------------------------------- +# Create Block +#---------------------------------------------- +read_verilog -library fa_icc2 -top full_adder ../../output/full_adder.out.v +link_block + +save_block fa_icc2:full_adder save_lib -all #------------------------------------------------ # Floorplan #------------------------------------------------ -initialize_floorplan -core_utilization 0.5 -core_offset {5 5 5 5} +initialize_floorplan -core_utilization 0.5 -core_offset {5} #------------------------------------------ # Power Rings # ----------------------------------------- connect_pg_net -automatic -all_blocks -create_net -power {VDD} -create_net -ground {VSS} create_pg_ring_pattern ring_pattern -horizontal_layer M1 \ -horizontal_width {1.5} -horizontal_spacing {0.5} \ @@ -89,10 +90,12 @@ save_lib -all #clock_opt route_auto -max_detail_route_iterations 5 +save_block fa_icc2:full_adder -save_block -hier -force -label post_route save_lib -all +write_gds -hier all full_adder.gds + start_gui -#exit +#exit \ No newline at end of file From b6be628c87d13dabe625efe7be5ad41b3b36e268 Mon Sep 17 00:00:00 2001 From: Kithmin Wickremasinghe Date: Tue, 4 Apr 2023 03:07:59 -0700 Subject: [PATCH 05/18] Add files via upload --- asic_flow/libs/saed32nm_hvt_oa.gds | Bin 0 -> 10438656 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 asic_flow/libs/saed32nm_hvt_oa.gds diff --git a/asic_flow/libs/saed32nm_hvt_oa.gds b/asic_flow/libs/saed32nm_hvt_oa.gds new file mode 100644 index 0000000000000000000000000000000000000000..37ec55c295155f975ee7878857055533a49b76e7 GIT binary patch literal 10438656 zcmc${3$$fLm8QG)^PH3CoO~zwevy!wjM73(scae$QJ(FSQdAU88H$isEny%kP*tE( z3ZjKbRiRika)C-IrICw*Hl=`K;R71!6p)LwiAW=bG%^&CtC;uyXT%?8?R3Z7E6>_F zKy!R&&lUfg@t6@SR;<`-7u}*+bc$t-W^qz6Q7kUz6feO4%75?H?4EMm@$cC1!oPaY zrW4=)zD@g_wBLJ*qPu&uXchBYofjW`?#7q@`<7qrcgWZF{`}|O^txhMhvynERuq%P z{>6Md*KYRr!eVx}`?^=X^ytG5d*cf?9r60t741=+X|h=?E4nkAMNvF&cB?2x#*3o8 z3Ea2DbE93{RJ7;da8BR&FF~L9zMyZ2|0S>Q-c%IL$C0r|r`TGE&#;R)?)(3j=wJL{ z^e_HPUf(^XC_1OZ-z6npfzPyyr#t$Z`EOi@ik({&jR#6R0H0|W=jQ#_)wj+-JztG~ zSC)7${-#~LI`5zHQ+ztqf8xJ|`Y*&!=k?5g^N*qYVrzXUzX*G_{io4>?Wy?RzJ2>0 z{8tpC9}!WW4dL%V`@8Ia2R-t?h59LWj}Cef$IJRgkN;0a-OW?%J`O$X;tu-dQ+nj} z<>B9GXNuhe!`~v(l>K+6^eSh_f3ZR32=?ZdbllZ-^7){?TUU zA2k24i?08NP;U0$$r90To3x8g|82t$>#q&JiKsWTi%$L7I03zlA0l*S7acv@sc|Oq zi}p*r3ZH2g&&=1qTR51zeoIW{4gS>%r1KUpW~EtqI9C8xAB|hNB^<%lPov-Poh))y76!09P^F- zW91-`@1B27{_Q%2{%_YW5&homqSOEDmf!kGjs9cf6UuMnmx%J4U3ALN`D15cm_H^S z9OjQ5abZ6GJNLuajp!@9R*MhgoV1HK=JnlmsO#m>Bkzfa<1_8z^1Qxo|1a)@uTwBq zJyRn3z1c;l|J(d`1++-p5swJx>WC}y`LA35!|=~`v-?jG?Pm9-qSJnL+|(r zS6}z~&+&up#_=N&?Phk-X}`MtkM&>tpV0ndV^N475%%o;2lZ*)Q0s_VHx!-q!;vS# zSTVY|M8+-c;(+ouW`(qg`-O8g#98_L+dP-!#w7lU7&pu=I^#!o9o9-7gTJFh)aL?x z#{47D?AiM3-V}9@icxoy=<7$`5OgCS5`%8!4dMX(6G1n+Sd2Q~M`~MSt<4r*?Hvb}?Hxl-&zI_qWLf`q&6sf0O z9HeLdsTY}V>P0XA&5iK;Ld4$cDbg?PB93SCZ|UHldip2nmv+(f-@)~-_*=B|kyC6u zh4R2I;&|3SR7H0))(>A(;uc!*S={XD5qA$#FG9G|QC&>2=ynzUe5n`IsUnB(0L2;j(8F6-UW6M_N;#7 z#n65g{xN?MId`L7ggvWoLC3Wh&Rr8PgO+x2b&tMh{g(4ATerG$id?s%UG&zk>dv2v zjbYp>juYV=<5q$A4>WtZ{Jr_#JLp=+h(X7?qrMf6XZ6LM(eE>2^n0gh_N<=Q`3BmG z*Z;&5QTMcqFV5G$J%3vc{iCRF@o;>;37=3Zjd zZ5Pd+)pyPhZPodDiJ149U3BJut=od%){|oJ%lkL$zu|b^Kl|Cmq23d34fWm-FV5G0 zdsFb+K35EWIiAvgJns9i(f@6nsFVMvL%ut!#hCA>MYHGge}2?`T?{(5AIskf$Fq92 z6Z>il*PBGNyV*sj{hJpBzs+03;Fs4^`j5x6{yF~8Zx`cNBK(?Nbo_IiH66y^MCxc4 z9X;pi)Qx zh4PEG?}F!DAM3%{e_{3X$B_T&>TUlE{3jj|{M!B(|Fe1Tamf2Eh#B!b_FH@w zkIUyU>1=YbO0Z)g|2{=;2x0H#HgP@6=DD5%A&g)Z!NnE8`zE6 zUDCkc6H7Y>-ERN=u$WkeScv~kaF1m3t;o;gMR#%c&{u_)7;vV`@11erNtge)&zas2 zx91k4i@UEsR1xfUXi4LjFsUt8^5*%FRii&FlQB|N6)nc8bnS10gH2gfsw zg<99D#po>5Xz{{gK5Mq~efWKT3yQ`a6IOy@x!d|-O zOvDM;IH|9fKB~^I;Aac|f$g}1ZLqKA?}9Hf;VvrfMN9k>3O{%n?LS*{ys6) zcmYa*+G@N2s!m^3Pi+<3;02?2<4EJcQrIqnD^TKRkpAq!=Wn2PIvA6h@B{Wcf8SC- z@taTzDcsURXu>0}8Uizrm zPfhhHW52RwRIS5N3smph*OzL*qOyfqAy>o6CT9!x8T)yY&c?^~dZfcYviP3sC#1h6 zrU$E}x8C?+Xx@hW#Z}(HHOWryd4@T9`s;W3^HW#h{H>pa^kkLu zkG4a4vP$|EMtSCktdico_k#3fmGtfRh4YhD(swxJ<@v!X=||oj(vwxv7e5c_$tvj^ ze;v}3Rnj-V6w;HG($A0S!7AyCSH%2-NT`3o4)I{A$-}Z|F^X!-i|Q> ztHl$aEb&Bqrd@QN7S+|yXkjckz9?p3&Yy^tUbBnNZYQSWE(_`tFBgd%|3HZk<1_7| z^R#aMc3e?bBENf;cqH_+i!1Z~XQPi4SS6m*DDmu~FuUliwk>!$%DDpm5rc_G;4|&w zioE|Z%VUPS8PDOKT{Md-csVX@R@e;Gx_qbTZ(k|6vaB+ z2Z&uX1??j2HoNLK{>(w_aQv8qSV@F$vy0C7!T!&2ljC0^V#4gAGk&uEimO8VCvFYx zUx-)b^KWs!DaOBeZj67?S#7oUL;YF&MY7rpwMwFUhI?KkVL647pE7oGN7`S#H6 zD?eExbY>TKp`N>48lOPhA6Vk`_)NPP*U|dLPu=)4{pm4&#VbPma<&+cXXDqdQ#Gz% z+^x?z<8J-LXYrYKace&Q>c-D*dn0Zh$MxfwDRP$z?V`6^W${hnDf8mETXXTF4Bnzx ze3NL$v-QXPH##By6XDzJVq911*Z$)hLcbr!_{IKjM87w?==A?3akuD_4~23p`B2FB zk~fHc{+G8xzghnL647tWE;{{Z$rHiv(zx4n>Eh`33DNgod;G@fxm#s@+^w>Hui$sx zcG360KJGSHA9ow9$C?P+fBi+GuV?=$eir6`Vq+rA|3uic@l&_|EWhl{>`>;|;+DY##Hu*6I7nRd~+f7)YB7`OI#Wr-NK%q}|P*Q%d}e6Jo8 zW4?bX`uSh|fvCGo47$}H5Pkjbvx08-{luW#eU|9!?fS72O~&h&cqBgK`o;U_cs$#F zcAt&-v-|Hz#vSdV7k_p29RJgO*Hy^JsrZa*lG()p_g~y?%=L%FgTwt7cPsP$4EB8a z!|_`BI_n>tCo%pxZ%Rbmn_YC`pYz!~yzC zqwafR)Lkn2`n4N_ZtZbm(5>Al`uc^Nf^OltV$dzzB>MWbcLv?sXT<3DPSMw|J0a-S zT_6VCx)VfSzwqgxTeMmXx`j`RzJC5v#Q&M-=U9JA#5iJh(b?@c#&{`$jpo(^m=`AdA&4#C)~d_#LwmPUw8d?Vqu883CvX(e@4We z*+nP*Ca#IP`%0vacG1yuoaAm(j-QEVgz=N#c#FsL^QW5nxsL_E^A?l{zh)QXZr6VE z7h8W_jP|+%eMWp4J|p(p;^KV!O&%WVZ4z@R*1r+;W_Ho3zqwi|4c-?n_YC;zwYy=y7L!%PK@$T_xW+%^}Ern=(ks6hu5|@vc!wA{zAJL zzhTht`a2Z;?a{yZ+vs1sJ%9bS{XgU3`F}&iT+Zy`cwW!_U%c*c|Cq?@j_nJJ^sYa3 z{d1oP=N;TXlE~{0?V{6u^v``0-2afcA(X!@4%k1DbUKf8;voI;(Z7hkYxxqL{cn~| zI#D{&(Odi}ZbZe6=)}*SuMPK2d;U#{=yzrpo&HyM|AO5o-5u|r#O?9^NxVBBziZL{ zy#KTPTO#spcG1cI!g)cz@W2wGGrQ>M7riX#7QLrL=*%uUdd{EumI2P+6K@Uk_l9_J zzWhVczc~6APmKOWXaA`Amrj&UboACvYBv$}W_Ho3KU@D@fc1*Ep#H>z@ELu#Auh<* zU)}wu)N|dL`#%$LKVx>$S$|%9N{E}q80#3vMwHv^qEmkJgL0dH5#=_!=#;E9}=DUv#ozp zcMQ^t)a@Phq^Cavaoa)qD@%li&vhH3v;TLaL!2k@dk>s9B;Ft9 z5B&Z^Jf6)zk2lcv)F*BXx`ya{f5Y^fqh7o)>c!3Z`lFur-}Ik|`LNkV=l**rdfYde ze-Zahc3#oBe_}eu5A`DBhj!76pP}f{@65l5erM+uo&IO}ME|Fr{ojawZ+6k?|K>-2 zMfnwXwSSDCR(=uVrsYd?#!pKpohY3+K;Kf_h>9E0i65?cbj}QG9f_FFm|Z+G-+%1- zhjz33cM7}nG&g^ zU3B!^=RyDLE+`Q?vx|Mxlf&X?psf!U)n`SzX;a|{#xGRPKj4w z{epJU*}pjy{XNltWEYn*o>%ntzncHL`W4Z?ctk9}=ZVmo< z|HAXbp1pp@|D*pz-1qUky#F&g_kRn&7<3CU_odzlo!P|!dX}5IM3kF)mfz@< zKOV28=lqNQ6VYxwFZXX7o%V~z>**1{=0B2g&-032{G0!}dh~zuFQVVuc}1uHTRQ1P z=|o4*by8kGxqh1XTYRQnbgrM44)aITix)?|=*%C7q367t{u9x6cwWxGjn_K;7wI_f zralqZH0n72HahcfJH9#U#S5cObmrgGx3>ko$ayR6BJBC`2kE2}r4tA0&yM~@%o{CV zqBDQAd}7|i`s4i7_|Bl`{cAk#=U;wB`4xAy|Mel?y#HtZdEam47oGX1rISvSP8^_5 z{Z9Q*{Z4fHAJ>og{s2BdNkrV4U3B8l(jop$FCy;EE;{kgbnJK3C!*h(U3B^%)A7EO z`b3NyW*43DV<>vmyZIMU?{;2sK>f4*>gXAF)F&ct=$~+ zKjvRLQ95yep6$o-C!*bWUe4c*1KN-CZt4@a1|8?$)We>=e#Ya|)-T;Z+5e1A{PH;0U#L$+ zxy>#PD1XxFJkp7S^oU>cFCuQuzv#rTrISvSP8_61{F(nq#x2h)dhu)iYv{Ruf%7N6 zzasIs;r$i7|BT18iPbTMCi;e4$!mQ)F&d})UzIpPX6QZT6)Hfy?;bR-0-}NAER^sWBw60mVXg( zV|LMrpLqV~)Ia;Jq~G43;8+&^w~#{YP{ zmY(Y_^q+WP@Y@ib@t6KN?wel3xNmmR8ULA%*B$B;aosVy=v;r6Tps%Ek~>O7y_;Qh z>YwS@Z>dj2zcst)^xt9V*?;Lj5&f3uW&brg{nz}X-&+1f^jouwPXCSPe@^|Qe}?== zvj1AXB5^!>{j+k`)HCi`e~E~Do|o}&bmHHRPe#3nxHr4##6Qz9?&&`fac_2UQ2b;3 zpq}H05#t8+96yZC_z{oS(_`E-zoPn`==8r8KR}${gZE`VHN`Hff_BlHznlK_s28sY zdOm-S$FuQMSHI>wXQHM{8CKMh5X`ZNELtT&!l^y<(2*U;Pd$1#4{`-8-H z#`gz_&iGYVzx%II@9=Bi-xuN6?4skpw*Jkb-FN@H64CCv(=IygZ|M*}we)B|(?@pE z{yeYfwZHkVp|q zBHwmi(aFD+6ZyCDM>5~Ei(dZg>X+RR>V4URB_iKu7oGesLz&rb%aAsaI@(1?zx>rf zw;bPrpxy|b*+oZheo$}bKa%xEyXe(lUA^TKel6dT^h>+w`LC*|+366$TmoDxxQW*43MvvkO}=_8qM+C?w_OFtII{iWB6VcciEa{Q0Sv-eNS zRtDX&BgLR&zob4M&+13s5Uy3z{k7^5v=gs&qiDZGTno)EI{TOF*59%lV!0m_W4Uh- z&7Lj)@>d7l^3%ki z?^R;(yW&jI>{&mSzEbfR?P0DX!Z#gXDhbmGU>4-xma{wN+1)*rclDIU+ZUtK-d51D_i zKPDpIW*43OGaaA%P@jl;GrQ>2-%#|38}lzBZtT3G6F-(tI#D`tkRJWd{716?@VsK% z>3`9&to=lcJ3KF+Ul^V7Cmye-uMt1H{~Gy3zPbLKhCnEW7uoK#i(dN=MUS{;{@Wtr*3K(B@oVX%6QvUe>CykqzleTs=M|m)Z|S5H zr4t9}Ic`n2{cQadyO`GPDI?B zU3B7~={W9FpNMudyXdsvQ1pmf^DiQ9?YyEBzm`rqQ95yu9{u0^N3!4ZyrS3t&3{dO zYB#l`+D#nLejInL{Y8ws%ond8MrZtu$EU5g-_Jta+wV7uh7)~-69?+iZ_K}leq-kq zo&IC#q!XnR2k9~Xn12!Dj-6L@#ve;3ohY3+K%e4f8u7F0LbMZ}U#|K_iSNW`+C}I2 zXI;Jh&L;e?{ABQNgkQ6Zj{n;F-^1@cDDR$A>=y73yXdX|FkNl^>CwM;5aS z_9SxMoOaP$Kd-Cj?}X9s%KN5BzqE@3{jZGq7mp12=kq(upY!~nu79r6TK*57qU9TQ z(aV2b{hE8>7v@%s2BTdiiJh5kFS` zNXs|uqMQGkdj3vZ6Zu|!S&7KE*+nP+t9}}FVYv`?>pkF-}@6doV z(VHLCoB5Aqz0odu^;cJK`GnuK%zq^P(k^=bYwOv5^vm{(G{3NmuK${P{!X5?`#vSI z-Dww{_T%sLQNPD$ON7qsqN6uIDEFT9AIWmlE_&s!tG9f@ujN0IerXpy|8@0z&l>BG z?_Wzqy_sEf>d(?4-=>dbzG)Y|{MXg<{)zK%-hU-x-fec#nST#OkNZaRFJj%n&MP|W z50*|kQ95yep6hn>pNRWjo|oUhGdlOb@pwHw?)%NZi2Hs!ujt(WTRQ1P>BK>L-2a&W zNZ$YOyrOsiWBzOCdH>9~<9&A`;?C@%6MsX|BW}#Uh`6!yicb7kI_X5|!~uH79sMUF z?s#6tpV5iGc)Xq-abx~P#EqR-bmGU-NheAt4$>oj%zq@~j^`D<_%r`?^jtr|x~r|f zh)2Lb?V_{(YU!jCr4t=J*BRLEw*DZZ-OVmK?QiK&@1_?~?`9XB`X7oO^*`cyq5Y?=ui1W#AHKJP@t3%Fh`)yDyuX8b z?th{_acj_X|5QAlUH`7D=ly5*lyLu=cuBZ_<#!6=@vQ$f2L=6_%_Tx-cG25Ei1uT- z*?x&AH`|}(H#+;jxX#0TbNwTcerXpS|C~RX4)fHZdU0dai_Z5~spt1r=|A!Qpy&HT;_+?KmiwO)x8gJHq8GnI(6ik1 zpNMkPKgS=VQ-1EBVcc;4O(NpP?4lDtmJV@adJ%DBcF~C+reoYtpNP0IyXbuXdG}uj z9rsUAZ-mb5;s8D0UqD?V@=ZP8Ut@IgZ^u!8rWa9fW*43M!{gp)M%r1KRM}m&uAE4ey9qpo{=ko>Ap${ZNXLixibN!#Xl?Rsyo!Lc4&;7gX zcijJ*xD}si7oGk$3_bfD{U@T|@x1JRMyLOA|1WjiKb#1i*~I~RmYe!Sl$&~%-{_P- z9-qGc+wg;axBBrC(eJn~mE*tB>3=-Ven)*G`kmQDr~g?x^gGjw7e>A4^gllTviQ5N zM8+NMq7#3s4iCCjCzlAF*+ozP)1c$JJ@a9tj&{+}bN$+Mmz4;e*+oas-=C$Pzh9dO zo!Lc4&)-j`j=!Ir2%XtQM?e3Bpqqa|iO`u{boBiFSo-Df&n7}=cG1!E_is)2^%9{o zyXffo`@z)n_m2~yGrQ>Mx&My+p8NL__b%-F(;PqI@$CGG$Jy_xPuv=G?EmrjF4J@W z9`nupe~HMq*+pmkVmjuV`b6a0?4mP%)zx$V7w0|PKbCkQKGQBbZ;EmEXo-wF+C?Y+`2AzXEx*5<2%XtQPya;F@%xk18>ypR zboBGL2i=0bN`%hrqNC^jImQk5-z9FvXWB(4euklE+|z#|;-2Sa|1~=C&;46$ckcg6 zM7x_^blRWkc-^Ev5pidB(TP7xhxj+Wcwy9wPWqFyENJviwHo8+l;)P+g!W9Nzq`b%@R@e;%)Ec*lfQq> z{3mV*`EQG3yH?*q>|6bb_s04YulD`d*4JpixsRcpk?(o9ekUT|W*43O4?}OiUk?9? zXg8jh?Pqk_FCMr2Pgl?QxAKdKdn=dd#Q$!4hwlyVc8rK|6lLM>*9My1?SBo#KjSA6 zanHDJhy&t3>2x0HL`Og8#^84j=07}-5jwMryHL-1reD^7BK(?Nbo|%V&v|dS?$7yb ziMZ~YU39MhbJm1@Gv}2hqTiTZ^!m?8@H=;(65)3)?V{sPL(z{#|02c>JFn=BAC^u!Q95yezA+yBC+-{k zH^gzj{2X_u8$WC(j(cpsMCO}z(aS&5nSZuF^FcChX&0UNW&JfyKzg));sy9jyLf_M z{-o1+q!S0}Yt;YT*CL$Ri9pdC#+-E*e;{EtcyLfHB|1%x$ z8>vs+81#Jp5szo*Pj-BB)QcBJowzygpYt@X8|-#WiI;@=8?T@7c-H@J=qILsvP9}= z7oF?xJd~08dB>Fqo!Lc4&+>N`hVm!kUeN5~!hHFMp>N+9{3kvf{I|s$eg8?P^GGKS z(r=3X#dD*7ank_*(uvZE1N0rVALBO>?Pm2V4r;#|dhTyw|Kt9bMD#nei%$PzI`%v2 z6A_bU7bo-eXX!Ray?9~Ni%$QeKJDAlJ|6Ac5xxC8)Ytj`7xeu8Aj_YK@9i;P{QjWP z`Tkx!K5aesKXTod`zI4&GrQ>2pQS_lnO;P@nO$_+kLegU)F&ct%q}|dGxN`I-NnAK znMaq1ePd=9o&95V^_`cY-adi0dQXYh<1_8zC-U)Y>3$LQkcCXZ}U>J3FuF^uN0G$NSXcSj$UnRfBm zeErXQa~MBn{auO3x7kG}|4hgIlhh}o-OMgJ?Puu_|E3oa_huKJ_^+$yIw{xrxqh06 zxs2Jxz4P_Qc?RpB^N&Q-yV=D7^`GiZ^{9Fi2h<q`4 z@w58d7%Q;;z54MIvF>elalraFpA)hD5}yt2SBP8l?N@jGed70so73>VVy;0A4G@{t{B!)~`C-q- zj~&OjX?hXkrrAYj{H!~E&HO+ZH)mc}BF0U#i_Z8tiLsO8_e|75B6MaK9ev&MQ_t(3 zwZDk#p4mlb{cafg)b5G{wY%uFf8F}4d;OgC>o9K2UOq*R8?=kw_+jZVZcxwh!-#Ri z?4mP%)YaD=Kj@$12J@YWal`DQGky$1&-kJLM8plx%kjhL#E%`vxM6w`u>a9$oDDGUt8iO_)NR#JU_7ey#0~(J?JkY`jXkj{qyx#*T2;h+TZF=M7x_^ zblQI?`Wo#wwldWF*pVfo-pwvL_0Ra_xW)KS#JFX4(HXyL>t7x6Jp*evj3XoRJ%e`9 z$^Xa`LC4=Ou{b25GrPD8^(=quo1y*^e-Y}hC4Ms>zqR!>>(AN`8qTST{Di=&T>J|FhjWek7vZ%`Q6aKMXzl zE&V5=-}1bie;A$q8;?(0KjV?m@5bkp$bL(^==8t3ddolJ*2*s;Zp|(_@jDbf;?Dew zh&wy4=)|9;lTMUQbo6%p#=P0C-y-JCW*43LGt)Ir4*EolX=WEs&W~SA$N4|~C!Qbl zZPA(kn;!FS(~BFTUi9YQ^e>$#oj6EeBmc8e$K3xir%@u}-t3|i|5ncnLirowL81H& zaY4TRC*F>62lKayPnLKFKGQBb^WS0US^xB(hfih$ZY}>J>eTF_6TidI zGj1*aBI4HaEjsbbeFmJjbN@ji=Iv$|o%wrRz2#H+7L{+&$-n7qv|o1})(PH<*hdT| zo`cV{i*I$}_xFg?+r#=t;%`y^w2RL3Z%c>gu9JHry@=#P9j=zKP%eNyL4V*+u96iTQ8-F_d3y zp&wbfB4N*tpUs!U?`=58sU<#%&$NrTIr@i??*E_-Ca2g~1U>8`j=TOl|2gFaA@$ z{+Q49{|b7sGaB`gu;<$kdR}*}{Y6}Nd0t+Bjn4Hq9EhVDgHE9>U{>S)}Ur~NV z&p-Pw+MVN9BHNvI(QALCW870OTKrSb_z%b3_)qPwI8eKbUi;Hejr@1+N87y+^W9E~ zxSp6@e4*2Rb;}Pu%g_2tWVvYjTDywQ_1Dr#CrT#{&@1l5osB;mKal@K^c&`j{l_?<|0Es9AD%}# zae&^gKkPqt_pa{iSX<&R<37%r5RieckmNe$S!K`(wC& zg!>n`|0EIPkl97&`SnorxXzh>@js$}@#g&aXa1!Vr4t?flFLK8FS(;cw7c0wr~T{d z7o+bp?iQo3C&I7UMaTc5mj&IT_ml{o*+oY``=GGzd-i6ru>Id0f4C1k9?#AnX5Slh za~fjQVeQ@Y;doX*=e<$)SuyBrT@wD|@vMICNYKsQM+|yfKY%_S&+9LZy6=fmf2nBp ztbX)kK{tA>7n z>G-_~+rJ&j=P;&^^u9k)+!^!zOo_}l?V^)^zWajZZd_0zbY>SFefOrI>pof{bY>SF zePesjHTNo!erXpS{n*OT?qf%aq20NEhV37ZXWM`5hNyc`jJg{{vuE`)ULABZP7{NU z`(Nlk9?$C^3A*t)V$?k%nmwx@KQrpC5`%91OwrfZjX#Ui8u8EjbjBa=-xJa9W*43I z=Q*`xr`?P#xtuvANrd{;%zbWY2=avXv zn|5)4p5Hs5J`vX~>bZZ|=v=?}{XgcL@83(LU)n{-zkUDWBhcge*%GhDXIxjguQ(ph z*8jYXA@1iLCx*DUc{%!DJf78C`@I19JrHpqz6qZh7vc*Bw7+zsbm9Pg@uT2B@sGiO zA^ynspL9BpbfTm0oFCim>m{Py%q}|ZH}B3^@6U*_{&D@`_!p07>wo?UK{x*bG3faI zW9sAatbSr)&`lgH2HnI$(d=10pK~*AyGNCXa+_Ur%0C~c-~Je~x|bO9y)X&%5|>B8jQ84miS71rd@QNfAV`GR)2Su$aO6mITo>#8ff8Bow2NN-4?%DB5C4f@4gT%!vw*NDqNp~Lp=6QBjzjxG$n2XqX zMeq4B#yLK};QTxB9DJr-be><>bJpvT9`SGc2gq&sjQCHyK3{&WAF=(p{*;Khh}lKw z`D&c!Qquxb(ehj&p)78~uzRdWueK6z!(ZA^Jf1&@H`V{x-2Rjk}Y=0|1 z;wO>yM!V?M-!SwnKmCiWH=bAQt-n^$o!LZhectRA(v2W)u+G*%UlsWrfOZk~^7!{B z`r^L_z1aMVc-~0Zv-;-7;J1bOBmI-z8TcD^(euxA#oq><*xWtnMcA|cTbyS>-}%rK zsiR#Sq-VaV7nyJBMKAvyjGfet963emXcq_RnSbg<=G)F2>E(Ym=3i{L`MB1IY(LsX zul+0?@=ra>L3U;bz3AnC7S%u+C|U*{7Z|X zI1BH)dt!=>r%?{rMI6uihpOmqE{gTPD{%|0_$+Sr^q8MNNWBQ*N|Q&LJ?nqs)uG(8 zaqr0TlZ+eMMX&r!$NHbW&lK72cHT&@{#j40gF^j@^h>)4dpZB(rd+$@dSl{6 z_)NRFS6*-H4!2;eLYr|7h~(N0?IP@1|LguY(jjKo!&f5up4r7?di2Z6`M3SA+v5J& z$PVlO_lUp9_O@N8w|%DYYx_?l>6doV^IunQ@Be~dzJCgSjPy&p==ra!w|d5Pj{C3q z{zKzCWBrQ<`1Q}{Hl`E574@RCer5ip6QvUe>6LF$`4*l0TRTI?_GkHx(3xEvq({C@ zCnDdb7oGfDI_X5|#6f!HTU5S9C;t{_&@uj4ej{{d7YFH)Z_|m$x9LSE|Kl4lZXAW{ z&Ra@61D|OZo$ue&)z4@JzcZd+BK(?Nbo|%Vk6aGF9b9kkC=uhY*~L!2{|!ZtYlZn2 zaUX8y6^|R>Upi4b(b4nyHNW>cdVGm^9$b@1E;#Bd-58;`%SwL8#;UN1&ho z1`c!mwHeo6Z4N^H_NWiXv;LbGMg1*e(AnGt=ZVL&`nvJc+B^7d9U}(+ocpl+@p#sM z>$a$SQj9vxeM}#YXZ7t(LDxQ43_3pdp*|kZ>z@v~&T290Fpo2RIG)va&X2mUi$TZd z57fuwS$%h1&~=XzgO2O>)W_p_{Y_E#s2FuOiDu90N8S*0BOeljZsZN(0R0m|H@aAi zx+g?m&-Vm!{dd7FC0>Hhw2RLAFP{_hx!?FzC1Tt%yXcHxb@h`!4dtFWCWdnJ`2*W8 z9?#bQ%nwA}Wn$3r`2+RwcvjE+cYYtrFLp5(rCo%5m+5EC3i+P3pBVDZ=O4^}Jf8JG zYfIGKB}UyA(d?$5K#?Y!xI69c*2K~7!bZ_4x=R}Pdtzzlpxf=g9~KkKFdvBjO>m8= zKrA)iUUV0C55|Bn2mhvfruNM^(^EXtp|7fRrpxc0ao|ap|M*YyH0M<)z`4cf;_mAY zIiy;yvgex3zSgjFz4o=$&XujJ^|%+sx-&|MKdi3CLaon;cb%iNSfeY7`K;MBzeIg( z4lG_;A^q!Odaz3R)t?CI$tvl0|F@8ytdxF_m>#T>e${V6da_FT<$nn2$*SqQhvC<| zsk>uveJ;B_oS&?6ex~F3O;_doFN)^}E1e(d?EKVKIREl@g!7YC&d+pKKh#w?|I&XB z=O?S2pXqph(^WZtJDwk`bbh3>^HW#h{7c>)&QDf3KhyF2rmJxN#Xkz?C##&F>3DwA zRXBh5fRLW7a{fgVAwOi*^vsXxD&%J|^1<_s6xS>^l-z7*1vRr0^vAt60kDg94kdaz3R1@mKmz^dsP z57bpD@8~~=^OKd*w_|#+O8VVcPUMHIl%Dm-^k9|r)=n%h+N(AOD)>B%bT zJ6DACWR>(|w}b6ndtsRMaTeUcvwhL6nYRO=_dD zJgZdtK3--l!b}vCb+X;xOCQzv5kW;}R6Ti{{|}ft{|e8Gz~(Clmu2FENRM?nvbbq* z`gxy4`fp$#Ay_3nOWVMD9*OUN_p7U({CB>F^k2q$A@o z%tK~cc&b9SjQst*mcJ4+uR7)mU`T ziBE0M>6^z;Go|#@@F_3;8=N~U(~7r+8kzqCV?UMZ-)gdn^aVx^vhjVi_@|~={7Yr8 zuSW(^|MP!j^t@UwccYiT#5L?wxYPf6UoXm~rJwl{q=yd@I}Q4szc)%uHUGO%9e`Ys zm-MLm-r2T(5=KUHhmo;w`f-dOyi$@?(zo9i(vwxvH|K}+WR>&{^daVltdhR?c}P!I zN{^`L`3ta0`qm*KJy|7v^GhK;Stb3%tsy;GCH>^FAw5|s{cmD=uuA%wp9ty6D(PqK z5z>=Y($9WfNKaNtKkMJ(`N1mbXa6#uAFPso&e} zhxBBX^lN?@(vy|azb>W+tEA_OEXRMcN_wuyGCf%-{T?wrSS3AIWO;tFN_vYM#2Z;9 z-YjmAo~)AI;s)u-D(M+NjDNC9dd3galU34N+#o%X(G{WR>)*W{31-mGmpNAw8bql2y_-u0h{_2LBcs``rQc)IZk-_+5;V z5#zx0%fE~sh&!_7U#@mX#`J7ovP%A!ofXoPRns#+%g(BnpQWz}=O?S2pXqr1rLU=W z{w1qI`X#HXr)T|8m&s3`JJ#ikLa!tOPBph&?Qw4;uURuRbls)zik?5veyLik|Iz z~I5`{Xv3^BzReb)H#)?t1;CCT+ zWR={_UW&3~6@BEt8vA)sX)JdmtB?*Q?;vUt@9!I(eQKP!VlO2#H7;*y?T<0XHNYw{ zH|wNOcjV5k{V`Wu`>VbpJo*}xWi+%a=+#_UVf+co+{9J|26pDh_yBEk$*wt&Bni9PO*I}Jbntb zeCuGZE$p+iweq`+m1?>5j!8}w+zU|GoKcch`qlgwAopuf zcVMgXN`HL*=jE!mEH=WlQI0nA2ewW}x!!0TSaY4TknS@`4>o??x8{1{hv#js4d-uc z=$pPz?o2fqa@UM3?(3`m6K`$wI4e!uuaCjK{DiD0J>S*R_%Z&ypjvNey$7Yi9Ha5S zjRR||@m-YRMx+Ou+Xm;K`^}sCBR%=6!RcFHLu>H90&HAcA^qPVEqgNh2{<_aST|vL z?R$7}|8J%~Evl@X*mG+67vuNlGCJ#7rb8r>#h3f$t2au5iv6So71)h$XUKR-Pu4mZ zTlr+oYT;8;gneLbG=B$QheOYAX;z+VQPsMcs)4z*Qt^t%@9vU~RfBWIbgh34>2W3K zSBgG$H@+0TH!cWFOV&FOYf;S`chkxUO{e zMOm&!+Ls&q<*lb~d0x(q$;_OhPp-JP0=cn07RcQ|FWonz?B^lI!75if&b(X4h8cM0 zp26pz`I3;H%%tyAidpxEx*GpTwYuV*mboG`UV7?w@(ZY2l$y-!Ea{Ugrfy#zRGGT% zqni2SpdvG>p1d&~^F~(B+c?^sbFmTR5$xCQee$NgEM%3uF&*v-0M{=(VQO!6h_GG-p4g{|4TW3RbTNrsmEeGP9?rPd&`t z98_dR)sr`-W8TP2-g?rrrno{c@D0^{a0-IsMdWK zsx4S82Qzo<8C55$s^7D6FBE-|)qOEF?~lnUckL^9$J#`RFh>LXMRiYYuh@p%;JUP8 zTXy!+lb-A5OuuS&HhrHOQ7d-#&Csj+o`XwR#>X&5G_o>yjp9!@}W@@#MiqF;97G!l5pQ}+t zN;QII|4y?w3HuCJ6qCjN#bW$DQS4@aFDzzvyRUoIOOHP6us6PF(-E(KUC|yz_r$Km z-tXvMhY|6QIOhXXY^}#<*hTLhRs4Qp2Y0IcK4K!TRkVwzhkg+Kx8t{^@Qx$Y_8stx za*Z(`k=>(%U4-5GQGb0%J9eFjdfE{F4z$0^`bLlcPes4Knqv2HXkZt2@V|UY4__}2 z|Hd%;Cj)4%AHzi#{S9jAOp0^bpwcoja=E;_$4$ae}AZ$)~nwkDo~{L?Oa?^xux zQ)B$A`;G+GpS=?v@t25k!0ck29rx?MT+`uLJKcAz(+}Ur!1zzRAo%C|8sc#`{*q4T zkxo3piC@H-=@Ea4)X^?FdiL}7T$KOR5;4;^yV(1Vz25#`)Bngg@`;)F$i5|Bh|jc( zoAc$jbdympZj5?yGOw>2|JKisLfx_diyU`RFC5$A@of7u|HZW-|B3g9{1;+e1ML^T ze8)y-Ayz?-FY#b}rd?c^FF$|dhHJUqPKgggOS^buUf*4ZSiyMPMcEUN#An*Ym3e*L z{>yifSpU7H#7p3tb}_E;_NzaeXRQcnJK_=HTpe*mKL2&=e;EGRer*3lv>Wrq_A@%| zS2uo5uj`(8FMKopMd$j*@)y^I`cHfy)PEsfm#=?|(@jX|^h3NQaKARs$ev$t~-uu%oemviPHhwVn55zz5 zeEdBR|3v3E;$#1qcK@;dkMi62E27+H7oGB(f7GG%UlDa_cF~DnrYpV~^ohR+`a*Qx z(PjBmzD4C*bn?$QNx#i~eTbvhwh%vw&O5g2>gIDT|cZjK*`D7V=~r~GyMFYCXt zB(z`RA));m;*xy)kGui>!~DNL`WHVR{fqC<>ubNG3U%MW zGgXd%iCF(-y*9*IdH?(^Bc>fYvP7KA?4r|tb>9)k_|tu}sQYHoyMJc=bF8e>{~KyI zQSBx=?Z@jJKhk#R%2=*5r4|IV#n_1r(y_0REx_b`&RhA8$iyS?nRd~czYaxzPxK$jc?-`gdh-|a zUssR#v+|2q#PW;1>!&^OXa1!Vr4v2<6QSRXE}o)|yReI1{~4*NultV3_B`|(&6~vY zVWVAi=1mOI{~AhVlBDi0g*gMd$iq;}@?%96v=~i#V=|&iyajpMDuX ziS$dm==kRvLj!Tk_2)#yt=UBKr{k!PJZ*4uwUR)Q-n)pDdi>mj3 z95-$LCSu&Q`J3pBpO#KKQ99AlTRmg^wfYw^?wVb6#$Wocv;Jc9-|wUCxPB-82A?r6 zX^YNpOgA4w-25%_J6Ylvpr>8@+x+~i?mJ@JPlvYV`ZMF-h`2Yq=)}MM78`Y6k9rb1 zvx|`W>}(f8+JJen)G2Qy9OWt9R_y{f$)qmM-IF^x6^;H)a=| z_^JCFyLEr#w(j#I8$a29rg{I&>nyK3)4hLfEX6o=CjK2-;#K&YcF|eCvUC`OO&{4r zS!fp<@jEJ`DCVC$|EHgt{_VH9kpIN9gMa&tE}S3s?EO3QnRIUo$Dk8! z-vZCOKI8*-*S~b4bmAbr-M69qiM(%v|F-Ddzj2+va~#_0mJ-jyXWGTMXFk_{$uI9C znGg9D9sgGU*9Tqtt*vb#|1Hs5|6)DY(Vq~?&1ZeA2P4YOXMrrg(JTLTNW=JjphWf? z+C{JbFx~z5M}4IA8`wp+{~(>kFZ79wTiQi0erxJ&{l7rp%t!_f1&r}-7ni2g-q z{|Wuq(epWz_I-#fw>^J~^vch9GW#Fr&xz=_W*43Q%Xxm<_j5PSaV0))2Wc0b{Xf(2 z|0lmu*ZdE}%ah1+Wcy3_Jc2riguNU;f1+<}40^G-Ptc37XZ3B2vjytUeq%1O`9k=H zUBq!$&wQ7EV~)RVM!o3ezlppT7$;h|e-P=Hb`kche@h4d)H5F>{n9Ra{`p&U#aWo| zoi@eB)6l{$;&|3SRQ4NdV>kzYYt6WYR(uwF_doQsU%?%t4^k&Wh`6`^jAqaJZ=Ddz z-A3PK`APn^8SSE1ex@t_KIlZ&yPY=@_N;%_Q|q8me)k8%8;{(#(|HT> ze$f}7rL`r|m#ZNdC^ z-xBY_XWGTy{hLGAw_Cw~;`4+5w%E$&pW|!;dFB3>M3lws;<%%q7j*}gNFD8>r?2ZD zdfPX4cZnN9{@bFne~jg;pnviF=wEc!U(LUCqI9C8XPl*WR~)F_MX&wQP92PW zeEyzzIX=@ap60iI`<9?jd@ShO;w`&Y-&r2>FCHHAFFN~gdHrtu7JdKJDK=N(GwdRc zXZ!z9^cP3};;q4dL%eu^f9XWkKONB`o9(ZBfO0sf^Er4t>!#hKboRJ(~@`#pqq z!#5~x{Zo7e@=v=M-vm2~OUjP-|D5Ns-*VoUh<;~w(dmD6^~@*x4fCIfeq(mg=|AS5 zdgfn*&g|kY)Yr|w=~@3QzleG_yEvf!Id0j!UA#P$pW_$n0mrlbHy)q1zHa{Q`61?c z_WV&i64&o1PzR#-{OJuy2c50IiPX_9dV16m_iga`YvO+ROuOjp-{Ads<1lorPKhU> z&S@79%hx~0PyRN!J^v8#eIm1q@%`Za`Y-cYTo>}6_&~@%pFhRp+4iriubY3!vd;Wv^b*A*& z_J|*@W2N7=NBqR&+49%b+w}|M&UEKbwob}?9~#R+GT%!}bmG6R-sX>+&`-^KQ$Bu%q36Cm+dm^-68v{W=lP5IzcJ=td^qM`boNhE|D5|@7|Smn9Lq1p=hqWq z{<71*ao2n+%J?{cx2^6k(>33ZEDY(F>G+1qVo1MC$9G6H-@+0egRq^0f77k2*5Bhy z_A7p~9C{p+bubl4lOP+NR=5}rN{%vG@sUhYKtBaMU8KVz|4@Ryw1aaG$b1!|{*F|P?f zV88SCEd>;qyRj6=T|brDB)!3{{d=~dViea%5o5R=7csW?%S(v&(k~V<*2m7Wn6H!`Ik5CVzw~`#aP-8G%g(QjRaKZP zu`C^26RKRF+VjzBm!d{7@c{dI>FMjdT5`M|`C4|o?o$@_i_X=^5!mWK`u0)r8q2r- z7<=!N_wkz<{$N6CH>C+;$-V5p+3nf=WpTa$NDF$q__8- zAU#gL_ExpLk;M-w>T=hO9rXRo3ahsotkk{~tqM z(f-^sF0$RZXI%8!-{SXlq(>d{ongi+K*qIrdOm*WM}9^56+Qp#|F&lq<3}R;t=UDV z|JK#B{nEIpar74<{;Q0i^v`Dn9RI}D`jCGS_H6u5qyGE8vu*Nz_}_x}rGk1_n+SW^ z{|LHY6 zXWrQs>Mhov-qj|?wZTzjZO8cspLsMM!M{VN*qnpESs#%&UatS%>mT*!MZNgdpvUTf zod?HVJ)gPJf8yCe$7gQL2kg64Z*dR*qQyP^vLCP>ocNE&E&tQi*V%K=eRbUH&U@xW zw1e42Z_hi*SI57#&-JnW#BH(NL~s7YdX`R~0k=PCGuf9`oFx#yjB(cAMr3_bVkn_uya=wEd9{98Vy6QvU!J@-7? zUc_7id6VRq5E|FHH$+gbaG z+hhBQ&UZGrH>veOOe{Jj{@?IVyZFIfod45e{zb*T=)^zkx!4-&Kk?a6|An|Upa1r} zQ19Hc!umI&-pwvL^m%g;UIjNil!A%3~%JRZ;H->x5cN@VxX;$!%H2F@osdp3D~`(7aY zCteWz+MFUB&-$;cxA6<(zO5gK$h+A^XZ)|L@17FMoxWdp2|lCzW*1Lz;{S`ND~%hX z#tm`6_`$ffJ&)p5IOqMyhj?b*f8F)7y5%3;ihORydIQG_@j`s2UF`kNQqTR9rJIa; zabwhrllk)3?Z0)$Z|1+aHsn7MZD)4z+I;@Ge!+K^aQ!Lq;IMwdcecjk+5Ttq)EhC* z-GTltK8(+ov- zce?LA4}YV~>Av@?_&XfW_CH={nQvZyMdq7!(aS&EkI%iHtM7dA`pI1;y#6LW7OuaX zKg8qN@>~9)xBQFHnO$_|A9eM0^KW|8zm;D+KbBi`oXFvYLsAD@qNjfs`pGP$|Hl&d!)MyXS$Y2) zfB2md`_75TJu$S41HLoTxEOgw{3qUu&o9Sk@#4IH=9A+l^Ph+@#O$Ioe%94<&5F<8 zxxYT~Tlh@7=sbU)SctXu$8fzlSVTD%;4|hVfo9M4e_JQP`n9c}h*-BXyXdUn4MWfS zFZvgG-(}~G^zOfyPC9XC^t?Vim){xT{EO>fynjqY?3-O2aQ|=lKQxwuL_Bd_AaNId zXN2=)#wzb05)sR07oGd>Vd%Mkfc_IN3I6$=5uP9RZ2X)58-t$nI4j4)LC5)bJnrg~ zU*$u7#a-uJMTQS`jJlD2|dTZ4(9C~KNB%;H@oP}--n{dywUte za^A@Eir)Ou{MXf=9{r0~#PW-$=i|@(OD9SvdionM{yh$Vy#7b>xj(P}qWApY<_R_Q z6W7G+&V6FM?p!1K*PqG5gKqL#dzI zR$2Rr7)#AAzAN8;^v~|DtpM63Z9zk8#Y(p>m5( z`RhJ^v32f;;`(=_y({m2T#qKvhd}T7x%Hn@V*e8_iTzG==1+C^UviwQv;Swt=TJt> zA7*@Kikx@QE_(Biq3AJhF#jUv4R&79nLk)M=|t&7M?cO!(khDax0LvNe5PG=-Z{i{ ztt~;HcvsN3#NPdLJJu?;m|b+nk74LJ{?UIT{^of({u!O|FCMR_N8Fiz5pied z6`lCAbkd2^i39ZPxAdQge#`T+{~Dd^xB17oVfhy^ZkSzk#*cXZ=hi>QUn{?eao6%C zI^(aUlTMUQ^z@Hlp0yI=%$yRBgn!ya=l*fVtAlO^)*yKvBXzWkj-Knx)J-6-iO`u{ zboAqA2Hp5oB|>L*(b1cq$D{v9u6xifdg~u`^;S>tYxNdMzqE^<|GIjsC-}Aci=*GX5g1+=xHX^Ium#c0*V<8hcR0bp-21V>gIq&(0raygKM+oF)bx+lA+e z$MgC}f^K|{7BI4?e*Da+yGjf?whQ&~cvj!I4%g|u@$Z2WkHO!xi_ZQ_uK)77 z$@SwzTsO@wI@ix(=y~0=b$9Xk!GBwHuAg08dm7`o*TS_XabJ9co%M^M=#P*7#q*Y%OAqONZ7OaXFBOb>BNEhi=%(>*5Kcs-}C&=`j`2aPTU#&MX0Oe;E!!5 zo`=u0i_Z6tEPi&b{(Ii}&UgFsUF|*Z{QqD040}7$duKb-75_cx#pYiGy$E}`{d)ZK z-R#tjV6G)nN4q#k&-_y_@*P3ci(dYl8{zjHwEx$qNWZj;IG)YFrGtO!>7S%u+C|U* z2*#M=EX)@#n_}Z>lm~VZ$Fu&SvUmMYexbxIwBobad;i>1|9a>Dgq#NWWCca;&`_Ftf$sNq5eerrCo%*tk>J~!aM(O zf$z8A9D7f(yAHl#7jZnRpTKpmiTqFE{TO0vf8+;t5%#RU^(1uHps#J3BJ)DKh~s5_ z&-x?tSU}r7s>E|c-V1TlF4Xh-&$>c+6LH#FGN-+Qiqv&?=Q2W4nn5b|t9S*reK zj+L`U`5TCHo`b(Vkx2ivi_ZE5uM?(Y9(bOZ7qdejk7whDF-9G~|C$J$*+s`cf2+cD zh}T5wXcrwlGrQ>M+5f0x|4W3< z?4qZ?De4|AkviH%M?dn0pquV*&hq&=^F7_)jO9K7(_dR6@@;m}$v>Z;Q9s?^T<3kZ z=^rc+e$6gA{&}BGJ=aeXp)gsc(epmrbXS!Ko!Lc4&-Ekfx&D<1 zo!LcCe@)cgS0Z(^i;kZAWaxMDAF|<3!Z^ltPo{gWnzd3IE z3)qJ{xMqv!%VrnPbmm_-g?x7(6+^!5duhmjHyqFA|GECn-MYUST=zF~$A5sjJ{{xb zQzc%3&$Nr){()iW?}`2+x$lDh#m+tX_P2c2)vt*D#Uo<*MQ8u3`IkWn-@Gk?AiE@$F2RQtLOJW*#3$81;70M2hR_C)<5^3P{;i%iO`u{9H3{usZT_? zsb~3(PWj{UT6*q#w*5CE+KuPs_ZN*$`^Dpx^!QpD-+#38@BIHQ@-mcn{*QhQSs2ng z|0mvo78=q!|0lWP^UGog@BDAC#hLoO^S}RFV{b?5&6w?iRo)WHx6E34uu6Kq)s^YV zD(N}m@-3lcrSuFbrU$E}FTdrtO8W9!dn={?QOHl#x84>%59!G&=ik}4y6)^-Z+G^s zt~>kI*qwb#Zojw2j-kphif9$C=Z(~SJ9P7vhzGE7Y#6n@^yAx*?j(%z+c10be{sOx zZqM}mod`1XI}ttknepY2o~)Xl`I+(MY<~K@8+#n%G=GPJZ1w-Oi;RlDtU(ptfNoc~ z9qOu&YR01Ai>&U8sp*T%`0A;*_RVO=`KUXvaYWy|^`z&UWK?WpsxtMiJerrW zvqI#NnaJzog>Q7H7yCVw_}0Q5Vxq^($nD5Y6L|`pLPDe zzi2Wtf%3d>aK0MfMSkcD#J3m*)+^I-*C{#8Uo_csu$N*RykH$=SO203-+$G4f8YG{ ziE;Zqmfs*(FRxT^J_NQZ|Dp-UAU^No*a8mB)d=n_ zTAxJPuNnKL?^AYqX&sI>r?(2fWn#b1@)e|gV^Qh1NieszU$7=Kzh%-VSNtUi`;`%} zb@|}3TkrdD?6qI*o4$`1=BbUlJhJs#a!j+){oTfjHO%(IZ*5pRcH?_1$KbRy zW9gGOyMEzHLUra!(vvsV7{B#FR;uS0@wZPV&`G zGjN^dy&75NUWDm*?`66>dUDnG{1eX$=O?S2pXqph(^WYC_&`~5=9$d11_vSPy3I5ySn}dJ;ida0J*N>x|A3(Z&OS}x9X&2+YK)?2@`^28@+(3ER{)q?TZ`#Fq z`SRC&;=ym6H@b+|<4b%2KGQCC^ZxA{>;D?`h4`bOFT{V%>uty5N72@k@F#v5?f(!y ziyzJF+0Pp9g+KJa#Lwb0?c#d}=zkFP;!{yC{=nBW?yUcdZw`9KpN$Xu`1<08pig`- z=nC-$M~`;m8ynbuiTC0&?c&vWecc^1+%d{KI@@tBo`TP`i$~`DGoPK)LjDsmc9>ms z-WbIG*TTQvNZu_yEyneI%iI0Wy^ZFf+XY*fo$CQnapA7!nBF97It1W&qUw@Wv zpQsn#9QC3z{?^^`66z46S^OyO zM8%!x#9!TS?6q#gy+8U{>q)V&okra8${k1Xc((u5-7#f5i#~v{x`Xx;5r<|Mo%wIw z9Xoda^EdE~F}N*m!RMv;EdEWt{n}55dh4tfL%p&6S%2|(x&C^-vCzH zO-ZziuxItH6N0XdYd7^|XEXkWUG((LjX~F1Jw@th7d<`mS^N=ck$P)xq)B_C)A^~C)afl3>)*u235~2oMAgE|)G(1E_B&bMC9`Mu%3Xc#(MJ0mR z0xCg7MWYC)h>;*_OOOx(!~qc}j0A~~$N&3Vd#}26E@$tmbE^&df8q1Fe7n}!tM)w9 zuDw=;^gEF!({C0t=nqM|^hN1O?#$^A?+NLix^01jw{Rci&RqJ5)htf*E^tV`jps?q zwKHRJqHsdby=&RqE`pVCwQovJs29>)*~r zU%d0P5@xY9J*4bkfZnAQUd^@S&KHctKcX)yCGFkF!oz9*M(#vjP5+2~@lxvP2L8@i zVE?!IH*zPxC-r-uC*JJ|dvJvp5Vz#c?bG_oXYmX2`{V_d`HuPL_hkIVt;EARqpP)3 zWbez|3%L_{T3;TIzeD(aocx=zD<0}Jc~yVW7Z-_F?U(v?`j;A=i`wrZeeO@2nR%9z zwv+#2UbbcV_m#A8d;! zrL%l*vt!6d`QGNBkPm)OmcQDs_FdI}OYX|GLGR~;Jz|0V-{Km%li!o|Z|$7=xAyO(-c9bz)W6LWSB7-U z;@s9Tv;&hnN7t_-<40Tlsh@;)w`>b%Xn(S#RXdEoC-YxiLOI<;UERDuja!mCbK{rx z`800Y7iS_hZc6UVji1VY`QGWmP=4imryD{!@_RD!tML9(Jdv|B< z{kz^1tNiu;*r{@t+?gx?!KrcF_;iJg8zy&V#t*&c=#g%{|8UBKkmOF}$?~`QTN~@m ziLS|=nfFg^Emk#7cP=Hq`zpMRYssCN_n&%C+|&NS`~r0jPI4!|C-bj$p6boM7!|2{ zliZoBzvvOco_%fpW(?8zZ*pg*{?z{4oS#rV6|Dbl{C2YLH@P#j{#Q61ptEt$xpUC( zJ2UHNJ>x6i50=LVo97unZ2oti$u;d~@%#TdlFIp=l*QS(H_Yt%>T|!H6%p$Tu`bR7xN5lKB?Z}Desp}aXf6Dz?IY1j3 zWBVT)ey~lLZKnR-SQ}oa$TiN1z42%JN7BD_^J0%j!$QG`ftYC@VyHN zcTu=MtPQUfSO4?;!QsjE;my+O&qzBv@ZGq|$h9APtH)|l?7p)8{$|yqy4r#CoZ28f z?W`c}OwwX)er12xeGK&lJ8y3g{<)<6cL@&@_8=qe*(~t3puRqZUVMv@D<9ea6ekn@ z`NrDx_fMp*eo9)%Gpr4-eA-({n6PFVNk8_JozBO&H%} z^gw^BmrH;DkZ}LuG0pC;e$`#B{>5&}cN(Oh9sXiF(!b7Fn|`g7X=nCCK)b6Ce;i@y zUp*Q^llierIy8QY!+2^jQ9foNYNxcwuaSOlZw>R~uIUXaC*^yndWh`Aw;YN1%$l(v z?>gDl+rgO5f9wx-nuE5a|7cU`@H=&awIt)0#$Jtgod)C4G-Gd833e z^dD&9rxsg*Y%|^pWT%W3h{|NZyscAkC7EkY?u_&KGKylc6^Xu^s8jg%-C-wXY;tGK zDg43pl*6IK@4TODp6&qCCW#cyw6E+Y+lk!I)ZTyM#>^Rl$Qp0>-=qgN8|eO%uO zC3j|aQQPjKE1vT7=qVxozIK%)cV2T$d$oy(GxyUCrI@>l=u z?vC!Z71C}@?##5GwxR<^*vzBcRrE&yoqaP^tdr@{A>FbOS|BM ztr%~vt#EIyC3j}5SX6(~x9=u9(KER-qi=7KX#bl3)c%dMdy_jy+yBTZ;@)AD^ABiy zYZs{JB*~q*Q=Hv3K~JY3bstfBk~?$yb_)2tr2T|WrxV%TobaHRAkcjol%6zJP2?^WCCeaG;ve2aIPyJp+X9tu$(_0UE1kVR z3h8%BPjV;nWd2EYIDP!l3eS_2Yv03Qf{%uYntNwHf*QtE#6s|Luf3u(qG`jXK8O0u7#_NV<+O8+VO4X!13o}X?%ZLLUcd}iO&PC+?$h~u`iX8%<4zVu5n@9VxzyqIhZEBH3! zZ+|uQ$NI!^iHU8)tT8pQafpL3buE3=xe>ZDr77+xifQ$(AK!GqOOmizMSt0b-S6O`&w(=T;Y@Wx8%;ucaK}w z|K-7YW2sg|%46xhNc&*xx&9KA+&Q{`J6iwDpQZPry{Py5xYqNW7iUn&;>6do_MP-x$98$vK$rn+W@a1=_!nJNZ4SU+jrqpSphC z0?qmzxs%_M`qNr_|AKVN^Fd_qTU;Y|)>*OZoQka2oxLyf?_1H2^z$prC|b@hjO4!< zW5wS2G5Qas4vY)uamMM}Ii>B%E8~bPAdR#`lRM-2x2V6!iXA<|sogo<`rWyx{Vvic zeLTC)eA`L7{|l|)+jbyjPNSgT}>1 zR{ZBO&Kw)Y?~=$gZa3L~vvAD28vix_8uyO+&dmJV){51}$#dek>AWV6pU!he`rkVznB+V=b4P1k~=e2^!EJuTEgB;c{$(5 z^(9<8GtV!b>q7hK+*=|2*5uAi|846(lJ?EG*I`bR zSJyA2?T8UY1;N_SR$=_n{9*4wm^V!B%*-Fkr{+E7zvQVQ|C;~e@5%J5 z{}t+|_f@!S=)X<85Gb9BSLrTEye4;Mp5NPA;cgTw)(b=VEoJ||trr8WvDI#tYK5wK zu4v%}LC*-K_Z{ZJ-d$WX@0r}0ng0}j+x;&)w|g_~=Q8pl-VQIR@Ury!Mf-=npOc0o z7UdOP@5k^VoF!Hcrw1Z2WT4|4i;& zoz}N?4(Yb_uak6}+?h$gjSuMA_~As)RhtM;rM&9{^V^! z{b#9Ouxy^wx`B45_ee&q8)$#Feh@S3hqn8tD!(@S?;EB49U0r7)8|cRZvNadmK$q0 zD?d@?C%H3Ieilya4(U0y?vTDSu0Q6e>ZMr0|2kT(_diBvHj-t2|Izdnb%Foqt>7oM z@~(c{rioSZubWl-ui8po*6hE@R_(t*R_bHDOk}(+_%7y%)#}s+M$R`fa=y)IvnHD& z)m_h#@PlnwWf7pMRr{n?>QkR09lR9~n^?u^)NpYzX*%CnoBrYRxbJ7k;8%>b;is-* zb;~R>&6{Re8(v;JdfEwt-S`xnE6>tO{Z{mzUVs1T1f!Ye%&)u+dH^EC^vZ&p6PYAbTJ|K8oE0Z((~i`^bA-fS%0Swz}oULD`U3Nn_3ymt48+}(gTYIR>|hI-~z&O za%p&KeR_tMcI=drar64{Q`<1MvDy*)IjecM;{7P;IFUH`FKflS*a{v!{;Mrdw<6b@ zL#)Yb!)r&S^I2}Z&R82>D?9V$1&4oIe}Cmw;l<(E_2DPB%0Hg=P>@$xG)PaEd@4QS zFusMzj-UU^y$_;(VG(^#=fZ2;EY8;W$CwvE-YPPt>&d8zR|JipW@XO!xl}9jT=~oDrFav6dmC%Z zr^geed~<3(f);*iu@(Cx##yoNoc)%eo)%?At*6M6j4dX2W~><7oyxqb%M&i&Kz>upV+ z^3z+Kl9Zdtotg4eng`UsokDX`?VNhc%K6W!ue&Q`3^Td&&(rC*-NG+0ZeL6K zoj>CGT&|s8$m{DZ#8P)bEuO<-{7%MhlRFPf$KTcqKwB$-*_|o(hfvr3v=wdBr+ zq|MU#-3tXel+v=we&;U zbImE1Mf&9RyrAdw(=$%w)%Zu=;B?YCn1l>{Ppv+?i`XcG{o#dv}HS zjl^$qXJ-Cv>qTPb_7LyvstSqM_r#@U2`+?p&A7zt%bB>6atpI_k`@{?RF*_MWP?tAxALsMZ@oStJPXkncV@~@>$mQD z>X|h}$?Le5+_^p-zt$M4cb#H%s@^4c=IUSNr}n4vD@nUExijN&I6 zhxT_wg-3HOxpSYiep@dZdQL6gOIqhE{1SPQ+?lZgX}$j4m$)`CUL9NEeOycK+>p+{ zo^yM*F@M~>!2T@P$esM2EPsu!gRSXr*H%bIlIb9KirJ;-|o!M zpKAYY=705b?H{QBmt^cPxik0tNLlrH_aZCulC)>@?&ADZy8POHOL+d4P;SeoouS;c zf1`4YzbEU@)?a6Z{@-yjcbMFHRyzGQ{;a00Yy5NW%D*)(I#;Ll&Dx%MPvuuQnfFZY z%*=mUr)&RA>vyO2&Fpk%WN!b=tS#=QuUyGrWRLbNxf6M^{It)cdBZFQA~kPF?##^} zv&V&co4vpp>P`EHs=xSqI{wQ;T>1u<;xtl^cDmk~S--2Fs-N5bm6N(KxifQ$U-c|2 za9ck(58_&KXJ-FY>m=)Uw3U+Tcal3Z{ZH#`<$M093dy(0otgaGID@{{&mAXvCU<7^ zwa)5(fwpp2g+Jn2a%X1$Py4+6?}q(fr`G*;x-AlUvi>!HXr9sjNy(pbExGecX??9T zRqk4UmZaQG?i?-uu~vx7FCo9@u@42^`p}8In*I?lcC+-gf_67IUEv1mLvrV6`y0_W zFJMQIUeV={u1{B2UIIZ*$TcUc5l*N$$+)%L|)4?#m0CbMO?#ALLHt$@u4( zr{%?L9v!E=mr3r-c`;Kt>70hj&#Ao+$(_iv@pq07@jK=HOz}IBC-tX)LcjSA?d`?| zcArjpAb0Y6TAwy%`g<(U^pHDq`YLDXn-{~#?#fX9&YZq^X+zJvxJ4HK%Jmd~kvV<$ zVz+#E#&6b9%XcR|l)e3DGIXec{2a1C;Q^YwhJ6EZ%FRU^?#L<^i_UN=}GR)>1&)* z`I{HfNVQwZow@R#J}|^Pv&RB8Zb|OU#jk#@bgTb6O%J(qk-neb3s%@~r>w3-_X!JB zJ$8{h`Mp~HBl?=RWq~yNcNJ28CUEY}y}80aAnlSnN8dkr{7=<)#-?9J zSsxTQSPg#<-N^na-1iE8cgAppPv&Rpd*qw^ETavVM9<{TOn!7uLVMw|#&+7XG;$~M zWc?BX=TC>dzleT&FP~-Lk+gOMHV2Zy_ce>)d>6?lMGgwD?4eVWs~890$w<9~qu@PMFS(!D7-Gy7q- zZoY%O??rh>sy#{WL|%=5B>Z>GUp~;<@=Rt)l$iMVU9vAcrXD0s^ z&%H7JNP7>U`#vJ3BlA9>vxfRUnzSEMA^9=6Gqavo{C$Ee{Usk0;_W;6iD75#`v~dx zJ`nUvel_U#oFB;R_g@s_cm7q3-}#~%efA?P{my@j`p(RLgwn6~fzmIj_kfZ+Gw%Z} z9Pfcl->LUN(s$G1lfF@U_lNYy-;?c6@AEsyG7sHW;kjH(?mRZ#e%g99YWr1ywq6C> zepNyHE-H7O=PF70o7|bHKh3++TdG&3{yjl|ux*9JYjS5M{_&d9wYr_3F{qt4dq1oNcW#RZgn^xjibR-c0Vy)Supan*NOyN>6fUMt>5k@HuX| z6syA7-58gi-_iGQcMc=TQtvc>HY3|V=uR()bLvv>)cq)#*+^D}-6zx5S%Pm2tHS={ zNEbU+lvUgXU2+b8PO0B-ciJhG`gEv2e;ygJZ;~BC@d^HRpuaXaA3np{s`zW3<6!4C zBljO`pEc=MSu^%rWA8unf5_Z3Ne^sdpE#+#+bqwQy1&@u{*&5E&Z*wHzu4sdb6N`EkO2nK&L@AKvVtwxEno-6GM#s}Dq=ZkaYuYJz`I~dOoG}fj^ z_0+jI)EE08wc+insr!+B_D*{HWy6QBh(3;cZ$jF|v-x|du{NIGCFp#MI{2)yHvHga z+?Rf1+$)=Sgq7kxF>`%|oZ(wcsWuGJccM+cF6IdVqa?58&U)gGs--141X8~z*P3+Y5)u@6#U|)}V zTYY+ZpQfJ3s`jH{ZTbhl%l-d^_I$jtHoVr|J*5c_8??`!<}kILFBxm^Kl5SI&U2th zx@yDsUQc-1zwOJvtUmm*W!(1~^7~w4?fuP8n*Dv_4)yo9_XvMV8L_|7|I_;LrC$Ns zTobxT5*B`zFRMy{CFp zHXa*C`=24)8!01LL@%(paa8-3J;pAv$yd5(=ri5#lb-vHxqOH8T)}BA;pdDpnr zr|*k3_S;sjbFU&D>zH4m=GhtMC|H{=8{hXOAA6GjXeXE}Cp%ZdTr+nX`FU*p{Wah8 zwC~JZ3v1J(`)fX!`)gxuc#YHjYe~yR#@g@-*ZCM>8Gm7I_&L@S8c*kbWULR*cv0*~ z_}AC&8~l#mf6m=Qx$MGoD0Sy7ZVz%;8=t-BWz4s)(dXAa)(+=LU;j3xk9KuZ{r%@x zk{{Z+*g3vF{OrBtgZqoc>*~X+%?>sXZFd;Y3FO+}%)1CrpA-8H_GP-ONym1CU2Ci@ z&%qN2dpP63-y3Vg>v?E!2;pH9zac6|ol6pXPpdDV;;WQRpRlWpmY%7_cJGfGZ}*=4 zdOP!9hvyYLw;##+BAnZgCHob<&RPJijwOFO6$|c0PXt;g6+XIPc?nU#^`S z((TvI?XwQFbNkM#xn}LAv#0U*WczP>?$yq%eud|lGw5?p_99L0{7O3gZS7XoPy1_V ztCW37#xavSN6$TuoRiS`{{Bz+yMBR#E%-NbC%-4l&(8NVhTFM+=jXYeX_@o+QFogCvdc{2Sr&S`GZxaZt8l;3~F zxqY*{Q@g*4^g7k<-@~;t)Bb-g&i#{aJ5%5!-FkPY^cyqjSN~HOol`4$7WtRld33t{ zwY8hJesX%Kf33Z&A6*vezwbOf9ly@!TmRX0f!1%3J9GV~?R)07cGEgf(xad0oJvXd zLQL+=oRfI4$ItS7|Kq8%pVDq%_hFDVxf6M^|Lc6e>QCqXovJrG_aB+7KeG=xiSdqS z7oBx5UIHn*zB8`R$Bkds{)pe&U8Lfd+*up{cVoPfvYSx+&VI&jLgBRjQ@Tr@8T1Qh zX8pG@{1)liL4J!$zLo2@aP3@|uD`bZ*XpT7`L&(Dv`&z{Rx7_p7t(jv^XI&Qf4_l0 z=Y{F=GyABcY5#YG@pn?YDf4f{*lm7~oV#%S4BEeQRXTpHKh-(S?~xNdlRGo|nlr55 zGFLd&ZzOl-`j6I!#Rr*x?ym4_Tubi!U^@NQe^$r-<76%{xpQ?!zop**Yrj73I4=qD zHyVEq3;j;#^wj^1^gHus`qX8p5K^jnm_>RIRGwSIFBR>%5}M4nv#nEsAY z-+55bmpw=PJ*!`~yCt+g-CuU=w*GX|?o95?v_DJVDKY)dOJlm7@qOO7^;gVaD8D7- zzpdXd#XmSFq`%}fA^ijAIqCYd{^RZ5>FwTG)BekT=l#(8oio$_+LpidA0IcIK5jTO z<40S+WmbOEl)uIw=gwSfTyjq5%U|m*>6c{PC4H^GjG6UU+xS)fZTe4JzekgtvmMv} zdQS*zwWWUjsO>r7w&$#E{q^{;{_8srjO#DwU>>*gik={*w3q-$PqpkN#B^UdOfM&h_c~Z|gUtt>4PFe(&1aY4i^c_1{0q z$@9l%%v}dNO`cr8$-Y$WemQerN!q>1otgHp{b$vm`Hgf^ZzgwU>QDRh7B6d{lEiCr zXD0qQzb<+Hl|7i^m7Q2g;x)N56TfVUr8it#A$lfvX7tVaZ_`Hc8`)z1(fr%{ zdYJ!9vL-XR^XuvI-x&JkzU`4A9mSa;{*syfTeb7fF`@mJq%WG>8P8>pTfgc3$Aj(n zv5ns$Yv(^94{HCB+IN!NncIKT`lEXQVYjXDIPN96GoE`MSAOQ-kuz0x*3+r8+s`5m z&P_7y=Rm?T?oQzMajf5>6~t%zPtg4pIT!6ejrB9IoM&(O84!oNhJQO3`574TTQs*X zVc*Vt^OOa;za1yPSI4hW{oA7+ebDX$O%J)VPQUvD;+I~e`5Qvti98)Y={G&n?=(H+ z&YZsaO*)ztkZYsv4CQ~NQJJCSGgJO2>$on7L#`$i&9 z>dPKLdO8Q~l)mK7tUm46^!8Yw>8V}oKH>Ld{N}fa_=~%Oz9>D(ow@i+zeV3-opc-R z_gmbDva|n;CQruST|-FXH@`)ZidS-HE`IY{M7=BBs(*2CXGp&@SO3F%i2sfBf70$$ zyplVSC(|$gI!b?k?EWax=e2k=^Y={LWnYncpGG&-@NWN>6fUuKd(aly0>j=YW1Mxigo3 z)sys8e@^L1?#$^cozgSEagi!FJ3k(o(>K3K=$YT7NWFJ9ze$lfee+vJxtZUx$l~rW z{yB5yr*TH<*ZAYK_>nso#qV}*=67lSQu^9282|5BU~hM>kvsXl+J8p$b#52GOdaiG zIo)5A6M0g`=Z_`TZ@#XwI zeSy7?^Kax%w`+{+xsmo@{g??A5d+=dl9S)7_3;3G z+0KRch>`AZ$(dP?cGfT!QvXZ!8`ReCh3#h_OMO3*@^+pZ-iP#@nfGyR{iZ1XVs(hW zB$(@<~6s5Dn*roC- zd0R+-$9ZWwe#_^PG5^jpWB#3)=SlfX);R$AQ7_3k0Fyg2=K;*$@`r+c$N9~m-*JBE zSD1d)|1~lHPUa&k7w0wk_{(zldhl|0X3D><-yXG-q9FaWpOTN|T5@NRPQUh}yXy$g zcw3ToYjS6%{Z28LX#CLgXvwpSKl=r7gpklK&l9=v9rYvqJjWbWwG_Wa8_yTd#`A#m>*}|t z`*X&@5pP3FE%o{H83n#9zeO*gidXSB9qP~5k0XAIWWQ$i*8j^`8{X`{xoK1TZ+*vl zfIZsq(Z<^Q+xq!YtjUySUI4{!E&gl%enSCIb2_H?=OoYW7L zo(J{aT>97I@8*v6!?OHj%JP})AHgPmVJ7t}B>zUbzu4sd|IhiE`eG;_`HyN+K9l-^ z`nB`xv}ee#{3$ib@1%a9CiQFf-+rUY@qFy~F!(^IFR@8|{kr*$())l(?DWl5hjY(LIc{>6!f`_x~8_Ut_EdFMnM+ z@736E*M|wu`5Jpa6VGwx`f+1FU+y1|@oggic#IDPgW>Q*`f&rlEc)Jr#%X1$1%&nNKrW#u`zn*8!kdFqdh zwe_Xvc#XSar%CxM{of_LcvO9R=zoEB@J7PIUx{C^8Scv-w)rJJp*}t4&xn3z{*2;z znOu9Dz%Q7U|BSDn)SnypO|yApU)CS2p&I!EJD>c1hJLxJv9^Ave@xlaf9JGrZ_t0I zokV8xM^7h=yvz~D~)9&oTsY?=<98meU;RCThPlHY^$v9?mXXZ4_R7>w5 z*3KFGto=Ig4Dt7!nfc$mBi+P&Hz3cEn)l2*Qe;SR!S{Sc|Q>DI0z{cZZV@kjU9X%fX>lJ;P7XXZ3X+xXjBhnW=? z&)~YwG)-Q+D}Q!-Q>#rq0{~gRO{hhSkC0e&z|K2M0f9Kw@ z-#bUW%PjKFMf|MuW}f9_9kK-PUNiJJSyzhg)yuiax^k>_=FHooK6I;0=OX>F)|u1f zp+nrFTV*g%~h`X&FFysY8cIr{uE62GjN%(|F1 zSQ0&xJ4ff=5&hvkg#8Td@3sXFR0qhN{GLp|!gc;J=sT5e$(_iP`bwwbH|wlOx5{$n z;@7Ub%H6EvBBdv}Ggtm*UHWk9eHHhKlvS$aPUOk-n{^`TF768X5LIrHJ9Ftb>o|>n zCs2-&DmTfU$dmD-WmbhVn^$Ybzr2@Ex9vS z|0*ZtTjl1Ip5)G4{>?h>_)veHNLe*Y?nIs}KeO(m-I#S>q}q*H_eCyhKYkk3tf{7X zcGaGlya706=_a`oc{TnK{qFWbuSd=Fz0UE=doNn zJ=}acJ@PVTanpZG(iTnb9JS6G$xm@QdQ;ThRSWF@HrL3V{9Y}O2Uuryb`JA^)2+Ik z$g}#g?h5lrq+4}44;axO*^lq6p)DUxTRfz~v$&Srd2~kqx~O+=h0>GUnbFt2wLLqX zRv~&Ocjok2vrAufS5kVCJ2UzZwzo3#%0l{kj0Z};k#w8fnMr?JZ(Z8AQoMt0D!7yQEv>!9z9pnz-l~-kBjsjRE6z;$we|L-=Vrw_Tw5XWn%tR* zzpZs$cXVZN2rkwGM3iKFjvqS2NzSCt~|q;;#H{S>fvR z{9)maB&_tDXGVQzWA6?W<62WV^*nCg#v^mj<5RQ5 za~$Ir`w1m4;97ELWE#^LxJ9GP4l+$3VsPEi6 z>N`iDhey^Ail^KMyfECS+y}fN+=t(*>z5Jzw%#_iAJ<_FX?31p$DptG()M29Ajp0D z&dmF0g)7fdu%4BEd5+@Fa36k8=3n~7H9@cB-9gX1U4-BB`t%#ctNvr8-`IVfx&EVk zo)Yv*syrok=H90&oR^!^%gvc9KjzQwI^w&yLe4QX{n~@&)AQ~0d^>CMuW`%D&ADsH zzs51Ue`fsZqoaLPokwtLA60Uv@2Ac&)h)$3bNYD7=I*MU;8O3@eKB{sLwDL^r_d&s zdZ*s`-03guPO)~EdZ%BtohOrmO5LB@MrrBM$#@ z#@Kr0*zob&+sm$FGvIl)f!5tvAq^@B-mfCuEeIGKDu-&mWT{>w-= z2~dB7_2IAJzRx5qY;=a4yvin7;|#35|J3^kcO&V)%UBy;b`*W`EH<*C`fbv`7dq>V zwf9#&*{(C}HLwXBQ0`sIQ!Mf}=k^WWq592s#Bu8aJ8z)8UP$@Ap}xF|w-etsq>VC$ zwfQj{wU-jtmu)<*56}2D$v2C2-wxxpSgfm0kNT;0cdfr(Q6Jt;k-dxhqmOoTI47MRG1!y)K4aN)E4D^1N@`1x93 z(Rei0Mp-s6@-ZMbu~8n(h45mN@V{!C=1Fbzm%TTnUu=?o+1jgoeqC(tHGeBTVw3du zUlYQMO~OxVv)*Byqx6VP?%(}L2ro7XU;IS~FLs_j(!a*G=gzZZdSH|LkMo%^wb%yZ zu@xJPEjn(45kAq~Uq*zBZ6F4EUgU47XDGF2rf2S@o?&f!21xH_G=dkWRmd~D$(`#$ zZH&vm;@7)-jqD|#%C+Rq(RcVGdq&!GD$jm?h|%v*u4(ZmcV;}oDO{T~bXNYSkXEg9 zoa~jD+?m@mA^vi&&iC%_59x1m2F=bovA?j?Gf>)FEZ)ngwZ6hHaV@#?z3KW>K13b$of6*Bn+RAyn>-_ct{p^f$(HWRU z`tj_}x1F?~{288+_NOm&YR^<(=q$N6*OEIkd#3g!@I!;XYzgd3;im@uzB6NkVDTIq z^mGQ<(!nam&;><8^m(1;%Q%-H(`B?m` zW4z8?WBks{p1bO)%{wcbe~ydukMn{s|1^1Lr~YHMAB-O*se6+Enho zGk!?FoHuG`3w9XKXDM-=DK7A1FP&akWe)cP+1vVtInUNVPUb(8J2Ug2&USTa z13L3n@><3u$(hhHTCPJg{jaqRKILrfmhvyDb}P9vQ+^u%Z2#%31?st5 za_6Gw?~&)<;u7LvVQDsu&YifH+&OB)@c@0Dm6CqR3xa+V8%!(bD`UAk_1vQJcV?bn zwEin?zm8$vcoNs=K*^n%`P16D;#K>1D&7q-elZij(plPGz9i&BZxv1M%-CSI^70M4r?)8anGH~6cQfL?8vV$ees@iXS8w4I zzbHM)ojLu|hUufxhUuf+XKViSpV8#W^edRY%qGw9C25BycOIV3zsgzZHXE);JN<&( znM=RQS@mW%WRZi*L-{*%^`~-@zRJz1dY9ap(^ox7&ur)-r6;*Fr?1l-((kWbp!6hn z=Jbd6gz}rZZGozH$(_0KQ#i#heW%Jza%V1nrL%K=NWW9@O728nZ9jf`F4%x++`W?i zf9V2yPv9E4li!p2ZEdd9#uWb&Y{-}Vf6TN{YhHXJQ|^0c)9Y3mbUxLv5X;aX>?x8ZiqeEqfc>C)Dx zP1`TtxAm#f)~8ilpImKipxWASwY4E@YlEk8M$fJ@$5zO*t;wB7r^m0hHfVb8Q2d(z zN)oThotgN}RuKKA+7Rkgv*KOq7rwM_D*dI}VCs~#^oMs;NWM+(%;aC=jOnwUDk(k5 zof-YMHmH5pO^SE0ZH2^Za%U#~wl=tJZGg4rQu?*7DoMIc?#!gWtqru2LdmzRsEu?^12xZQo7%IQNler}l9+aP7?O=h(g{dbS_x zM9<{T8h!Sg!utRx>oMtTKg>9~9v@l1*}fHPPTS9No*L3``%ZvmYXx~XV1}8mTbfIi%#WRZ%e(?Mzp+oF@1HT zSc5&7He7y2d|J6_a7KLO%=F)eR!yZR&#W)IogZgtU9gFb!u0Wk`zoym4x8Nnxr`EL zbN}bE%5Lx-8Qr(|gzn!gywcr$R7iglo0#eIN&oKL9~RLQPp*8XKfwLxx&L)W8zt(( z_qGe+VQu(HZO=fC*fX8 znl3cfhM(Am<_hlpO!5nx*tnUEF0*^Bjc&BLozw=avCUJw{{%K@W@FfY8F^(uR6WDm z@+{~B7Jl1?;onZ#Y{UIm8Efx9u?-_*k!-da+dMH=Ok^{-E`;yGVcsTequBIKYLnId zZQ3jIRiE_1+VY*$=59dUslJ=qd^P?K%3>Qm+7>{KPA#@6d;A!ivYm6^^|7;$|HdeC0<%D5k4H?&oye{C zFQY)l*`yWw@WX&n&UrK|QJs%)?vvJUYg07$QidkdK6grmtR7A7JRu$b9INZ@2Ewz_ zDan3-$(PJHA9znzi2t+__-C7Kh;aOZu?#%4L&iyjPJO9W9DqhK*x%k!3i>DKw{$KKyTubhJdOH0& zyQg-qvwS6K_a=8{+P|IsT0>knlV0Z`TwlVqb4@ya)pK!VsQ;2@hWeLHP5eDs{xk2P z+!(iKu3DgG7RjCbp4Pve_P>t)qNe~Smpx&P@MT zJ5j$^`zcAkH@P#@|NEjL}glgOR?o-9AjbFzui{O6P{jO5OoO^n&p{9km} z^B1WnCdr-1lksbuQNJ~txJdOI$(_0Wqj^f@rg^I*{3>||*OEIg zPp9A7H)Sn-`BO7e7bbVE&g=JH8uUss7FhhwxEnTh{=7f5d+i9R{TpfbdIzQUZ(Ns- zfBtmFxf^JImo2a;xJK^e_hkKjS@stYB;#zWNX8zDS z-0qVpt4~+>60Rk8J~K%|%{np-XMdteN3i590|9XW=x8%-D`V~*{%@BV{ z#sQN%znM<|%H2Y|D-W-bcunri#BXPx_h-Ge59Q_jpImRvwKIC48P|W>p5bVFhNSHo z7M-2fyl*x&PUd}+J2Uft+cP+JcK_8Oeyx9%4y}7l?##@8wrkA%uQMEaeiQAv?I#P& zJ-_K)xyHSv`s8SPhRJM-XjsKw{5fR{vL%1c(eZ2K9dz3>SZ&XMwLOE@_6%IxGk9&! z0Jc4Y*!sJKZ?Q9moPlyOe{DuTc#G5I$@!=48PK+8P}`n?ow+^adv=vG5vdhEa8T6JPF1|0C!RoTJ}`8i~K{{^#s9tP{S)^Z(ro)SGe1o&27x|K(o@<-Yt! z6;kddcV^08;dF*e`X%oV`UB_n`S^8q%k-V+Mtx_-CRg=0VBMwmQ<8O;$(@vlMy7DXY?d9P4c4qQ#?eyZ1{=#`vNPpqHI9+~ieY)v6cd#}*|CW41c>WzY zGd?LaP7i5s+CMD$IE~v}JG<%hYn;^jRnLzld2Tnkb6r~B_8E>0xuOSpDs_CIx2ThH%9+EB@ta4oqr^ZahUYbZbSY2&2) zOzzB-pU&`i*Ymu4TZPwgExB`jI{$i4()lrYJz{~y=3FCp@_TapR5=xY7|O5YYeM-I z&Oc1+YoAg+eYAgB@=IJx?#%e~X&b+d-#+d-ecW|s#$WT@NdK{uLr(gQ$(^J9XRJ;1 zD)OuSCvo^A)-tCoaMAwLh)wkLfuY`J_E=zdCH0Toxv2g|^v$O71@t%CkIvDHgX4(% zo&_SW*8hn9;0Zx*xWxjcFS#?PFPmw_E1PcT;9{PCkUMkwW>bs4+0;f#PjY8Y-)v&h zGn?2*OZU|={V=C*HsACgrCRI_$ z{X3O!$(_0UFTaR-`T_IpnG4jZXUUy8p8#|FhH{&K$^r+k;JFdGGgp4Imj=DLa~3E) z$(=dF#%TgBhMV}XiSa%V1nw9FTS@-3eX#`7fQ+Ie`o{xr`i-J1WLO1I?BT>4c`(o^|4 z)$b&C=Jb_M=_&tC=}GR)>8t!TZmIm8Hf|wzE*if^d=kvvOWd@Z`NuABxC8AOxs%_k z?Po-P#Xpc%#!l^*IQs|FpOHI}C-wU;B<ZQvx+An}7nf<4>HqdQtAoc#Jw7ooq9{M94h~%en+>h4+Xua zQv5o9R+9QNxieFLdQW9~7gUIz$(nJGRST@7>(#e!J67;ZACz)Ss`P!xuFdSsUs3 zh5xLM#)gm9bkk=Nh_+kMe_?HSh12uFkaq6R1G)R#m*Q9hi%qNz=X<2%x9B&tWmub@ z6$cXTKY31I+<>*=Z9UF@_JHS<-c#$t&ock%{(7!&a{uMu;@;08{cw0v{ry+Gn%W(b ze%OiMsm_(>%rgo9TE^wgjJ5gIzF5IKx#>?CYs2eHa)T|HH()P5FXhrR|0dEw*@(r{ zn&nsfS;}w!XZ7K`zaZS_i32vV<}WTFY@f6T*5-HakGTIksIR{>)`pjLq0%ER`Xb|4 z>$XX)!$z#7_8cjnvGjlkoFDkN1a7!p|{}So&d;@XJpQ;l(E5SNu*0FE$Cka{mxs zY!ZIOXXE{0lkk(4|Loht{lzBtpVYc=(*8ARf1lJEbW&@_Nv(Y+wFaHEezixd_AEB3 zuSu;zI+R=?313 zW^WDQ#m?L6@2~y(A!~Z22iB%%ZcFaZp19V-us-~1%Kc4*e~+;?y!wmkS8P(hG=olY zDRlt5(LOob9@Y`?V!}esDb}W^e=q5ID(!76V=jE~zVk)yy9xIf&*qQ)3z*XjUP@kw z4(S^H&{!LONVyi@A-uR({rx-CS%(L9vG{a-_&M4`e?99a*gG&A-ts;BE!scNZldP2 znMWII^D#>~^^?jjkCoHxhY7zg>Ec~ItiM0uyW7zISmVRm@LHes^u7aj<2SH! z?P+!$;mNbuq(97VZQ(j|zuzppo+FEao+q32|2e$_dK2O2jkWom+lBk{46XhTYs1_7 z`2WHEe@cD-k8F5r7gNV`-)-nW=R3*A{aHP$XRX(}H-&Y3_Z9WwS6o4Q3Z5%rljr*W z9)zQB+WWDK>hIsB9hn!JUl?o4sZToeoG1?CzFn>z>G`31A^k?&wLU#P_InG)i@`c$ zZF&^0%f7_$b;jE8vYG8u2WC6_&IajWkEHuD{$6jaz5fhhi#td^dayRU=2wl|Vv}*( z-kUNu>U}zA_-gMzeLrEJPWi7j)`piCC;6$Ed6=;_eDAMG_p#g?vc{_oKX?LR*<;gr zBv>0>`!$*m#7=xqo@;NK|Fmuqo2*-=kGF8C=NyEl`rv+M7#b!MM6p*TFXKK%0Q3IA}$U)cRpgYZ8h+-u42i;T7D*Lt(ho~M1A zB%YVcwWIkzr;1s#tmqkY_Yd_zA5)uK0k!Wo|4Cy`&)UcGzd-L6@_nbVK0Iwtdxpzl z@&5X9GXEKCsOMjzcU^t>*;(2XWjjZIgZ1fIMmj!2dg#->PMBKkb?6CWy$)r*WN%gr z=Tn-Ry`A6Snx0WOM^D{7!0M%U6uCHsTsYsuH6ugMc~r<98F+x#BJ&RO0aiaRCO+p^ zx!##;=LgdII-NW?h7zZRmZXGC?mQ-~uhad#*VBUN4JF^twdBs%r}gc0`$q^nPkNpI zMEu|8+WC>RzTU3MZ=~M3m1Gn)x%2PS`fc-X-Z8#Qe2i%FdLYW%f#go));h=4pLtjK z0=@7u^6R8ko80+@w7$}>(L(iC@{Uk{I*As4ug1T~>j8_wYk4qvg_BWa30@Ocy@I&T z(|ss|1H!$Wi%zYNw14yJMEYelt@Im7x5=FuuN&rFgmRbH5tYBFa+lnhD}S?Y*`5A! zH*q>y!JFKS>zgO#j zr2KWdrh5qYIH|(7aV@#?kaYUnT74^hgH1#FOKO!MxihZT#`PbylL3EfHzoPE$(Hk<9t!N{-s~ed*_Gyl=EJL z`A_4#e1&WLFUhLGeO7xw{R`F^Tp}-)z1e! zA*lbCJeP8i+?lZ&YOPQC_Ze!e{+y4A_2(qWD*jUSUs~(_W4KRgt@|J0KK!24H?K24 zqrKfnnMG=KY+h#~ktg-rdQE6s|MD)>xs28JRTbXOwdBsr(&@Kx%FE5^<>t(l-&>d~ z@1(qbL%lwjcdheSRUg1O;QTt*kLB8#^O{8a)%>aUTT=6;HM31i}G*n zb>o+e8#hs3k6d7X5S{{^{QfJeKjpu)mVb39$HBWp`IUTiI{vohFRRtT*XS!ZSNNY? zOYZzyI)3w7dJ1pv&Y>FXj3bTsz|~`ndMnHhw$Z_*B;XXOU(n>kgAUYt|pdvqQO; zd|hn6&S$6d-_~o3)`^`jllHwTWF9lQ^ULY@t^8g?c-5ctZ@E_eIbW03pL>wK#^`i< z_g3m_?E-r0@~y-#xpQ5*{Pg@%?%Q3C&TF~814{19?0?zw zBjcAne>#cV*W}Jj{N~-5eQT}% zw0~`6KfvV9%>H#-tC{|4^7>u=c3q(M4JCK-d$RqRckbUKy{x?oC+)%H&fiPxtN-`U z3FA-6Yr^=`cgDAmz9(O+q8ZuoSF4o+w^OnyT3Q} zep!V_aV@!X?{xX8pJ@H2ep8Zlo5`J-^_%98a^KYVQGMUk`KfgLmVf3s^ZMpIGS;6n zo(>vUf7++hdkgK~mE^sJ$(@<^7j3P+zv-{nR!BLR-1)!L`8VsZqiOFqhBd$O zEUqu&+L^JsYHKytcKow-y06=vzHWDB*6+5@K>i;rt2JxCFAD3rCxc zXx&+oam(b+%=p#TYk|hUF8xkcvnAGrSpq?-5j z-n1m`+2qd5{NL8=_Ji$pUGrRVc9{Q4ekII*h4bum`KkYPSm&G9Q0K?FmfV^9>Jg7O zdhY3N??i7i(!|<1F#r5x{r~z9f9ZV_U6VUA>+iN+-`jdkA6`!0Z>8_)Stru=osc_` zC&y3qlg`1R|CBr_^q-FN;I#fUYh?91^P1??yeGLc)BoCf?X>mB=G6Di^ke7KxxR#J z=jQ43Yy54qe{IhXl)XJaMD|z5^=l+wKS`Fq=8x_=#{aKZNIx*Sb6r~B;&1W%(l-6t zCn(RI(B`y{pyzIrJ2TJUZR_tr_xk@~`p<>rnK7g!bE3{~b(|Nb>rZV(&s}9%j zjJdQ)C-Q3hAMtwGe<$PAp7g;J7C2zvLUJd+XZ1VGLDF~Xl%n*V$dmf=E+##-S*P?Q zcjolxUQgJq7%!i)!2UjzA95$ZC*zlOT8I5x^I93H_r7Me7Rm2P{S_L&k7vEL;{xR^ zPI4!|C-ujA9Yo)}4o2qmEuJ5Q_~rdk=`ibf;&t3UIaNZ&WFiIH8_!sazGl6}2o`sE$3 zNBc9cd69$dsXOFOeoyKvoy99c`kjhjawqbnzTzpEHx+-$TSNSXb9DY3>A&Xn@Q(<8 z68DHyy-V&yo{ZnTx_&X#eJkO**h|we(PuSn-ynLhLI{a$(_0WW8Pgi4e=LF%FpD^ z(f4N~^+&GFTjZ)IS9qSJTssd>r{BG9u6i4=g<4d0gL4KYWo|Be~Pm2lINLz zg-6q#C3o(T*6%%raLhO6_0!4P$>h%HMJMjRkHp_!K|ZNBStB}&J@Gr2QUe^W0-@4b{4V|B@|axJ;@y_xuTBj5i) zeAJ^;`5qv5B2U)e;BA!kYY2bY0{h!=joiuaNqwv5D=ELXP>+$lT|@mlb6#8Z+}V3R z>o(F`^6KzBsx}dSuf{*>HI;hm@VD|u3mg)^WKRBF)qjB3Q+Z95-GkG;r8<#k_2n%! z*iA&bw^Zi=Bl;uf_d9DyC;eopUOWHWYicOJrFu>MZ?C7J{Dx~QJd1xb_UrwhF|&WJ z{Xeze|Mq$s%0chpRBq-C)tMGJE-)UYq53?NRylzG-@y>nBfj3h%TF2cSYH2#ux3AZNmrl1T zSDRtoI#2GKcw>>ZvBI0zkhq@B-QTbGNylQdM5RvHhe0T^GyC=6E9(t=66!Bag%x}oU}YAEuTrf@J;HqY{W}s zgZdq*uLfS!kX$NxkY#>cw%={7&kHZ&ELRlX~5p)N9}11EKuICgne=m&*~a zeGS^fq+T)QeN62~Y?A&->uX}Kn?DTcSqYn@C*u`x?D(m@f8|$fa{o#D`=nkOC-o{h z>3BQo_%^B6+)2HXX8Oz6^@F`%c@g_ww=!qHXk@+~8(#gZ`zh8B;z`-?=A~shXHSd2 z;r_7q3)U&0Fxu)fw~m;(nD)bd%>3Jpwc+R4yXd}<@Mjon!!O^RbbNqxLA*xRhBq%Q zCzEdy-HYe&a_QGvd+==bW8g6ETjj!c&ZeARPG0rZtnbvPXa4=6JeTv_M7h?ce?GoT zH6BqPep!!l`8NAmV%}S))w8t+>P$qXvz+(Nur^)GspIawgoj0Zzm_W}^D49p^YKS1 z*VF6oKlg{E`1{RMuigMk`n7;o`9m|J# zqa?we|Cz0=9CCWW+Z5%c^CV5ZF3xO2_~S{_dB)oNYkcWYrYn~<8#nBI0(Q)@fBt;#%RZ6VWW3OOZM^(- zX0|uh-oN`n(tZBEHc%6-wcSdpej{5NGmwF#3_RgpeKl^j~0PjEaH49jq9(^4{ z=@Az_e~+Ed^?qn@CGCN664u^d<4}*W!p5Vk>civtwA1@Ge>)g+_qTpF_3wl`m-PI_ z0*j05aD5wWR2VMBWC6G`ug-Q zqmA}SyEuGTefaJ=!at9+!@(!&!)rdHJ=na~Z&1&JA9HW!!71t*)|RL0xu6}Xo?&fx zc}*<-iE-;-V{Lf(#Lzgj>_lU2_?3S^TA0_wBKkea)$a6r7JKoWa4x^o#}l5uW_(_KeaSni#?iSsV{Lkt zFC*M%nfG39tPMZ+9KvaRz&=ZMeX!{3M0U=FtgPZMm*3^Axnx_ng7FmAhA;LE;k)l@ z7GBFd;Z!E7h1os!hF$(`}r0ps-b)>A8@-dz=vSd%*+la60j=fz3%qBAPI zgloy2@hN#+{5sv+c_pp>#tPrTwdBrMrsJ2@NB;sw>1!+8z_sMg3)1@L_3>hAnblz5 z$?C`C&N%yyOTXTZ^}a#)BPyf^o80-0bo^#j_C0zHBTFx`zmn_6lAcK9)fPL_essE9 z>DH<5lBC<@&P@7cohplQS*@0Q7T1zHw@t^t**inMZN|)}dNfjRCU<7)PuAhRx6ea2f61L0s~hEWFdy>bVosP+!qo;O8^dIsD(tmQN^N{rwev)g+opHBiT>F!CP>-i$SuHs=&`9n?o@_sx zG6Hwmo!a!Y3O~!W&rSoUQ=W>P?8m;$(^I8K1cGeepWDcsQ;DZiN)m3_>?d% z|83iE+fz3i!|O`_Ipmk|qvSDMGp^`cg2v~h%U@RGz30+j_NegBxt84d+_b)|BQ@^G zYSO83M{;Lw{IPM8vCGC!=W(I_`pyH=@oSzdz8~g4XLpk@|2dH-+poR}s#UstL6-T3xs{8PF zt-?8(XXVwI`v;o5s_#}&mcA_?8`2>Te?(aNo3ly!qJH)MV^3W^>XLlu)TGLxq)tmp?i?LI{M2Sy{yMGc^oxq3NU`BUDZ9>RU?G^dqc&|7q>b7X#! zR|p$dc(f|XxMFf=W_;1>9{4VbYov*P=v#P@XJNdm@za#nIlyJ7LL%-xZSRY94%&hN}PUTzaFG;>l?#$$0&k#N4hvMc1 z_L(0fck+8O{mN&Dc$EK=w}$+8oS)3-D;}Q16o1KA#rU1^)Wx{@rEU84O%9dY%&`@! z+$48q%1`TKt*i8WQ1WuFC3j}lSMtha^>#sp#A|YACjL$KBQDk_oBU;kf6le!&dmBm z&p~>wHLtYJA95|ZGxL0FUe&(Kc(4U+AhNfD`Q<0Hr%2?<_Op5o?dCnK?+>Z)Dy}7W zz9+43-sc&=<<+ln-oy1ZTsyB#>u>ff%IS+dPrbInA9F3a^NVTy)z^{ESCZa)D|`pn zk~=f~SI=Snr-$dWlHAYa&Uk8MT>CfgN0gI!MRHR1CU?eN^l|!a*9W!_@mV%5ffH*oDd zB^|#`Bx`>~Cz(rb;97ELZhz%e;<=B%PcKmO&H%YHH~+Nl|9Xy5|21!f&a1-njrwo= zJz4*DDw*}Lol15xo|)YFsC4<6S8B%Y8RF_Y&*hr5^qt41_04*oxy!8To%?Z3+3P!; z@%LoN{T^^_{z?;DW)(go?C)ytCH|gFzr3&7{I~4_ zHSbC8%*}uEd-Kdn8s?93GM|wa^Oj^Zc{YCSi)e4ZGZetYTma0sg70d!?hJs{w8;3%HOC)#uOYcJ_kKftbTHuWhzg&;za@7L(&=yQm7aK7zaR8* z+v(%BGc$f`eXDi9*1sh$4eMU5|Ksn;`m_Cc)(`eoJ16S~lRGo(2ivDPn>NJyqwo9* z*OzeZJUdH+diR#`<}ae^{W_&5}EF>t}hjlfJy7I;CgcQX_Nv+9!~n_79xWm)x1t zxAznDdwaj-r2m`TnR$M+^)v0>-VZxz_a=8{+JEb>!W~UpCGW$t7N~WL<~1k3C;N}u zd2thew120*nJc*yc~W2dWX0~(_g_}XbBD>DyQlSS{rA1N{)^P}hvd%O^M~zIyo$K@ zBE0imTyM#>^Hu5i6|ToPA^noK2K}BhxBrTM@s6Nh@`|8eINyYbE#RT5@ORe2}#hjq_?h&Yy?+YqbB>@~dhVzup%pe&4ryecG8iVS%>qj@-%b>G%&KUi!b*eB|7qCzFf(kcqEx9wZer=n6^M3m} z+S`r9=RBM1i@0{aE?xe%&ZC~S|F8W^<16F(&zaf3Z2MJ6odfOe#Z+@;g-3ENxpS{{ z`sICE?=R*3tEA=w$(@<^mu=5?+Wyb6v=_#@rM$nq9*I z+EYv;}wwcv+vBz|JvW^GJb3SqvUm@M{;Lo{N8j+;(0FbOK8I-Ik#YPXXgBZ z*2%V3JE=mg;Usq+ovuGUC+qoB&(BUhcS`QeJ%8%`wZ=_5AMRw_G`TY~e(HSZfU$o# zsPJ_DEx9wZe`xQ|(O3Msj}bkSJ2UzZJ1~^n!&pD69F3Hl$(@<ghh(-`WN0-Anb4-;?b} z;o9ii*LTh!z3XYS&da%e1J};X`Mb9LN9#|WAJF=_=c~-jqw9i_e+w^ms+Q*dKnK{4N zKRApZ{ga$w+|m54aVY+tEI+;9@7%-l0`>1Kw&hy&ABjAvZ~M1Aw=eblj>=i*hgJS1 z|1*@o&JV}mlkwYo9?mV;`yb~ExjvorJ2UnXI_IVSv()onT4xnc4C}9we-hSTh4YE& z@@xD4Sm&$yH*nsDJU9nMICtelo-Dt%&!6g_<+%&yAob(&+{K#EfB8KbzuH;$ly%(D{h|WxOv^Uvb{f{*C0$Xq`Up{F0vY^xjg>e5aPJi3| zQ(Na<8=n7c|KKXx<2`Zx=gjOM*goa&lioLzU+154eGu2q-%qFC+VAU$@9~6pzMtzJ z*UrrOJMHuIKg)ReoC1?x_=yYd{?O`+X2Ie*o^CybkeZJqxgdv5}z zSykQbzjIf0RabR&O6qF@7p6OEFOs30QedhU` zdk^i9$^vN@X!2zF)j4;aU(osYg0wv*cV^}f8o%f(1)BdBd_|c5_MGwU|E0>G(#iTA z(yyTU9m$=U{>S=H(%JSeBe&-KpU&y2-PZZNg1_cja%blK51muDecK}zY5TUwow@ag zru(-xPp915yxmE;H@P!Y{=2&}R@#Mshn08`e@pJn>_6%JX72*ppAVIIEzgoWFG!dF zruARzw8eX|Gx)hT&yRqTJ2Uf#{+naH`J|J4r~as44b0ac>1q6|{TJ_CBHgFZi6${GWH85qdYZS_@|^vd=!K z{HAwow0FKc+B=V}u>V8*@8NcOxSdtucRQ!sIjiiO#=n8Ro%2=s*&&u6QFW2=Pr+RI z`5?B6_cuyZyd`($;x9dgU-nLwJIS57@^?@8-yr;JA4NFVQ{SIgBJ*~WJ2Ufli>Jri z>G5_}#oz6mZs)ABZxH{c;cuFLW>3Fk>F=c9F}ZV7y8W?!hWu0iqkJ@yZzgwU@=yJY z%D?)bf|PrcJ2U0~9<=X5(~m3@Q!(>kyE z-7f3D1u07=cV^m8OMefy)5Gn|gkSRv^Y^e4<*($--*!@Whc2aV{h-7;;-I6Rs4HM4Q zjo6vonX^AH+Fezm>?C()>~+pc{_6ZyLF`QK%-LTP>~#J?_D0!B?#$Rv9TV+NFA+PF zJ2UorPecCd{f&ayncSJPKP%c@UZU(IcV_Ih{w06){f2_rncSJP|5~)Wt3=sJ?#$Th zJ8JS*-&ZS$oyna!`@4hP?9L_1PI70)Ugxajug+f;#Lnc-jJfXRuqlLy5AJ+?lao`{ro($r7*VBdCbm$4_GI~xfv`Csr9>?L<@{NHP@_f%Bx z^xkJd^3CMVO#W&9&^nX+Mz`RXc$VCGW;*_MPD1|2@@tg8D%Z}8{~CWQ-!*?KNWPog znaTgA_Nr&fch$dwwg7VH#NC4vwnJy*pu|; zzjK%9zmuR%@o%a9FI#_P-@?`}o$Onf+?m#2Gkn9V7HKc#nd2YV^{lW9UVfq#E_H^)g zJ1gSfB>mM-_fDm~dR~bi=UH;+shRYr|F-%=|6Ne!UUFxy{7X;iCwr&bJ;|M!_Rqq} z{*{G4Qu|htJ9GP2=8ya9bbp;0|IJ?YzCrvg|FAEpc9ZyP|JRsnKb1~uH{`!l?S|w| z{!F&t(rXz0!r$fk?{-cPx3ePrMY^dSQaXCNIV;kyiG9=hWA?kn^6Pv`tbfkk()o9f z*f*#@^1pj^2!Fx<3E}TLuTF#fu4t+S&3HpzdhXI^fd zUT&Qg<+o}5E7DEA9WL?VP`7`zGbTY4|Pu=|8OeMEbcQ=N99yZfh{n zA*uL&IqQ6PGjIMe>3z~7b^Dv-PJS?&SBRz5HpN5d3#`UJ(3uB2U`S?uk9?Jaep{I6DihKO=V{ zPugSE8a$i#{Vpo;JV|+W4xgVJDnE83)D5!doTL%M?aVyVNZ<0H6 z`8P!vm49pAvPk8d!vDyPT5KBM4q%C{TYqh0Dbb@ zsd!87M4q&t{4U`q|EG>vr2LiKnah9OUa52+{lFsSujI~L`mebr_&a^+BIU2-&MNMlk~?$$tDg1#G1Nb&%AMp+)Ed(|DB4r zGX5rOB?2to#amBN&ls%_FMK&wcF-yV4~iU!y;i+&TRHykYwTDXVWmpZZepZk{D~ zzGW!>L-w+fztSyuV{ohG%=kaC6Y2g<((kZE_D9lWS6yI$({UOwjcU_%ewge zvvnth_aF5BzutSW@@UMz|FCdLuv>`Vf3tFnoyw!!iN7cPU)U+yALxvBlpnJXzbEb2 z#`ibY#`ibYl9sa5{!yU0o3x*g?@z6b?@wtTQ+C?F46Lv}JcN5bzQ3w{bhA6$nYW+2 zEZEQ8<_vb)zn44l_hk6zc8zw2IiuaKPLn6?XWtp@W-oOHJDp#U|MB;v{S0P$|9^I8 zXRx#TYp{>Mr|skS2WH~;2Xu}@{_6Zjpt+m0pZ;3#cls`8u(SI&a3}trw%;?_9pQ}j zdpb>?v|n>puv>GvGuY|;jKUv(Pus7EcDp;H-3q74llD``1iPuzoxx7$hva|!J!!xC znrPQ{20NW!l70L=X+QEh+9}q6Mri*Ep24%^&dmO`_W#<4P~T{?3ZBTb(as-sMY^wE-^fs;@@&znmU^ZoXoXTgG1J@ujkg^-0;{B z&-~5xzZ*Y&x1+m4Zf$tmxy0z+IjN`s9w;r|so?FRqjpIP~1f~3j{c5Fr(xny5wz{kVEevX=d(x%RSCLkSTbSY(>MBw_or70U zLXTHUJ!ymI;AklAKHC_Xq$IZ<+?r8|p1Fm;PojfUZ96HXM^3+gE^%gtAa>&0%sKro zqnGwS5dc~hXeB+TKeLH&{14&UM27#UT7QmSqw`rthnzZqmF~}-MmQK*&7D@y{gK-! zZp?ng_U$#?e<0!b4DQ2z?5$&bSH{)qkpA}$>EGI)w0R71hWsmse@XrM7YBW@6;~5- z?yn`E*YsaJeu#W!1)zN)eM}OVXL0_z+-~Be}|2fJiZ2f6`ZhY*3lpTe9#x`=uhuY`W(#c5Z2LO^Dn`Dv5x-WYTW-VHn2{8l%Cojvx}=`x%O@P z#-K0O36I(Zxi8i!ZzH{+FV+eF_ydE!SSLIye?vI_5A6vgT$Sy=>WST^1nY#SyFP?R ztP@|YbSizsI^l1>BIt{C^i>b#zS#X@b$nMnE$EAN+_!NFqkXYX`b@nhxG&amU-@M1 zKdhs_;^^SM*gc>+zJtAR|BKkbI^pk+2lvHpTwTr8m&qM1+%1uMUs>MNE-1f5j%`=! zcV>dVSSNiHPq{Dh^_@!hm9Nql>%>?6wDd*lS*82xR|t1Y?EIoyU+J#f%EUVPHS*rz zzDT$#!=rj@;~9mk#&~A#+abPUo%FYI$#__-rv`m-i|{NtA6fHg z;>#-7$-J?%6X|n_u~L7ElQQi;CV$0v z+fi%}mn;vfHCOm=^a&HJbl>vzqalC$7gy`A`D?;)2dm%WaQnPucqX12(sSZt#>((? z_rTp3@sBvbO8wsVareWNg}*XZ>Q6Iv?(R!|?PsjipI}_l9U;G9yT*KcHSJEHx-NE) ztq#BD?{>NX_CHmvKTA32P*+60Y*iWlnJ#+7b@p$KmHKO_C;DR6wAMFjxWD2e;)%Y< zS1BvqpFW;?!urh2Dr2So%vq!hD}r-Z87uYYXm9&_6Mot(SgAjGEaCo7+7sCRaJBvl z)@Rh-b~hL+-EUJ52fTGLIKfz{uX@!b?Zw`ks>3rw*=+Addcy8gs`cBqknXh49nuI^ zhG&+v*Lu|KcE(Em735R*N2JX*#!CH(ZxPR{sbA1f6Xe>r$p_>1BdA}mHCDPm`)tzZ z2*M9re_b8liF*+a+W+zQ7%SZ$yB_zS&M76xsh+g{UU7YlVCX-c%HzMZeq7HSe;M(d zBRp^rPpfCs2S?RjO~TGZwfl2~qyKv97aW{btv^6l;{lO5XJz=O|DJ$cPJI+>q`&&z z?xCb9q+V9LPhIKWoAPi!W2OGo&4iz_KSg=2(>{#tN?2Y({@!J*bbp3+p>rPP?LcFt z{=_`)(wqTc@w8^H{7-$IbkTT8tTA4)Q%;Ntl>V%%RmOMZCR=B3 zjogI$M^)=<+}1lWjNkgh>)}g|m!__y9N&mO~0V_!#Sn2-s#i9IY|DsO$nSC|s{uFja4>DG|uX5HohWZZc^w*=Oqepu(`VeE< z{Zjj^w#`mWzrH%Y^YD=-FH;$FHqLxzQ`@@mGPCk_V$L{uTdTrK7!sMxDVT> z)Nr48wjZhZ*2~|uzd(N{>I*ioI{fH&F9`auQeWxPV(n+)^)qVUx@{djzR)(if`O)}LtTR5;dPVCajAuS*taN{rc1r6lWA`;y>TCSgJBsl$ z;fIy_z0K%-lz*_!dPtZ2()vU13}dDHV~<1kM8Xf5H&p7Yzt%0F?cFHw&$V8O z`=2pZy5GA$dcPq|YR_x9-=~}@eZ)HHW9dkFuyn1lez@u%iR&M8Y8rO-sZJjYhsvA6 zRl|La|J#qDJnU(#OrOyc(W7k>yFacDkJi&PUKQ)Kx3;hF&%|{G{Zp&m?{16kWrPKC zi%ezswZ7UXzAIK3EA`hL8}dpV+`HQS+1C>u+BUJycy(qs!oCaZ6|m0w#^mD&2l`_F zzSZHGyc+l4hd%7o7_W{WKsxSEc{re6`5!-=aL}f#y2@CYo+IZG&!13!ri_*Pql^ui zx3~Jr=Nj|(l^?-f<_lt-_Qc*^U_PjI9p;6V;n6xv_hizOaXqZmS3Oku7u)f4BTcVP zCoVbP871v?8d1Z*vQuedz#crbJ zFgtPTJ#NXJ$Sp;dvTy2tmyvDnt<*wV+k*7+CU?Fy?Z57A(fjba&!r$MsU~;Mr0sR@ zl+N+%KB|J01d}@tN!vF~Kix;%Uq?&v_7d0gEV*-C+JC!Go?1Qjbi(hXWj49 zpDZn*-6!i*nR*oNIb#c%%71+iL*=jF--Ytmb3QHYzuvoO9nDG@qpyPR;8}9#(P?{q zx3#m8{5zt=Q+Sr#xiM|uwEQ(KKeF$h8_Hk7uZHrc@4Cd_ll4#ceszCH%gE@`sr#ht zKCnpSNqf8Zh@`grkerN6OztFW)zL4N|8{TD7(eb!nQ_vxo7_2;wzv0w$p0x;w3Pow z^4;XlO#WN@b8Kw?obQh9pEK@QE){;Iui8!3|AKdfbk}_t@%Lo>^?Oh0hJ8WO&E!sg zN~NFf6YQQsdH-#R|H8B6&bY#{RQNlONAEhy`f-cw^?63_aE<@_Sg zpX1s2-n9Q~HW1cRiSG#|ew=5?ou{VlS2K(1unVN`aTokF&yqX;K5ei2EY;5IKJkLj z=UH;+`n0{3Go_o#pObVmxigb~N@r_#NdJPgJ0^F=9lE8;pZW8&=)aS8-{j6r``@(v zkUvGcd2R?t(QXp{_WQiM{os z*qPi}VXybG>^?vzbwT!eAIq3|A4~6zapI9zQA+$9X4aBB5inRezmV z@T~gl+?2N0y_KDp&|e>4BJP;n`I5B#$iwkxH}dbVN_+~>k~?=x+iU!z{zKz$r}_;W z7e(g!56fpSw@xp&&P@4LJ<)eeRDTNY7wV5r_{86n>92bO`oE!`GLv-b9!tAVAQE}f zUg1~2uku^)j!=Hp|Ht2x_LfeJHEsUlWGrfO=bmZ*my!Ox_=XbS(_(e!E0mk_IO#y$ zcMc8?awqa~__weRx^tg%wpy)+guesr@3MV+$p1G6f9Jc49NdZxa_1KQ-)qqxzaAg{ z>4cO176*(a^|zD1%l`8YsDDo^gmZ9kh=0M0qud!!P{;P`ckG#YTlzUW=LLHw^0NO! z^KbPJMZdd@{@1=^qCDK0I6Lp8F5JztGjpG?=4d^}5A5 z>;>sJP43L}pPHv>-mdvu!JmZrTicnLzw4Vb?D)32x0U!@o+Wq29pR<=Z{1tddJijQ z4=eEtJWK9;PdfisoI|)Nw=4KpkaBBsXQup4-G_AhJoCN3Eb*s2OYZ!9+JE)4J^DZO zzXj>{OzzC|f4X<4bu{t4s>FBjEV=XOwEuP={GZd`{uck8xMy-_d`Ek!^zTh$r?C!g zUO|n4BzMLg<)!SK_CL1H^8?EM^T@x*4tX!R6M3@!+kLo1tc%Ge^^-F8N7&lq_>15nwa%X1z z)U^H3_*?Z~H}EXEGoCnDs{Yvcm8jbM#kmX57vhGKKd1Qj`>?n5JIa}@-#JX-OF@AUF z_kq8c_WHHhJHN>DQ+am2H*K$blLj67%fm{1AkUIJJ866KXP@Z5^EuIf=RRqBTj%>> z=sz_7wSHuE>^~xN^Ix@}?cK@iy-OtSCU@?h_TT0=Gok!z{ln%#tb3T;nOXm^e)cBx zssGwPvUxD$Mw|ae=Jt_awzuOOYa=|1*?*qPj!vG07Jc<)JhSydwQK9f5$^FQ;4v6K1l)VN9Ea%RR)($ly@_5~St znB18ee<+{2SBHEn_&*{4y3VWf<)`QErgInUYqp=Z&bnKu|60eeby&u2CU<7WZ`M!T zi~K)>_&XoV^NV+c#v#^00ur`lPYztH{`d@kXa+?kobXnm+yx7Paahj{)fl-!wFzvi@it8*!7^_CKE z;aPI$rRn%L9sgNBy=`c}I4K+Y@yC#+*HM0*+ot_*T>eR?V%_>_A^o&(tMn_F8Gl+o zaV+)yJA~Q!?oj`AAAS5ing1H+7VGZxKN<%Y>+X-B9SFZC?KMwtJ)8FKq!KB+CU-tN zoql%Cf%Oxef6)Gm@%i!mgEO;!qIqijhA@A1cE-Z|)rmYAewCm0ODSvkU+`?6C3n6w zZQs5SJ<5vKZ=4i6rpXHDD`%co`U>wQ?>V2J4!`WXj8kM^@cLlib$&c= z-~L>%FL-OPZ#y&lH`f0AER>&Kq}pN0oye2r&+7R_vEDns7wf+>vwvghcSQ*Qa_0XE zr}iC|yMJx|Yn_txw{=T#uaJHP*QDd$H2*C9_l)W9JR+oj&zTwj**u@7Yq{&EV_zn| zn_8{0+e;)rOzzy2j=#;Wh6o_<-Pl z$JtKjpS82^jqR`Vi?RK6X6C)9kF2u&d*?2({c}`=fS$mIyj&_f781wEtGm8TTpu zH2yO(?lZab*tGwe|Jc6sszutlCFIWB{VzY@mH+JCThfIA7xb(9}xX8x>w;?4(% z@9rg1rcLhrKsx+Q%TLqz>zt&{59s`4!A(3%?#!GYXc~UCA6j?N_^sg4q21uif8iWr zZvElH&~MoMVHx93ofGUln(_AOB_cDq^U?YIEBY<`xAN=#mNV0TjlGISgLsZnh6=ue zXUUzJ{Ugnho1CAs`QP!B`!h&?=Q%vTh-YVJ{d{yg(*HM{ciC@|TDO(lnOncrITNiv z>ikK;r}8YhGqe6^`-CrGzDC`(^*8Z7JQMbUne{iDAFAD<{j&B)q}?&OGt>TPoTPq7 zC3j}}@236lDD2tpOG!PfhO3j32E0?-s|O&Zorj zr*pS-{H>m2XZ7BRoynaU`=4C9xAp9tfZzVnS~ z`=;w38mHK}o$*US>Yd4*nfj-7O3hnr|K7>G#pKS+{KeKeSohWYQ#wayWF64t&dmC+ z%5RhXcg^#9pAPfCfl1^+ILf3$xUe@~{LwcqOhK1BST?~da~=dr&(|8;&u=l*nlr66N*lRGo#|C+X+ zP2(^7Ci{mPXLTPC#$N>w4&$$`^8xAfw|y$+UCUiRuyIyAx3Zk`EB8eI-QFkk-vyr& z`tP=LpLG1yPuaYc{;S|mLjPs+*YJC?{5KxIUKsM<`roI;ewcb^{faZQf2?x`w*LQ_ z5}!+ZEV(nY{;&Ki-kYQUw)02y+a`Br`tPRuM@`3nP1|p4-{?A)yMJWkgrCLnL!|8+ zexGz*h~tRN{-Neyy-noRcS^j1XUUzL(&g9cFYT_?UnlLZ$(@<@x9Ry`OMluAn?E~Q zw=uahvwqX`{EyaIH15{=OTkZs^%sr5km!yZ`F4x zBm2@`jh3i-E4edMf1B1Ho98gjwfT?p4Wa$)Igdz}zozY{os)Vf@umIhJD(ZaufFr4 zY5yl)iXG#xiL)1}ahK%I-1tlTjI!7Mqf>U0J9GAW&p~#2|G_Cc$(=d-ruC=k_|eAS z4`RLfx3po-LwWvpo}HQVca7VhhT|Xe|H|0@Iq!(=pEI+5C;KMz-)_8*w;S){)j4S0 zqpI_FfxHWMOS=4a;(ffGcptB=qhW9BXU4p}u5s0Uyq$O-uht>uuf6|ftgw&w@wVfA zymmjh?2d}=gx{0xXDi;v+lu$`>dS`mUw4@Y=KXKQ`*>UNKHe5>klF3&%-heA&Kkqd zk?sZ0;8}9#i_+<@dyi!|dufT-ncSJN*S*JPPr4Vx&g9OVJ?Shvy+2b>c9J_Y_NxD~ z)A`$i*qPj!vnQR+j&v_5JIS3Hd)<31yET`Wh@HuuIr|mSZub&pC%H3YuYF4Sul>t{ z*qPj!vDZ4g*|kf=&g9OV{Ud{&zF#4CjIxv5nX$Ki0z35|vNvL9a%awdGTJ??MA=F1 z%-CB$iNESU<-ZX-lRGo^8mE}uXo=XF+?lg~Y_MDPf)ZsXxie$0@tyqF_^%*#CU@rS z=cC=eCCW~6XU1OZzw%f6*9EaNxie#L;|$qPMSBrDlRIzGDGr2Qo ze|WI#om`^qBzI=)^`5o-?cP=*b|!b`?01cJhm|Nh$(Y1}3Mjk1&6nX%V6OLiJ}6~xZu&Yb<8(e8*6Whc2aW3Tb#BJw&dm84t^c*oWV}fH3x0`b$(`{Fqf4Ei*Zf`IAJY1H!CQHj+?hGQ-ZcGW zuW)PqrXb-qxib@fOMmPw{hipE+*xJcApb0!*jxA`{kv<jfRsjtx9^H2MyM%qM^ zJ9GP|cT?6klHb((f~OFF$(qoW^DblBy+?m;bwDmjIy_fs_7oBs^ zcV=|{!P(w{XXH-g$?)6yC*@?>@2`{o+V@odUyypB^jCXm{Ce7d)zjkK9P6KUj)r_Q zxigc0wobYm%=sI&lf`>u8zh@_W)={^+}B^54mgYv#WbdD4Dr5`S+cz2CA( z*-7r?_oO{mt--TdZ#u2S^CacjIedO&DF0e5*0*kuy%R&?WB(aVp7g&>J}dv)w=Gin zCb=`0f2&@F-7A>y69;GiYT8faPUK1drPrd&$=<1SliZ0sX|Hmod{g;z%1&};F8}(M zk@o}i_gkdmExD85lm3r=f^d`ns~)yU`760Im;b$YhIH?rv`G0Yxigpk6Bh)3Cr@9b z{FU5U<-gKR{ySwS|D9Fo*Z!lBe$Ecd=qJNZ57zx338%igJW+uV)J zwcpmy{W$c0oyft;(EmA+C;hj64m<1jB4sDJa|?UFaf0tZVyF4f+h~93|D9dtEs{I8 z=s$<-ZNKCQ%KP2eJO7(!%5%#(yni}mKZ;%Fo21|Fi|n1q_zAg_-^=kIvR^^l)!mEq zro0xsjQT9ObFZ|$)_v^Xn@fC8{M)%h+P-@s;oh0B9$w5ClPpY%lTgO_QAA&X6a$f@1M=a{m0q3 z|7hukox&q`;_v0~5BWcX^V)x$jr)%Zx9l|k4b1yL6Zaoy;{K!d!OV{I49we4$Nk6Y zxc{hqFxhGUE3m>o?mte){YTw{V0L>t^Y&}bvftVNat1r?f61NrdoumjtcZ5IJEPqS zr^%D{Q^y3msneaoPWxZ-KmMMyUwuuq(?&A+Xz!n3XYZdFO`f)YWUyQPY-jLS`(N^Z zb@)ALKY3oTo4m>y?6m(S`}lj>elprU%o*(_ohDD(PrNkPO`PovcG~}v|MB;v{i^Rq zyHRJb)Bcz2(49*o|N740hW8lK=7dwEcXv+t(TG=A9-_ z+K;^|*o}R_8SL!+P5h6)C+%1MDB4XqgT3~@6#n>o+Wv{bZsqaLX!k^?$&>b@p9prN zH#mcx_P^wR{5@^IU9{WJ8SS=nnmlPg^15I*@=<57Q-3c18J^a+*A8-+yzk>wnT2 z>}=f&|KsmT``(?=ZU<+u@7?J%dD{N)VAng@8SM^t=Iy(e1-tHT&S0mpo6;}-ZvKyx zoZOhUU28Dc;n%@@yT!M-*R}b3e4G9w&$(vh-p8Kvt=9OqtVPBD#x=%Wbv()^vqd^M zWIa=bI&S^V4Uhfs%->x9yKc1~LYTU8Yr~@t`tw?DU1|S){de6Oog#m}FSj1G|Ksb0 zD;dXe<>TEJ#|<0mxs?pp$ODMu39SKf+^<;-d(5o4#~PPvrh76>ed=TXa0^p>8-KZ_ zVU}4*>wR+Rz$es-UAin>flH?;&7ZWPW?4w)-|Vv(|NfhWD(2p3DD5lbYU#)%C7GMv zW>lj4=klXgS7B@a5R07tilc+RSVw>K%AhaS(O>n;pfA?ZU!#>`!Y}fb2dj~}@Q;6< zazu+R)^UIJLBV~oj{ekZg1%Tsf9!2RU#z3Q`X@0wu#W!7dxO4MM_>0Wb`EB=2*#mR5AKU~^_9QU@5b44E`KLK9l|5laliZRpfA?ZAL+&Pgmv@>R}=34gAF7e zmF07mcq+bPE3Q`M;@i40=!8|tnyKHS26Up)-{>4QUm+Sy3&OAjn|riR>KQh#C}^zUGHEXLKjSl^e_U&*LZ@m={w zV>!Q;)Ytv;UEJ<`!&s?5$!M8+V)y@UQD2sHe|Isu{^WtJR_i3{`wxtj;aUAs(&cQ@=L5z{{WYx4_xHyA`xqiMNyEX1-S9n_A3*{eHhG&WyUPrs=cN#18 z?SAm9DgPfqKkhE%%JUdID*Z=L{^EMqXsB4F8IY zaG$V}*7T58Q^- zv&!&KK8JL?obn^qNYD0{aF_ZbQV%NKSG&-?H}&OzjFtMUE+zc9KTdgrmHI1I5SEva zUso9`^;bWDv^kIRv$L^Mf0VgV`x^4=uZ)%Y6Xa{3zEP~xf32cy_O3<$2xF!Dlgvd1 zQ|Rw%tkfU7KDJk4{90D7{OHTdl;f7z`(<_dulh&g`NymTiPu%@+pZ*Os`RXLKYMR8 zi!VPSESqsZel0o|{+ZnfKXpayZmtf`G{t`KYIb!XeNtt5+I{qIC%&u6=i%|#lH-9@ zq-FO;^p&RnRqejoS&e^YUTmxkkJ^4ar334;*|<%9!Akwf zx1vk=T=QsSrT)}Y2?t}1>9(;_U*)-T4DAW5)BpBgfZm~meau+t{_4+B5Kf|f_>i$u ze|97BJdOD&*$X^FzAbQ!qXoQ`r;P)!|l~xVZMfz{ijoh{Fg32 zCH=wcITe6DOzX$_pq*;?CS~XSq-%}wVSAo(vopGkLt&-+?Vr=Ot;c=XIjmaW#;N;+ z@oJs+WaenxrOy`Y%%`Sbh2EQKA812iW%y^ljNVS9C-H{0^t%@XeOReK&dHnBM;PzX z=fO(-{>#z(0eSmdW2L^vW4)sokKJIb)SuRPgE@fM{X%tkq^HwVV*4MrQh%Rn{T162 z?h^?=WFAl%e)YflI(&OK+Rq!S_0lDp0>-5-^$^yQyv(&b>2jI5Qo<@hugQ< z{>;)=bs7JN?Nh4LNA*|pomuJutc>r>2Fs_`EH>m@wLbAxc~*Y4Yovc~U(!-#?z_fH z_a`1ldEU%?0S@k69iFL;xJ}zE)*7#lkS@D0K7n=CE5^1b9O#Sv`&Nf%?0npRANr6} zf0gkaxj*T+KjriO_3ER>ZLM!>{8n%LGqNY)-j(|D0b^x&dX%5uPxyDUv09(CnfBGh znKl$w>Z|YVX$%4Dv{yP6srjbXbzr6YT9@gbO!_mfhn4#M9SO%XNE_ICLACxEY1#V% z?%!psbYJs2wI?%bZ+}$nzUHM0i#Ry5T7U3C^v|Y!ez&nQJhp#9dKzo2pC}zWpJILE zR%506-ItOsdk}USO<1Yl|2cY;2eD3h(7KrBJ7b?QR=PiO6Yif#{esLJD)m?1Mm&E; z`n=OvsXxg$Tlpo{X|E>kg}b!pDo1tZvt!T2ecBVHKdcN-=U3>F{$ic=G>ucWm#A?o ztaN{H4C%?3Z{_92N`38LbY93m$^)#_9~xgBRIP9OB8TDjH~3ehzgzim@@b0nzpt?} zJiYsqp8FA3*sHOgsdYw;x5aji^~}|WQ;r$0+FM^gsE)6dPsZC;K5LA(weDlP{4U7c&xhGXK=hcGraV*V+GS zXn&o^llE3mej4jdWdGkn{c(1Fnzpxkik;QlNZCp5%-NIb_Ad9ji%L9CQl6d9PW$ig za<99HK99O5cedtT?zOw3&nm+L<=(0Mm)wawnSQ4C<6y6MDdmn z{t(Y?9|iB38EL+_I5TO7K>mlfMZp3UEq zJ71cy--hz{U+6x1k@_ZseWN;(-;@5^Hyi$zxb~?p&hsfd*YWK9+qC`ov(RPyFiv_E z+{Clw&f)RHQ2g~RUCm&0hlaEJM?52UB2R|j^bQL4UFR#Ky)(`(;`ndKfBP27y+|+8 zUw6S99}7u;-31?iPx`NKruA;3{XJ=secA)bo&27(w>wuqLU?b--uWLqf0<`zTn%3; z{Q8!$%8$NfT##~Oa%ZOe=q}LS>(OTnUGSfImfRV4PnPoEz8OR~?HfXoogUBUke`w5 z|47Gw?lSZ?(th4n;wd~!?%bHR*Edhv$1`63P>J|$a_8}B`?ZfEpJr$;sJ{gt!L#Jf znY6v$`Rg!d(l;;*K8t6`oexXf+qZD&d+b{{&Wm~OQvRKBHDjst*SBOk_olx&uEfXj zEV(nTt}bOix*cuZEvyYSdk6nkEwZ;g;YaS| z_oV-JXVwLbzfM5k$r#e)&dgmVcIOHCYn;F=-$=Mk?#zVWzWMy3;J?0KXy1fBBlxdx zImX|UWYKE^X6ynO8kFwBO5pm%2AiJ)!;S?Oh`6j>(;w z_DADPc5}n{)47>v$(`>==l|O4NcZ)O+xsOxpZ-^JXQut_zMOJHzuA3%i5K%Mxiiy$ zPNCEK2iof&l=yX?C3pTqI{fy{Rmz>dfv@ssq}JF1L-7Z;a#T$o@naKRem=N~WL2iQ2`~ z__5%HVf?7?$;98w_I`RGe9PxQiR;H{uU0Rzb1UON*=gFs{S}X$FtMpy}n`HyPEOds~4&F=p}dZd(wZMP}H}8+IN?DEzgoWTAydVf%GnT2jeHnou5zJk3G0# z=k8!k1C(>Sg z2Yu)9JYUGO^NDHurv10AGp-By-*>JL`LA(h{5=`|rgtIOIQJctLB<`$ICwM9jG?;D z%=lO1hxQ9;uMaNqy*x|qjHfAOQ^rgz00J1 zRG7ak_bw)Vvr79My2GX5Z+Mp6`ETj+r*$4%r`)5&2Zi+?&41(X$?~Hyihc3muoAyX z-b?O0KkdKnJZjNj*f-#uC-W@1Gc$i~dKXC3Z!szV`@atP?;PyNGjb>LWcoEN|F%wh zWoUo3Z)fYc^xGzP4xb+wYJZi^ee{(6&cXH}{hi2@;nzA_=kl=rR`3^L{jKA?JZ;}} z|7Gswv=j8RbBy1eoo#tW?nIsp|JHnqX{q){{^$;J`Cssd!GGOF9)C~zZ+BH+$9VT+ zl=sMXpXWCapGf3M`| za;n|1yQCts?FVBo=GWma&q$khBX{QJ-%Zz_ntn^I>0LZ(KUyE8t);FM{04EC-1)(D z`ZwJ_)R?t90FOTDQ<&e`b;G zS)P$Q`8{c`@pbQ+VfSc}3cP<-hj) z)&4p=D?a6RWM#{&B%Gc$VCmnSX1Z*FJ`SDnHKC`CD@5G3oT{eUN&` zzaDLMLH;(mGt+)+okZ~-uzpgIc$?griNEa=6MyX=EB;2}ZE|NO{>rD$YeN1N{BX#> zj`KC?_-mg=`%l`xDM)-w?#%2zHT@Q>< zTTA&>y04}D7R1iv&Wyd*2(8@Xe?juyk9x{KQ8#EVg0!898ag8))_jqU0Q!Ccwtz7=r}X$=PG9k|8nnw zUH9Z*zwV?GiMPp}nfTi}A@ld;p5N3r&#nAkTcXOX&H6( z+jFvRY;tF2{kVM;<&^f@_Dh|#+a`Br+Hbq_T=8bCW$_n@x5=Hk_&=nS?w${x?#@j5 ztDLl-5Xw)%mxc1vc4p2W=$xnKSvvn&ka}Zs=WIIv?amYY(fOs8^L;$;%CqxjX?vYB z(K?&XpA^K_2D<6>)vH=<9yj&9_>ZhpH*Tm|1@TM4dwUt5*c@@ zU*W4Mftm5A`gzTp)&CcK6VH-6k4(qEwPy&o?%`4VjfCIi&P@1qUys?{Rif-9cV_Gr z&)z{H{smtd;?2H$I7gm4|4#jr|Ehll@mKXv{u?v?>-=a7+s<(%Ud*%P&dm8M)4Mg= zN480O$(>u=Wj3^aul2junT$6m!v(*@_*ru2nd$s%I)Br-52c&VfD}}^N$$*~-}rx{ ztNoMvF0!*Dv@*k zIeh+VsQgaH_Ct3tsr(ozHzs%H$`5gso!YO0*s1+e`7`G1DQ7yTZspHOy|HrX%+w!^ zGxXkr#vKJoQ{sh|EQd*-&MISNIz(DXQuycy8f+oy59Zxw|9vL@VDg7`=#rz z_9=Cjul6kqevfC#ofoC;TYHA}zt#~>>cK4kC|7|dPqrVLCs?_;wnUX1$(@<p$p83z(*K1^g55&AOGoFPWT*LKp#7e1S7t%rffXbcP|G<3tiFf6(e-rGr zk7IU^bmr~<|9+R=0)4-Ydugi+(r=sGnd!f^&fD3DKJ8|~Q+Sr#xiQ`U=sg|vA9`=6 zAYn1NGt+-)f70yEE)hGEJ2UpS&W!(Bf0jE&>`d;=*ejj%-sb|k1s@U8ukXyf|7rGD zMtkQS(cXDwI{j8YF_in|-sPupLigM-ekl0WFn;Jd&rSPp;|z+9jX#{b1pA(op~w{f zMo415-!n9RHT$PUd*|Opd*{aXd!zfSkp zS>b;%ZufD#kK3J@@w=7tn_~Hi)OQ0UcjmqyVBx3TvG_Y_cTDcgv_IzmZp$yf@~7wZ z-s$z;nXCVdv(@it++FY#o+Wo~Oqai=<+pMB{iD!+k4-sggc$#5Um!3)ei?gJwEKWF z*wwvDR`2@+>yN%u(Y>B?-d|ay&aFxAHM(LPv?gVl5QqQd z(fQ;;-+|SUUVsjDKYA`!hOc zrf_TCQjl<)+?ff#trJmymizv-{A_Q+Z~Q8FMF>mVxha$Wmjzv|8(8{_xTW=jf>q(a zUktzV0G_A$=Zx!L<2bpTyL=bkfLjkP-;=srm)1Q1moDCldtj4yx3*~CxAK1Bq+9Ji zZT~%Vk8k@D`ps7=h8yCpcSTwyZ{J;UA8PQQnM?bH^0Qo*dJ5AWa%nxuKgGZ0x-|AA z!u0jw+mlB_sao<5j`SzjONKJ7j4MEvz|x-RB_p?M}n>)%x1$mcH1k zp+9s-Z4LdQJ3VXYm+x4t6P}?v7Hhaa*ek?WtmFRBovk(8AG!myhJNeD5FW8kc!utr zt>gaw(S2CQ{dm`G%kD_6;r`Ga%{BCg?rg20zji!?U#t`Uxo-!3v5x-Goyax9Gj!*2 z4gK;R({;k56V6s2Ve8MU>+jH=+BNj~&bGNP)^XqN(xy$ZyS4YP4$s0~!F{n#d>5{c z`mm1v+H-@xSVw=|(LrC_Lf^j{F?0v3zM0>D0;65ny?H3UOX{yViOz!&pT5os%kA@$ z`jd=IbvkwR3C2qOxpjn}(f>RnAXuqC`w`sTj?p$8oLj9w&kSGsVjcY{>9>h*O?~?s z@>R^9JEYH5)!~`@33^8oes+6cWqc=C5$V62@UU73EA`h9_WnHS{}N-R{`_V}vCkoW z!rgk6`g4rBdxS;o#8rmaUoTl6lP)L*lTa(^-LeVwsV z-@ekaBD%k#Iy@tc$-4i<=`7fJMpl2@)m>K6zZKHcNRaeZYqF#=T%(Ud`QyyuFE>`| z>#nNyyU_m#1KId(_*_1;ei-5*`qw=Y`WBB7W&qvWiML1^ue{P&8Lp9W(&;$#-)Kzh zm+lNB#^EcrHPUJ9dXzuUDJ$6i*Xnc~e_7BM>*#kjqDR}_sd)#C?k<*o_q2NXV0V4I zn($NqJMk;!iF}w*d9u3>J|@<4Uw09A{~q^YJAOqg=l;Z@B>Dv97PjM86LR`?w<_V$ zy*KTTRj0qcu%|cm)MmhRd5Cv1jT7erThc0;^g387tlIoku#-2d>=RSgF63e9~8&MNSG<>MtBi+W#l@ z>mXyb{^7V!f4@%YU!%WY$BMsJF2&ZnYlP-2vbSGIqO`)Y%JTJ65#sXMFI{`_~^ zhb8CdlbaY2ipfA?Z-|9Or_YdyZy|Z)Vy+L2B6P~TTQ*-E!%o_8b zp*uKh==bCJUaS-T@*Sn!_*I(NJ};SHT2IkiIbt36wN8UxSg)BLDsM}=KXGbEf3f?Y z_4MVw^gD4^A{YMg&j@5wpT2AC zGGn#-n`ozQqOZsE{fT|h*LVi9)>i4hmHR6w zpDO={R_p5yY3_dH4r%HAsonemy{KVYT4?i}lUmGS`@ z=U3{_T|<7b79)0Q%)iwx_P@yZ01oa|?S5=mT9f1n?M!8O?5;OXTNpQ2>)Y2d8B^QW zR=-xQKlL5rc@*sztdn0o@~Za~;z}WamEqSoNN>uB-5TRvyA_Nwp*x>D52<#aHqP1$ zu}*to<>8^BJk+_vcEv@w&)7-q>{1<`@w?FbQEZQDtTU`!i~GzSjO}Xobswtchl9U0 z=F;ERC1$9LZOVgD?Z7cSzp2_EwZU!XD0WBl>S}%a8t*?-?w(FQ{ByPb+yR82c4+N4 zjJbH&yng0T%G)-?1GcZNc7K*Qx1U8jakH_~{n>}&KJBI0Sy%18(xv;dkUo^XO7~SC zJ6GfWtBpB*%eT>S!t+Aj`i5QRek%_)=IlZ`F*mmMn(<4W_Im2`=us}#u>Mr1T#P=M zaJ`f8TyCrkPlvU}9&6khlfX*-?pMs+R%cIRPT$gL3O#*Q*|-bwcni-p>Z#TPS>I+o z5PzOu9WJfkbZ=*U4;z>Zm*s=Td4soeN(0s!Cw&WdM+gt(R>4a5wa(R}4HoOH)33Y` z_Zg##op{;`bDefra-6EuQHt-xj`iX@xRdZ4MtQxju`>J`7n=JU>$yKdTht|Q_0?sV zc0aC@+HGNsee@sqJE!&II-2(V7!S5=|8Li7eXWP}&Z6Hx-B{`V?0Vd%e2TrtSGzy+ za`dOrr#*$0?$3OPcv8>Su>K6I_1{J~=&NQRmG-5=-}G*PWqjNJckU)0985K2WK_`a6m}jw6#n0_ zZ!y}Iz0>bBb|Npwf62RrW#2i^!@-ILD`oL+Y#@d(#zA8I`xfb$(_i{={Mwm)4Mr!%AmU@jC!2?uQD1!?nIvSU+Jgvzudbm z6;JKLD*jHtQ`d<+>A%uncDftXDLc!T$eg|I5a#Y+yBpc*cM3a^C;e}Fw{g?EoppzF z)4Qdc-fhj@*>>Z*v;RKQlW;kaC(}>mxAhzP-yIg|cX~VdJ!!9U%H7k;zMHz~-Q-R0 z*48Ygbs}Z(z(sD&-M~%ncGjqyyMtRRZdfF<(Uy(IBl$g<{+h|jez|u$+a1){FZXU~ zg;VLL@H>@$7OzO;+3?G5xp#B>-N4)2M4v+#wz-LLs7=#+nho8A5T8O!QSC-XYOv~rg!V=9grs9#%cO($EM%r z*0)=le4DcAw=wl?_~K6B*Rxu|Sh%mP-Go_Uq2UU&ajUnD09EPPF9C+`G|D z?>4h{s7bfwzFXb++oAUUr4an`;<^2Zb&iNNSe~@SA_G$n14OhR@ z!8yPk`?hOj?rsP5zfIolws(-}zn1%M_0+|Lzr%Xp4@!I>&yqXiDU+r8KlA^7(SPRw zJWun_nfW%L#s6O9^>@&BK9*;ti!+{zS<3&W-}crxulry4NBMR3w&fYQ6M3@zZF)CL z)BfA$CpX4Y^1&TBx5$`DLlWKXXnOr{4JlK z5b}RHcWYZYJsx|NUngTXlRGotX0UI-D&H8ZlTSv=x6e`kl#ei%e|CooZB>uD@9KXY>fV&0n3ngz-nui3%Ip{%x+I{okf{8#lh2`V#V*b%N#I%{{Rb_Rk=n zPb!fUB_?;qx8Rm)|2pps^QO+FP7-mJvj0wJe*V<-Zg}<6O};JG^lpw--wosL<$jy5 z>9=JXf7?a<&pXI_^*_$dJWK9;$58nn8vkni)BX?E3z$DTJ5(sioyg1eXUKj)`Li!J zu>Vl-o204a&dmBv)BLx2`mu5T?&M^T$(@;Rli56l`K!%eoOi_ei!(F-SNMxNRk62u z40a}WX6)?_3g&;yy_-V*H2SvKb=dp7#p&}FXJ-DQ{!awl0->c58S--?Fc25Wk^ zZ{zZ(^6T+-dc2*P_%|JYH~qF;)A3K!`HR|*KJyQ?AI<^u4{KKD}a`+l6qC?&l2Q*0@~ZkH06&&*&$j-3`uY_X(%TllC@#e_9;BJO3_@-<_Fn zV>Z1T*TxC-+sj@5H+$AE&ECnnr^%g}_0PuR5BhI?8`k`H`nboL8UHlB+p_81=u`Kh zoj8;B>n}_E63>!5&rH|9rg!tIohsH14+-s9`^3sOj*=!+!`({JlHZNJQ(^xum0i=pvf)AH9e{7vr`Z+bV9=I^Z|89(U!r}Ised&!+g zrpu4cf7l%0wi21knB1A!e`|U-UDLannwB4pbNZX;KZt9=D|nXNxhb7~>gP0mxBV}t z#_f_j`7ezpGBxrF#$TH?(-OYXcR9sj0x1IN1u?Hw4kV|o`x=LYKDO{lwNRc>^* zY(dJ6$(@<<)AVkvru%Oi=P2H~+qfX{H@P#@erx>D+01y8u}Z-o^DMb@b2|T&&RX}h z_obZQ4C$}+&-io{^>dds?{Wphn?|;%6(p~3Tl>YJeWcm+w3U-47oxx7~7qXAPC+*j)2zG0BcLqD% z?JN8Ed(yt?-A27>@`?U?x#u^Ujvv>Y72|!mGsgQYr^%D?*F3-dV(JO=|AJZ%klgv= zO#6FxX!nNoYiZ9)1?lRGp0=Za`Q677xHncSJN z*Erw&{b-5UncSJNZ+f>z)4M^{P8R#F@1V@mes(uQ$(@<~*QR%4SpRor=zoiS$U8#+ zYv-3WzsT%As{PQqi`tKZth<=pnOT3)`DL9Gx4W$)+w(jlcjoREp58N*-{sy7srYOE zQR5G1d&dxeXKw$o>HMMfwGjT+T~5M5yRW;+15KW6|7@Iu>T=iLZ2rQy%jVxsjk~TR z51pCu*W_df_vFKzA>0}lDg5#GeE5Cb=k#%(GvmMJ@2dayMIk5k-sH|q{kQVRxXH?& z^Wsqc>fEh99rJHG=HK*PA>Pw5|Kjh-_*?m*{w??XKzG*=|L$QW5^s|`Gx2X974oh9 z7H0^*<{iqv_wnYo zYxeAWS^0N9f@iJEI5YcS3cubPu>C71b|!aL*wcM2d;RgANWUiU&zb)X!au!dXg8*h zD3Nx<s1^T$ z%$rT_%*>y4hoSnvu@96;x|`gYNq?<#>FdE-|0;N4SpVucPfn+w#-Cm6bvIi<>`d;= z*z5gcg@46KB@%9vJ2Tpd=1<7}lJ2$57_5O+O z=F|Hp1^3`ta%cRS)l&IC_GR?O$*{8OGKcaEq1*ZQfwzf4>UzAKy`={V!p;g<4W z?>Y58i~bu+yoqPYou5tn-*o(J{ya1K@1!3$x$~K6|FzGgaBBa_srMFb|0y!}{-TYa zFN))5=l6Ji6z(}Q=Z|#GU*%Wl{|gcplRGozSMR@fuP3jcw@95El-$Yh$?=co|6SUg z$*UIGo97w1li!o}P1A4w&M6?!JWKmGntv7i zCeM;P&(Hg>_vYn)LF%*o*Sd)Db7}jzmy=KbMSS1C$j-JrBX{~&RF`W1TYH9fOW)8_ z`(>ovGPyI;el^WMeSbs#j_+F{{+isG@!#H4UmxawdT&?r!2a{$IVG-7r=R+n{!2pt zQ*cx0fBMdsr0wS}3+>+AZBEjT`mgarV7~q%T6B`V(} zcV^PBY5mvvhaTxZ^4k(gf0H{i>9284@1QXLDfr4T{^>anN~gcZul-+#@vC#NV;H|W zktf>^wey|JL;GLw7oq*{I4@7zuYLpmcNp(}ti%WMEV(oD{;Jw}<)7OBg5;aYotga8 z`;)R;IIu+QOzzCsH$6YKuu~}a3kNzwxtISc|MB-s`UkuDlbyj%`6B!I!%d#FpW$b% zAI-+~Bi->WJMAk5=IzzK7V9qOhIY7Ecll~)fB8M>zt$=A{*0Z!bFyw}a%X1!QvNsj ze#rEzY4?w(ynLuc+7y#JGw**|IO+E+{7(8mlRI`QwaUd_U-xo8BKWWM zv-o?m{OWg=UmO28DYqtf{vOM(+W+=zLi=Cv!=e3eJ71GdKaCS~Zd2ojf^Q1r2c6%H zzbE6badP`p`1kY@Z{%5W=cm&C|Do+Qeo?w<{$7xDGr2R9eoB9hGqnCtknyC+onK1F z-~5@5{yX=M{yV4B_D#nhT4&Su=d}J-@a(Yu)^@%$?Z3W%($@IlzKiVaNcfRE`8`>F z%>K-1?<74P9`LMMA`u_0K`*+SG)AkzYw5|)|A7`7njO0$_$@J5AK3f04d5s^G_;sEocg9;SM`$9qJU?Xq zFo)6jtL;1@`tRH`?Z3{sDc$w{TS29}Yg&Gr+OKpQ6v4P$(@=0Q{M@coxUGf5Id7QGxj>?Dm$HjEr^}Tof&(5KdSv>#;f01q;5=? z+{y3B_|LvGq}%MJ&X9gOKdk(VzbEbOJ3)kB`zH#&k#L*bnF+sre~qwf{axQ65j%`& zBzGcD`mb`<*+_XisYKS@P43*7w%0nl{MGuqQ~pZs%=vHg&yR%uujl-a(Es(EA4&VK zbAncGK3U=!lqboZne@{-P4ClT{ifg#!}?9n`RTO(w*Ezt+1 ze-P)tM$+Bn&P@8tw!JCjRlzHQn{DT&bo`sHKWYA<^w<2WAn9&$XD0pSzxF+r`~60< z-!0lZpTe`;b?%mq|KO64R=Nkm@Z)yRoSfu`{_dW3PQqt@~*I)2VeI z+y9Krt^Zg(xiZ!p=N+;BI5X$pWUv0u&W}0i_e}20^ndrn{I$vZD{+3k?D@Cmsh!QV z_sm}l{+MUUotx9;$I{>1E$1U*`a5&&7wNC{2Rr}f)cU>T&dmD7)|CHoiYVjH?OKDm z4!J&<{UAmYy=HFU_1vO6Al2*8M zrTzEy-*sv1NtE)hw?-BQkJ|t7_1sE^s->EDvfMgk{rZM(Z9{L*Zi`|V&nhf8hCSMi z&K+{8W|)#`)s{=IluH{P-7M8t+=o>EGjnObS}rBiyxnJ%cDJSZ29nIm!e}T}OWwV_ zwRaK!zVGhd+Pkl}`tI>hu|VThJHl=-b_BxG&bxpZj)jU#z3QaCOiZ z>*%jlH6c7=9ev$#EPb(#zU~BMn7)FLr-f?fy3J4f@ z^u;>*(>Dfvv5x+_ql3O!M}Kg2&=>3IxAqVEVjcbVD}uh*`t$1afy|B3KHZdt6<*O&11CPj}CEUeV;64&-#_;Fgj z@YlWrHKwQTSnd3b+2C!)TzDGYIjlQtyCe7m>)c(dJ9m|DD?d^%-dlSIx^4y4>ea%( z8FTTryL#t;fgUzu@AK99wvG{P_X6IMJI0uEzwsU1TXV;>?gDOqjDKIMm#!nUTY7V6 zG(F< zU)bI!t8e9cgk7rc?VMH;SK-f<#!7#-_HOLaM-eV|>2&9~-v$}yeM|NW3$G`QXj{eZ z=4?EyTyO22=IgG<-9tzx*gmD&{rPLDU;JBOMHHsfC*EDHTj;p6+WxC^cXekY;h-Ps zY^+!BxAso<-e%n2jWP#YCuYJQ`<)eM5tb?POZ`s%?&=-2!n20Bw%P5QrhGeFv`aJO zAxx)N^l#yV_=Ensw;L<<*Iq;Z?T!Aw8>{sXB|l!RaR&Nds1A?x3jN`E+07TEA`hB4#iijQ;tUN zgWf;UzQWEks^hzGDe?U&?F{V1ug>P$NsY5x-zNPps@HBP9eexI$BCPV!n5T1hSozg zKN7p~6nqTNlKNU_(OXpF7W0KA^|fw-URXbwt`5({sUbXKcX&L%r2Ep7`(h`4g)0~S zwdV%+#X8|1|9sFF>*&v(AN0jK`ja~beX)-I0)2?`OWZ<#$#!d~JlAOFq_6RX*sd`i z9PgrkJf~?k7%S`7*yG68zi0Ofw&SUnT=^frm3)0Q`iwVWrTZGUw8$&5{jb&fW7h|L zv5vmhB^70{GpKfd)oS#Hhd#=&>(i`Zea` z>W}(=6#J!TRlC22Qwp7Lpnr+6GCUK9(oRjF58E~R7u_b(c>?_%JD0H1{i*MucNF@t zU1OZGW;gUW6*#k>G3UPZ+f(#Ix}C(h3*)4>@VrZna8X7zj#~3ZW6mGz=T~o{&|OBm z3+u#VM)i?!%{|>%>HgdSq!VRm;jfI9`ZLP6ZAd5OTW-7@$A7b5L+@Gi12-Eh-Jg9Z z?o-jkPK|ld+%@Q*Kzw1R#`tgU+32$dBzEHI-%*-Yc0ZSFM`tb|+{9sydJHSWKlfSO z)q2Gl#%lfb6Y?rMF7yK^<^Nm~jl!=qc{I}btsY-6SV40Bkk zH!m<&>g!fN%}XbCG*;@*;BK!&KSP~?mHHE`tMy+_y(EuerT*j?df%mfQHEfp{=`1$ zsa=HZO;qZuf6?3iVsD>n{WWd$=LyeCjFs-Iz3rVv`kZd8)L%v34kiiz`;C?Q+E461 zlkmVnJS~%}FY15$yAxm9ELiEj#&7*!5gzfG)%qGQ^}k4b;UL~#mkZAv;qDztdEV7n z8J;=%jNVm@gT{^3`jmgo8&uz6rTz^4OrL&C?A~0hKl`WX-9r07`wXkyXAIY)ub+YB zbG815(4!8ld4sW9pSsnbB0ZmOtkj=fj~@M_*n50+c-9<<{vM$phL!HG`D@%&e+o(0 zN`0LkmHTr$7%TO)PSt)S{p0KF&BNy39^7B6dR!y?Gt_mpZ?T=L4A0OweV^+1YM-I2 z_I9JO(tWL;w|5Hb=de=0NBz>i%HVH}mHI2!;yz;(V>{!1TyJZ||FrJLf0gd9+n%sc zAH_QJc&%qEeMZi$mp=2G3IB7b@0%CP!-`gGTi%pBmJ!6gTdP`s)_OR9kGJOd`{AvH z)|$a!!{Z*a-~RjW{@{lm{w#UPW?`*5J2d#A=KE@Vc zDf@k2XTP`h{irk8?fW`s-oEL5QxC!)os)dfM@yttH2ME!?@hoosmineckk|*o~36W zW`>?&N7iA0VH<`WMu-Fl1Po?GF-VjEK?PJ~2?-MQLn4XdCjkUAl1PvMB0&WV38D}} zT#z6F5&wWd2#Kh~h5vm&&$;WZYTk3+>aLy-y{>Rw&2QeP&Rx&h>(r@Jr^Lj1$94u-<>4^MdYZF|FSYek}eAb40w&E+*o?#Y)$0aeR)@nO#ii zSKsdGUj4M_@m`H9Jj*{Ew-(T={8yghx|NrSu3LGEX!fXni~B>oarIz6Y(X;Kw2O)O zuX)gQuSL&Cy%9RIiwXVCXL!7KzDo3X?|g=sj{mL?x^87%blt9q7xOP1kEVaGyFK1} zy^tf~ZFVsc|2;qMx;@z&BK=0_%q}MM+lThMeQ3XIM~pYyk29VAJ;VDFdxrNV=$|_J zcc%5L)_J^FU6do@ZFVsc|Eljx?-lkN*emQez;a-`ng7mo{8xwfwO5DtwO1eEy472X zX?@lGhNqrm=f`?&(fxA0Hsc?TN9*6JpSo`EWuog={Zur2RKNGdL3gX@y1g$J)B39W ztq))Cekc09uifu={q8S`9`D`J|1kf;@o4&YUm0}Ai$S+iG<#HE^?QL;zxTS|EgtXv z9v3~{``sd@ua^cR&?1p%IzYK=lze-d)UKPh&Xfjx6|x-J=XXa{ny}|{>8?6KbHu5Qr|hs^%-w- zeMda1psyGFV@A}{k87vcc@BEm#WCqG?Ds#4a^`h+6y=@~*DbS)iR;&rCtbJkNRH5% zT}oniwXTEs5{hc@<5LCOS_oRZ~CC?HVfl7 zBXnjL6Z)M(``anBznwz++bOiaa6Dgs3gx%m(I{)obZmE?==1bz9vj zx^AnFi)sC9Li^t)wEt~F`+rSn|KWJl|87^f-`(yJ-S2K!h-v@34FuhCG3W-ww0?(M zT(`sHqU(0JMeL&=4Z1aA(2a^|{Z8{;x6{6&>voziru92M>AK}3qU&}(BCV{aGHaKjC=P|B6Rlzw?mjepjGfGydUtRKM9N zq5WN!BifzW#YFq#eLma&zBl9uo!P~Nem|5m_4}>K5jwMr3H?C_yY8UVa)i$8VnToL z8Lm6{svMy+yO_{#j&{QMZ@xT7=*%vr^j8GkJvmZGyO_{d{oc~Dkp5*M{YLmTyO{7l zigw2IkM5fzbY>S5`l{cnvVCpgf1>-{R^9J@MW}x(Lj5x$-DVdP>ECs=x7%G$620B- zx>_`QwEeCL`ZYnn<|Nmx3Hoq6s^@i*<;Ux0M#S6fVj}(r9qjQw=rqy&v;DFE3CE-U z*-z2$&OS5`W?|vQ^$TgBXnjL6Z$Pydb+nbUi5Tx{*CDm z$D`@zJOTZ3-XJ6Vnq5r!zd!hWNeq7P7tJ2^za$bU7blo-=i)lUk3C4d{wEK*VH|=5~{+#EeZs*Yd8=*71n9%Pw;QHOd z_0I^M*~Nr@>$^SOTfZQBy0^YtOsD@f>s$<&m5Yzf?*#V&aZ+nF3x^1@<)A~I^ z{ofP`M+oA zfA`!vecc=P(f{13;Y>ECbE zb^EOmUB~+e>cjDu3x zb%%uOrx7}{iwQl)ne@wXXGZAEE++JR&Pd(rr*nkP>|#Q{&(W^i=e!)DGrO45KkvGI z7v@ME?P5Z|$IGr`yJb3z(3xFK=|lOk-=yA19qnR5&wYKV<9_=Yp)gM6P zkr6txiwS*aOV@Rd$PqfTiwQmVwWnY1cb^eDvx_Nx$p5(^|BckqE++K0uM+%EbiXBj z7lQHT_aZXFui3?f|HY%OTf8Pm=*%uA^i{vt$bBg--l6?--%942*~Ntas^6=u`n_R( z7mV@e_rfwF-ewmQ@#lAespI#iGeT!}F`=*ey;AOrX@0}~2lvfn{+nG)_^Zrg z9C+TZyMqfwzwYvTqrCox_0tY^-L%t0*KuBq{=@O8o_?7B zgE!=ed^fw8$p2+$xZh=0iSC#4XY?PANBu7i@n0I^zw|WMEe-Jx$D{hiqwaTc82_*z zpdS`YqQUCZ| z4C?v4t&Gr_T}*{xG86m|aZNpW*o)|A~HYrs{r`wl6U1??m?to{D~k^?$Hg=C8Lm5IchPmce`Eg}jz{~?gC2F=!9$|!4nq8?567eW zL)Hhs4~W6iGQ9nf8C+ zeD{0czM|_6M7yRw9FO{EJ!ShjU?fM>d$Ws)`p6h32jL?}~Oz1hzpl-=oIYMW4F`?%;hx(1L%@I1Yi$#62yM75}R71HT?uTWB zX_R*SXSCz_@*AUvU*z3k2%eE`m%qi63hSqq6#O5GfcQJ~<}N#{{NEyu=lvJf-&FnH zU)ApwZS=g?`$Y>y#2xjX_q9&5N8?|4zyI6a@4}}=_sjcN`d{eBqy9Ht?Yd1*5?#mo zPklHZ)o&86ADe{h2iK8N$Ms`QJ8x89Khgb8^n2&+i(TKoRdm1H@16d`@u>gSI@h%> z5?$9?Cz?H~pYo*ZriSY;_synXj!T?r{cz|%heQ8q-_3!Z<09(A@u>fyQTIQzMsyv= zU(|==as4ep_qZ5z=+{i|$D{h~LjB)9)c@@lx{mLkP#=y*^<1aM{)_9@GNRuyyIAbM z3hkfkv@_E`og;O$iwQl~2~xk^c{xI7b}^xE z?%?ItJXZ94=l3p||KWHv|2fY>|AQ#EjPPrAG2x%{4AgPnAtQ8V7Zds=q5W(e+Rw(J z{c!y%;~$PkbzHwi zeK;Q1UlDZoh(ULSX!fXnH1r>%q5t4ID*EO6DQ8;0*(vUKv&%%+as3|k;ds=4^KREQ zUl3i_yjwJTRKFY2$$GQfJvpM@m|aZNpE^#@=bw$OMfY2OSxoz{`n{X*Jw!Y|asPad z!hGZN6KC3g)$her{od*BD?Q(LKR!p~yV=D={%`uAr+YIN1j>QyubFPHzjmh6&-Y|l z{=2`FBmL4YCj9d~A?o+OHAm>oE++JRPs#Mla)i$8VnWaN6scc%S&q<|T}*|-}NWZj;2|dTT z^xM8QN9fEhCiLv5E#5EW2%Xu*gr4h!sOP$&jL?}~Oz2zaC#h?p-^>V|*~NsO>kO&e zDwLlQI&rHYb74I3tMXrLDeN%6pU)$)s$n0C zo;x&-_X`MxFD#Tef8h%PCBhfJP*5U#;R_KZ!jJch4CDPGM&Sz=CE`=~LPv@4g)e}V z2_JT(v@cea2w(W3L7DJuB-ruNzTi+IJa>>{c(TkFF$z0ymNCO(BP z9F;gfza+%;+82dNgfD!7qD1(@7llfMFML6%O!&Jz{r z!WWlHgfDzirA+u=czpO}l`{3A@P(if=U;MypPwvq{v{zkWSQ_Ab4M}MH?mClr6K>x zQsIyD^DhOx$uv zJGbU`j^mda4ny`NztoTmkDwMFuzA+h_;$w=JU_`Y`M={YLU^!D_~n;+c(P3R6+iay zWU27Sh45gR@H^e*;mK0rw+-RJGU0c9*29x!!mqr*!;@vg@3z>(lcmDn6vBgL!tZ)y zh(A~+{4QVe@MNj*n}zUTneaP**u#@$!tXuo;mK0ruLARv;lCBagJr_+ zx3h;Q%Y@(eQy!iy6}}b1gJr_+^G**>mI=S-=R7=FCj4FpczCi@`0s`AV43i%-sR!R zGU4}}6XFk+3BSktJv><^{O(V9c(PRZ!$NqlO!zHAc(PRZ5T7kd#%IfrUb4*jw+i`5 zmI?owcX@irGU2!Wo`)w(g+CyK2g`)t>T@2REE9gacY1iTO!)0v9-b@}{!<}5SSI`q zJ9~JtO!#fT<>ARv;r9yR!7|~uxyHkjrNR$~@L-wn``zo|$ui-02;s>x;rBnm&rg;L z|BDbFEEE2KOFcYUCj3D^_V8q>@W+MlV43g--sR!RQsK7^;lVQD*F5Xt$ui+zdx3{1 z%Y;94v43O)Aew)51m3qSQ4W#724PIk;b zi@rZ$?HgTce@3xUVxPv^H}L!gdp`0!6WOQHb*|U`jAEI68mZ?#jYjRyD5myl{D7yM zU#~|+uVK&0jq3RK@S^tW__L|sM&QYM&b2oB zoJ;k>zjU0N2e2XU9Jsy6p@zhJ|STBvLk7BA`hW$hN^+iiRsC`4l zG3kHh`gz9vhxiNBx_J?HE8hv%>;E^;Z=>~;^_pL398bMwc>LGDUWe-ypHr5(K9p() zo!;$WMX?=>d5;2h4r>tXOUp*Y2WtrIKcgMD^n`XWMvweCiTWJT&+)&=dl-dv)YaEv zA%0l@S9?jszp)OG>#&?=&&Pj^|DZcw47!zKT3>Y?RpoVLCwjcc@gBpNek`>ApLePL zPaM+^jM2kCuFLhGi^#W$^n>tk{h-Ce&o!=o5IXAzN%enXiGHxLr>CD(|0j+~|10~! zLy`Wo5&uB-f1=(a`i<@f*}lhdeP@4w|N8fX7~gZ8Zx0fR&nbGYlNs;2j$Z3VUNL?c z?A>qfy0dkhJ-OB%SKa@y z>i1`+Jn8kH?;Wxo8_{mfE+*P<)%_Q$zJF5n{g6CW~SeWbemmFq@VlzP&e(g z9HBG2n9x^!|EKEvM^*Q~?riD(SLX;3V*rfX+5bDu9v!#8+V5Xi{eDf=@5e13^?ZA^ z_D`z1f8?wE{(9B@(8;W9Q!|xnRoH|iY-=j zsredl%)G@IJ^CNa+iVf$YIvTB%-gt*=V3hjoMM^hD%5e_#;ExkvBbR17N>{wgPN}q z$E1JEyd~m_vD+45|Cue2{E5taQpfpE<9eP1zr_;!&uo4(1eiA>HD4rZ-YCqw7Oo#v z_Yc|xab*45iP+Pzifu> zud2F#R@LuMZ9d@TxB2oMQSZzyChA|+{gbNhA6j+)(yIHXR^7j~>i#cP_n%t&D6T)4 zzk9XzkEyzUM%DdWUhVyBY@c=XUlZMbz3Tq2RrjB*y8ms}{qw8te_wU~^s4)}SKWVp zF`kWc+`@gUGos%%yO`*|D}VpsZudLU{gbQjKkoh4;0+?iv6#OryZ?FB{nxAR|6X#F;wSN(o`)&1LE z?e7P?+WV(h-T!ymqh4>Ohy4RN55{`Kc`>IQkJg{6-`|*ej{Bc_t>}LFT$BF8@u>eP zhr4ddS)%LsT$B26JgTqy{gSHtpUw*RUuE{M|4m-EWAjquShr*IU?tY=jCX&VaqmBK zFUB_q;dv@pW_{#%_p2H2eiYnygYhZ5|HgRt_uxJbJpZrDeh%Z^zhb=acPu%<(@T~q z-|_AbFy8$fHhtFPLzaophTgy8*KL1^@$OHu`AwdmWSRUN@BRkkeZS_{ZGVjM?qBrl z_C6H%m0*9>V}FUB>o&)`UkCTy;Q2Sy{u|tvgy%22KgoFC{~GW99^>6#XhZLxHs1ZR zxUU)WlPuHTJ0btcGT{f_>E|cQgdgwzc)i}g!;n0>U*CAwA@_QJBX$1vHJ)CwOnSNR z8}q;H{%_;muW!8j=WOWx$2Ro-bsKvBxAE?GH{Sj9xNjQkL)rb)dhO?iTcqOqr&Dt4 z{0)WXYF>6zu3ULZkDb<*z@|rGs^lRggp;`2j=)U z_&4q1d1-yC>H3V@xxOVf6Z#u*BS-xsIZ{Wvn9?JjnP0_2e#Mj?ewtX@K>r!v?f#o$ z;u|~6C(|MSGEzso*iVmo!u)TFSnptZvH0$Bq5P_@5wv`+nE%W>t3QDa)Jeu)#PMYP zYtMIm#(iDi7U#$HRp&?<&#Ljy%ANIy<*0HO`;>oubJu0W{FKE@+&rFsmS6oz{4wtX z8zcBP>>}*({GS9p*ICkk#*5rP_bs>jwJzZw`QN@D;gD|`U&3$N#ryl{PY-(W@}L(J z&$t*r^NaXrq+i;_lz)V?b_;z*wp-f8MEhkrE#Hv-jLbLM#b4+ALp*EuAijuy#{c&C z*Tj1g<%fLA@}2d7<)C~QH*o$}y8f}8D_%cZw|V|${GsPxOS~qewbvx%W@GD{V(fj)^DpHSHCT$^z?Ij@GD*({EMmfJN|cz3)fFer_wDd z-C`pCRoCcNU8BtSXZ^1FVfDLWs{eq#_D#?KjKB2!uZiDG)E|_;^?PXl88vPZH)Q{Z z_}4$=@y~d($G*Ow>QdGi$fs^mJtH_LrUx9FLFxUiN$&$2%6hFEji-`LK z_J*K;?hWDb@N%_W_uLzzaUJ4+D9!`feh7Kh=>hopJ5`w9mrJ_}?dM!;9O9i~l#wy~65WN4>bC)>+uW;HGk{+HV}! zmshTSaLTGvum0{UW7WXO0Xv@!F6_Kv?b;BpAB1 zv>r2?hyCx&<_PLXueXnQXOhjRY>s~)h`+<}N4wZ(W~I`d-HoVYxH55Mk?{fird?d` zW!*FW2*>(Nea8QCeO>(82G-+hV*bTH5B|k>B>W?vtIW(!#?0ocT7F1>l{@3fnAyzk z$XE|i|E=C3UKvyMkKr&PFrD~r{H9$DBZHpx7vZuQp?my1*^JPC`+0EO`o@_4)sDZ_ zefAq{gJ1)lGSe@@o{YbAqI6{b&K~i!nKi^aBSz(n|8L67YUL+GoDo6#e@p$`XK5Ir$)Qq5*m>INoMjhLqNFCdsn9|e#=?KsA7ca+e+QsC|2*#4nAp9jF z`s2CyeWGag4VM1j_?c0ZQ1I^=qXEu?4sDGA!B=ZgR zFJmhISWm0eUzA^^@}Gp6O|~7*Y-YqcIkQ<8FDbMi%}v(U`|G=)7LDVZ(*HZNS!-di$$4`12CtY2MSpR`qzSf%KwE9+A05-&4Sv%uj>hB9r=?a^aW9c^1*$IXV&eX&`96f3h3nI2 za>UAdvx~Fh{-@w+P8(lmnX+Auhu}BuV)3gjh4QPK|C8aL<;L>Qh;n1RI6g8a%8&kQ zH+uRrVvJ>W@y2-mSv^^Y@@D-JFT!uuD=~3@!E$PT!OJh>V_tqu@e6VPt-pbvJMs7J z9GQ07#XHmb_F1mac(v=>;#qNh)%aWae<#$xzz&LpcCr1Pxc}B{#Px0XTa_c8c$-~( zTU^g^bd@Lnoh{MM;iq#%j<@1B?P7Sg)oXs$+BxFSRT4~xk>@)dzr{rSL;ap`{kQfD zU)Fz$h>O|9#QcW&N4>Z9C!*e)T};$}hO2$W^%LAl0Y)1Us>|#p4KYXFzYM-1V<3qcc=)br-Z5#bY^Vl5GZ zcq<=#&S^X=->B!xH=|a(iN%#~h5nb=lyfWN4(#y zi8sd6Z{sw^_s@}MBIYg3F7}zf$aE9XTRFWWl%L4Bu>8ct^I^u5_uUhnKdHLAeQP%2 z`2oaXRgRyyp;An)yydl4im679a+Qr29yXx1as*eAw#(&^y^i!BS7{GNr>z>x9kU;QEpAX8fCW@k890C}V*TF^Xs_%)Ma<=xUECpF{ua;cLj1)CL;S_W z{7qGT)$1?QY4^QIe@4_rvx|xQm#XE*`kP(1&+&GUUAM3G`j6xB_IDxTt>?BP#$EPY zS4@n*82{|KCHg;mezC&KuO%k>Keo{-&oAkp*FgHsc!~Sx8lP}Hn*OT#!9CsY;M;P9 zU$cuR#`E9i`76x-SM|^5?Ddmyzxt0kehj~97f*`E-@@T~V|sCA(2L<+ut5|DR%8_B zU$~1g$9>$@Smd~mb}=>n8%9{_hH{SS23e~HkUT}B8CQ7vTVkUBpA8Tk|Ki?#{7WZFCnoe(P8G_pH5=u& z3F_dg91p{9+Qm)c@#j3Vt$S(bh<0aoG1302>Ki|RU$mR%v>efH%q}L@PnmzVJH}sx z&g|j_)K`ta>Cx|5`bFfs*~LWubDbI6J=dRQM7uY;m}vi1^;P4~uUIrjG5>gNj%)Cn zc5yUbe{KB=^&>$~LT7d{p`W?}?PLb@Z_06Z{H9%;5%*#pYJQe<1A9{HK5E#PR6u`cYy0V&kWEVf-y# z6vp3TV*YV5^zGB#f5yw*Ki}`<`C*UdpZUMd^)2y-uH*XSa6GBc{3;&uD{g52xL4-= zPg~sA8KTz?*pNAqt|^xp~o1G(;)=M_`ykIjEo z{dbC!Hvr*iT=f{_r_P>-%Uc2X@&W#BbO|9FNvN3-=eUZ;N*X zz4#Y>^m_!octX&Ndu%v8*Qsy}!*weeQSZzyChFhJ)!uK-JW2F^i|Y#6e}&`G{G0ip z>qhvQ&}Y!^)r0Oq(d<$EH1u&?_dD&&IilY;yO`+zCq<9zpZO2ub(7~6Q`b-PUsaFs zgZURRZm{!;8^`O9g_BN{PVA$v-RS-^KH~m)|HJdco-Dsir}IcB_R;hHk?GHf`$qcZ z{i88)|2X_s*A0IpN9fEh_R%x`)MrGzsb~6)iTH=(mGm4p(tk#j8_&z}qcKr_;dnJY z>aY0^WWDEk#Z>(_|5f#9|K?vrySMX-iS}>dq!XnRQ~IC#xMkYrIbz&0OuLvEzc3uf zUDRj9xXbKfV*E83dcJ>5{}~^4|9tZbOP6~PvZP)B# zV*JW*9QQH)88PlNyObSXiHY%>g_BN{PVA>|2LGbkotS8UQ@?@wdlK&VUd$2o&g^2M{#iKGf76So z_huIp^`GI`?x@fBub|ACyhD!YDYWK+~rjK4;Ht4ogC zXctrCH`8PMX?hXkPP2=N@#m!IF>W*eBF1fYUNJF#vvAUh(usZayzij@jJR*$d3pa~ zOx!>4IPV*%&-jSzc>fTNNBjS(`WgT2@t(OPN5tFgVj}(w$9Pkp5%D&=n7IF$3_b6A z=szRs9nZ`AA7i5ah2z!qXg}sZknM)&6;tiU{8!bZ-kN_A_14ZSChD(+lTMUQOz5Zo zgO~es^gS$pBg)aY2)pdZ1QhvUByjCC?@hu^e|iSZx9 zaokINMvQySE+)pmlcDFho&GaE?EX1^=lNle_un|q`)2Ahu5?{pOx!>4{JeiLz4)=9 z7Zdj{)bqZX`ivM0nO#hbza~S^`)B&kh=23Eyni+(#=qwObk}qIZRxn&bsT?(pb4vKg;srB9C`VT$iptnQo%`3hi&^hrHd+yg5g-TeFLa_G{q~Z_|rN zx7o!+`X@urdPDyiQEzx&)*oZy{>}WO-dOxa)El#liTV@H|LXcj`?vIqX!jN`G12}l zoOGgeVjn&Geak;l^+xPdf7tI+pAr2&g*w>&S~uQAbn&Hrt#=XJo+fp%+lG0}d*`CncCXm^%=5$(?6B_`URg_BN{PVA#+ zy{G?-sP{ZC>%TEk|Lr*Hz3Ii3K_@2aKlQBl)MtFy^{oHlc(VSp-=IDt`VH!uVxs@B za256J|L8xX+MSqae_TJobWcAjN2J^AVq*Nsa2$71pAq?Pb}^Cv_WtYib_0Qi%+VR&GU&imh!Ef=~@$t{3=+6rN#jAsVF|q%rt&@G5r$5^V?qW|r z_n!#IqxFyLx9fW&uTTaV-;Oyw+Qq%&>7Nw+PlErz#!~k$Cf`3{Jge$I8T^ZPh4hP` z?2~@!MCrtYp6_Yc{;{iad(8X<5r6Y9BHnghF%f@DC*p7E4`jS)7gO=Cs^>aq=KIKHIU?R>7ZdU4{)48k=LntI z#e|;wBvLEO*+)MET9Y*q!S5dgecMtp6FIGrO43bDaux-2WvbbY>S5`dMo+)_X7fot@(~_)WX`-uU=s zQuN;m{sZ~kk>?dt&mYZyRXv`YTKYviH?{MMiRY&lPC8LKv5&rXqx;YJi2LXI51t?P z==d=lxA;#~&*$b$e@3)ro|n(hjfv;yTz`V{@%1->EH~Q4G3D3n?%xd`XupjgfiHB~ znc{X4$Mflbg}(M@t`{4-hVur(9@Te#ipc(btu{2$CH1t6IG)rq{?v=@nXVU8@o%hz z-w)#6=ZG%pmv#}yqy8-%{8LZ=B>mDZru+{b4Zr8&eV?tntp610fnCJ$sDG$xos+O{ z`i?oG?>4(woc}G9U#*7sdmg7=gmTpNPP0e-w?6La?%dEN>kaK+u|3u4gSEt);5Z>@7(>mt#0TvJ2;;dosC zQ`fbZi9v_{)bxHls^>cO&gmGhKa}I;_)WW*7{BuxL4Ety9HBG2n9$Ey@A01Tfavk& z{TJgOjz{A^bc^eT9v59Vbc<;AsD9vikN4oh91(A`i;4KNpJnu>#K*KzE{_#3IGT}=3AKWVx z#gzW1uH)F1dLwnTiwQl)fAycCzG3_*Hn5+bjSB-|kLEx3kFR6A&F?>C+!?=V7pH7s z{qF_;BKD0o|6*eQXbUHuD4m$lbNvJF+qr%sxPyO_9txBSQVA1(jIui!V!MNEAE zv8tZWA8Wrry*atd`WE;NyNKh_^0#ouxV|o)AN1le8%}Tej5cWbFQP4)UA#N)-@?5k z=*2$|dNJ|+A?oe9E6P9P#jfZ2lW;tmf0Lp|-^KLXItucc;8#qnpJ4fA>xPc-a^y2@ zvx{NeQ>hTN_^7My>S!hG#Z$Red9R zwTs5}P5bz{&}-G|cCNK+kzphF>l3eN3TJ;BSB#^XLR>~GovC#P&h#SBv~M`m5R#nw zj(i8RS2p_-W~usxXLd3?{EUf$4xHgBnSvMj4NzU4S<-&Ik3cCCHhab7X&rg;) zKg03-rYmv&na}$9$uj3>IG*2hCC)!?~L zXMD-ePnJ19!}0v4D|7zM!ui2c=SMg@KXoO}KOJqE^^+`feum@uO;_Ulvk&v|WSR5N zejmN`Ge@%*MMbN=JP`N2}>M>sn_btTR}ylu!I zu*~@x-tvdK66c?Cf`=zdh5tne50(i(^->Q{mI*&}uZJhghG+d}_%iup@j!U8O!z5G zC&H7Z!ZRNk9xM}{Pn20+WSQ`d&v|&VRQNd|JXj|Dz&kxWStfkvTOOV)6@IS}9xM~S z^)3%jmI*(!vxg_kgdc2qc(PRZPlfPcneeqIJUm$@eEt0%o-7l-`8^L$mI{AB2oIJC z-yZhxWSQ`_!#q4WCcK{V6~=J`U&QzgcV%SbUkl@<-r+H;QvW={gSD^q4BunC%+RgN zT-AJ`r>YREHtw7Gtw=KBr4Zg?|687l`f2^oZ}$`kPu8C88NNp<7`pX4uELY-UgP&3 zDyD&HC(Dn=TU!NRAXXjs_hqAOs%np;B!AXrW1pVtLjE;Biv0d1;zx$Lu_08XSKMWV z`yYmDo*%;BT<-jQm(6tB_YYsc9%)#EKSToTmHI;bXT2TqJ{6<>w@2@kUJ<^9Jfg01 zP5<+c?1s`nc(QDG#%E-=a`Blt=;tTPoS)%%{+Wa2&OiOT9)9|F%ZF!rsf)y?M@=93 z7u41DNHy5Jyl1XPRJEYOJ$0|L?xC7_C2BZS>k?T{&#Kt$2>W}6w|>9$)p*M1 zJyv8SRy}fnp;@2FNDdUz!r3d<8L~_r=wO7|xCWYwj3xVlp>sV}B<9w7sS2?gx)iZ* zpyz+q*f)IRV1&c0`OpuIeZ$**(=QPIUc@InLF)KZAg|YAXrz}U=;R@eg zZR{Jq@n)1UuI$D|{qu+6c%>$rC9c#{7U2A+qE;-3wp``E&jWr9=Y9(`VC`%?mHw8o zZ#o#hiP~hG+cOTI2B_>lVgj_|s9PnBY4?Wuk%au)TlHPhHDRz1By@t}L@gfE+? z%A9%D`Z@EgT+0}I3go*`B zV40En;1M34>=WMW%YBIbN1y@u1h{^vu~*3y;$`(0BUG#Ry+^1$@{FMCpUQV%5V7ON4nmzLK%Np1z+uUe7_?PDU!lYw>%3{1(sg z`1aCsjX;gh=9cC7L8Oy*@pt3;`i&@?U%}TST{gGEZ`j4uyT|s9D!LAPXH{H*-zcV* zn0WWK>e>#Z>-P)N}6uK6~Zf0~z0kyrf+W&t7|#Usb(5`)2yT9nw!S z-G7r~BK?dbzgcGQ&Wk8Fvx|xHV>nAUo|a{#E&rEFKYGXJb;z%G=g7W^cJaD+{jHk* zs_lpVnQrEPM&>E)Vj}&v-e*4gj?2-8#eMPnbo>?*d&h8%USkQuBMuoc0yMjr`gS7f zKg)lj?YHXMGyBHnZHO;c32+o=#K_g`Vq)*0s`)n={w>}pzl?}C>_0Oi->v@>6Zy|{w&9oQ&IrF|7yJ0H zBM<0bY#=Y_Uxd9if-2GL`onyxf7J zYriZ%mX(Nqn_WzlpZRCKrC;%);8#r4U+Qb0a(%{sa(zww)P{>c>sj*)D1%3GdpMd$j)0zm+@cd`6VJ*~LEPUq90QXZ%3$ zFJkN;Yd=-r{ju>M`;Te(6VY#&T}<>Jw#FWL)p^?EY-GE+9e-k?{c!xlyq@sd#-;Nir#c$fhME}dY%le%O_CHy@ zQ$19@6BG51{XFAu{lAEKn_W!A-`ekd)B&^~8-J1*cbZ*Hj6WH!j@1a%XFS#Qb@8Bh z`>CpD|JRxY-{?Ox?uFm9i?ibXtLp7K&vyHn(0)m@TeFL)_KW(HU3YXH(sf5nTz?q< zD);XbDF0S*{=?@w2A&a-o)!LYr~NzM{>SJM|Mfn9B6f~)y$E|=UoZIosOtwYXF)yL zc@BEm#WDINT|MH8cFw0*}MDy ziSR!{y#-s?OM-SW_3kyp)v=Q+j#?FkNW33+SIkr>vHV7+x_&6H}zuk3B(=e z6;ttVtaQK4WnJo>eKF;qditeaY|X>JVHZ>W+jpa^+0Wk4W&K5@2X+z1qxlDwy}Qr# z9DIl0xSm%07Eem)QAZx9PK0pOCW$6znedn(b7JmasgJ^RSu!*oo z_01RH7j=i%@QhbMOS@RyJD@OsYjJ!IaYg=d?CpN@a#m-kVO$2k03 z{(r%BHjl+}e9YsYF*Se1e6FHLKh1RWo%4)HH~Vj<-ek0Ot zb}^OyiuG?Y{9C+H|1&b)h!@Mxn25iPvmQoRlt1e+$$CS(n5sW4Cq6%C`DI*z-?WQ~ z^%ItUl)L4-NGbwM_wb^2pLh44n~Zn&8}EVJ zNqTp`F(2`sq<8lR7NWGCos@U?w?N;0V&8Z7!&@a>*;YFZ3eLWTT87Hd>n#+U?dY6> za34f~+q>jiwhnA!`wqWaM$TiaJ{i7#mFsFJCd1pBL|du66XN+L;%BHp0FykyK|ZgzN8u=4;3%uzi5BZ)$91@Nc`WOllaO_seACyFF9iBQ<=3 ziK!tYsp+9AtV3@1^i^2BT6+v}yBwa@82hHZ_7ZB_kI_JT;dybTo-L`)`eNgF*U14Dw_Kv zR=hrgTD#g`W$U7;Lb*d-GRLTD9*kTATf6jBDMeQIn+GCAKY<2poQ{;?It=#mCY|>z zTOl0&>^+e1e1A-uisA1J;X$njNQJkSe?ByCM*K>zrewwj|bJi zmsPP`nA77gAGG3BYcAv)dw#w>(*9>-uM~Osy?pl)^a5JRe^8Q`BHQ-&}N7mwc{9yO?^u zx8QEqZM3FK>Sz~JditrI>;A>YX6|2v-P-*iPKo=ILj386I{Fu>vv>u<9@Wo5Ha4*i zaqdN3wvWT^SFnpX9@Woy6uJxWhVSuRw$H>nuCR+Z9@P&$k9cE#a>_$pHqer37jZnQ zpE~NgVO*?u9+EoR#gv}UvF)3N%erjr1}*F&jz|65`hdNm#VDTVA*r+V0f8y~-1|M> z=Re&g^PP4vmH*SuL9dN@_ZcvNrYjPzT%2e$6OZ?qo~&n=>Q#*_KZ_>0VU+Qn4f7s8~KI#3h*m#Zizas2W|JKi<-Ld~gJ2SG~(JrRiAJbX; zx~E@k;5i}fBJ5HBgSVrdv^@XC_CH~r8SEnLQT>7);O9rUUZVYqcHM;6T%{xV*h;W`Y$p4V%A-En*ybIFGg4)v7OdODHz&Xa!Jcr)_p z9{d(RkKZV(h8WHRUEW{M^>~Z#L%a^f5DL^fJaNo=yu$O~DTs3&^>fO0IX;2kw2OaV z@L$jm{~Y1YKz-Rf$E#3ZXcy0j>!)JQw|)Vx4{yrxZ}FRUu{hqCRR6bv*u4{x9lcrrzMYPGwKIi(H_z!VC+e!0CZ$BA7;O(a=Cf1`5?&{ zeX>`Csw)n;)dx{EJLC{fmk8SJf{>-^u=K zA?j*I^jl^Z6a5#Td)EFx#C27d^_ln$yNKh_`fK_0I?unBcxK2yG3;R8tN*TAf4QEc z^$DaM{ddN%;y3N$C*tvEI;peSP7yk@iwXTkXk+brG2e1uj<{bkyI7nrDfB;8-)Cb# z+4>^-OQv7^cl@SZ{9+;fh4tWz{sCo$a$EFbm#xF_8+H-L^W|UEqo1w+9l~GIW%COB zX8#+A<5B&BTT$;`LVew`ORgcKUBvOI-tIS%PP^YU;yfGUkN+8QzZsqpg!)&A|AJeP zPFy<{VqBi_r|?g^cv0Lx*THe^eEsDdAHr|i#jWG|8tP5``}kYaWdrSxb`i&;>7TtR z!hQ+&E9n2k`U3ohU4%WVA4VEke$yVv5!X|*i;40ZMmg8FL3oyzcs731E^ZU|KW8oC zeGIO*pULqW{H9$zCa$0UbJVjPaewwmj&H#}ceIN;#`O(cW4Ml_aaNZd_@!OM@o4_d zJ_qT11M>TZE?XbLZ`ef~kLnk*-s66C@v&XD*5fzqB92G(^Y2GoUqXGYciDO;#y_x& zI3Cr*YpwJ9VZKECD_UU}i}Njo_A_)M0^U`tO+7wG^m}F(?~3~$dK~@cM={<(o{62U zpod+AJsSUcH=>-;f7y6Xe7ROLyO`*|reB44zXS6lGjc@UnO!V?%cPM0_A=!AClL4B zy5xH>w2L?%jsKj#MZLlJf6ilFvOd!;;&@cQ@JWPw0oR*#UGkY9?IMmx^$Q+^?!5?) zHXyc8p0tawNA;Z}JguE)a=Zj(N4r>@Z!P5Cw67rDOVNJM%klN-k7yT{7W}{BeL(I5 zwd7Fv6txeOSlkavdY^BBj_(mt&-oRbKM_BV-}k^S-V7heSI)N>U-{mlooCGZhhyHq z#PtY$`;tRN`uBhLd4DsDugwoxdR>=$Z*%UxER2t;ek|)~nmiM7tPr&=rjN77rpj~Xl{a5`~`~2{} z+k)_|$OY)v_@35$j2oP&gU`hM&tB>IKKu9_k?&>~6Zt>)2j~a>9{u^W9G`$|+Qr2D z;)07Uei%=R9`6Mgi|P0;y3pgj=!P5-Z?lVu__LgAf9mC*@g^_-n)s*j{Nu_+d(R5> zFC+Y#T}=3&eg^!%H(bxeYw(+PvDm*C>hJ77LO%Zp<#k<`4cu?iF5-B;|0w8L|C&3a z{oj-0@8UP@;?8mX+>axk=c2st%<-@Bn|ATsxSsW_hHDAyU&g1r{?){<#Pu8(G~SHy z!R|StJk2h?Ij*1fG3479(BFJH$H(xScCq+8yO4kE|7s^7{AoGJR(b<{jSeX8aN2PrG&?`W98qt~E+*>F@O;#pi*P@;Z;m(OH|=8a{HYq~BSho_u3t9aU`+S_ zGyWU#ME#q&BuCae+Qmfut9t$CbEMV>k>4N55%=q67sI;2K~xc}2P))0`@i;IdjFU4 zYu^90#lMW_-~7KpI$wwD{kL<(_|NQO@&2mde=f$C)X#l)j?kH1Oz5Yd;pH~{D$&c& zu3sp>a6EebnSH;vo7perh<0OkG0}eJoankam*fbY*~Nsu>ihPC-$Z)<5zljend9^L zO}qGy@$%#RavkFgdtXWXK7P|K-W1pKIc)0*^w*nr*`AL22fK*l(fqf0P>g?VepLJ< zeqRl}m>U1GpZF^BoBfCQEPm52el_l&-vqbo_X9cdx=p+I=D42M**30Ky#9)Oi^A?p z0%4D)f7Ua|x9d^gP**cPgz*pU;`MPo$GI)c?{WN_5%cwC7mM?Ih4#mBVtXy>?$bG* zjo-A3YvcYoKhNj$_P(u%YmwQ-#PfOEZ|X|;YeOS`9ljsNZ}G~wf6n9c`2^?pMLw6H zT}(Zn;CtCzjoP>~$M4|Zw2PmM`{#2M&a3nJO2&W3Z`#G;{CXk(*-l#rc>B%x2i|^L z;sJ60_8jzH+%F*ge9mCRyqej?#Pfx!*I(}U#{6sFnj`Yf>|&q%%brW&zQLYT?d|#3 z78B2>Hkyk3dkX!`}eFkNX(HejEBgu1la@ggvUad_o&w{;|#*(H6`uCdNPZ92)(W zwR`a=q5X@A{)^Y?Hm(yhaQ)8sW50g4#jnTX-&*JS-nvNieCOOa^FJJqrr*ZdPoTbW z{2gfHp0^@C(_sfw;~$Qb+e>}?obk0jer}6PiPs&DpEBaQV|Fod{h9g=^mC~H zQ(x?|u^E2DF5-AJ{q`Ig{>Slrxp4ifx?k4fQ7^Z}YeX+Mt`B4Rh2zoqS6!dN^*MZd zhwF1P?uy^Ei^K8!v;6o?!P!rVY}n_B_rCM*~LWr<1;Agn#bk{o!P~N z-r6tve`~)Y#_eVo6XW-)`;E`O-^+dWOQM%M@84Md;dr$C=bY%eIbpvs-p5hL`!}Z@ zkLz)m`;E=rS`50E#k8K|jOJgU?P2_p@ecf^UHpr9{o(qu`oH=5GLiEjw2QDu<3F+~ z`i(!s^OoPr5%&{j7ym4-pFbNqgqy!AM~uhKE++0j=DiQPV{rfSnH90Khw++(yr z%>Q#8F5bVk^|=|Z#BbWg_r&AB(evK!7cI;Y?cVHSqW#ai+w1+j7sQ&i8_xf-{eJ4KJTJwHJF!|`bR ztBzkd&&vLn^RF3^?`9Vh`OkS)j$b+dDstRPyOo4!?!trSSS6$!7`wzZb&-W9=<|gqu#=rIaYl|1e^>&|s0Q#$4pcnrDzj6I*i>dqXMJVV03fJF2t?LzW zJX(I%&XE6Xe=G+h+Kt)8MEjZfA;c5cubDUJi0hWw#l-c?(s^Y_zxef#e(}n9{B0fU zCs2P@;ZOW3{yiIi;wR#I&R?{aApai8@nHO>T}-Uc=6y2rjq9N@BHzp|Ci0K{Z#M7t zMIVP|^KSp{<4_!rmLH!p^SK9~KWF?Je$y@{#@{wiJqP)X`!CL88TSTpU&i^Xa6Iat zY34YM^G6xC_q^cqt8hH3=R61ByW{*v#$Vt!?c%@2)6a19L48KV#q44t|9PEcyXEuu zjDO4PCVq>F_RD!f`sMs#M))}-F0{+VD5mKiAFh z`FqBjJpEih6OKpQ57%k2-f{g_M${X#i;4PU?fc$Pe&SC;yB8DlSJY?oHt4@>9tZuF z*~LWv75bM6_dk{OM|-|)bY70gw~c5Q6ZyySM}5@ik20>oZ`#Gtc>U!(3Ezw3{8h#e z;5Y5!k#T+1{GYdjkN@T!E217^{Kxe(&h+@Nj>A>$Y z|FlQl|MVfzc_*%ki(% z>{0(5f7YM#@u$e03~3i(kLvjj3Fq(aeGKtC_)WW*n7<#o#q0gh<2j<(E>s;|1=v|Ycd zj-UR}#}94sQ$B8Ji+`BNfAkX^H?aT6h<4BZgV#S}qWxEmKl{1%RPX;X?&AGlTbvq? zzdipzJ)P+Ly;bMGs;+?om(bH}3 zB_RL9@o4(1_CHnIAL|*%&rRfi#_zD+;kTF=Kl3?H{S1HplkqCdKhZ8G)(`SIdmZyn zeEyyh^G;?L6Z21ePQmw2`2I%5-}UDgO>yT${l&F6n|D3{?PVAI#(1vm{PO_nIq%yB z7v_ljHnWR~`?rCsQD2buf%|gAIn6F6*8j4fZrp|O!txyd1HWk(?~12?=4#i?JSj)$ z%q}MM%zyTq%>Rt&H_a|4`p=QuknTHhz5Zd2Kf-U?#XI8h=lUV@`#_HLOS_oxU-^4& zE8TDH_#ENaz5^pB{11fwd!pa_WjQhZEWeEKYj!c^KlI;&q5oz*=5>Sh*O~6WXRSrJ zU!lI8-DQ0%{Dxh`@o4|Y=OiqDK0nEbayPq}D1VM~?D^YeIc|^oL%Z0D`)53P-_7`E z{F29?>mtJOX#6=(&V1+mc}C>B*~LWubDoJhzQ2_bIT>xA<`p<%s&T_!co;f9yKX^z-^JGTnFMx0r}O{ZO~~@f@Ku zyO_}Pn;^}{@b{T6xo*Px|Nc&y4VEb}`|f@7Yqv_ii&%N4uENGoJM; zJ^mTL?(wgSSH|Pd>m29ZdELu+lVATh{~nG<({Jqz@gHIP6A^E-i;4L2{*it;e~}S> z%`PU^&+z#<$1Qw*o)O~~vx|xG3*QsAe7`kE#M|s*BK{nIaUTP&pUe1u{H9%eeLVjM zFZ6aZc!P+1K>HcIP)xU%7_S?R#M&+P&GuE93RA>ipl7!#&@ooF#g^xqh7K2*;!GpYo*ZrjCd~_oQg{ zsDA1>L3gd_I?lh)e>fi3uRvbC1>xS5|)~iaqWJOckLz7U4%WV=Y2ZMjrZ>vQEp}z z6Xj>^hwC=rKih`}>o&|TCf09ooWtuk_v6cm>$cg&)b$&2&-#rC^dFr6s$rgi^Y3DP z0e-_S!XC{(UjLbIeEymd`DS)8k$+q#&2bOcPiN$~hjuYB{+V{L*PCgliAV?PjqTrI zG`q!r7*R}oZ}92|r>r{l>hIQu7jrD^{|(Pfy;U;ivsL#2+jZ ze&}8gPnHSKFWlLe>%cPM3tvJj(Vr|B_Vbfv&OdyYg{zT`@Sb9-e`7etpKOM8$*J&z zpYrfznfUM>T%MmS6Mpf%9-b@{e*U{Wy=0mAv)^pof%_n^%=u>@=J6q$Vck(Ge-`fL z;mI=RUvQ0wC&z@>zN>}rRn9&E7txpS92#tgb;uz;J;M(y#aI(%MPmO>8$+eS&qTO( z9rt-)=bHZEryhd%V66^WCcJ&u>sZ{+asZapU^(d z*f+iWvU2Si#0O~x`-Y!+1=5MRg!yxfeZ$Yevna0n;(pIy-|)l#ig52k{rtAEZ}>Tg zNBcEc9}2b(?SKCHPa*s$;t!T7uUQD&MtWzk4bSg?etvhZ^#IO)gt2e@=i%Hf)aBVP z8~cVI;d$31KHoR?4bSh`S^Sy*CE~vTb**+O$_vChQ+>}r`)r)|M%>?j$k;zTPTzhV z(hIig{m(yw{AYS+<9-(Gd;aOTcjgz@ZGZOD`iGx|`@R`Z}C<1==sz{7V1$EZPk5zXIp~Q)A!rPx}sTRi428Ii3yG&+UJH+rJxibRNpM zUZOv-eJh_ufB44;|7`#BGd~+=cz%L?<3D^R>I3ePNQ@==h94Nf`A5)S-D&I_eohDH zMgKPUW5&MWht9Qrp+>gCI>*%Y!oD;3AnF_HT>ZiR@t?gF&btWt12%rQM0iw##zzqz ztUuj9{M_@ApO>Nk;Wgm0{^5uI2>J38&9_Bz@mS^IAP@Ek{SpH*Xc z&XP*+Mi>h;5ErsJ-2eQ8Uq-mU#`6de&m;TBf64-c$2FL&_x_@MVSF|99-J3#c=$kL z|MPzy>3uEE54QH{AD^j@;k^Hh@e+u5^*uk!mHAJW%KvR~UIgX+exuX>{Buu1IP?t* ze`)L+pM|K;TtmMIbG%^R@T@nipT=VS>^c84*h2d{2;~co84vdiKZ0{JJXt3Eti>Ll zEE9gwr#w7aDtw6lqLT67=$(FkvdsAxe#^s?Wx_As*~61%!WX`jUgG|g_g&0?yZ`!V zp+591FWyISeLYzwKApY%{A8K%mA-slBE7XIJU--@`1HR2`Xbs18sq?2yS9)&J;TpJ zzt8Uw&BU_?uy6aC^>&>1M;L#CSg+DIyp1C<-kXjx!?>?+_~~b&Kf%4ow0|=84R7;G zFZ=bq_Hv2y{}AbXH^%eN8vCAq2I@`&b&jlmv;X-Qeh}p~1^pY?dTojD=npwwUG%WA zZ+te|AMv>k;kPsP4bSm&{Tm4Xeq-P8i+&sN|0u$Pt)KLd|3;{1OfQLkx9|B2`4gUI zB(K-`^Ov|k+UPdK17k6MFA3}$AN!6N+6%*@-SiDV4f)S~erNoav2S>OC#!|=)%25$ zeZ$Yky+U&q^79XkeZ$Xv3H1Pf9DnLNPO1Ey%X*1x1=%Puo}BsnXzyr`BmZOU8=rY> zFFPRq&c5L}kJDa`@yy4KeZvo08{xh_>|Ry8d;|Q zoP8+bjr*fHXpdkjKHKtH?96u}K5b|HFVSy7C)R#|-{_mb)OqIcJdLm8Zz1yF24mmw z+#8wWSW@4MOXUN<*~>iz8z_6Q@A(^VMmWan&A2~)vVZtN+&?!jL458r_C5a)>R}Uc z;(aLCH$1;{-+U4EIveH7KJl^s`7^M)=MS$N$fv&XpM$pB!u5`8w5Apo8{Z`}$*+uRG)oN8$v7NIX__6~3s~L62WM7cCLJt;jnN z+C|tchQ0KICiGnwxuuV|#m-b*xnLJzkLqVUihREpBi@&~#E76qyNKga z{X&-Czo9CObjf_DUBvOIo_<>IasOib)9zn{J*ual=40+(w3VIA|5H64ut)VQ=h|lx z2b90ac+)Pz9@V!o`bYh=k*UafOS=erRL^p%p=ahDlh`=O%TI(osvkbwb<@zIsVAwU zT}Ki{>^(Cx*s8*Z|Jgp2znyeMI4XDf6?vkcgaIt(l6~|%Kyfr zNGJS{4t2>pblOE6kNO{d68Uy%t+w=mE?Mrhi#Q(D(+~CZFH&dm3QXzE58_Y%Ob5w$ z(=LvQf4$b3-hj8y@y=)y^nH!>&dzhVT6_Y!K-ycps}XTU9Nr$k#q03FW6C-_afnAj1bvi=+JgY4S)#T;LQ-?WSP=Lr4=k)>n5h(`Zhk>^GTO+Wlty5T<~ z(@ndWNWbM1uAi2F;!p6K`6?E#pN0C%cycEy#y=x;W)~BB%cqLvU$y?SpSJXipA6|2 z6K_;l{84|b{6*9ovx|xPQ#JqW&5tQ)?``D2xHI~(hw)pSlCD3^YdroL|Jmc;6vIld z4N?ECo+;l&<-6D~|0~v?s^!mm&+^a6cEk23rs_Z2nbIvP-C`pB)=!|{vHn9uzhibW z(f=_2t^Z~J&wM1&@0eXo^*G^ z{UP72{}Pe!W*3Y3uP1XpL%bN*X^fTm9GKa77f zVmxMc@qKZ9?O&mrkH2GcT!V^8yBJpHh5o0Ie(r?9-B`E-LB{*>n|AT|xc`mNPd2y5 zc>Tg0{{=HOw2Rxv_1r; z7=NPtGotLxF8+R8Kkp2b)dd*;Vhk*DH3sb>?9uqQzk_mm9==gHB4+ezw2QDu^&=?b z=6f*HxGKlbqWowVi}%lk@}G|Ttok+>*R%bJXJgz?ySPo<|BRy%?(fiEm*@Bd&PTiW zcX55~dkFV^qoE~{|9}49t+<#8Z@wQ+WkLu~) z?_Z&-A+M=&vl)noY{Rljw_!3&+p&8T=MZR z%-{0KNb@n2)2&@{JVv{S<5B(8QKW4)%4Mj__P5c0!!F`@R6qTCX}ZgG_v%I?T~&E_Nad7dBpo%&wr8gmb8noNA*zGIwzsO;`LKReKosyQe1CO)(-ai zQx9ys(d&;0d%{233i=7_zm4#Wx?}$t?RZqrdCJ<2=y%TRlI@Om5y$iTSKPnz{&~Xp z58psJqrKSukBItY_djBB{QL_4)Q@BQUC`SXrOrnjPDVWvKZoD@J z=Yi}#Uia-{;{M&zIVYrF)VzzBn17j!`D@c(5v5YeBRUHov|zujjc->m#apkNyvfk4!Cd$wJ zsNIQbcVepj!H>0D_|Hfk?P5Z2?L_THRJ#!q>94A^FbJa+_fO(@!>U&H96WD4Vxt{qf`R{)^?zdQ1Nz>n-gf{`vp2cP3Dt zRn@)z&t273U0u~xUDYGqttbyg2GQ_P5qL6)8j&=EM3F{OL?gp*j7*WwE@B8g@_N`l_&bgPm7|mL**Xs4v-FNSM=K1{3 z$<=>Fz5gf3e-^%@Vz`~&ZaS;l&l_X?>7?GU`qP=IKTOZ zS#syanfQMQ%5UrH5dMNc4dHJ&ugQ(g{x7I`Q^}o~`BO`OZ+D&E?mBbzcSHA8Pqoe=yq_tN z@r22p=TyYM*Bef+H=G&&Tm4PBxBiopcEjY(s`jHn|G9DeH_d;M*SpS4{cG*)X(9hExc`g03myO1 z$@xdJQ}$Z=>rUnpOzu1~U4C?PZtHjGKW3KgNAQf?$@^scxrVVr|4jBTF;*z}d7dSA zo|(39TK-mU5!}^@aB|;>yC!#L+}Fv=veSvo1+g=^Gh?rlr~Cg-cyF8KfN_YONFB-h zWc;mt7x|QWdM)W!@Hae5?)-Av-s+DA`A7LzCoii0EqDaak~?=xyRVZ2yTrYInN#pJ zJWKA}CvCsxr6JsF-d-Z%Hn}qs{-*xd$=&j|PV_E_zfJDU_+Rx*lkuD7{|4=c>dz+q z_on4<_16jiV_84@eTjs}qOS>AIPsSn`Q66@r>Nb`(*jGn|puD{Nv5I7dhCR=S!GRjLhBWt{ZJy)HAvX zuHa92mfRVCF)`o#jn+N8f6ICqb$!7L!+NOtgYkXZ|G&enH!y!ponP=n_+N78-1WaX z^G9|9#xcy_z7c)r`8+>|XJ>*P_jA3BlLsrEy1XRiHF9owRxtQ!pq{(@)8 zopa~k=cHd#d!1a}znlF7@_*!DlKlhneb=m6ZhJM0&7TDeE= z%$EOu#GQS~Z}dM4zLsano%^QUAA2mS#syx{UdYgzqMWR$rI^sKc>VN z@+`UYiD~zTu4B%!xdm{)AI@3cpi!3coXFzm{-zHc?&(f5B6EmfX21 z?Y?f#(zshUY8CuE&yqVc<8Rg9tt;v8lLiIv=2>#*m1*~N^Q`Q2BW*$KOzzCs>qcm$ zzixyssC1XynMr@U`IdUxZp3wdI=HVJ$>RHD`c2+MJkfugylt7cAEc}y%Bqj%eDSs%&kA*{}$s$&0jj( zj2kUnk;s$j-!%MMKkoEM>z9?dHP4bedw)Fl<^R?>G5pT!LijbFiSM)F_jq$YsQ5dc zaBjk#i%O*4dNAuhMY=CA{fm6-`S^qo?z;I`>!%CdKcV<7*6sO@@?rnU!To}n^%Jwd zG1@tQ744ljrt?p4lJZMg>Frb^Wy$2u8`Aa~XLha#RM)#d( zMfaVV`E$EDYB%bC;;rXoJY{lcX8v@r1^&O7x_X}yzs0lU&RqZL0?H3{nB6EFsd@WF z$ep?Qd-YS>=Y{@j!7qmXtA2kN->2j6gSh`0!v4(?Y5Q~|S|tHySzh zyA8>mx!-T-#zM`T>qf(Zzu{SOXJ-Cb<7|!lHU2KR4bPH0Gvj~VoMb0VeRYelH@Q>_>aMU5V6bn*Z!L=k8ygGyc&yxwBaqKNoyz z7(aKMo2C8V^hRmT6ZUQ=zTP;?{us~5oxD%RpT@uKA>xWOEcjS#C3g;`?Nv@yepG&) zDmRilbLB_@R*+U*1e(pIJFN~awqa+{Od-_)^l0!zPrRX@hrJBvwv^u ze$tKgqvczccp>eNp5}Peq#M^n6-1*70|FzDq^B}bT@9a_+N$y0RjDO`5m0#tbf|Og6J2T~1>7;s7=~s|? z)8x)f{i&O4weGld$60FKQF3Q){c(siQoT9!`x3Y2S#oEl{!~7ZzmG)Saw}(6G{}%j7=>PVdpHJIQt;XLS{JVRJq?^f|newo&)sp4(*r6m#`lRGoIljXFx$MGz=b8*^U{RHcO(Elt*zBjoulmB&dr`At&qi4Yv@+`SC zvwos^zWxa1?fWG@o@dFOBWeGy#%+!JR^wJd@}tR}nem_8>0J`sFL-lsU%zRO@00mw z_@%Tn-(|e|_F1YvmE6huq`lUubfT=*uL@ol*00*m%>Gxc6Y2IWtsfQqi?DvwcaEmr zw{_}AhW>NU`K-`??m08RpE3W_{+RzGJG4KNJKMiayWe#Gi}sl*4BCHI@NAwXcfLIB zzS?=Sqx~<4oyna!d+G_}dZBVrOz^&i=4qr}+=r8)YZCGh=V-9N207L-t1OOzzCt)Bc$q z?O#FJN$$+pYn?-OTK_1Boyna!`$f@iyAow5xie$0_D$}p{VRx_$(N`f+N$$+p+dLU|8n?;bh@HuuIs2v2ZpRX3C%H3YKXy#88#}*5>`d;= z*lVAX{H^^<1+g=^GiSeNu+#j3?2WRM+?lbreTLX+|Do)S*qPj!v!97}yOt?C()?1xSYb~^7z{x@Q0a%aZg_Swm9WwaNuGr2Qoe?YKX^tuvdC%H3YKe#g3 z4el-xJCi$e_FF`|eM*#_;Np`LKO2p3O&WydnY5SfCe?ivWOzx~$e=Fu47_Zwr z#82Y*)tQ-pXgdD2d6HwvZ{Osn|FA7#Vk%+?g4FG_`L!|6=aHIQrZ9*64p{X8&Z<`77n!V%)WW@t4`3i2up! z3)z3%H2>H>#Se$^XV1xa*yPR+r^jC!CwFh9y=46C>@lAqxf6ME{H%Gh&NX5Ftl+ley51#b`eN9z~yeKP(u z&ari`9ZP(382@PfF}_dQH+8?Mz3QJP`$x1+p?x=6zbJSF&yqWLOQ&CJ2hLaeF@8A2 z$=}QQzk}1{$@r6=^*;_N@$6u)Iyt^i+HW`}xVzzeXK>ff)59O}ebRpYEzxe+8SK~J z;xu{Me$QaHUN_CfqDCNmjt_YH#>vfx=WlD_A}9LS7)@FapvteJ3iQL zc9ApKY5$)5AKxd#zxK{(H{lF+YwvWLJZ-;suv>eqGurL#%-gTIEZD8N%^B?U`(gP% zzE8TpW?i(~%NgzH!3f{PPV>)lKfX`fZxihf zbVj>voF-4&FMmg{TmCs`u(NRq?#K5@`zg#+Z!O=_8SFLxEdR&%Y5T*1-P9S*Xm^;? zK~`DeKw->2<&h<1lKqumZplPB$$og3_yUGEHbntzu2@qOBUQMB96 z8SNH1O`f!$I5OBxoaGF5ntzu2@qN;M{M*s4?F@Fd{{#E@K5f5qup2+z8SQs=nmlR0 z^nJl@=~tb>&h`)Cete&{UmERpbVmE7PLn6?$BqehW9K`Ao#vkvfAM|Ne#tG-ZrB;@ zH2*C7_&#mFXRupxv@_c6=`?xLe)N)HH+r)(*lGS*?#K6O`*QkMGm=2L!uC zuX9Gb1DqyL+7GS_c7wZ}!A|qfazDOL+iwx=_HjnLEu1D#+V@WncKs`y!A|qfazDOL z+V_4P?KU`ro#vlqAK$0#4-R&{Q=HN6V5iBG_T4W8yY4;CV5j+KxgX!B?YD_`2RftO zHcpc#?K|%XcAd{TgPrDI<$iphv~Odk_P?{GGuUbVS@!XL+WxR$*FM7;?GAIAJZay$ zDcH5{a|S!jKg<32K54IUp2m+Gn5!trxY6X!%>1>+d1g0UB6cQs=Ir+jb{hBTJ4V?_ z?#$S0oF}_=Hpw^f_ci&7?Ayp!8=gX3{V)4c{I!o76mt9Gcw5BKQgSjki`aekYuH&j z*n?UIwss9J=kyoz3peSDtvDN-)1P<)dEi_|kFbvZ>UW!7OYBZo`$zX$cWAlne(N_@ z>$h$X{uf)Zq~!eH{=1+r*71L5D(H)~^zpyqQ!CbW^p|cP^u_KEs>3TizS}bW8u7X4 zZ^HM*I{q2mD(H)K^vCxN`eGgZCBH;}FGjzxj{eLm!uQ2G`m17i#X9;+KOMd=*3n=2 zji4{q(O*6l^u;>*)87mFVjcY@&kg!w9sTj21bwlN{^adJU#z1)dQH$5>*z0A6ZFM8 z`m1|EU#z3QVl?QBb@ZqHF6fJO^v6yM`eGe@)tjmx#BSVuk*lvp-WK%5I^VaQ9(-S{ zQy&h+_!R4WfB131KVqHl58oK|VV&;}z7h1rI{Mx31%0uO{@_XR`>>9_PKr``iFLx; z-7WeD*7^R>yMw-1M}L~{s(ln&HQGnDyYj!azi+8-U)1hOU+n(RYW-y&3;JRm|4%+6 z=!Fb@Ue>8uZ0F`YWGAUG;lLb+C^9is&El0s4OXUFZ)#HuQ%lzCu5o z5w|g(RvPQudG#mxY{v~JkwaIKgQ^|LwLvDW31F)GEP9Rp+5%OaW`bnKTF;p^C4e$*VuA)A;C)j zjQyN=8N>gu{el|$YY8XuKYFmS^7~8PNq&0_;e~^DRDXZT_JsFX`rC}6VdeLi9>w<` zhCZz0|G~+GcNu;Wud4q3B)h1(tNH%(jg|fxxfOrBoz<3GnVh)2`upmK_4&5-%i`%A zx%@D+1OB*|^x_wNu+l%ejjxTa*uA4#e}tW?-7|=rrN+wd_myw(fB)x%_s;6?w`uEa zXY~t>mEUiX4|+S37oguBnu{NuRMTPRZJ4PgSowXsHTWiG*?!3PZ>rW`emr6QXLch{ zzF_6|N1n=ese?pL0jks=+Xa9BchVQOKl9elQ`eDi?nWQ>=gyzZtH1Ir(wDYZ>>O0>pViEctA1X6t+CSo zYsS$d?})uk)!)}`x4m;|FRnLMet+o&g!2~KJMsjq)L+GSb;79F{!|TpbX!N0-^in| z^81tLhy1pjaFFjR^{1(u)n3fdC#ch2EZYfxe3SIO$5{D&otoAE1FN6pAy}!u*{$Sb z>SvK%#MSy=z~7|7dh#`_rQanC(ubA$>%W5D{^-Lx<#9b7nKpfb4F?-5zprrW)?u+7 zcZUxX1*O}O=k%}EJsv&EuGslSwg1OUB-s@C6(dVlbG(ie9Bu3CTn`-%VW z5kK#**Pcp$@D}OguNwV5`J;WN{8R7yi&vn(BlFd;PW!d;7~)3l(#xC|ms#`Tjx1O8vDr6Yqp|^$y0e z{=Dl2t!I#4^nt`W^BZe!4Eo{&#$WS(U-K0DzW9Logn8p<(dGD?zJu83Pr6OLT>Z21 zx6~tqdG$XTtM!S0)jyjt=BZQvXkM>NP{mF>Z6)U)jgLBCW_$s=Z>{#f#$A2#n%LW= zhCbt#&Yk$@J;uuLj=tLb(GuJ5t^WSVTJ%3a{uJZsg1PXHJsba@ga6MkR{Cd5`RBjk zf7pwsm*u{%e4z3!a%yko_jR*Co3>r#)c#6+&HMNNH~m#Oh^HCl{Iim{QGK%JWyZ?y zPp`)>jMv1@-1**l^Z#1>(%+N*=LN><@88dN8Kce|ZmiTFdj#E~tdrr0f^dHcp4O@AsvC=;lp9Az2ubXOo|1G5NXPHl6TnH<_-`*BI612T7?MsdP zGkh5FNToBn!C3kIvF{Mh_Yt3vIp|9LwY#JLEb6O^jFtLREAYo7$Ul?;SgAkp4D?>Y zeAKnZO8w3z;${`Po9eZ{UB&+lVSP)b{^r_YvGQWV`Hjd|GtMAy^qlLf!!y1=?%dA! zhdysR-u9I%pUWBhXnrluvsH$F{O(p5&zxYGer>G${z~boKXI(FQh(~j(f{Yw z^S|;#=c<@5o?Pw!wdeBPZP>*Hsh2AKGc$@lZN1ogf3^PDN6~)`@yU1wR)7Cg^wyET zuQpcd>o$P_^~>66W2OEg!r3Nkj*uTYZ+?k92QHB2#)Kojpva<*IQ0WrM`=t9zjt_QYhtE=Wk~?$u>b0~^Agu_$ zv-1l4j@*en>3*AH+Im0b=9P!gyGcilWo8(U3C+*cU?NQrL+&9bq z|Dv2Cck(`IFLzqs4emSTZ^@m=llF3_bz^Yfsg*>@oye2+N~i84sb@$(r^=1wPUJ~@ z^?W*ipr=6{M-Rsalc9wn3Iw<}ld7rdbI(G@L(%)&V9dak~q`my9 znGyNlsd%?=MIuky%b&`(^1oC0R&pouq`k^{>k{hi*UhqXK`8%D-Y4x_E$ZjnsK3AC z_-81dKz%bj zOU0Yy&RqPB5qCX$u1n9FW&Z=@XXH-aC;hK_M)^qMTkS2$ZA4&irpE)3y!B2U_nkViB#rTBODze!IN zxf6NPUiqhcYsf!N)tfewh(untZ3Ktc>hq48p7+%B zys6D@An%Y|y63JS<Ao<#ul}GC--Ny7&dho1cHbD~!0st?QcsxN zc~r)I`TuhC<$vc7d6wLHdD>q01@`G5*nMkGM&TxR&g~z}3BS%u>h4SZK>jcITAn3$ zX3h&+hhA@6+{3=$U-K-vbKA8470&L3A^ZhdNiw-J&Ug(`BpH9r@xR5>bA!F5qvBEN zrucLI5!0{fd2Qy;2Kjg040Y;{iSJ#Vd~7+-GftCd^S|ozh34O;=iN0uZ_m#4`!Mb5 zBS>%Ocgeq$i=K0C`}iO7kIIkAUqQ-^(xvarl%J;Mzv+30`i*JtL<+{)C0@+4N~wzJ+JWoi9qqzv+EG z+B<&|?VWLl{Cw?i)ANd(p4VpmoTFm@$N5h3ulhyKx&1HSfnf8S8_1`c*W2*IS!$dp zx$^<@dvonIt~~_(V@kY-#<*->wItRWYzgB z1#jkAa_5$5`_}iE7x)4C)3ek{i{wt<@g38Dj{mh&x6K^&_;w}U&UjUF=SR}+Yv*+P z(de%%@i@k}k~<%rwx8IGbb1wCo}Eg3Kl5dhJLk^N&GG;GqjBd9^3N?L-p{k-&NI^P z_rFct{fzc*c$TanwIp})zFhy!ao<)x-oQBaBHWAAu13k7$dmTUCWDtK|CIP%NqKg@ zByB(P1r)EL|Ma;Mf5rTOht+u_Z)QI!~EjvS$3a9{fpem`(*stFDE_`SI#0k_wh{HJGoCXX|MYov{PmH z>9f>XQIb1(pR^yR?_|FmQ~BM79XKNCU~=a+bL=^z#MYOJ^|Kart*@im`WEv+zRqRy zJGaly^DMoUa8Xu_cTi6p!LyV2w)HjV#|hJCdGCB5b?GO0j&yfnIehNFxPC@H-Y@vC z;HScO2G0HF*w5+TPRH}8rsH{3x|detGrMQgm>-`tJ&&*Hd74e{!?AM{|2CFK=Y`}C z>c^JzZ!_^nnQD{X3%$>CiaNZ>eWG@5>vLJJxf}mF-^BBkJUcVvqo((%s$T1m{}y_m zYt#E=?cB-dQQp2vc%3KnOc`xCpO;R*ruXTt`Wfj*J-pESe0A?rllz2q?_itysmXoD z8Y7P%PCep0n`iu`F}v~Q>G+#?IQ4J`_y4lQt$3E)$)1D>s=6UQ`M~+Hrsrwdz0st* z-6!oN-A(Syq`$_|ZSt_j*9FhwS#sx*Y5yzEI=v8A1?exC+}TUpFI{T&EBDbl$+y(I zb`HHUU;nOI7u;Gy9+aC#(#_<~O#0cq;ZLDm#I3gTWvn0lm}h71KJhJrzXuCE4^s7y z?sHw}edtZ^V{dvN{Hk~!=R(ipw(@&=EWgexcviV~o}SJ>mQLr!^mASx)6aQs+J5cc zA^jG59{b4l@`cxYf0|R&2KfnkK>8t^Ya+b zys*SC@+`UYytMx{Kc@1h`8B7?o#f73`LlUY`i(X}>ZIRja%ZOhIM{-GO8FV=QzGTY z3nRq%*Vf9XDy_&%9`Z5|qX&5!q;*qPk9TRQ!k_CIuPbcc3A z_Zb%a5$P|v^NO_livK3_+lylRx6t#bZ5`+GFh8pGWn1TAp3&sa%=)s$-wmW8^>5pG zFVED!ZRZW?@Hf2=$MT=bjofmo+{iD^O!;YAe^@+G^ex_;tZSIuiB~50uV(gv{cl@G zoC^N8d_Xw*PY(VsIF+_^|Ki_EZvdFbDqre zQl6ce@k3Mhl}=6eM>O5v)AT;C_5X%grsGfj43(dS-luHocTP+{=XD|d+Rk&*?%TcFxNqw@PRgCh zotg62^gi&W_gUDz<@kG{_nA+{`xq8_A5+u&w42^%qy1Iw?=s$c`z$q&Cb^UM$?`k( zQS$#K)YsoH@n)VScV3dVU;RY%zD#|^_^Tk}Mw2@;<43vE9|`Uke0*@f?;J_Hzv=?q z#Sg0(zZJwUCU-tDZLfJEn}5Bd#I3^ok5Hja;Gqf8Ey-!N}gRKAZxe}@OOzzCof0}=lz2;vFVrOz^#$M|`>bGe9r{FJn zmfV@?zu5ks7lrwYVxJJ}^(J>__6Mo|-JzUnf3UOrFrJY+ktg$i)BC_Ccfg$i^RKKW z7u=R-$(@6o`yt-PhD#*gm)yd$GZX(!$4|?DL_Xh0dM_#QIP#C=&W&mRYyC#^_qq?I z;OBXk+<9i&UgPv)U*j77-iiNv@LzK0nzX&vIhAkK?VncSI)zp2&uvqOEodx_Ma zCU<7muPmPuPTSw+{7KBe&dmO_ru$26oKO9;(EYJ?Zw&Fb(EDVX&VOi}rF^6DS3%;@ zVrOz^&i>!< zcZdGM+7c;u+83byi!oFF)XvIXwZ8>%*W}KO`?@z)b}KfNC_BlW8GEf0$X@FQ1+g=^ zGh;7zIyVIO3*H;t?>IB_mrd`3)&2$fd%^cnjnHrZB=yJROT3+D$(^4}=YO?d-3!C~ zUqSlgCU;(#w%7Q*#kf)PPX!q_n%tQgKia)QI_q~`O8wLDAi%=|vDY5ZCGo)**J`H7hB&ePKMM=!P?+K;aIGZJqmcV^;G z^LKsPUd`V*2a`M_cOp-wzug;&yV}n!_l>w)_dZG8+uL2wI^{(rK8F=9Hjt}GCh2BT3af*Hipz%w=Cxr1!$2p!3ztUOj*Y3`+F7U`z_Lj`fy z3ztPH$`{vD^c!B?##HaeL~uQt^Gp< zzs|Gd&WqCVKN^3ZJQ{zWtaSwKzts9cV1E5Zan|Jbt-XUo{kPEjsGHu0JxxB<{wwWY zFG!g-xiho>O7kRb%Ae*>3R3P&?#z|HTSEEM{Flm~QRPl@XQupV{YmYv)}Nf#?jm>Q z+TUf1Li{h=&Kc5O^|#VLzE9Ra%g&8<*E^#f<J$Z;T_*>;){*UjI{5r9 ze4liGW!!(fGVVWKxlgdueZ;bl@00fWU84NmkK=zM{x-QY<9{1}T^{Q1p7V#H{?_>z z@qN;L{f5chzoJCkHMuk6zQ*s`|Ecl2Q~N$`TpyX+|EWD(H*Z)oJo3`Ig_wQ((UcbxK z`4R;`MEfPV^9||nYn-UxA8Gtpkog#sJO4Iq-*o?m*55U6I(qXgHE(L`@{zgu)Ae!v zZ2i$8A8Gug{G;(xU_SrM931RsPH_f1TfgHw@qIG?&HOspt=Qm<_T)XeAKxeKSDzH@ zR$uB2c3MA?eSDv^S3TL;L_J9#s37aHCUe*=07K1nc)8rU7QEbUnrcq2~^=PNF18n8E?dx&%WvWU(@* zS&{yf{~oIL`wJ)WX7jJkO#H3AGq}6igfqCi_D*ND`$fIu_0WTXzSbLR7}eka#^8uLFdEb$dQOYVGH zzW!)Z|5`eEzs2eO7H6jX>YNFU|8*Wr!L#XiNbbyx|C`ny`kkxX?cP%&?wZ`0b6@#{ zd28#xIGMM${Nv2bUt9Z2yJPd;PTC)nJ8w*SbQekb#=CU<6jzw=OxAB%kh z>VK#nBHblrV=PyIaotgbFa9kiufY`s{Jt1ZkXJeX+IXn{_9BWzmCw+v-FGo*Z4l^{@QNK_RX(-Oo_Aw zCU<7`&-b4~*l7UzFDr3to+Wqo(&c9j{Y2HDYi=u%deh|2O#Qi*d@B33$Cikl$(lgfdm_O70 z@%TQOe>KigysaSp3KDN7cV^;G>qK%_>qZ4}*W}L3{#otcl%4jE7R1iv&Wye439Z|x z{%~sj#_EsA-1^O0(n-HtT1)yB{0+~NJ2SsuQap7DyW+3lYeM{KZ85%2#{Zg^26xxI zy+qtKxijOw=Go=0_U{(NU6VWG4U_ZD|0tc5?n=Lcq`S$Tne^8gsIqI_S0Z*McV_H0 zPLaLN=q!kx$(ibcO`db>@`m%JDmqx5Id7QGxqA| z$WHyAg4mhdnXzxh^}kkJ|5G}s{a5@4=IgITBZT!l_S-$a#4qwJx%0eq`@IssYo22z zesyZ!l;lq2$^5_K|4?ohqu(#_ag-a$otfXy>Gy-JD_Jl9eu;PUEV=W_wENoUqu(7Z zyS~J2!~P%r{wTgr`d{ZqY5%>>|0=i@c9J_Y`|q_+NA;H0ZJnyWZ2wMVuKt>QJ@Ncj z^#8fUPxCCfGqe9q=Q}Ikbv{=jX=!q2CjTw^S!g$hRyv7y;zIjM0`vJ#^MrDLnEJaQ z?wZ`0aep!Wl8$W8{R=AGk~=fu*FFuoul*ZN zxhuId=f3u7%3bZ>EQq@%cV^tz`oH!+ws$Y_BAz989-j$6@vr=^^e?D_J7F#+CNedf1BKy@xR&`jlI zcV@;PYUgFA_P?O)BzI=)wNKpg9bqjh51?v42JLHqQ3uJS$w0$dlpMJe%ya zZswGo= zhf0QuzPHdX=}zatDQtEf?7!P?4ZpSFDfsb!nXjH%%U3trzpwnq@GZKGx|&;gR}Px` zY2s1%=`9N1Uv1p?e>C$|4_|d&D_?Egz1f$BeoWo|1p87v32C9}x0)U~w?PoTjl0(j zUouy9zf6sLzvYFE8|&qTWD1U+NC>~8Z$0H1PoMj(&iuK3mz8Jf-#hyY@R;ZSZC$8+_EmQp6TJH&1_?_bEvJ#Zbi;yOc4fAOI~U#z3Q@=1LA_l(bA9sL#2 zKVlvIwb4If9sS8?1pkY5^p|}s=!wg*a#X8?#|J*%k$ zCg_W`^tX!ou#Wy_ZwvZj9sSks4*Fs@-usb@pXuGm&Gco&)*Gwib0~gatmB_9-&Ot> z>*#AuTl!)v){r^>51thC#X8^belPk5*3ln)BYq#&(I37s=!>=V9~bpuo$wCzg1%Vi z`#ST?>K|C=`y+1)`eL2$PrM=Mi`~)c{4;i1&=>1`f9<~qeX)-I)ZYbtv5x+V7(Zei z{nau4#X9=S)&&2Eb@WHC3Ho9k{mI*dzF0?p{3k(QtfRl=xj|p7qd)zHX#X9;+ei`(|I{M@L27R%PzV6>qc^B*G zZ#XUJi*@uD{Y}sp>*z1t-1J&vce1*Eke>W+`ah`F*PMX##XA1!OhtWIN5B1dL0_z+ z-=ZAKKVqHwR{a>Yzha&CSM56fXj%JSBR*9xcg|)16|Cd`#s41sFV@jt^SGcdwsx&f zFZDANKVqH!+nO8W_u&KlP!@T-h1L?jRUD$i- z9RJU+e--{#rHpsUw&<@)Svzpe&34x z13Rm#<9|KzK6ndr6p-KlRepc$4#rahey95!W2OG|Md+=f{O(|^)E_>9a8icF&ZDaR zv+SSvE;BG=X|KXfJW!rb`C(Z)*uTfgDi?7R9&OKX^w`u&~x?n{|3 zCO^PR{YBJOns6Fna1AT-b5EA_`dir#C8f664R)Snqe z?;6TG?7hEQe=T)?e;fP{>!k0hN%GBA{Qed8o?QL?sTcG88S4M@jFtXhM_s7$B6hE; z{{BkJZSP0a53Fy%%I~kd7QIhVer5NmYW=C(&|{rs*^`Zx-(Px53@`bIa98TjjG#vz zn)8@30%sy~)*|<9CypDO)pV8>_#M|9i~7PVZ=})F1x~>gC%hkAFdV ziTg+r{@Gk}wJR^?yWfZ;jV&KJ*H_2aW>3YPGnw9cYQ6S=IS9Lpv-_5M`khValO~-_ z_4Fs6fiCUSB>4(f#@Eye^d1r77gp-8-JSS)7Wtcc9#-m)eFr`AtH@kKrT(zi$Ed$X zHyA7R+uIU9WTp1DmhP4M(~AGkl3$fSK3nadl^>uS(Eb@WRqIdxf$&lnt-RD&>7S8X zt)6R%+&@#PKlTdro=1E_>g`JX>HCSt?I~}E8!PqK{%^j!C;q>{SgAj~9{;Q+|G>`N z`Hp$}ix!X6FKb@L_y4)t{~D+E@so{P*H-JR-`ZB)2}=_vh7L zydvaJu~lPywelFgP5GLk4^pRmt+<2ue-ZkmF)W97UjGa|i|@XR{XVcecRpfX{l%o0 z&Z!vrxv}#58u#>1leewR3vKCIMV{}uH1M<2FNt)Wlb+unlxtp^(`zprq%-WI~!{&4m8 z*ImkY$)jTD7d7-LE2^*7ztLFfpY`LxKVo~A>hI6-e+_+oSLrKu|Eb>hi~MkHwf>y% z*U=}vx{URdUa&HLmXg={j0q;F<6yNu<*Iis;{ozNtkhpaymT1*h`mkK`m1+E|7O~k zYmJrPUwIba-HQGd>>O16{i*ASm%FKdU_b8L7$ym6kLIm!r?%z0)NNvW?)v4t`b#K> z{q5*Kkf&j#|HrPx@ul>~Xd_{zzQ#w4;~5_jj=Afv^ZI9O7ySR<=?}m<<$Z+js{Rz~ zw2#Y=C!A^@A7QNY|IB;&K6UM?9~vw5HBZpqnfl??^~P(O>*%ax{%gWm`TZ7ov&Z+v zc8&J8P2a@!O25!p`ThRS`7ULq|MPnOA7LETJp(_HCt&6GN6EbH-;m#6_l|1)p&ih> zm;8VYto**#75mR;egqDNs`W>1#Xo0~e{QW8-bw25F5{i0xB)BuGdP*Jp}%Lms#<^P zQTYF1d>^)7P_4g&v0dxs=#w8{rGJ)ufbY{s5eM(6)*o9-zIi?Ab+EDW`(xyv-Wchn zd{o2#)0d-1d$gSL2rIv@aYgGI{14louc1F4^H)}0vJ)yOdzeiis{QX4sG>;Dk8+Y4dk6kxDd%J_5x<7s5A&L&|FFPQ|I{0=g z%_EN9NqRCTGj{ka`{xC@llSJolzr=q!QR>aRj_v=Puh>sA8nmLKZmy5+1Z@$A$KBA z+K&^D-CM~Ahs?5n6yHPcmarUWWBzGcD+Alr{e}4k~qi5Nn?ULNd z`=tHw0l{uD{V{zc{_e<_Xp0r=~ zZSv)47-u@=uH;VSS$mzQqj9RU|J-2jM4q&tcpvUi=9Zl?%l@t82jouPC+#hs|24*Y zWdCa+{+*qFP1{>KW2f{-&nP>|ojH3;r+*3Q*NN1)MRF(dr2jkUY2L8AVU~(_$(_6} z+qYx+KTYL-#V+U(SK{EO)QxYSrR3d5hWEyg6ED<@9p{I6ege-<^hZt(`bOj<9|`Y` zmr>4W?>o-uF7A~5x3*kAwVqDC=Kl^1^Tc%e>G#DdH$#_} zNVze&GgE%--bLK+eKWXkz~o& zNqhUf@Ro%4e%yC5?_hH0mTC9Luf`qvkQ1btv%P}x3UVj%r2CVfBAf>@zBp`_J^I&@ zJ9(e9S1<=JQTR)IucSOXUy`<8@_F3F@8idnxF_>Kk~^2D?T2w&^G1uWFY#COyCrvC zleQoE0`c@{`rWkO1@Vi?osUl2Pprd^GB`mW&e{71o{>9|C*x1&O!wb{fA5{8_956k zl##qo+H3xf^{v*D9ZMu1n%p_J{+^S6JH&CXPu@FrmV@(nM(*T&(*0@rUMedyn@Xhb zV{+#y8GG_x_a5{~2WO8k=^kq*@}&E%FA;9qzc%sc?2ME4$eli)9_x=e;qPC8JKrFz z8)vCLk>pO^m)+;L!Ztq?#)ml7+Me+t(0Fk4juI~;AJGPBJZB`GMo#3}iN1{woycwc z==?ZwLYt)VWEf{sm)xlD2fDj(KL1g_p+`In_6zN&op zaJIIW+-dT%`)*&vkBy&EAM6*t;at%8S@B1F74fL}ReZ*Hw)iK$Jso1Yl>Ikney@8N z9y@`we%&lPuOPmWJ9(e9xBCCKSpOUO4rSl|842@kY5V>c$hS`>Zs`9wH7_B#6M52o z)B8iP??m>l3ieLqN&EI9+`+C*8Fb1{a%aw7;T#+u>wo9_Bt`B#Jng>rg(&{DPUcj+ zOYY3Yzx=6qlmDHHHw#x}F8(wR)O{ZRssEk*^|+1Pi98v9Lt9|?x559;{*&mxB6lKB z+V|dpy~aA^KWG0RhX2D>9vkL|oxD%F zuX)7wu3>)B+1Z=#A$KA#+s_%ltDo6<7yiAd#A|t$-1)Awy~gJ{H$vm~g4gjZx$~T~ z{S?Jh{om#B+*I9PuKus?Ef2K!N&ipKPwK2DysGz|yYno$Gp;Ab_GeD`m)sKEAB*=6 z=-xEBt9#P|^X}XEuph&{Hu}yVkbd9h+4-?__?zB4ZTGwF!#o52w)rdZHNpP{GxJwE zm#WQwomW+mzfJDknD+nZ3$P;(k5Xm|QWi|^%#43@KZwR%GZTMJ?_FW3n%7hLjqj87N7H*-HBN5RerWt$@Lv9w z+&Q=Xm{b2Wz4ue&Y~??@ch0GNC%H3||C*j#uQ7u1oyH&q$#*7qX7Zor3EQ+Enm;T^ zyJ2!?ru{Iz-$wgLt;3l`aziBT~!Ef=m${G z?oF|I^w);)_neva2lW%XU&p_9l=yp|C3k*3?SI{mt$9z~n_X~g^1kHGx$~cM>VJi~ z%{;&QI!^lzawqa+{OR2I_IbGXvJ$_@v*gb6()POd-TLiIN~GR3xieG$T03)MY=4}p z&-kv+&5!Ss{#QRoaj?*HOZ%sXdaHkhlWzu)E(E-l9ebe@%>H3k@$y>DjT0eKTyF4RzB2R{YdYcgL=>wf1 z+)97Ne|(>`Z+dQW({qETzYzU>k2CuF3r>?K{jWLqV%_T){{BAy&*#77&dm7F#!1v0 zHhyxd-cb2-X4Vh&yQ3EF485?#8+ex7d44+mzj_f|GOw^;E+ zzki|Q&!+eG>E7ku@7O2(###0s!839v@00mwYBhH3lhD2Q1^+M4k~=@0wpTr;e)fPl z5NCgx>OI_#%-y@$^xpc>8Ql3A{k>gF{CA!ucYZDH|JF_TmGDc1cj z;F)@e_sRS>dP#71G@iR`<3QZE@t-m8{*paI`Yk!SMEY_jcV_ypn!i)}YyPgF>?C() z>~%k{^4Zd_&QkXVNbcl)Cj8iapYYNKItPnF_?^hp_AelQNoURfIkj&{^M6j{Nqg&O zJ(r|@CHBrY@vM5yndyJE?+@{&wR^>%k$5w?GZTNB=hnUHn*Vm{-gL>G$dmrpJd>px zZBRknHMuk6e$(-<#_4i*>5e7huF0Jl_bs2up8R9q5oM?RF4Ct z1pkNlB>Vg4jDKu?bd7|Ht-Une5 z*?kz#+fyG#B2W6?&iBXtg`WGb`BUXP-Fx9wzO#AN$XxzY{C6)5@n7)EA^yA03)B8@ zYOisAZ|^YvFGxLXa_8Q8_ltb9fc&F+vd=hG^=H9fg!;4Zye{p&<`4R#*#r*w2{yQ_{ucrA=`&8S{W4!kJ5{UP0b${q}?&O zGt>SwzPIau;O?T=m595Ve^L8w%($=p>Fv|0Z+=?h6+BDsJUt!$ruR-Sqp#VaueEHu z66uSX+?lyIM)!MZ-CFm46+Dh-$(xbs^)OYY39e`@_&_T76*#Lnc-jD2qs|DT6@ zJC*oFo+Wplm-fHz_SCvr_dT<0^QYv_-1?dFx%{vEUy$#a+?nye>UY_x{x2vy$(=d- z3-BlH@1if2NV~g8a%ZOfT{J>q&qx39CEmcZ%@_v+)tcUBIVxX&P@4NJE3|* z?MFfEOzzCsTRXRXZ2z1G#rDs+eLDSBf9iMs>c1A;E7YIb6CK|t+Yg)PIsyM)PkcE) z!1K90J5NZvuW`QiCu{s)@D`pWcYZu=FaPx}5B@Ls!{G0p^YV=SkFamBex-4i_6chI zRqz))OYY2!-_+07xiISg7d$-l|J%-;)BaaGYwPB;zXd-O+Fx5g5AT!dr~0qAG1Pwr zj|=r*&$%(}zV_Mm*OT7p7JM4dk~`O@?U()={#O0FwnXY(lRGo@ukx9d8}eVlvqSz< z`y1aU!>|6o`Y9TJ7NkFIa%X1zsCn|%p|oGSmiT6#C3ha04!?dkGw9LZJEO#{d6wMS z%iDJdyX*@Rr?T%juTR@I&3{er9alUl-4uTXNjH-_Gxgu-Oz5|a?&>7om&f=w=KC*A z>ksv_DI5PNq;w)$IzuFIlBfd}OU+X{q6J=+2+HB`lJg?^28P9W@ zum5iQ8<=lj=>EH=;|HyCDc%PAlt{dp+?k2Lrr~co|0BP5Z^gg()v4dTN$y0ROuwf4 zPnzBv+I0PT>adV+r_OMOe5?9L`8U2#hF|Gd{BHA^As-jN+kAD%$GlJ4YoCzfUHgZe zig(GKx%k&QyXFtI{$B71o+Wo?>VLc6TIK(~kmg2}`))-MZ^e@yPo)PHKfbnb-BKRbQi!#$McQT z^Nll;f2@DkBfZuCbJA}zxiiy$()_E^O~2nLsC1LunMuFFl_A{*cb7=IncSI4KkMg_ z{?`98~PV*WIBZ*cNf*1po{!Q4BW{LY8vb9KK-l*ciV3= z1*iX!n0l$EVj_0Bo@$4{!5bMv2WyzKvm?~9wN_0?wdw_`^EEh@A&Bj(R}zps3$la?)? z#-0B;eVsJYqovddB(U=PBQGUBm*RhBJYc2%_z*(tS z4$X9my*hjnaACFn5IyGZz2tvB4=eqn^4t4M z@+YkG{fXD%pVu>@h0MZLet+}{=pT#!H3JZLD(CWpb}8xPbK_Oj-`9;Y?H-*&*gBzx z{v(JN^5+oje4tvteI4KbIRCFB-R`W`?=ULV$@~NU!^-%X!0)~Lh)>v$Cu!y4NA*xi_hhI zl3qJe|9s9^`TeD5^6h6b!i3a8mHN5?qVr|yTUh7jsiCi-#~5OGOJn8thsV)-2Kula zciQICcZm4xGP7ZfJ7aSCS_SPrn*TQ&%l?_SKRo<6^5!1+2iEDI=yY=Fi*@vAvrJ#C zqd!Ex*Z0Lb`VaQz?7@@5_r(YFujY*(?J}_b7_8%;;Twa#SVw>4Z9!kGqrdE9L0_z+ z|6p%A*NxT+Z{3@%CvOk>Vjcesy*ucOb@cle27R%PzHStk|HV4#tKHDj7wdfAZV*IY ztfN2uz3_dpj{fqgpfA?ZAABR|i*@wl4ffWb@5Yn%Vtq1i{$KJ->cjKtZ^JtAsqZU3 z#qJO0)VK3~U*mA?#1iZHU;favh*-z}HZDS6tmFSF@{Rm2c7Ivzf9c`xpg%cBf8Oy9 zw->eAQ+yk?K2@!+e5qe~XvY;S*XQ$of6;or-(yD-Y@JZ8KXe!RFGrshm^$MZ)4zcF zx#rE~i?#{=AG)@l|Km8MwPb7Bm`=6-+uP!AX0Am}V62Rv0eM;dx9-0hEA@5aUGG4` zd#tfiU-3h~nfO6J?j+2$*SgVG|HO8Un^TtUPB`C2{Y)PYR{BS~gq43f-!xY0>xQBB zy9ooVbCdeuNc8CMss95jzpwtYuEG@Sj4%4DNvnJ7k6-L0IP!wp$4-s;1obD|&kX%Z zSn2=iSL6R5Fn{t~W2OFt#>gz_gHudLJ&GCr(8FaT> zVke%om&>11%q8e%qUnQ-mHwF=L67*EQhhbI{-3wL8b1lWV~C&IjFsPCMqKvVq%Z8u zRL4)3Z@1_}4;^l-{J!0gdQF(0sdLlQ)X(w9RfHGz{-)YL%Pu1R@1p#kXRP$kt^b~V$uutYUq#S55|oxksAUlzdy-*NbgYmf3C4oUpLS6zDoR1hr&vI z#UnRTTYT23A5_lUD?)j1J-hn*OTI`vzKH(YrN&DC4E+o7c`Eq_a>8b%zRIoUD~wlF z>yN8Gq>d9iap!TaJZfI1bxoL`sgpm4U(dMum(-6VG_-=0u|HC>r>rH5Nhc2V7(|o@AGtj=j=z%x)tx4S6_t^B!eNAs3YkKpU^?UE29wsh2ky=NQ+=<-6 zJ49A`;LU?-r@OSJs%M>j>I%u7$g}pX>w~>hCxXh}i9Bhq8}53m$hX_gvj1wvMaZ4J zPue%Vxlie*6Rj6|b70e(3+?8_?~-1J5dV?=mk=Mv5dKKy$?(s6b0hVz-P{=2Iw5VZ zn37WBP5MXbWP8b-$dmEEe1~AS(3>yKoqq}LbHk$fW3V{5??j$;Uv_feDLeVg znX`YWZf01a8?PD5uJ}3eLBGTP8BLz_zvh`VkM&>uW(I{@H#8V2)B5*kdNYIHy!q~N zCPY>|k9?&NizGpD@I z*$LnDm$>$eU($S`zXblTeiER5G1ufIKRYpIU-J3eDFe>e^88Yso%^QKujwzTn*Ng9 zeu>67qfMDBPSm@AXU1B^iF$E=N-TeK@}Hd;)?ps$tCTzERy| zNovEFk^aA>ywF#04mRf*xifbXTGNxrnw}(PCr(^US!cZ1aej;EFYxTVIFo*~xlK+Y zYkCqy)04>l)F+AAiC-tvk6?`b|4#yAoJ`+K^N9ahCxJaACwZNMf9WfCowPl6!k6=u zO!?WHa&`pk0JLER&*WKhXIy`tul;Fy64w7e$%_28iuk^^#NBv)7thYQ^Hp>D5BkM_ zXA}M1LrOfAXUUzL(&?{u_CcNmnBR zPgu#n&H?=a$(_i{9w>>u>aw$xvCvn_Og z)VbMK{vGTW{5|j+ZJqngi7&sIHr|X&eB##1hdbqN_&d=4F4q@sKmYtxjJM#Q@STV3 zrd!49?_>Om#Ji`1r%OzyvVZ5?bgTJj&1dSSQ>W&UC3hk(+s|nq^^5c#QMAyLw#}YB zT4wKj6VLjtGjr0qowR}bcG9r(QP}?odnbQR@PEGk)k9)`d34`NIkoRPFVDEIaAIfS zcgjw3XU<;X^l&>p+)h-o;V0fL{+x<;eb@O%j(?rBY$tU&$&Yg1PFfbH{iH?VGy)r?%HgIW6WF?WXF;_U1ez zck(`2|1`B%_~pLR&nb5;ULteu&wLQOb4agmmPr5DIq`S$T73uH( zc0L&YTln$6h2M$4P43M2zj6L;7=BBC{B8NiiN8(m%=lmNtP^4t{{{C7@oy)^hWE+* z*Yu=Xo#@p18}^f2Um|O_CU?gD+Cy~dA9zx^PL%CFg7usoOWd1h$(@f#m!JQC(kuOs z^~CpaB|eR3#{2&nC%tNYvvnx*E4!BXW}YQ?9-2-+J5j5{`~-6ZTHiCWu4i&*W_?e3 z#R;qAK|68v--3O|Id?zYobgZ7llp9akbM4M^`y_H{%^Xztkc1|x03c}&r&BuO77%+ zvi|z7ep096|G)A{n@!74(~~Zno|OM*aZ)AizMWL*q}{iZDxI13-%eDd-n5ezoz$Bq zcV_BOJ5dpL#}B8yaLV1Cd3I*pSN};TjH>@%@K>S#uaidO`(*k3v#>uZ`rpZXsQJs8 zIcd}M+|KEC&YV5{Z>?jg|6TA1o+WqgmahLElK69fJKf*TjQ`b7mA~zzQYZd4xwFdu z?yl3_b!ObRcxn)TP5rNNhVs3}9|g(xCU?f)KMc*&|F!ua>}~$cDLeY@DnIdkvi#V4 z;?3-x#GA>TnfQy}U%2}ZMfly{PWQJn=l?$k_wl!-zf=B}znoS6cRQ!sIscsPl~3{a zg7e=)k$zrooL+96f5h^q`nShWN9|w1M}_u7>lg8Tviz$4(T$|4KMQ_>XUUzXRn-5? z8(aJ9d~$GC^Y7L^Wai&hf9j-NwLeasbZ+%(B=SG1|FwQ%@#p+u@VC}aEdDa{zfI%c z?3w>I_noYpnB19JKl!t8-~H`$e>*e&S3c{0Bjmq=e+c=n>-JPVbx}CGio^&_&ous?D@64pX;;(V|-Cd`<>&&^|u>Sm$r@z`S&v#DGcg~9Zr}e{y z)}QKs%6;`83gWKGof-G7pGE$+{+E+>&*aWb`}a`T%inUpp!`k0rq=qu^yojBy_0@} z**j6mt^X_kTmQ?caI0VDtO$SMZx6?RrvDXw@{fhzNxNm?cV^lz)AM+9db~L+;;+be zo)10WIVZHD_$Z&g9OFz0T-1yA35`XL4uGp7nQ|t3IVf*-7rq z*z0#3vhT9~Ul2Q!J9GBiM7sk^l%3?xjD3fF4sus#mled$kl0&aNX2aaBKdS+}TUl z-%aQLbyHaT*|-Tl~UFpa{ zCBBwt$({RV>~FxIx1)dWEc;_TBX{yXnf}^;(Bm8+-4tK&(>zP=d~3#@yxadd`s7vT zU=`2Eoye2!tDaZ-_cxSCx|`gYNq<{E{RR1acj7Cuw}$7{d?ONh(tW!rb~60FrS04) z{Jy2_oJ`y6_jQ~P)Ee8bMA{ycJLCC5^R=IfC)NFmzk)vw@z-(2^HArrpL{*xr2d)w z=MpIoCU<7)AG_(0an5e-P~Tdztt?8UMObP&ZBMron>b zU6VV{PshLclW>~9o#ZEzJ2U0q>RHlYq4lrQxpi|$e`lMz!_q$zc{2P>>))p9@46AP zeIDiSWhH)*XUU!CrTuSx2I@)cD@3XtmfYE%d!Emn{U7>$sp<7gq}?{TGt+)ge2Q}a zb=Gh1D3P|n_cPU>BgJ2UmKexKa_7u@^HS?W$3$(_8+RLAI!}ViI{7~?J+xdpHz1H8`mr-9VFYz|kuO)Y0mbM@L9eR}eC66eP za&L0y$I|wyreXMW4QJ!8h|Px%1Gpy|okG z?m4~Pb7tDV(H9U-+Kh_m_S;0e1D(-s z8>h*W_RHT9?3RDd8SM1?7r7tbC+(*&Q~WRA(i!Y@)2r;``?P(-o609|3hqwc=M3&@ z{44k4`=tAmJ4CxfoY8Ivr^%D{%gzmU%dU3@JKO(``|*9+eo?gB&Kd0&IZd9lpExqu zO`PQnb`wWB^Y-K4j&^Nlu(Ns<|Ht=9_s4e*cH@UTqy5fKlPB$$zAxA<{i-wA>3k=p zUwogoUmERpbVj?SPLn6?$BqehW9K`AoyNs-KfX`eFS#Y!4LgIK>OI-V_i6h*gWZy& zozZSjr^%D{qn8A`(VLyY&ia42AK$0#XQJJ%&S*d5G!RIW&S7&TW%@e4n;IAlNN>oio}U;52#CesE>5 z8{F*-cDiX@?#K6O`z@l~KF(;jh129o`~K;{u78Cy*lGPo?#K5@``)jk-3Di{)BK<8 z@<1OzWaq>*S*IX?6m$P_v8Dt{Wj6=Kxeeu#%c1Tedis)uJbu( zu+#We?#K5@`!;53|2tbcgPqoYWFOzB?GFof?K7Ow?l7mxllHBff?exAXRy=ykKB*% z)Al<=yF;AOZU?8yllJOoYOZO;6(yb>`k$JUitm&5>Svn$h7z$exiho=q~H0&O+*qPj!v)?(`>GvzLH_A?OXU5*n z5yj5V7j}C{?iv~uXcZMu6OhYwWJ($oK@=Mhj6)+B1qUz* z3Q-gh6%iRsRPc&2MGPV!2%=(a6crFfjYBX&kr0)5O~irW#)SX-Tl=h2=WA>4eX0)r zeR7}6^AvBN_3e3BdpP^-mGVCk;??)7ir*-Ik~)nhNDla%aN7=9!9D`;R5@XL4uC|9s(Zj|$~aa%aN7=9!9D`!6N& zXL4u4zvh|dkNuO9_%pdP<^S2ipZ5Rc-za~QI}`pj&y+viPg)XxCU>U%Z(aD?yF&Ss z+?nvNd8Xpk`|pzYGr2S2U-L}!w?&2cGr2S6|9QdR$eSyaKgpd5|C(ni{=r=p;?LyH z!v82@_V2cC4dyzG?t}Sui@UNO(&pcz5AE-E!FN~fa`XlN&>DSMA4&LcRCkuQ)JERX zTCv68p!MsS64F$9nXqoQ|Gxg)VXZucIqBCG)*tV?Prb0B>6-rn^Q&)S@_Mj;!;seg z3AsGqLMm+YEjNGtUy{G4D5MR0H!CT#jDGTxNk4U?0LW+HBT3%rPi=v zL%nj3wuUyXxAR6Ttv#Eis;7|dQAjmgXtb=_eP+B54ChaS3q5C1%d$(gbh}hbx=Xdx zyHv};OSMeAWXs1FQh0mOD`0DVsO407F4c1LQY}L-)$;UGEn6?ua`sX!b1&8M_gbkk z%3rKg{_}qk+>3SGuPy3VtmA&Ema6C0hxCYb!e6T8^`%;Z*IRCtr&uRFvUo4|VjcHO zwKP9lq(`h1ey^xsv5x!hKZW#*o4NO!LRU;^mhgnujAjcrySnF4Yq4QZ3ys)sk*$srL@bzc^WyD*q*0 z0_N1jQY;PkHsOATHUW79o^20iDfvp;+hb{WSJrTU5&7JK9f406v*9n*lJq?39-P6B z739QZHhiU0|=c%VItL(L}GiK9o7A#khp8IfLLqE)KOZnhlT(bN3)+kTH)y>NazrB0jy;<(W zy;#Tn9Bo;rFXvh9!CK{`^jP@C$?8=9Rr$9c6UrZE!=L{N<#-V3f$dZB?q`31y9;pt zkH&2Ha^Kx0xQBW7l%wj`+Cy=YFqPjGN;m0Q@soP(bL0;x_v>)aX&0DHk1UY)SbvZG zfidge((~u+2)v$j6)X8v`bXB`u7`WrIw7APSq#?A$zo?R?|yI|Zm*&a{>_+8zbwx6 z4xxP5A%a==%J1Mpl|Sx_lRK&WYW$qQacyKS)a{rscJ*?w?O_6@Fj{EUb34cB9AJ!@Vu@?mQVjcI>55xT*Ghc$O zJ@V~g@_&c?irqiUyPtW3<+CMr*VJ>b_Ga#jlc1^gHhO)?uUIF)W4|iO2X=?Y-$mP} z@~IPxVx9WZ{*ux!cE6v`uhP?{|B9QXfAjUx!?a$T(>#8J@)=#ATNEkEX>)(J&g(D^ z_m~^>ZZ%f>{i5zy{FHRvgI*U4i#4h6S5D$?U-S@c-#3)+#l!z5?sp1$3ue<}7S+GT zo04bXulT|$m7a;SD4)OM%@%C^C?9@jBkn2RPR)7oB<0el-lo24%%*?p6S!kuCib3@ zPtOEzD$H`#r;OR~Csz>eX|z+w`aJ7?s)zggNe}E7UyP^9v-5e%W((Rje=r-q#uv>y z+Bejjm#ugd^?EVw4R$Whhri-EgiYGT)(Ls{o%_h|G+~RI^6p2ULb)7=-esNwv-zER zGw$9`dBVZt^X~P&pvPA*_TFH49A3P?+(P;M8ofexVKzPEKPNqH(hoNq=NAose0S=J zF9OGRCxUzP;ZJ;o@F#IUZp@~~EF;~_ICBE^c5^=b$%j)u7ZLuY#(em=?f!)D>60+) ze)OZH`!>Sg(wKFR4zczrx&^CLf6=~<)(yq_AshY#_0;|@?muPBx?g=e>3#(I5VF^o zb+3Id-LNe7cF()FcJRf}9_p-Pq<7ln!B}J6v-%aZ%L&@^p2lqYW!bC8npu{;VAj3n zOZ}ISemK}6?_T||za!((1IBFl8sGcq663~v_?n;e`Lp>-@r6dJ{Y>vidEU%8k3Ou^ zUskXt?4C*AWjun}^sjm zCG|UI%(|a=E$w)o@r5x4X5Fu*Eq8ugq`$_#p!O5Gq)DtZKCY%+cHTmHzRZ|S&-5uF z{WE`4Fa2wdA|0;^$J~_H?>+Vbi?#ljoI)go<(^w zhmhWaS@$d7!uZP?U?pYRs<96tebqvri0#kk!=Jo?@_Z=$3wB~ry$9I^Yf83ZYAMNM2=O};JD!$N9=})~E>eGM4I`f}t#@^mHaDRx= z(lgR(J*?kp9o<^p+Nw3ydJ6wPnhDq(|9&c`b*Be|4eR&XYrj37d+?4ge#Suu;E_S_ zx4yX>xquW?>ar0 z$(?VD$KRvvx0wZ+O?l_lJWK98b;!T3kjy5o-BrV=qBGXrg1RqDawqa?{)hZCY{+J2 zc;jZI-E765{xjO|QU4>WaEGQ}L0>O<5A9!a=k@XU#}C8Z=a>m!wZQgTo{>BGJsQ7e z#O*7nzgsK3lm0Kc^NNIj%B}ra++SDW@jOfJOxU!Y_$$(VFeAmkRCpoJk~@d*Y#A#5 zaq_Nu9;fb{vc)U86L~cM8o^s%X63Pah19*tonMaopLsX&yu(z+0(s+La_6v3@1gV) ztJ&0@-B{s=CFR+9WITSIHSPa3?d3fS9I$$^GpGgmJ(_>nUe?{ZvdQdZL~WT(=7Pwh z{&m*5^$N<1*=xyLn3+oMd_~;pXBMezys{uXeoU4;#Ej+4LR!Bl&Qm(>l26 zI4?q%GS8~o@GbmT^XE2nEqn_P{~oT~EBuh&5MI@WuEodi9&h1)vG9xhhcIUU%BlQ> ze@ATm4%y5dr{A=nM0;U3&)Inb{QP# z!u>NBvtq>8r|rr(pk^A^%R~QU6+5bXXN>W#QBb2g#ktqyDERD63K2pSeJlo8(S@ zkNO||G4;l-!PqwzsC-NAmzli#EMZT>~uw-ZlB(!qFO{~76vKaBgIcsed`V�}0z1#A zKO%SXdo+IKQ|VX!ol3Xl&Q$ulFAM&9`!7)bBzLC#tDd`zpQ?Xn|7)TCoyeoP=i%?U=!-oT*uNNkfZWONN&l^%1pm(V9l^g7dDOq!x$>>{?^OOJcc$`h zdY1B+{#8AQDtF19sq$AlQ@m<_PQ@#^GZnv$GsLO!r&F;1ZSr$3c_@fHnt$m@oq&`6 zbV~1;UM+|`>R;m|Z~ATgboQAeNbW>l_1|s{#yi9o<{y7gx-X*r!@BL;u6d2VWiLs&ncSHuKf61C{I5AQ)Sr=h zt9_@y2s)XxPVeX>-Q-33Bhcj0{?qhM1lu2dFS?Tb<*suR&)PS27AMaa+aGRvr&rTE z#b$~-O=gNaO?0<}%1!&7f$8#V`c1Xnz4VvGevtD*p5H|L&cBSeU$Y7Ruk?G`YP+CL ztxE1h9<6`riO!pY-YEIepg%g!H^=>}pL9v5`cFyb*CuxsCwvyGf9c8Au|a>9JU{49 zzH&A_iaeTryZh@p(tjS|I7ySqoyAG_#p2h#TxT8YfjugGCeM;P*Tv(Pp47go^k&K1 zgZ^wghxZqT#$S6s@iyW*hWUf@a-R3$*_qhim+ghlCB#j;E%_auC3jwuNdJ$iH`{q&sI#Qodd^&Qgx z>o9&9(Yq#hCiHL9J4N&!u=5V)8+%uHCC`#OhxfOK>c9K?P|o@yK;>U8u`V#$L@&=wIcYZKle|nGGJDKu&PlZ?TEV=XKxPSF?n?Ihn zK+PL%UQ#eMe{8J3-bp*(4?T5Nh3GT86V{n{zq)ekFmA70=ACrb&rUD;pYu~i|8t%m zFF&ml+8;sRf3`yA(3SNipS#qLCRq6vn>$n9DR`QJ8 z$?wtfvvn?Mwe_!)yqMg1S=_(w&Tnr^T>T24OIjp%ZX5S+@1f_=S7X$_b9c(_pLur9 z#r^B<_tsZvZ;z@FU2bw`;!g0!cjBxjpPy!bX6FiN$2wo3_q)bV$J1Z@{(JM^^iE8( z34jh&|JB{(Ms%skoyC_ZD`+O0->GfqXinmNz)SJ(yp-ps^6We*UVcsEZ+bpR{iIJ_ ztN)awEtuT7I-Y*@bJ-GC|1Wt@=>M__Ui=>IzuI5XxT*b@k~i=yxic|-n(ZBQh1ukB zqDxHfe0DtjQ;(#dUd#OZSrz_>XUUz{#{FCVjxO@=e1DN|=h1Qh>c9HJ$ZR?}&*E8f z=d0uXo8IZ$^iE;blWafO{$D}aqP2aqf+?G{P5nMw zJevQecY@nGmv(CF--22ctbsnxH@tWM3h`)Dl z@YjEMh4Lr4GvQzQOa0XL&z#$b@vrB^3EO*%p3gViyQF`)HhG)clxTXsx#|0drQAun zf-2d3{iF9Rof|3d9V`4L&yqWDjF-Rl->=Yjn18gLzvkcS*UrTHS9dpeHZsngUEwJ_ zOYYnlk6-7&ySLLgc3EI=70<|>{2pyTO~;=J%Ba7N@n*{kpUM1Ha_72u{I*Z}Hqy@c zq5X5?<&bej`{%{)(e&H+-(dYFn`u4DSvJ{9eu8Joou|apZ+Bn+Ir%>#^#7&aiQUvD zjOKs6lf$~dgyX7zL#goov)1Nzo|`}rsKceT~7Jy{k`I^V|-4#%?)sevfC#otLNUzqe(m|B{r6>c8jQGVZ_C&?ZJxn;cDT0<|036lrSH zMEl&m_4NIIg|DXXNbX!8FaM@CS+q~u(>(K~6|x>Nx$}m2{3}0M=$#){cpCaga%V#S ztbSA2H(IVusHXZ$`a|dJ^!~uvc?f+6xf6MG{IPz*KBx3&Y1{sSqW?IH8%!3PzcpPy z>HJ4u>z^$aIM@b#gxtyR(e&#*fBRhWdQ64rbCWyIjr%v-zm%VB0;~K)m7C(-{j7O{?|H7`mcL;h3Gw#I}`eE`a_}pE!QTW)qey1+4TH{&WUz!r|e#} zK+T&ack+9*{%y_3yw%ovPRi5d&cykT(fLq*qkA~%_p@RA4>Wl+{_YI<@~Txihu@ZQl_e&ODPota+3Xy=8J|LVszWvG-Z}8~cwXf6TMw&drZv3dqq@NC5ycn-7ix79!xJ2%GT*FIsN_uSe)Ectn!C3l{c@c&cF{{_YVuakL%wF~D9;{J6`O7DGi ze#+US=}GQPz5mfUQ!Ar0D^yuZ?o70Q^)uZ{sQ%~dKBDM<1(8R~-}34Cc6z>@iTpR+ zKh!+m&M%ZODcP7p+G_}cU?{8*`_s7m%i}%OQ#QSgQ8P(rl{|c!$lRFdjr*p#c zuk*tt@n>>p!oTg`G5=WZ_fwYtn~VHA?gJ-3y zdpbS(|EQ#DrYTzHtG|gtJwy3>?uMoo%|^DT)E^|9bTd0q&`+?oP?Y-0&_Wlz3)=3= z-iwjtVT=^N3bmAS-+lvu;a=SAZs$eAx4XM>Z+CYWGqzOtgQtb?#nzMa>Cx8_N{?8_ zz3#T`9LY)=wrZru?hvP`Soy5Ur)Q~mzuR4&q+jgT$nR3`ieIX&C4F6FdU%!E7 z$kvkXj_qEITUh4~+37QA>AXb|2Nw>N=c3`a*+p$%#b3=km&Y0TI_y-8zu9P7r)Y1B zhA*20q{D0z^mfmuM>87TF}munjM?y4okqk~| z#$D%5Ov*E2D`C;{nV@ZUnT<{|!oX~L#+Wg3S7>Ye5M$Q8ZY=1avFy&oo$}$2znt{5 zIuYCV=iN`BrIjAt^$N4;k&T4@m#AMjDBjSd+RxZ(($5M>Y(Fa>e*gQFBV|D4abFML)e!JP^8zH~k{g`#%r62aXge_j5ci&+J-09=?E@M3W=LcJC{hw1Vucb`I zZSvvw55^sBTI>`j1Q#v;B7BYK?W0M*SR;JdF4P^z#+T&NW3~*Kaf_WAceaj^miA{u z`-k!JDQwk^eT1@n7U9D>cifMxBd;G|B?9Z*`MZL)&|x;ek~m;CJ#JG$ItbeeS@*J$ z)A<_HSXjq~!5W&rZoCj#O=iQF%|e=|*)Hr1^6n=uBR$6uK7TM9{-|~*H&FhcF=pL& zA0RzT=#NXigIMnEW+~X2%%@+w6CDbuu8oK8QG~yX={7N&-~J_p%~&*esj=#Q(fOX{ zF>$~wT0RrB`u6eVx9TklHF@Apzz^l^PffYt9hi{+r09gq4HeR{ZeglF4dN+ zY|JPa_o* z+74c-EvKd0VqUWC<9$Q<)V2Mz>Nml?Sf_lJYO9(#w$+zdOFvZmdyV>S-5J6c>!e@v z4BXj#gD+aM{c)+bwyU<7`O=~q{-X2wRr{dZen9^{(3o|v8*zIdVkhu5#;p74x1s-U zW&CBGRL3@}-QnJ2eQ^WsUzkt-G-KCN=JQ$y%4W$b<|r_m{>jf1{-w;H_cUhR&#Wa} z=JYePXP9-bU9ld2V*hzH+-rXIq%gl~7bi{^oevlDN!=jZ*%^JcjWL^^{%*LNqD|ju z%(@?cC+Wuh#H);1_gd$7Hih+m@A`axM;=MIPbEEnX3T~^^{0eS`J0Wz8s$Io5yE9Y zZ#T8=S|>fMs~H27t~xdhW_BR#RkR1#t6}?fW{p2G8a7T!TaXkFrzNxDn~l=9P@W^We@ou|%Cjh+ancX#q{nvE zuMhpJjtz;CYe+cm#aixfp?)Vw&%YS6`5jyy(l2szOV<4g!k3K}Tdx&245a$|4CUCm zlJ@f-#%%aw=UX|pME2~m?qy3vH-(!`k+0_6+s)AALHfUaOWytJ*AqTti`|6&n!J0> zui9%FFCaIlXVX9aIZH=ta$UXjOui0xhoMi-G-kse`y}b0Z%y@$S@)**(LW;}GCh=a zKY2Xs&3Ve_=f$5sZSpsz0H_S&%^<^ z+n)M`y?yfO*ZQb^HT4TS|CV<@zB}$7P5r_;Hn-G%y68`_PW#k2!Mx4Ji{3Ny>6v1z z>m5#hcQa(C-b+luNbr8s~p*vwDRoUl~2#u z>9}Y9S$F?m?`zb*ig|iAJ*&P?yQD3wV$O%9gRFavyWJJ!6>>9p*1g@}%)5)hI?{DW z-hH33OJDS8Ul3-)Z@=H_wbl9dLj8_xZj)(UD`J!B3Bxv-hVI1gJdqIpim#GMZC1rd1h};zf;_ayxM*iy)%4{ofq95 zF8W>J&eWaZP4A4-+33=i9MfmJ`-+*a$(_XskfQvCzPX%X7NNU_XKt(TXFN;pOx!u# z^v+~EGkFa&nOWM9^A2WmKjqnZ%~1LOR{C}3ROv7Iwvc{(gROiZuh!p?e>?N1ct2Le zFA}fGor(DE+f-(S_RXsEjXbZW4>%KNwiS=v*+;x3_YU#5oryd9R8H-KLiv?EA(UU+ zc~Ct6P0L@a2A#FkH#a5Ep$sK=X3kvpXF~axM4zZ!wBuz=oEf!xqN!T_Ihm=O+(~k# z_*<;~H$5|M={q{a-*+-wGP(2Uc>GrWO1Ij-lXRQhnMi-rZ(f_$zm+rn&&uCPzcaZr z(f{n5w@0IUk0F!J=kSa!)sA!Vd$j(V-kIApek(uaTlME8-zIk^@~`&iwj7=Qt&ubJ z&5>+(b~ZBa+pfY>(0h_QH^$pv(>oumoj2&e_Rao@l))I~=e&fp|1;0d6XWUctwH~t zi`!i)yoqPYo#)2=&#IlBO8Ke%Ia#@z+<9u;zs48oEsZ}V(OV{WCiK@b+K;~>3pPs% zo0fBDu)<`#(mcGi6@U8AX!2_R8S-zoE3aq1a0Kq1_b`5~;@Mg3AQk#^$iLaNyq1;l zRk$yxoz5Yfme&rI-;jUp@VBpEWz5^Rl6Quk|F-jrg#VY}@3FYQuEOJamfTsK2wE)t z|J`j`QtD=t($-%`hWamUQGQsz+V9c&H=C5S8M8@QaIh_Y?&7at|BQI~cUV8^WM=2M z1?ps~>$bP-|d zL_qO-HGa2=8P<=K-{3&<&l;+v+tPF%I28YH`Ik*i`FFa_OegYc{BAR|j9=?k`FFaF zOy_3n*UkJhK9GmGFF2Ks@bCX;6O;NM;V-d&^xxek=Kl>gEvX;dKXz*W$@Y~Crs~i3 z$^MJJ`*Hjf>}_H$_hrVjf~oyuw`pnfuOHHn7(zIYyW;5>)t z*YWI3>>rqIv$MlpX?-WU(B#hI1W7S|4V9nLsd$y{lEiCrXCnTlHmjQ2Jdn zQyBN;U*ly-@~!ow%HNpDe`h1>-}`B=&Qo}1?xXdmabvvwHnmyS)Ml2gzu$|FXWw=? zHp80QyqSF;V=Z%~+3TF>5B3r?p9wU1wExc)cjn9$cjn9;68zbGlyHjQqyEjd2lcPJ zZdCt9>fPkdME(EYU^7g2t=l)#&U?cCO&yyFTEE-AC1qWb@yO)P#QI-)s&zupUnMUN z`m5zUA>MzaCtLp%^ry2u2>R2BJX-&w^YqhotiSfC@R?yf_WzsBu@i~=7{*)YB|PuL zvoo>(W46U`XY+I?3`h~;I_t`Zfnf>yRFtt~yUCr2^0zzNPiDUI9@6V%Kh5OMljHf9{;>Juc?;D1(dJ(TQ}f5B zd**4>(&$4ZveKL%@D}U&u5uwX9{|iiyzyIBBp6SjK?!+^j zA5PtsXLp$tL>_Jbzi*pony2W?5Y1bhZmZ0RJeq#9O?DaW{XojWc`MJ4<=L6DS+*~I zx6hd4d@X7DN1mPg#^bm59MU^u#OFlsnB4j3xc{a$%S=yRQ|M3U9fkgMCfFkcRwlo6LH$dsu&VopbT}D{N{l+vb*?4`ckY^J7lNJ(D{VozqRuhgmTmQ zCF=)o3*}em{G{&8bX!}_&Nhsn$ek&hTbh5`z8UNHlI)wA+?m)vYicuU@R-nU2m4n@ zyEVBp(SB{6%K0DLKXj6AlRFdXxAOZS<@KMGqw|JPe!4}h_&r+xgU=P|zNvGl ztb6{f>HCAGHlzQa%`fyuhxx+0DtsKzhw_0=l8k#McP7Taru%oc&$*%4|8yQ- z?0-5p#LG{%W!m3E*S>0jogUA~o%|jxf9WU98>F{NGH)=sGckX#`KzznoOj^=O8UJs zv3}Ft_$qhZnO~A}H@P!W{<2MCwu(Mm;o~@eBe^p%{yZ4z?`#a|FL_Exzn#Azf5@Zd zZ|8)_|M<#~eDT!esP%eZy!wFkExLP zgvp%;$K!8m^P{QFnWp78{h`oqr*Eu~c58BHqWv}J8b-C>K*QP)4Z|ipF z_B>xnxK92Vd;hI0b~jme#<{zLzh-qiVvl9YR0n;Yu?dT%2AUy^mN$(98(O*sUPh*=e$|vKV<=@G;XZd#~#=rk(^NG6N zP}H^a_|O*G&JA(>@%y&`bdqmef|nMbgHqvR>@%^mA<9L?b z`Rus<*Zc3@wVWS+R)s&}S#sy__t!(;zcj5sy}z)2|M3dXLLW%(OxTiuqiWax{F7P}2TXN?m@&04`7h5t1yN&!hDN~a>w~YI5Ju%c<>riK?H`%;Z z{T07Q>#tS3|7aEOKV-Yr{1xv%ir=ID+b;|8w$F41|5|@5oZ|PW|EZ&bzp1mG!Qa$T zPLoIdE1znA%eDDid>^*#@5kCCPv1{iJujY9lo}H)0{deA1Z>o!`^?#cAjEkULZ7_iUg26Xcct+jf4RXZo-1(P3zbz=eC%H4F|8!1J<9GM&3K_Re?o5o|P4#cn^iPk3emA|NGxR^5%aQ&qevg)4 zQ=9EJKO-uezd70WH@TDMG{xTvn)K%9U#$Q6yvOPD9%o|yW99$B5Wn{Cl@6UlH@P#h ze{b_g#-HWxAF6+q-;JI~8=@bU-;L6)ir=I8*L&*j?evvbEwHzWXXH+PkNR(#etrL| z`O{Rp!gIp+zgqtmzenSjU*%tJOOkJsI}_)JM(0Dj9o@r8{ZWV7KL|8=H2&_FgTLkemT{n6z9Qt7wyy}^aFU-Y2PEt%YTVZ8pE&R?7E-|C)C zeYdCkH=X)!Kyqj5`vH}|rIcLS#t$C2~H27?uorlNEPwNb8 z|BTfoY0D;eCf2Ww$1mnNJ(6ncb|-q%*7Z)D#Pp}V=lgVcf7f%~8Q$OZoS%;SpWeVY zxgX_ye1*sIEV;9=j=q@wwsd;Bot|!IBK@|`*nzHk433=7=UIBynRx%!dvWOZy>~cC z1Z_y)MF*NZT7FH_KmDN)@AQq%5U<|eupL{2ujh?>SGUz488gIp;UkPWtOY`ziU%(0=;Pb&2-JJVpI(ddCXscbdOw zOfe?$vazMQ|_Hao?E5qV8qWvcEO;1qa29KIL9tpS7>z?*?WzHEgiU zCQ^r$hioFjsy!hv{+@VV#Wcp;jcV_{62!6ScvY;y6=B6 zl&9D!PVA-9qja~=Qu^za&kW@`Sj9{ac5B$kQu%8@5$n{~8j5A`7W6sno{`V*1Z}^| z>TmMzjoI=UJCpL_rFAYgM(rREY%*yR+CRwa$@^g`SAPf4tFV2-M|d952xeIt|)%(|z|w0iVkV~z6Z+(Lf0z|URAZ20}3Q!b}ars9+G>FFPgyAR+V zcDB#EpL!YYwqxf2wm*}1uY4*!#$EI7XHUlML5vp=f7$$MzR|yr`VwD~cW<`*&<|$Y z?|{5}*>>;lN_&HySJiNTH0frQrv3}F>7V%u?$GIT^Tw?Ev5(Leo<({fw)e8`N7j-4 zce7Ih+aJ!mUqM^${Fw424wwzU^8?cJSmHoGz^wZfKOx+&vBra>E9-uCW6N${oZVPY z|F8TXgo_ukGsuTOc^U4GL0^p-v*{WA1?9Mb^8bu6>t441mZDFF#;^D0)30`{o8iUw z?s@m^9Z1iyxP`q<_1x>mXSwI5`fPqX)K`ZJ=+vyQ?&}D9e{?@ezmCnu{v}pVt-(u; z)$}a7zR3SGPaY85i*?+~hPkC5)^R`b%HUqC<6gFI6~5WLt+9Sz(F^Xy zI^j=j6Woh++-qD>dc->JW!qZr&Bk?&^xM1&_hOy!C$25h59_#J!Q4UV5$m{L^_$>c ztmA(0t>9kV%>AP4omKlVE;1&K9%!uUheh4XGEVP9w1?Liv+k$ghCaEK@!}=Mtoz9u z2$!}ac3wEt9~Vu}3}cJNquE`I+3=@vx0LyLVN18QiZK}5y4mn2KTr6VGQZu^n03GA zP3Z9pNdN1NS@+rt?L9B-`Sxqf$87%aq%eP|Ge4B&n$FJjFZv_Qrbp@TQl?5j%)0OI zMz~Y7(Ho6f_v7!R9C1JKDr45Y_7OUp!hS;U`h0pu9!a=QB|U#;%!WVpr-aWMN%ae} z?q`mmUhk#-!_F`A?#*%zYnZ7M@OMkz{m4g(^b-g9$fjrHk<1Ixoys>i@nzj>d|{o? zvT>$(rw?3$qbVb;Co4YC|(^M~S#^;CI|e-8K5fmyz)(SIj3pFa$Ja;7nxp0Q7o zZu-_#-vfC$y@%w}GqfJOJnvrnbh?prW`}z1fBZtyb3f}TI2g@`udnvB-(}pC zcfZu~8QX*Mq<%;DGiJ-*=KTj3^Zy#{dHUs)&ylp}m)9$wmER*B^vTiNjM?-|9Duv+ zsbARJCtp6}yHl2rru<>MI5CjwztZE(t4yEwo|zASim|A7IO(BHz-;=b-h?~)nAoYI zZ)M5L>Wf6fZ204!rJXTf8bdF_ynD)peK9Ma?p=BJW2fVu`C;AtcD;XL-fZt*>dc>4 zeV=x7C-VX3SatR{2A89!R*+ZNzAvAC?T-!4V7>~uNhMo8z4zgcy~)8A#;p53V@vxg z()55a>t1_f_Lb1Ljpm-I&BHi7eKa$yty*KPr?ei)zeihh{QIe#-kBZ@Hmu)kul@Ge z@Z5uUeDO05VilkQ*!&D_QyUOXzhTo^Kb_&x8Nia~P>PZ}6K8muo`G%p4X)kMh9=M* z+CAqRd1iF!Ig1t8V&!i(v_^5yj8(f>#{UWb7thXOL|Dv!(>s)#e#6)F4tnL&%8mS& zRJlp+Oq5^KJGh(PVchr*-A|&)e#%Vzht3vihv!c^O>RAYvHEX%hiKC~?3ZzepzoNP z4d`)3x$Z_ZKlSa@&X?p)!T(q57`E zKRXlV9}a$oKjhBM{BOD7pHTJ*e{26{frDMbzn!?L#y|88w)C9oE%aYW=`G2f3H{ad z4#TG3ptLEfCf{J{4$}6EnEAf2!jpNH+*zE+Sgilff0S}My49LzWH0%Co+Wo49WVdc zf5zQ9R<>gmJ`)upxpQ6Izie>zm`Sbv^#XNwx7p?@$nVkmx4W_!zn6Q5mcAA5-^9%L z$rb*JXUUy6#p9n}L%MgMylAr}Is0vLXR#x-Soxc+DAK=N8=m&9#dp~0_!#=DV2|!& zXMhVLkCz`aK+SuWdxw(734QygZ#bQUZNm8BOnn15_j>%%{^scaC24micP84O%CCDu zD8G^y^DMdZgn0g?CuD=cY>+rF4f><)JSpzqY*-PO?oie@pvLpyVLUq%-+(sle`;s_ z^`ZThe06Aledqdk`YryOaj*XGyoYD?f9K6{|5i^kMg2K7U?!7xZ^Qefwqos$l9Hu%`Z-egoJm^1s|~h?;)G(^P+( z4Z^pxlY13?&dKhm$(?VH_n)cHP;bv>r|MrSJdS6{ozIT@SN-YRTh)Kb8$$i-TioLJ zX#27Jqc?5*a-ug)?o8-Un}4#)yj&X!x~oWcFX;}Wk~{M(xpQ?q|4sF`#((u&jsGR- zwF{!H#n__uMEanr_MC*!8cor&>N<5X|IFn*OhHjH0AXW|=3edFEa4rH|-eS4<% zU-E6C{p${-;`eCzH@yQ}-|%VPt8YM^J-V{w&eZ(3Y5H{sWAELxm$z1UEzgoWixZJ6 zXd;{Mf6g&}b~aK@jGrY>;aPI$#(4j2`VG9{ZkUX zZE|Nqe~&#qjNfCgaZ-7V-@1bH#ebH~6WNC`O#oABPJIM8|x%8IVu6LrhOzuqRFYPmQ4`-hJ!U|90S#oFb#%Zzi zE1nMS6n{zHZkgP9S|b0S3+-lbmou~**>F<(DemZvmY>#%o#%%2W63v!^<&5R+<5$U z*Vo_C-p?jJ=TCS(if8BF#r>PDmJ?X7-;aCe#XR4_v-5FqW)9zXQAKd z4yWSxX#3GRQ{%SQoh47Dc*+u&<@2bS5&W84_r721!H_G5BqV*Jou!y12< zYeP?ZvVT(0pCvC1`co%di{GR5XLo%P|8nmD9i1osZ_;1(sPH#DOYZz;qW=GpcG9B^ z`W5~GekFJI;{K(l`)39HUGnomfA^hd#r-$6A=vZ|EVH3-B9ohM^XFvVW^!j@{-*U^ zx$n82ezyzHuZEI46Z@ZL!>&VlZHap)dc)+-g#OStrS`jA8$h6%$pwdLy|JA4cTMk5vb&a!WxwJ^<{!@U zdHxX3&ST^CulG!{;i&gcC9mLFa%aMZW79jB=I;&VzV_i2s@x@aCd%LLI%1w=cOW@G z!Si2{erNH;@M7&(^E2%qYW`M|b%)8FiS>u>YU{6Kp1_)+=+9%h(ulCPN?##2~&cyz&-Bori`u-T= zbN-0ueRy_Wn~uMCS%|+RYYD~Qa~3Dw7pp(@**1DgZN+KxA>>ZvQT=J-=X;Cs)47S~ zhoXO-iT8I+ZK%$^uUL0p=cM1xhVe5nz5Z-^2l?C|hIr==afbM{u2THP@6qy8Kk4Cg zx%*E|ZE(&NcVN!lU8J9J#L~_95tuGNJLf|Gv-2-b`kl$0iT>C04tCpTWZbrWMHcTa`FqpzQ%&E0HN6Ad&dF-t^mzJ#Q}d=S&(6gBN&2gMd(dA_-F+*$ z6M3}%X`bC-?AQFe%EQ z#$x{KOq`$9`ER{<=rMmQ`5m4mcP8FHXrEZ~M(rOvZT^VdnVLVUoZ9Dx@+)~$D8IJz z+<5tGp50zU{LH^g?!vR=&NXrWP0z2Wo$5Wc+HXnLktTO0?%;14f7A0%R!%1s<>zGG zX>w;`{n<2rwO{R@s@;~nGqhjrpBBGI`>*zST8D@IpOUAA{U6=oTl^mN-!%TF{-r-l z+Xb)V-?#C{TF~Uq#QC+RHY_y$wZFpOo)!L@e@pKCO1%H*I}Pa#eZNssdP8z&LVs91 zM-}lq&o1J39u<$@Y+bBJU%Zq!ov-HksXRLq`%gOOrF3_s|DB}UDTuwZS=S z?~;3m^=sQ%d?CHq`bpksE0A^);PW9yS^;{I))<4($3`yT~$eq3^A>ioF+srrxl zZ^=Im{a5{`_&r*GO>J1|oJjk0%KNPqeu`(wou|k1uYCsj*ZxCE{F&UD@ZZ#imd=^$ z4tAYCFL^A_k~| z3O`T(m)v<)JbulewC`r$FF3E@S#oD$|4rw-?A#&xyW|b*pGofgU_5?nCp#7G$N9pd z{Wy1u`)_K4bJdT-x_$MOlXTBAe`8-aFui`)`cL~j%eH~^Q0kq!viXCPy0mfKnHaw{ zKhs)G@9#>U!?Wbh#Qvq(!0gc94y3&}AII}!d3GlD9}4}pZ2dR$vQX|bXF5Z<&%Df; zE`QS#v>VeOPTGyhor(6N_orQSkKUg;dz78zPUO-4t8*IN@6%MaTc8d)N$%wLxPS85 z*@*iyD?Ei~$(J62 z{-XYE-F_BvJ(BV+sBaP^cOsAaw{t&-(%xQ2c{^|6c_q)zL*xEu{(*Q7q5ZsXf!cSG z+{y3J_*d>t+RtE}_v-}?w&fYQli#EMb(2l&di33m72d;~zhae#bgt^70C)H#{x;?RDa$fNNO?xme+yrBM^DtF19$fN!# zz1HA}LjOB2k`%e~h`4{Xv-V_Yf6fl$pX5&DiTLT?KP>vck%+gp=nLBKQU9u^);B`^ zIXj1j`g0}o%|k+zdeFG+D-fJ1*+Xh z?o72G-Ml0JO21S7>_(n~DgTyE{44$PFUr5<&Xj-a=l>e|f2ZJp`j^~^Jeq&q+@$`m zn^K+X_jaRG!Bqbr{c)?+qW?~`7sw4qEyn`*AAW)~*Vs+Mo24{7G*)<=^yL!IXd1 zlg2;QpR==9s6S_F{F9!SKk0v`{Moora5Miy_it#QMC)dK|4{NAo+WoC*3ToW$@kMZ z|GRUAf6BAu&cyz8VPkFCHrRB|O6k`5tCFPKvZ|k`n{z5N$yPe z*SgL8T~{IgOzuqhpAR+$TWcTAIQ3J;v4_)+*?-dcvp|zq`|nWx*PdSZ(>*%!|Eb__ z?di_6|B(x5r{_}Mn#VhD;#qR%x$*Mvz8-h9|L)&ZNV_+=GtvHgYeKxeT`DAAlRH!K zf0=f23Gt!7N`8lD$(@P!Pbw$XpUSNy^=5KsqWxrcI+x$66kI9{h^9$zg(S?8K za|-{?)cKn$aeEwPcUy(3JIS4e#U!?+e(U>9?KAfF!~LEW9?P@j&cy!nQqB)COe+2* z+i;x!0%>^ymje#==O0u2WkQNt6I^);qvB?E`!Zog?FqIA^sEQWUSeH)kkPPacc;Z( zq_8&Z+0-^+|Ci)(DYhk}rPbapU`^HY%lgcN2(qai;()haqteS z!S(CwwXA3k*B(XypRqPt^p=&Owfk*t!G7CTt(nO#tK$#w<6eQS;_Z6MeP<@P7wg#D z&`n|rU#t`U01YnpVx904_74`#uk8LQe6db?T6YHbVjcI={0d*Jt7hc7we>_YLBq{tDxhG_TamPL-zkP+O(cxc}XD)tSM~ zzYBl2^t&-wK1MEjgz zmC2|N^X?C)Jm*6d+u_Sew2KVitO zai6~I6aR_?niLnzKZgsoM6`d>Sy5YcKRc1ZOpq@fA8-|`@F@N z4S)V4lsj!%sEnoYRxF&@EM_Jqi5hxE^W z+n5c1ZUlF?hVcky-Aga@K7>C1hB5E{qm=s(7{9JER^2Z;pINGXukJt5HZ}i&b>;(0 zwI5uy57+spq4HTY{c^8evby%<%wF9GC?DEeo%9!WmCe3#cTGM$Rr_$=xxD+e7l!f_ z>!fF?_R^MWe{QMvyyuGcAl6C$Qtgv1)gInb?X@q}9^U+4g!&Tet1c z)&5-7zI&bYEY)7!Qtjt0)xKTTo_(G4FV+6vY_Z-H>x92%-=e-?9rsJMzqod^=^u=9z0dM4k9J9d1hW{uVHZqf9wX5G>~F06N8)_rdi<1TY0;?GNYOtNrxv z3+< zm$F>*6=T-@;3(4bkYc^|kbL;7Z>RiOUyIBsv*Ax|P53XPe5eDMb+6M;azD9uJ@>1Q z#~p2SC4OKw{IOkGhu_KkTy1*)ynE?q^)In~S>CKi#|X;ZJED@-fQ)3&w2tT0f$vTDFdSW63VYy1df zHvGv?5&lK&hwNp{x}Raa-#eW0e4;V$egt={)n?ye%(|c7miB;t5b2j$_mh;3<(DxM zX5G)NqaANze1W}J)^p#d&B=Y8^k^R3zMAwyKb?}A4@~b%y0@o1VI6yHqff#8>#46@ zjM@B7--J8b^W2k-S@)CUOJG;l?9x|uThClNm+`TI36PR^Bc`{*t zH;kt+@1DHMKI@wQG-ln~R|4#<+E*fleqhKMw*U92iv7R2A^U$%NZ9{dL&;EEI=|x7 zcN;pt;zVvKTtVe;et-5VMq-^i(fwg1&tW8&+*$0{F6Lk7bY%BU=XXl7x-+@+fO!1+ zK2&ds_5Enc|H-rD&f)WmL+6j0+W%{M|Ek$(I+~U?MtYr`=Qg?X=y?9+u16!1|B~AW z|2-!^Q9X;^U)}WkLzT1Y&Az{PQg0@AChD)L{lcd9`)$`3o{X6d|>fd>D zy#DN-FtnQ8ALe{M&-+j>&f)X3L+yWML;HhG?FTmfezocS(f0i(+q54+o#aJ>y@K`Lp?Z;;Q19i zJ9mrcU*~MQ@5Sw&6>j2La_4*F{&mh@_onFlzf@ z;`QIuesxp((M|2YHO;^7xscr--G5Q?<2+05e0!q&{seu;Zl~_QDao#q?lsZ5eB-m@ z{&i2T)-Ad}x8(bImfV?IzcA*?ZkXvmCwklFug--2ZhC*E?%B}2y>@@Fb7!6))o| zzuEZBxNGzOf*OA%cc#W)`_5!P`WoY3`5lUOni&7;et*(Fn7QjQ_;H@dGyS6N9KL^H zX#QvETp!Y}^@sAIb%)8F#R-%ZG_lS1Z>*mc^A4YfEM@-D^!{PldFXzJ_P*r;drZG2 zck+95{MY?*rgtd+lF~bpI}`dx_r&zx&CdIyD!i8YujI~mXX01CQT$Hz8^!NT^q;2p z_cyg4XZH+g{P-E|&8cw%{b%Ecm>54McVn&gQ|T=yl{XvoSD?wG_1E)A0`;LtooS$JoEZQ+Sr#x$$>b|4r?`uKZ*eH)M^aJt<6@22-h zHl4pTwSUm``*+RXWWP=J2TMK<{VlmOaerRZ`D0W6P3?y@wI8K>vUTsE?jJ8nxtZLV zD8KdtLGS49DCr*~ddK9>g#Kx2zuxZIJc;^0oAf&`<@qR{ohQZhx9*Kox@EtpBvlsVoc9zZx>DN7^W{2tXA^p04 zwD>(bf6+a?%D3+CElIvj?o8z0zH{G_`o4`cJD<+;l{`C(6OfC|-*r!czT4OR1tl-x zS#oFM`~9Z#XW0o-yO;f-lC*o1I}`2S?%AOHWPerVXFLbq!m~3~e$2DoZkyBXwmFeU z>(Ay7=Q7l={^~n#;+gfA>~R&pNBv9xs^94Tk&^TqlRH!WhxxVawrT!d@_3#lcW#KM zUw1sJ+`5NUNV%EZnJ7QIKXfhi^&0#*|A=SWp6s_3zen@m^!`)bGo!C3b^nZ0cH1O( zrtG&_J7=HR+Q0KTJgfaX6ZcQpd+N)XzrGar&hPR3RGyue$ID;uNz_iv{-pC);r&V9 zc~jhf)B9hvf2{XLD_FBR_1?(#l?$fcA2q%|M)Sv;!~Clx>t34|ITP#OruKKWPu4nC z>(2_$FV>&VW8>wo_e{N$8LuB-;T1ef?o8Yt-PHbZ)BAUHGQD>S=WkwE;U{>O+<8ho z|EB+`zvb>R+=Hfu1t^5d}35lHB>bwBOd${#sM}X=6_h>&CIyIMD;tg~qMG^!l;;B{>}ap{Z9KoZ72QC zUXwc$@#}nm&h6>^K*|5fv*gavc>if?|4jRQO8akltSNu?o@KCU>rj zm%q*l^zIDj2b}%!aDKpvJgUFCh5f{CVLwsxSe2XRuYu|EGy5+$FG==!Q`yN-|1J58(0^Ob8-923H$6XO<#bX}eooeHCU+** zZ%yO3dp18!dF@PnIq6R(cYZoiexIb=52wGee^T-^o+Wo49{1lg{-*x5&(XyGncY9Q z9v$>;{+zGo-yh}AxjvqMy{Bz|g}*&3{5AiU+?lX{*3^Ef<{9O^kC&hWn1}EMtIa=3 zUXn@wQAPTlXBX*r9u@cB)P7u3`)OLIxA!H#>|d39EzgoW_l>7t-zl`urLNI+B^eJ) z?mRc{zj6Cxo~8HZntwU<{#^4fXX^aG)Ms$Z`eEu{DrDVYa%XD&@CE!a*4h4%lQGct zkDQmq^KbS$f53Y8IMQ2C_S5YBS3%^_@w@5!5ADC}+@I`?ICcKd_T>wv&i`qgm)$gt z|0UlL#{Z7HI;-XN2rLCp#y? zI^WKZIKR*HKJ*9YC*tW>|5v{o^ed#_ncSJ^e@*RYo1LE1sqaS-zw=Yn-+%DzJUyO% z?GwnK_76(RpXAPje;pgp`GwAQPR7ky{664J&p$PP)0cXhzm;S^%H+=C3%(T;^XBJo zn!f*PYX42|DfHe$?=MPzKD@us`;X%HX#cnQ|H;Mt-+4ut|7-uO_&w^s>H68)$xcQ4 zalWu?PhcjXJ|L_uXa@Y9?k#n-TvBTMZRyX zQ2CbJnaIEF>}lUl_V-FYj%Uf8iT#77>sP*(wt8#bUm@u>xigV|(-X8C(;vGH7N_{!+;rUN^cHSKKukS}&e@XlQ+X^q_S#sxJ#{KL3qV#V2%nIqZ zCU++K@AOE}yVE;5i5I=A_8(~Sc>noO;qOLg;g9}j{=@H4|7)HY{H;0E8T{$}ulyIk zNBwJ_uXVrX|0S6Rn%tRK|J!#ml;3jgXXyQt^vCRV6{0sx?o8+pv-61m<=TI2?Eirx z-P=`2y7gXD`p=k1zxL^rf9>Cu#GlEX3IEoAXNc!E#yjV(JYUJPGjV=S?t1iJ`7cSo zHMujHygXli%3bk0`De8J zRZdOHPw{xXPLJ1_il2O1xvBjuv;NC=znu2}V3fbtyVL94nW_J>-Kieb4wusY9*p!i z>Hn&K&$rX_?abuAZ1<`^^#gDB&Q$wX`%$}7`*Et>N$%vIsrKKf{VM-W%1`N(f2H3k zy(_u%cddUtUZ=in*42EhkQ*?Wbw`Yg+$GzxO+*_d92%|CM@I z{?!iUPw8@|{5LIs#pCfhJzi%be%rr6Z`k*HPV|P!oeBLRcj|X`e&0#EH@P#>{>>ff zHvdl2ZE|NO{mTDx{Wtx7(9%h}xA>j3dy_jUqFDRa`m_5j+#gfnfAK82^IHl3Du0jH z>G3)<@yl+beZT8`eu%%${WEq>^F-46H$>vRgy+}u>^w1^eslNe!oTx5g@0$_{HNak z{CBZG#Wy^AkFEZ1z1(Z)Vkk^ z5@+8@``>{kkJi8Ky=@5P*LEHs%CGI*FqD43VcqVpnn!<_{d3-bIlDJ-kCOCCLFCo= zhy2gojX&Cs?qMrQ+D+~}G~u6p8|@wHJL;0`{b)Z&@9>Og#{IAQ67II<{So^|CHH6l zT5{*sasN8E*<<|GP4`ZneU#jZJevQ>w-FERWRkg$v%3S&$eqZe{&ikXc4oWuHK)$b zN$x}*^>6#OuL%3?Ehpt}a_1}J{-@s=;!=NCoTBuO*M}$)fya0``c9Ehb86Nd1T!G#PdSEO;F#eKe6{N zh}@Z~zYb%ZZdUCax4^-LJR^7Vdo=%(k0qf8(_ZMSCGl@^=fQFRy79bC7%Q) zm(m|4cfMgL{%&h9-XV0;zh`8oyep9JG3+9zx(h7 zD&LYjQ~6gtcb|*?*<*qIi$nc8`8^uH%DKI3D1WEgpVdo2c9K4 zP=3Ar7pU@++?guB-ur^T{+kylf08>>{@WwCqy4n+UZC2ImRrh*R`F4xD z%pcO`-=h!h?{>5O_x0B3!}>_Vf1{IKbmR7n1z+civB4kjOLH|{mI>>E@2=YA=nMYg zx5H}pnGZdnunyX=p?3ScyHxw*Rr|l)Uk%l-?-qvs z`-ISc*PKPWIg(Y&SqvsW&bybrD(&)$?CMtA&!XY?DHpv_9lX?-buT-(-FbF>Pc~-V zD;>W}`&rYwk>6KQKJ1{@v7a@*E$R6#yB=D_)v%v6_DR|SGn}b&jM@C!F8wW(&ozX9 zOTPSNS6;i%W?#P8Jumw2qV+X3f&0UXRrF!`@JElu{nku-#INSvkFi5!Z>PjM?!QjE z*^hAswtkcke_{*ji8(sSX5DM0)nOi2*S@pftm|f&&bN)(@aeOy z?!}}B`rE6N{^`&kJLf% zHStL1GVFj(_Kn%}_kT{gu*x+)Dc{~?_qu&M{TH@2)^PtE!rqZQA7#v@XMA_UCr!rU zYo%2A$X)NW;2yim+3=?};vO9&c3xP+{Wow&o0eTom<`|T*E3envWnrYXV$&+PVYmU z5_pX<>wXo>cHJ&8dZ4lDe$n}MYhTKq`Jq^6emJ*2xEJfVpDE^(VjcIh#e80@<^Gb8 zo>^GOz3eC}zhWKtbM!5_7wfp6*e19aJO4CPzl)Z?xg&hBPWYpbBis*Azp##b&9`ya z61!{i>Crk(`4zi!dG~{F1@~f|^sM?#a4*(zzk<9fJz^dAlLrL%VjcI>4-4+aI__5; zUZfw^aj$u;(r@$I8td8dQ-gc4PWTho2KQne_nKE(dBQsGSO0ZzFK*_3(fPTZvSN&! zW{y~E{(mR!c@lkyj)PTwwP^TL%w=?XL~MU1?|z24^I#R@DdhBFHvE~(2=@Z|`$vtn z+;?{g?qSyb+%=?ww+JHRYS#Vif8dTcU-M5lX5A~FI^7|1DkJND_H5kUOZmgjFKW1F zZld+_9BWRP5C6W99m%c)e0rwHr`p3TeV|Tz z82<;{ok03wyT<%Y>sZ}xEB0!%hmm#U^WC_I?GNYE-~S@+FD4Gyc~RcI#>M`9VSMbr zB=273sQI&T*Sve#P1oCTv5r2}c+~$A>t8r1PVcAY!-IE{mV0muJKxEtXNnUleRPB7 zw=mm&bem?2_O^=oEzG)~z*&zsb7GzHx6?8^v3}Vb_dDgo*Lqy1J4J4(%cn={)1NZF zyuz4u-`|aNu%5E@?^Hhgl_yY+>{p2FL1)7s{~Y1JjPlvSn02qyjB-DAS3UPDw!|H6 zZDhol4S&`5S!Xh4ucDkdwVidZ_XX;YV!Mt$B){4pEB48<;jex@4TrVSx zgzzuI{f~@U_f!9lJ9Np!ca3@Xgx&iF`e8d`*8SM+MfxezI_Y1tiFV1K*n4F@zjD{# zI=HX1|3C4+albwJg}r_9;jjE2?rC$Qw;8kfojekEJCmMUjam0&%moJMlj(moX5DvR zXZ^6Hn*{6h$IcJP=VR%Ue{0N!Z}a|x*?-Xdzs7#PoyMch4bWG;;%fwwrqc+E&gaLr zrCd0dAl9ib?W4(h^6bWX`(1s~-u?h_Ku+0a^E>f0(tSVe0rrd2^r`eu+>3j3uGo1< zKKwqqs(lsZ|9~-@o+;XatdoeH8uL%>2M+iX`Kr*uA8EB7#*JY|Qv+MI##&ElJ%)de zw&wWvQ~Ce&V6b8RUVH7g$A*JbM2!+@$2r)cMIZ zN6~_4MKfq#r_TRL?nG`WF5-9l^ZV*e{v0E62W=yoz4>=7Q1b3*_}xee_cgLP3xBw) zhQFwN`dPHM?9+>bUBbVeDf{$I?^o14UOkPh%tW32ah{Pokyq0{biPUV6YBf!HKe=b z9Xw0!ye96y>HVN~KcX}*@#_tmaqken&Sw?BN7HZjs9wg%`7_e%yp`uK@a!yR5JmeK z%D?V`wEJD3RUxCE$(`56^KbT1nZ25QROf%vvwun3a{hhX|HuX8`_uG?uU1HXn%tSV zU#hA9ruWM=j-Pg_`=#xEI_G(z{kEO2i

    !+#R`g|cot84XSDJSpzq?!ohTogS|< z5x?Cp&d8$sVKu@SkAPjCox8>3*FEOCmtFV6m;43Kk~(-j#>>y{A>5al)gJhF zzLw{Co}K#+`S%$`_&)1Q(#_a1OL048+e7Cioye>DXUIRt9PInD+54OXv=x8)&uH?f z|B>@?cReeec7?38P42ut9>0B`cLn+e{naYy-{m=xN8`8e0GZjXI)wUn9#486;Mtk@ zzHss|++B_Sd(Q&-9<3$0GxdF?-OK$g#;VUy-UantuH??t_qm;?<8LK#F~4v!BWy|T zL>|q5)9*u9bGE+wYQ~$tsqkE8zLGnO9fYF&54Rub{qOU)_X4%EUHALQ?uW_iS>*kl z3$*WwkvsW4n*XNXSL!^ozNMa38l3m?EV=U?@%YJUYj7m)@2ilso7{P1-2cd%X(!BD zSD=5LgKgmY{eSGe37BS8b?^PoRn=2fb#+(I z15tx0M%2p#M43W^pj?p)2q=CuiXoUpP@_VkOi>vwN>F1!L7G4Wln97p96-enP#o~8 zh!FuL0ve;Jh(rzF@4xn5r_PJD_c>JuL+%&*dEWljS!b`a=ke^b7x{K2`Tg<|88?~S z8CTR}{sOBO1 zu1E6|XJ;?U4|3~u9_Fa|g%XW-+p?z0{+?o5n%67If zhmoFrCk8bylH8g5KFq@TZ?yN9;Xcy7Ya{&5s`;7PdCkw9dQ+nLnG<=rd<>$awcg{EzG$OnY)W`5B2ktKS_D`p*96gT51aS$}B#LUt_s8)C%H4DZ{dGe48QY+5dOCFU1|T#j<5W`D*7+tugRS`|0#b8 z|JXhyqBkbFGox?obQC>XzjM-$n%qgzp5zzDpF`zm?8DJt`c4anD1Rk)X8fPnmHw5o zHgU9*Ku{LV?yu40N&hF033`*~I)lEQ?~i`GpVV)9zI;>ru4c!UT~ub@*7-fI43lxbKO^-{=X9z588h{-seSROFGYXpyUc%4{z~r5_^X z9+1{o`R)Id`cD7vWJisyCpeKO{kL**W-LF>55@B1JTtAYc2@Z&`|1VBH2$`c*>`i^#orJKrcLDJ3S&P@8rj$ro=bo(>Zx~Jq$?kD4K zc8I>ueB}+Kcci|mmfVRvsjqU{y*QNLf?o{fx9hw(t*?64Iz80Cf|LW3JBQbwhw8uV z=e0gScy}uC+gwZTj5};cNv4O}2b3MLKIKgI#hil?(jU1Kc{2Xyj{K9p^3SMzlfE;P ze}ij6yP@+q)s7fxH%#u#v>zI0OHcN-3ZiFnXGUM=6L(1`**9~VT{PrQ$sUK0hVdd9Y)qaF>Bl}R+eu|VE*@r5aDL+l^Gisec z=la#Of>VqaYI)Hdz6=C1{5k4)~&wBMa)2R(g_AblfxCU<7^ z2U~}H8|?24`8L?vY4T+LJ=*p~bq;-}N89`7CH?`|k~@3p{2N>o<9)9)#+!ag z#((7Cpf_@|Gw5mkPx|qGTK`8uZ*-M2>ix)R@}$1y^C84l`R}AYncSIKKT$oAT?f^l zg3sk|$(wh@v-RX>a>KCOS z?kDvZ>=*PF9PbQz8h=VZ-cRalp4K@!%-;&WHO${S&f)iG!{fJ~qR(8@?1MX*i<;eV z=bCi+wR|FBcB{~7-kl)sWYGyZG+M0#33DTtoQof-X!|4m!5k@WvyiO=F%a_7c$`fL4N`^NPC zpx_ByOYS@{t#9K$l4`!|-;19a%I)HpJ9!x8R`CxsdAj_5hIZpr$}4m2f>&`Zx%1R? z_%+T}x@-JhkaRb>Gn4+BXLmLe{|8GvgKNp1o74Vl{MUO6@qJv0tYe$p`IfZ4rT<|u z{he>*`mcGO^RTqOy(jub$bYRLS~>W=nE#R4^+WmN{yN=XXU2cEvz?dG&XQLJ&r!R} zwR3p?)=>Mi`1Vk4mW(-Lxw+k$FF*F4ZCMyU7k1iq3FGI!b6Gn5wEtS=X31EIs<)Cm zGv!C^ujyY{B6=owX7rn`Ut0Wcit%^;JjUOdd4HhszrwHidqKi&a%U#|DyK?!wI2mZ zcau9a>92Zj?`^361-B3N-`?MZ`^ol0_OUd6mVK>)pW|9`=azK-TR2HK3%`?eGr2R9 ze#$?aztL9~)Vxh{XJ-DUb)MdSr1u+2Jf3UGo%^N3ulHQmZXQ&k+D*xwnfBA-d2Eco z^Bpn%&fNR!4WZua{j2J~k$SK3lj^@QQ~#H|Cf554ounK6hw4vYzW$Go2fgu~oI%gl zpLkBZpDaIC|L!5b$iH4>e`&}+C-UE#{`e)a-rZcH>Ye1yO#RdPQJ=O}>qiC2cau9a z`QLQ^`}id>-EVfr^e6nvzj!~Hepdb(v>#2|KjnY%-eprL2gQ3A%5%J*48QqLy_xU+ zkEY*G=sO4dZsR2-zK{M_a_8&Q<+o}5Z))GGseLx9zuSd;E8Zjfdt+zj{c-nt+NsOw zFYYVx>s(9jygZ$Lw$64J^NlCbeni@LV_nMC666qhvivMO5x;)G{N=J4_NX_KJGr0K zU-V+~d3(m2Zz}O{t|fPFpVpT@>i6Y;LE0UYJ2UMMU#FU$_HIevh@Q!vS^dy%%-6nK z`>3GbKHC}Eo%T^qlPA+};fX5bh@Q!v8GS9gYu&xGjWeb@;aB>_`^ofE zJu`o)e+A{Q6l`gWoqdM0;f^v#AH{eJ(W=tQdDw{K@6bN#=*(U8CT-lm}Z zmE4)}U+r}7L$uel-v#do?RU@lp>+M#cY3|+aR2KP|BY+Oo!6!HJAW7R?NiQ>Zz?~^ zzj!~He|rC9-;Lc`;;U(YBzNwc_J7d|^7<9H-@U~1xR%`cinPAcPx+z|fS>tg;npBeMd z8BZ9RE&rO@2VQhVEO)m$W4WXLTKNn2lkwL$Lnk+A{Na?XP|2OhllqUgePZqN>U^B_ z)}u@O9@mmPGy6}i&)F2)dgseRU%<&np-ReBZw` zZU4-F+VAx6%GJ^@sfA{2|cf>Gog!y!V?<)kn#lnf_DzB)WIt z_G>fLzB|dC+)w)7y*`HfK4%O!^A8JuxS!P5J`tUMuKgngUl;a|w4Dc}{a2Z3?@QUC ztQ358C`)Z;X8f#uhV5_SzCT0TkAU3C{bcxihlhCgPIrcQtNm2`2OXCk`yUR6lC-S7e+V3X&XViYQKhKZ$tKj|o zExGga8UN+(7=F@sp3C2oJC6zai^%5r*ca=+fOPyXW_zoBB9WP!m8+y}F+261Dc2)m z^KAK_QszI#=bUvdW+g+_+nO3U7mHxYCn^;@;Fs9a6s5!Hq1>e7zn|t9qZ2eJ4-JJWm+G^4nu`RMq z?5wYTzS$(fy;vtavTdg4i*?SLx3k*!V1#}kdb?ML$Ic?>`F0jzjr6gz=5cRl&DU^m zXY0S6_-nF(!*}j_V*`0GedsJsI&*f~>CA1^{>%nl2}(~dLKTzjZ?ynkJ2&j)cQXx9BJaDP1cOCH0@ z_)ffze7c$VKG9h1o{_>}l>UzA!%Fv7Pd*#!OP%=YEZy$?#CJzy_47#^&ZG~v#2!~2 z9`!>y%ig%T+P!R&bhnUSuoF+R%B8=~?6-ey2JGT3? zYWEt)$Oy35-KE;S*&<@4wyrIrx!R6WI%<3?GES_FZ`oF89rts!9W_^5xpTD@G*??Y zbG21GSKCN)wG}j1TSRlURXkVQU~{#lG*?^2bG3y$S6k7lS1SKj&u*zM|FUT&_jPTX z&D9o{+8;e%tW&=Ryv3G#v5tG4VkP%t9rvxff_t%!d#x7h`C=XSL$;i2v_Jal*`5y{ zHvgSYj!DL;^}QYxQ6ekJbDV|=ow zvC{pL-6#uhrhkXb3v0P=Yy5`$I^&sTgs1my%JbWdmCqk#MS4J*E?`^+E8W|8V8<{X zXrEE-UaMLC9ayg82P>a1_dVv2ruW<$?w`wZ`I(K6y=u5ux)TMUG@GPLb z$<~h8u3@Wq>>}dHd|@Hue^?pcu@_R$sgENU7%SZ`*pB!vAv~~7cvQ|>*M;&{$2POd znYo8`+>f!&(%C?L(Rh19b$sJGOKagD6OT@{d)dV9yq5VstYaH{8R^*KEy(h>8Y|ON zwz~DtY~^*ZRZY*T%~5%+>bN$lm1FDDj2uUsQ*GaS9RWxOzuJW z84qjy5LTv-=F3=MXo-H3cdk90BK&3x4c4iTa^Ja@_6PR#J!XHNfjXKT~rF-rMQ zJX?FH{%J-{i#ZqDb#8m^u!%0#oZpE)qhoPa+jDEe{jT^y>e*2xUdi<0^QT;2z_s(nq3{o#rC|OO-1(m6 zVc$|7Mo+=YmEvK1BP4xw7Dc>YPQM}lwU^tz1)?V|$Y|5#&dgrMrs*gBE+c=%zu*_S zmfRV8z}R05g?~ADt}~dIGny;NNXq2SoAT*j`0L?tf1ST&|C^M*rt!D(@sU_=oZpG% z#+f-w(7vVKf_v&+-}yPN8NKwKTk`4OYc(tX%6B`9*GaxBUVUdp{x?bgruEnKNq4LN zPSV}v&RqH{o~*iC{GE)SEdI{SSssse_~n0b1_|M}Gd&2m$(1Nkva#jzZK)qNaV@z%Vwii0z1dgP%EL5JGr0Kx0Ue^ zk$$I>UXi^waeXk)i$tE(f7ER`{v&Zchp_(d8R|QvE^;ULli{~-ptd3IYDb;7hPtFT z%<+CwpHgSG7**~E117+1W2@E%0`MYqA| zj62vyxy5>o+hPp!J>1KtqVzQHv-z7-^SGmsJ82_*-e=S~L|>3zpg$*Jo3Zdu{)F%b zy&>C*YeG2OpUU}V2zR-B%xVjA#S4O8^0&ek1Axu59$BTRwZrFBGOB3Q>4=G zKg(9-BV}vS#s_zX_VLlMHEHeg(ZT-#^juv3_?~v}2bA9joutEZt{Gbfnmp;h#-;jRcAEC1;Q3rj?#z5^-Sn(} zJIm`dc9PIP|KE7lep6csb|(HQl>hCCzw>gg7jo@9C0%|j{m)|O$m3|goF8R<@RwXW z&r0iC`Q0v-U+42FIx5%B?K1k*AIZgp1TA& zM4l|aI#X6Qk#yFqbMX752XZI!q<+)*n@z4O@c)N|)5*Bjvs%@8k40|FgvJ zaV@zsb5@Fl|ICPuGnTh{@3I7|6U#$GlZdmzq(r%dCS=D|t z82`v7LihjhK6PD*zh-?za_9d^mmi&Js9p12#xDiG&b8#u%hUSGzb5@xV~oi$nTCtOSJj60HN>%SWNuXLKiKc$~@ z=a_!ZsdV}^eZSVU{xtPp`f4|tydN#vt&2lF(7po2t6)|8<@Ltt^~Ra0KTXq5^}l;y zX#WbH5Zb@4^T2feTRo?!TK#u2?l8HNBu}; z_9n{fZ6&^pYssCP(&aavUlUt)KS4^M3SiXV`fx z*T|jRPsU%iIFxR((NU0eGr2RferDrOpEo++5#~RAXJ-C5_TlI+Yrp2dD1Rk)X8gDK zzYF(@zmxvdiC$ znefY|S)cY-w$7Xb+F!|?$dlzK+Jc|It@wudukoM00@VE1+5NpZ{)(5aB zod=}-S3BANDeVp859eT=+D-C55_vNGR{w8`_22n(u9dIOo6`E)$ESXC`8Fk$^j7+PDyE-E zy4AJy-8BBPY1Ti7@^)c~pXOR}=Q$PW@9}neyq%f&H%)(ypZZ@3nAmzv8&P@GV9&N2G z|5R*8DSsMwsr&_+JX!zro~``=>BsvwXJ;#}kvowm^{3-m-P7@`Zrj(1{|dkSkN4C1 z@vQ9WcviOF!glBVTL-bMsg?jllm&>t&>9eFZiBN{#(wI()wo8@B{d_6VGveo9l6| zogYZ+YyP5>7d3xz4o0ZI$eqZu;a9q={uWfatNuDO=`Wkg9pX8-x5TezMYqmyu$pV+PVOi5o4&u% zJX!1hV|SML<}iQO`hUEi^k4Torw9FlR|mb0^YnE1|F5@I5ZkZrJkRC>{o8Z>Iw-mGfOP(=&bRjsb-m!Lxt83yZ(3jN zY$IC*kFu?S!PcSQ9_;U=9@1}WZ+>9D|NHM`tAJ+pH*Af`R!ndMX~K96h3o!h3% zkLpi*3+}&G;^(-Q+_@#K-_+KF)=Ap*yIMafNPl8-XQuyEJ0U%_9|h4fxih0bxF(jn zd!4b|(SKU`3-^=lzuNCkkG^kliGRS~k~@2u^jkx?>1*rQs+cYRWs^$&%T`rE{582V zJb=J43uj4tAP68UK+V1-;Q#&ZzezXI_8w)TnorGw5mkN9iB$C;cDW5cJ0O zaRxoDze_*fPwK0l$VT3LZ7q#`IQo01Gy1D>h5Qfqlm0K*FX$~e-Wl|4{{i~(ep>(T zpto?+8TIdWnmnn$@WiNhnKS6swbiP5c89rx=HCV18s^^}=kWgTq5Wgp=hLAMX#Y>a zJsE#X?u;j7&sP2|{}?yS*H)Lkr`p7N$8FRZ=gYXhnrmm~{gvv8`fB^0$ay~3k~=fw zcg+)8FQUC;{!sADFn?${UzBb?nyx?S{h`7?x~fElTXJV6{I*Uj{i~wBh@Q!v8GYHb z>u)6gKUm_kxR%_xF&%%sr_y_Oy}v4W0@so|Gw}{rd&Ucau9a`ET=&n{fXs z^qoKF`q^ANGwVl-pBd`i;+H!^{nNUx+K+fYS%0<8+NQ14`fEY*z~s(T)9KgLR=D<= z%ORP1g@qPPA^X^5fL{y_KKH-1>b}TZ2|kSa-Mb<7D04 zinT5{)p>H4epuU795DpB=ba%ZOgYn-HVqx}m7DK{o}X3Ec!*Tiyjp)-^l z<)7NGct4qbZa|xigdhYXADwA+28(B;8Ez%%oq_ z{e$C|#CYHAjPWP@O22qN8GrTvn&;{Lcfpgw`>(e1dFk?_c1GtasQoEOT`;+G_A8mb&^IHEN#(&QCW5f8*i9DJ9P4yLj`K$bM%3n*D$ejObe{`;f#xDhLApazH zX7=x>|18ecpd9EuU~#Sn`5*5mYwv)u3yZxGoA=ON|W?(Tk(rlgm!DbwyJf8i26O9Nmr0|&*aWb z``7wXXm?r zBTkJL)cMmNQJ(D{#`klXv z>GmmSNH_Vf^pE$G>8I~x%>VmJl)sWYGydz;d+EzoctP|`?#$@x{biqdn%-X)yp+Es zcb<^0e_AJ&zk~ft#9xy;GyZG;ZSO;jmtRui9b8N996q0AsQ=S<620qi|LYR}jcduB z*QLYX)Yh}!li9g|x0d*7+8@cCnfC{3f4Z;0{q7~6$F=0nSER$QQ=papcAkz?`7XJ$ zBK`HfrqaLQGeY|JolDdHTRuHM==Yqj5Bfdl^E3L&r$52H^3Tb9*7DE!Cu#jfD?+_n zw7WCZJEfoMU%a2Je_B7+IKO>ci5GD#xieaD7$r#`-harZaql^}r{6Ak9M_UNqXm@N z^zA(x>!0@i&G}re85j1Pzn_kOQ~mB=5!Nk~wSOp)_YNj^Zb|#Ecy@mh;_vLOjq#5} zo=krWKkJ9{egA0rv=0B2f6ixet^9MY%lQ9Y+>!2je^5~AF1a(4{)?`N7;F7bO@OYY3xHW%Q_~ZR#_|;DLZy>$t{|eHcnB1B9e$dt*S@)gq{z;9K^xd+?PX)iu zwdBsrGxhK9f?kL5r}T~JY5XUBV@AJe`_-EwthAr=egD$5{Wkw;Kj-`Y&isEnWsm-| z>%5k0^()S|XVU+>_}!rWZtB1Gd8yuM|5rikoyncC{w+ex_+dk9Fx{bc3|6#tR@~|~ ze=l0o-}NT@_vO~2wS6Sv-=c{w_2LEmzRZ#Fg9G*nDN*sHi*8x4^RX9w<2Ro){Z=0P z_j=O)u})V!DH*0V@$Q^pVcN4IDeePfz61HMbn{)p=+m`wCd<1P<&YEBSi$i{i ztyora`PF`Ha4*(zFB{a91G7clSzld#7G1~laWB?+zHBG!`C@mf`uP*Q9Um}C6kEGj zyI=UW5FW8kcx205;TP+;pR289*?jKMGmCYeuk@F#Q;{#A%JtVzRvsOvS8F}BwM@O+ zZ`;<K}h;|J5We-Ggdyoy&dVe3qRPIsDA!{#Aq|y=@Nce`TQk}bg)s` ziZ&}N-D@|p%>uq=taOh(%U1V2)Cbu9c6E4Eb`<{h4RqgkSG(`vzO#z7JlR+op3Y|6 zQ7=22>pj0WPFVJ*&R=M(eE!70ke-Zr#m)<>!@uY_!tt+^PiCX7_$5%TJTD@zI!7=A zzSme89_6oWTPlC+*tT4-lC=Ci+BeA9qw@I+zKi?Y2*2u0{1PP>{_#6VAEGLDR#ZQK z{C3Pulcf#Pi``yXx?09MJzo z7!UL}SG!+wCgIpZe!)&WSv{BjBOk&2Ti7uP+wZP^{@Bqx_ilFBsQszYevX}lyD#D% z;!kDzjNODg%BI*ERJ&Jw(dzfIcN;68FPpJ#epBcCM*BSLczb#i`Lq{16kwhC(elfK zd$ErD>2Jm7!#eJlFN@EIb==EFrNS@PaX)oea4*(zuW`JdZ{zu&4dvIY>8WwB%@<&I zmumOpk0oDO2^Q;wN99K05$m|0+Be1*)^Wf1XTiN#$Nkc^!M#|={b(<^7wfoRd{_(* ztmA(4y!d=r$Nl)ngL|=#d$l`Cf3c2x+31#gv(;T={bBO;!M#}L`D6bhxEJfVANjN3 zUaaF@K&?8G;px&CX}4?_FD{A=~v z|K*#>SHdH7K?)_e*wTeEVkFAIQA9 zmU|oD;=ay!Z}gRfn>1R$I22Ys-^M>XhVf6G`lXfg{thgi^MjSom-`-bX489a4foIG zx%@`?_4caaUg=0!WVy9Yct$=J-BYIPxF3HE?kGPKePd;OM`ShVXM_iTI3cyty~cY3 z%A45zn`-yVe}VhIq5eX4VpKj~?poiH``;K(&f5Mjegom4ZCmhn#>(d}yMc1KEA_GF zdc}e(Nk`4EVf)3^;UBw*@H78f$b1S`hG*=Bv8GR%RKkBte-&Eq$<;A z@dWPpC$_&(?SA5oJbw}8ZMCuT`4h~INcUhNs786vIIO)gj>qE3b-DCe{9ywB5IZfX zzpyeqOCHbjZ=rp@&sgbx@)FYh&k6tT#!B}+p5I%_PrSA|Jo;)%?u~Wa-;R6gN>AZ= zV)gU2uG&40xWYQ&ktOrqp|n9)87t#EvJtlzQy*dPW!2$f7};vSl=N)XYp*5`k&JFR0|3$aPMxb=svZTWjqYns2G&i@mG!2z50+Uq%oJmv7cpLZat zqXdziC_|ROrs-E?RdVIYGwff;xEr~X`xgJ%^w<7~I>5YS{mW-4OKy@oxu4WusCM{6 zw5vzYP$y7H?&N+_UzXgYKS@40r6;*Fr>}Op`@hIH+Ae3Gaw54CdD8!t*9W~-w0qJQ zr6;*Fr@v-vGG;r{>#`a4X|p7EazE+6Su(td{Mw56M^b;xlA;rNQeXba0-yYMD&Hh` zB2Vhe{~m3j{CD>MKKSoMp44CU0O9^stF`*h8FtuFF1eHYNqv>m);mJ^b<&<$`E?>s z>MNhxxL3Y8JC6Gzy+=)D?-yR8i?fYgZJ;|Lp{n4%QoANU@ zIYavEmgG+EC;eBy-T4pNA=2O3e;#EIxf6L(-@=K$!Y_SM{z~r5=_{PAOGEgbinruW z4o07Gj^67zJNzxV6M0hK$|?CKOODDvQTZ;pGnao0P7UQw z{;M3?`^9TpEy9~y{<`>lvqau{DLy@$=RawNgPr*sxs&^4{h=?7bRv?z=+sF`1%JY|fI7oru|G9;%Zv3sOH!?p%`gfAx>ZN8awEy}dt$PRk(XVHy6ISg@wMgzK>(AOhC7(AkzWUn|pT)K0&W&mPrj`cgFRxr2oX#{CAQT7B1&mY5y&r z>tg(!&y4YRu1o9dOF6AGYp*~-^3CMVO#a!I4)jy@rA6eNztsC+@W1E0J^0^q#{G1& zrJqiO?thMTzfYC;Gp;3fel8#X!ryuLuM-V=FJRs1A4_}#*OEJ5koMnB`g|f~o%W~g zJczLWGuO_zo;F+jS1+XOe4YMw`x*9HTqAdKKUsdQpJYC0{ipMdvHx@)meJpjyxNR= z>R!P!xR%_xIjyhqQ+(n2oKTL6FI*Y(#{0?eH?9Br(yMnDvI z1ok@C#c8VwqGxjFbwlwV(qH~k$}M$e^@4?^eX>WgA;)Cc*?z}#& zZ~F)sCoH>1 zJ3plUB6sHWS4|Pmm*5}2f}iJFa_39Z{_6|R{@<{kMc?5ZJf86wawqa+_}9~SbV$$+?~$+X=RBIpf9;|_@wQj^t1Uj<9wT68;SRNe)?x*&i9?P|4Vlx z-D#(mzN5r%aV@!Xc>XcufA=+n^A6&E*$fBNd&!;LPsYEecz&DTtuvIKspu zPC02mR3gtZxpSMezTPjeKfKl6XNH{#(hj-P_m9t3{yWbktmokN_!%nPk~_Jd3_m`# z2Gn(1-*CQLQsmCz`NL59>wRU>?pzn!A=(|2J2UN%ov=^&AE6EEMA|7W_mYQCCJYdH zGWl$xH>XZ}7oyg1je4T0Q6SSXkJ>nqZ|6crZ>RrCpDV%Y?YD|CE59=G~ zwVoFAwVq+?7f#wUU)Koh9O1bgoleH{T@RMS*BcHWZYc{J-s_Z}>x(9zO}_}A!WH5d z^ack7N?y7CLi})7e*Vz=1$z(heX9D)aTlq5Ly|j@C-rR||EJ7XjzB-MPu@xHM4r^Q z_Xz0e{etvG=}GR)>1!RjyOj3+&KdT@cc0T)0{ZoPlkWp-9cadh4hWmliV5i*T?cd z6o2(QrgvG1=$YJ^(bt#s($}{&1<^CPGo#=1qz*gL;7IzLr% z=6i2o{Nucb>lbkC{A9ZPYJT57hTongp3C2oJC8~0Yn@E})_g5BXpW-#JKvM;G)Iws zf2$IyHzs#x>W{{VrvK3r(KER-qu=zT-oe(v-@*PR;;+e_IsZRH+B0s~N#zCK#zJPG;->%~Ci0jx3$3A2QPKnB1A^f3(h7SQz;LW$9I1GaoX!^8@Mf*Yu?7 zrj`bDBDm_AP6~J0iF?SM$dltQt)I1D!|%2dFXV5@ov%sjk6eViEzF-Zk92;HYssBk zGWrW~_e9)pU*bVrOYY39f2#e|cTi@j!pVHpB`asS_jCs^U+Po-ov&j( z@t?VN9*~Z|h5z&9f%+fk{rs)|$NBlRzQ$?x-X6CFe-g%T_WnNHPv*a!X#Pt2OX8}2 zz(~7W_oQ<>DU*D+lTMxFyUCpu`QP3U^1t9dA^)`|q;h~f8UCj2ho0ZPIQU=ii^1Qn z^WwDs*3T@7{f~3U*#9^)`xly8`n31#H!o21mEf4e8K)atz{|)h^(hc#XQq7~Jr}H6$(_0Wr@5tokbdLyJZXRW`k+5wORXyo5Be)kcZPId!MIZCAMYpgZ^Z*aZ{=3b zsP}-=!)dV=J5V*sQuA8llmR4KNUPbtUqZTAl^@wzowSX zR;!=ChWM)gcizjj>27TCCQ!KPnJKMryUZ~z3n_Dq<`CaNLpXx zye9h(te-zN_W#az#QxuTY})@-TZeR8wSS4Eo5`J-^qblt=uI6_B6=owX7pE4=ahe| z?k!RNO76_)tDZ_<^|v5;CU<7^o9@5Tdr$eRcc2CF*W}KO{|oL8{qB4%E!sX;{MY_h z`EOLbf5f#j6;srocQMY{xx^c| zmfZQSbopNv^KW^~KcoDW+?nxT^|$+W@|*cv!E3pe-1+vj|4mQIZaV&LdeZgM3qtu_ zdZROp8`b}5{2A{j+fN%m&~DlI!AZMia%ZOfYHI0k&8eZ>t+}d1^4;XlO#V;p5aK;` zgfqlj?VsWw?1J|gCjG4aJ&U@snEL8uEKqm<>GYF>zte}7h`%OxX8gAk+5bJfKht|S zdyn>5xPs?J=H9bdIQd@ZF} z`Y}(M@BUk@ITRLR))9}}xPB&-+?m;buXeIeyw!d>2Ww;d8Hqfden*A)YyPKnFp_U| zEv4D`w4{`lYuAOJ5{qG$f+TUJW|I&L@wYz$+8klc?dsDdm0l%GRsC_Jw zJ9FP(OxzOUJ=rdi_?z6BDZi^P!XL`%>MxY|EUqPYZcN8t?=SVMm?x{riQzc%-wdBrI)A_e@58}!EY2}}n$h^tq&dmHt@Cs@Qg5i*7q0jelq@gPbLe=dVf}Md;FK&xiB66{#l{^_dn_k`L6v7DhKg?(tp)c zm0#80f|Og6J2T~17KOC$M3#mM-ov%z&dmOk)jta1UbD&>!matc!XNJ^!#~(M=neLF z2ED=7PLn6~Eu1fk;djywo80-LbovjjiT>W}jQ-O8DE#q$(*HJUO)Pb4|Cx>3Z=Ioy z+mSnS<9E%UdIVMT&w@_~^Ut1>Ta)}odE~<_<=H&<$guuv`zLSYVQ&uWzXdb%H?32& zFJ-*FbBSN!T5{*5Y5%p)p?e482ihN}zTcGGi98v8t#fpLNLFn#!`_Op{^8_)Qs2H4 zzLNRk{rKl(Ja2O6m1%uT|HESXJN>&aXXg8_HK&I1v*s#iD0gaiRQ}@qWcU~CN2b1# z_3qX*{eEBep>H4$1r`tsSGya>;`#a53^!>l)FU|ono4-WnzW*ONIFy@_lbxa5X#a=GPrRQj zKa(G$+>_4s{dc6k+mqbM{iOcd4Z+{F`#6KY3cvi1_mldX|Fn(@^Phrehxt#-c~she zwO>|#X}1ch+)D1ulwVta@_jqbT|)a=XaA1%b0<+xR}l~Ad(`i7?K~+R{*l;!j>i5| z-@RDANniOF?kCev<)8C@t^O1|A=IC)^T4$KIwwH;rgeTm!S|srxid3%#of5Nro&KuI@XUP+3 zKPZ1o4l0pyXL4tz{Ar(|>aF%47Np*q+?lDrYG*pseYKwje;V4)j`PNJ_{TPcbQ_E9 z*BH-Hx{by5E8b70-}3Jg?hfk>i%Z;=M@a6>oL``NrgT^RD@eMV+?h##oBvZ*Z2s@0 zEScPyIsZW432EM=?}iGVLHj4Ub8|ZVmL5R+^APRr>t?9^o02?Ze zfytej^9yXBKl2vb|LP>-PmI z_a=8{%Kyr0JDEzM1P|xOQgdPmA9g`n|=UD3N~8@oVKSz`7O9}D8Fsz zRJ#38I;-7N`WK|#Gr2R<{%M{sf6Y>|6Ms$a%=o|Rno#~$#rePXaaj4e#+ffaT4&S# zf33R}q%SkMGqe9+=RdWtro8;m5^v*La_80Q^fPw{M}6nXQQvuRT3_pI9m=iN-wIN0 zP43K;U!60i^BZ*jSiw8EmfSgfe#6lEpY}UM@8?=_XQur#e|8A|x1C2s|DEx?{n1(0U-bS$>8|$|1&O!GotgM+ zpNrDX-rG9SGr2RP-?aQT)nEDp`peS@@A4AgPyZ>o^R#sS*?ZC@)c1AtKh7O#e}2lf zb4gl%fA1czu?nD_;r4l;(T)&fR=XPoRzS4gSa}A}x^K)EF?%a~r zw|&<18@B)2NxxxoXQuzqdoJz!)wsPN?WW0{nfBAx-);))2lM&57_rJkyK^-e#Y7Rdb<2t zKXF&=KO(hmDY>(ES6aWR|C*<3{X_Hjf|MJRJ2T}+-|y@F&yukj>b;MB*B_aE|D$$- zdE$KcAE=$tx}Ee3-VoX!jmP8tWd5t2>E1!uX@8u0ZzH)Ac~W2fPx0N_#rXFmuD=K+ zcV3*<*FG=JJGK9-pyr*DJ2Uf7TPLBc%y<8U_PNR5>HA8=Uz0m?{=b*BqQ3OLR^qN) zOYY2^KcaPpHuJCfIXW% zX_Pji|A+RZeQG@^nNoxAQ5zq!lsbTiTKwp^RH|mR%DU>S+}w-NIc#mJc0X4upL4ZZ zyHdrC@Q8K7GgqsubG7Qb=DZLdu}*l_zb&{I>$qQgQ*bZVaX(ipsAa3f-QBD6w@al^ z`iphKGk8XDFV=Ct3U}HCCDw7j_J73mf!&`~hhJ8Gd2UPOYtzc|KUb@}Lso`sq-XoJ zA^c+NkE+9?9!BXe)=8h%UBSIr$9;cMa4*(zKUXWdLso`s#CPP+LU_bF;n9kVr4Ou= zp0Y|W_hPF?e$CY?_rkY@=Zkg1KV&s~y1G1=)oj8q)_p$i%qn-C@?W-s-K`Oxxmukz zYxfHOb@jqOSF6+gi$nU0wbEy8a4)Oeb>dsL@?7`%mi|1yPWlhN8p0#idH%$K!M#|= zefL|zy;#S6?=OOTv5xx{(Y;v5eQV$Fe6f!E1xE(=;=}5%pOQN|&Iq{m)YdYMgje3e zpog*Zs;3ys?f+kGCT{9#T|JpV!f`~{oc4^HDKZ1^}=t~ zQqd9XhRn6e;oI}q@5VAb}wtt9ac`4o@lI0AGHhm zN=B>`p1E3mZBw4xALLil%JbM3!cE#Ne4Mc|{Ca+m0xu5UQk_0455fJ_tgigfSo!?5 zmy$lrsKr5C1Q{c6g;@>#5t&npPOtk;Tl+>cV8W&L=;t;Wjm_mw{TXgYz54l-o9@pE4`kJ~ z(!JWz_AdNjXQJBu$VS{AP5QvrudCfp;Y{t2Sf?FY@CL%qI6~~i-Kx3t(fCT`O{`Pi z)c&ZS5$p6bil@ev;={(3v#;|#gZ$kqth>~i_h{Xy^GVt{SZAEBbsF5Y#O~Bk`p+7k zDbhya5$l9!!?wY_SSLJsuEHbMnTM@AGCW_b^Zbc_4erG{?pOa=a4*(zzwEWay;#Tn zx?jcc!#eKQ^n!b_j{B7t2lwK`+|Sy6cJ82j(w>j(WUQ?36I(+07kj%6m7iIkKgqLO z$J2kk*I4=d#g|gfn74^_=53S9DL0HgG|z*T&sVtB{)=_ykxO<9?!`L&h1Pu;PP9bc zJ5+{ea!0~_7w%!5_DAkC-Z0h}Z!BRv*8T?WnJ2-@@W_4V?%*C)y4QHA|9f%V^x|sw zE4L?}D{#NsSowUL2b@Iva1PxvE5pBr zeoiL|t|Q*C(*3HHv0|Bw=Lt`b{5qsMJZkrK5~0|w zvF@dCcfLya_b^t5$E?*q4fjXj{%JMB^G)*i6Xe%ZjFrz{{~x6P1C(dz*6efRxAlLF z`@?Y$+uK)%XC38ouz>OmyN|7QulaK4+AweKU01_B<+*cfsE@ERzH1*M+|R*1eI%^q zzPod94=dekyrJFXV&~tg-LKz3x?jzy%^GlDUF}}!*m_$?Pj3(_>?p{QC!a>|Uo2!o#TX0WZ zUq~ASE1$1%fBzR@{Et7C?lsQt|G$JE4&rG>x$vyHoOC&o_UA{&%IB}y8h1BS-#=xn zbibPRP3?)=b6Dwq<&OzJ^-LHB&=`%_Hvd&oPesvFbPryCwJ-a$QD|g5J z_QaP$11q0z>#VOPzK7txM*FPYx_Z8>=+$}t+Jl07l{a@kLUCdz-Lb5At#2)E{bB15 z_p6!!_3*u)r|cUgD_9?EM{9{@?QkpFaD4?W4t~iy1IBMo z$*Zg#Mtw`4`22M<));B?so(l-ynluR`X$Mn{9V=``dUe26y>v>Qtc$~P43Km?a}nK zHu=;0VDP^n{ea1xA54c|Uv>S?+BfB#vhG&Dse-?=_Dy~3UBsA6jPF5W{TV9%`r3=# z^x>4TNbRzh+{yi9{b_pIV$;(Gn|>{=UFrHtMZ44s(s!BMdDBq*eSK+Ly|4M5weK*l z|LzajmlyOI89 z@LuH|C4P%*$(?b%YBv9WXRVubW)7uy#!lWpw(45z{;yzdn`I)iwq209f7#kL@^blc zYuU74jK{vfIEk^}R_B%Yu_$*QG^>6X-=WufTF}>cZXsp9Aam>Au(pkVW^Eg~9xR7% z*xL3NLRhvs&ndm|ccA?}n|={K8-H5-f}UC1ws6!Kf1-ze`T76dt!)p*fBGoeE#{sp zPo81_i_FuJJGq}tKdsxyTDh~cBNGqi$xh@+eOVQ6eTa39qi3i+zh;FzlKV-0Sv8lw zterchZ&uJFbNZ8%`R@N>ymaUc`|sg-$er9z`oHq}pttI(8A?xbXHMVN37Fez-CAE8 zi~YlxcW*sIyT<`E{}%#>%hL*MDRGIaL0YPi@>Q|C}Ah z1Cl$DC;jj2fZi_TJ?ZaMx=HRtp44xT1Uz>g5 zINRR|?T-_A(*Lo8(SIH7<;!Q-;cv;E+)wITIVInE_lEozmG6=}bNRRa!}$9)#=ASs zQ28dgGuQr`yYC16PNddBC3hlEhJV4Sq1-F}st2OVo#f7kmH(mnbJNpOo1V7Z^t6Gd zr*-I5iSA43FMn7f`Dt?JONY{bDE&6Pn0$X1Rpm`3-oUlw&dk@&jji>)leT7G^82$T z(jWb2S<7DkdE!YLuGjjdle9Fs^D~+B`z7I~o!R)f689nfBzJB|>+4h*Svl4znFV*@ zT5{*Iw7%AterGM4vd{QW-{2X)4%II@GhciE&RRC*=NNu_mUu3Iv;Nq29+NJAW)=Gq z`tOrTZ|BWiAI!CLc>Xrj{^(Sp!b#}rav^f^MJJf7EbE$qh&2y?S$&B+K+&de%f|2ZqoW`+xd)4`Za7n>{JoPZ+6OvlliB~ox|g|q5QXgiuG>m zznqLeOzvEg4!_d5$9zlaU+|3~{d>;1e`k~-3Gc^-{BLS)v8lDKrl$=xwKiv`VljTT z({3W&O13j+E!(Vuy^{L66^ZLSKb#h$6HMa$^7wBk{hQ`rQ){t0)l}i0ueH-v*F=5B z-S!-jc$?h$%5?c{YOQqP)517y;R{P-?~BQuH>CZy{Ab)f-_z12A46YDz13-(1*x|t zcV_DEhAWt#{ebb(txn>xoa-x`CQp_hoeHm0{&iZsbHI2^awqbnzE0Kbox%L=wh}+U zwdBs>_4}dnt9Gu5weSJuPyOa#{}SmpP43L}pG~b@=v2hsew0_rYQf{VmfX2tI{nP5 z$AOeLwZG02xK_LDJTRkwV#xRTTANfk@125wl>dT^15NHcCGEeJQ|3=rew}ZN<=2^+ zKiR2dSF%r?@xM+zG_oFRa_5y9|38M_Y54aqC9-ZmDY^5sw7!j#s4F&Za^4!pPtGgS z`c18Esm*I|32k1%&v7leb4%KPS$pi>!F=b}Gt?`KQxL}_ zcRn-5-x>D@&gQ@7>HTBF{JkLS(I$5uo6i47+gh)z>Zz`c?^NRXTubiEj6bx0N&5d? zt@T3uCXfVXe8ZC?#!g0_UKr;-&dm2U2)6hzPD&Wyh1zZ!SU*IIJ#f#7dH z&i{=Hx8%-@|FX)YaLZa#LG(=S%;;M?!#sDs)|#8{e{4GbXliYF{fQyn)?Zd4>1J|g zCjD&we9a4osB zm-c_diV*J&yO&73P43LZU+WAicN=akQF@X)Gx{5!8T7PYP|q=4POI(Z)D-mLH%O`g=(dn%Pby}v3*xih&lQ~tEh)qZ_g|0?*tu>K`$ zkMVxe|EBW~wNstVq5Uezx`oM|o73s1^>@9$*87u!`*1C}GxPr1%E?D!`EhdvB9FGw;uuTAOKV?M3C3?#tT0f=>wLx97ykB){3#AKDa8t$%m6 zafb5Se#n_GziQ`IZd>%f1u3^CcV^13`bjG{yO*ePBe^qEewtdVFspo@WWMkQ63BTE z*Dv7O`N>TBt)ZMA!*99$Kw5D{co&KJ8k1G+V6rIcS-KdjK9pC!YzHL!YzGgru{XmoYYmb z(&?lwo80+ZwAQJ4w$3Nl{JY>eVgB85zAT;pn&W>Ri?>gEm=WVoqKjqqab=rTevnbwLcPU7`P43LZU;8ZNuUV^d;;+e_ z8UI%=#NYM2Z{5Dcy}6d$xjr3!rBm_UAM3C79pKMH`e|Jv-p|(`^sN3l(X)Ex%&gza zo%YR0zu+GSeeIu#_mlqX{e9;e;(Kz5%wZWuMOru?W0Jy%PBi&m-uzA zC3jw)F8^|;ahvoDo*(oz|Bd&PSF%;*2au0e0&XlKw&?19ZBxY?LU%LQ=Qu)Yp2e^7}rYINaV@zFJhdlbYH~yw;<_ma%X1z ztn%AFguH%LiKlQaxig;EG+X`GIJNg2+%kSGcpTS~JD-yde^YDUdVisKPaRPr@iw_L z6Mwaj>i5)s7Np-Zxii!M**+co*Zv)a!-&5ocV_%wbVba!TT4XGs-%dgg7lx|voDM+|Y?#zUL;+9bFCp8iM0s9r& zvEJX}GBsv?{f*BIdK+Kv40;<$ z7wO0QY5m)S-d1DIs7IS(`r&?3f9-~l?rZlck#sk?Gn4*Jt+i>L-RkdyCE~Biof-dE zOi^#&#eR*QOT2+=$(@<+Kdhal{Hgs_IE?sfa%aYWdw=ux(EiQm{jv4mv_H0f>7?B; zxii!L*n1B8pZV^8l|RM074xULu3wpcgZ(FF)sl3VwM(VHk#sk?Gn4+4$Aoe}d9E{* zdwo}>@*nRf%fF4!3BQfooyG6-!zI#U~D^9nP=|r9^ zzcx-`{BPqIC+|H>?##UZ&^`tG?&!V}8TXsqnHm58ce0i_+xT}nS}UE7)=FjdRqc-U zRRvnRl+3@T^{2H%X!lx2ID@|}#>MhK-cS0!`Xb8dM#igODDhcbOYYp5E`NH@Y5TwL zE%9c`v*gaq{%`FQ?YuthA1(O4uz$4Ue0|z~trOU~(M~0v6V?y3eirX1>~C=azW2`dzM_r>FBz`!~C%;?<{0 zyozhdou{VtS3D5Hy>csO4EF<0lPAN!au3p(@#D%rFYyMhC3j}VkD6z7HZ$KMtOa@R zWOC=`bojOZy}vN*e=oQ_{!8wR=TnYSoF2aZwm8lo7RUL6=26Nw&7T7E@z?u%)gR59 z3Q})O?#!&ePW*4u>65tsV2Sr|Ex9xE{%hpmkZ&U=J43kj{#xOW_mlaz`1YW;B+h>{ zezbVs?zHGPi$0)t^*q5pDf+$6aZc{2POr*~PW(D>ciTM@?ZPUJ~_`%dUe)}Qak zKj$~YzKNdm%Cx?vGvhW(e<$NMlRGoxH@)Ywbu0P*5z3v&ywT*&%=}U1Pwy{OehdCQ zlwZBSi1(B6*FGifo74WKg1_Qga%X1$oYfzN8~^9C{qoKHL?A<&`G(c-O~IiFyH<(eSfEQ8htmY^&95^nXTVM=Dr^s`B4b>=qhIjxAvu2 z`y1{j^IzWw^+;#?{wq@FeoF4-ep27o$v3gyLH_CerIG%~<(BrVpvtY}&P@4LJ=OY&tsgjd3H4X+pX2>x{IyQpIxegm7yNt1Z<0HY zONZa;&tb9tIDOvd%(NdW|J?&a{V8}ts6Sn2X8(uwIcVRQz8fs~KJ+DbX2$O;&kE&k zwoBE@9L7>T#>9^+T_(T0)^O6!NhbDLa7VH1$sj=Q%Fpr@j>Of9gSJ(9`93f-YW!+^GdzZC=aK35ckx?8yR-NcCDQJg+?i>A9<?Q*2MMPV$Bl zcjj7h=TtiWN@vx7rGG){y~&-K`mgzy{MY=kApV-%nepGsIqUXT{+;iL<=>fGzh8sD zjMcRMQt-mC{?c~7CLMo$f3N*V`u@J)ZCp$4yt+dF;Hd9BIqEwPPU~x(q(iyY`bj~` zt;wC4@~d+qWLHP$M-;q+YssC%=bsLJKdSd+syBLXRuF$p?#%eV{JZG=Gwt=_8Mga` zAGwqJ$@;JFwAKFW`|X1Fb1k_u)Bc-3I|TpR&Lg7#&K=VJ>-#6A`}CnD5^s|`Gx4`} z4t;%pr1UeQXL4soU+aWLxqS`ku5x@Kl-!vqzfJX*{(!#vG{U>Q#P`#GN$$+7UuvIV zcM0`J2`y#G39rTu;8TlnSF z`Nxtwktf67bpMpb&#gPc_}QuNZX|aiPx`NQUadQ6{kPy(!uqe)pW^+b{$T5nZiD@u zA>9UBJM-zMbzb?a^G^!OU&)=B^)L0aT6ePj`_6BM{#WZy@qRM=+9#=Uqy3WwRc<7A zX3CG|S-p>k`B%a3h51*{`SEo6+x~^^$gj`x9Ov`7eka$??b7;v<=+;@o60}u=eU;K zxh1V{`-BfBoqmXW=gC}uhHGc0|JC_3+IKp3XNj~$CU<7~pG7M|xmmQkGn5eepC64_mkiy;+&AkzcYdE%#!b=db!|a4*(zzvRr|UaaGO z`Lf_%tmA&=q8NTy$Nl1;1@~ed_tTq#d$ErDHRlEQVjcHuZwl_kI_@W5AKZ&|+^ha7 zf5q{N7doiPE^HLtP`HrUBSIr$9;cMa4*(zKNizptmA(4yzqRn zPJBoHEVvizJYOp+mOik~^B4RoxEJf>*Yvl7d$CS<7QQXG7wfoR`hnnHtkd5u+BUcs z>pXu$bT8I%uW;b5CDy4gs#i*Xu}=6G9~Rt;b;7ggx)@(r$Njor1@~ed_v0TA?!`Lp z`xgiIVjcHO*9P}uo%9)hYzz;q`+VHB^!z&cwc)z(e6h~+*S{^e7wfnmd^NZi>$smd zFt``%xbJ=|xEJfV-}tlOUaaH370a_&$9?ZF!t=#C?pH+jVjcGjjttKiAJ$&E)zUCN ze`*+?_kYYF{!f`5!2YVC{$*D83$A2U?+jL##2qv4pAnuyBW&k?OC6{af0wO zdlq}W%=1g(Zyz1P-|kkspBN?F_p#b1?osW2?Mb+MDdUHenD*RV?Y{ST!o3GS*x#nw z{W{XIMcG_;WxeNjZlK<-Vpoh-`)cG@>wB~#@4*k){&sbE+Dz&?pCbIM9Kp)`>TJdx z^SsXHdf{KdN=x@_!t(`VYSWm2Wzc=nEZwvRX7yiyK zNE>v-I{CXEw<^zK_p#OC89RyaoWbh1n5$p0{4czNaO_0*VQ;tU=iB^c9QUm54aTe8 zPn|oKCBRlgNv)5Klx?cKZe~5uotZm#Pyb0 z+t1~HO+0BErnPnyPkqn1A7kxL>8W}KE5pBdqSb0K77*K?u6Dot7v$4j%pa*QoKTi~ z{#vaUOOTK}7+I3@%c7607+@D7~3b8Vp3zt3L@_~e0whw7F zR_%WEu_2$uI{CbU@b}3Jv5xyu%5(2f`r%uRmErFzefG!wjmAp%O2-cQCU)c7Q=+eR zzFEti<_kIjW5dskmCskdH~1U%dp!S_ne;4;BL=5ME1hvSL@qpP4^>XZI^}ffpOB8{ z(#}D~ik0E-zkqmtoUbI-87tk7ehGJzgy(c)rTY!Xh4c}7@$1oSeD}6;LqCT5__a~a z{nC?gr?*s){-!cK>q$qm4h`Ectah*Qn^_fwwcLN7@GN882is4qe!lhdKPNqpB|YQY zxmv>z({zt-pfiV|;Yp2&u;qF7!U#N1uVb6Ny8t2xZiFNw31#h4} zzK3=Tc5bYWhsO14x5PT_mijS`SHwEw6{V-%@1GXSa^0-+QmI^7)G|C7y2~Jz@LZ)#0C9 zPJS_FSw#JWmCskW)vk-o%PQS3*)6yi>+}O!7pKa%MBcwuK7Vq@P~OBk`K)<4cz>3-Ep+J!ZwCv4Z4 zpR7JB#CPpw#_H#jjy)>aD%e?Fojz;W1=S<3#Lj1HxZei%ecZ#&Vb$(e9b@Uez;nON{OyItYWF?z>GSb@>Y>%)(R);#94B^bw4Vxh=c|0}wuiAYJge9h(s>&0 zk1$rcU-?bauU10rANR6c*= zRKjt8{q-{CYmdD^%P2#z^7&Igp}+Vm+Gol?taQJOwvf{oTg$&@taPt_Lh~@O&OA*0 zy2fu}Z@233tf24h(|;|eO^21?S%%x*1*8vpu+se!#rJO9FE>`Y*S>)M|Ht0Dfa_IN z=l<)v?VbDmLdgBHv$I3)7j|}bQpg_=IH(i?a;X}zB2Y^aks<<84j|;A3WyX@kfI=^ ziV-L$BBg*(FruYMX~4)qT504Url3eEQ2y^b=6vV3Hr;csm3+wwJvGm>pI_EkbF6tA zbIj{n-v?2iV4FXsi>@p>&mQsT2#-1&3?Bg&K7aJj5boblp5zCM!}DB8<3Xe!Y%4ME znfMKq%ZK0}wiyf4GqDrl9!2}T&sZ3KPxv4D2l`vEF#I0>iuAu5{u8V{R~&u<=8(1L z;h)hzf`!kYa0Jr*D)I}KSwEd{GQtf;deCOT;^+4w{UhO@CmRdH?^#EzjX!^dF7z{_J1MKKa#SK7Y?=$~}M5kuE&#p;US{BcJeB-ftDA zpX<<^FOX&C3!H~={z7X0(hl>(FI#>TD_>Kxp;=G182=xVjl=(Yv(ed@wzk99?*EQ= ztUY|q+QW}tyzZd);I!-jRQFrIG-F?Mh27YfUPX*2OfDu)+RpJO#pn2w;&V`DJYhTM zDiLcN-iD*`f6K=&vVX^TuP%Pe*+hT(_C?9l;7efteAB^rE%*qo#l%Tti%0Q_idRg; zPko*{Vfh8_?b6>6hs4uw`mhDl7k}yXML(wKFaPtu3fsUqYyJ;&MEf?m=;w6(>0A6K z`uIiIn#siz3(_w=QF>xu{fa-6c{bP9SL2UwsFQ6kz*aufB<0*w54_c%5fE{I_RrjX z<6mdlUhq3y10~zgNcKiQDJmY&+UNk>LVHkp<}p3<&@WZsdzU@dWF7vGauN6Q`dTwF z{dUC3o3{4h$u9^}F5-Sv-@YgR$S?PK+aGPR@l9MqF5-Sv zzYTMb+AS!U>zm{of0T>3AJw-L^V2c*IRo*Dm*SefU7Q}*AN_kM_m|MuAJb%Y7TPo9 zBJRiIzX#zqAwHCu$S(kK4MNnKov;5s^*4N*(;I=bQ=g=8>U=L*-w4A*IUyh?VoZnr9bf!q!ac&@$M#T|Bh?OMcj|(pL-%~ zKiq>9*={HoQ|+hvv`cplb-;2UnQqF(RQktUihlDrbfE|5_#>1*<>GPi^z#?{_$#~9 zZ)}pk8cVr|`_cR_gP*FRy)Qen$=b8HhFrw`sDAZ8mv8R(GXEs)mU1zb|LMPvu*YZF zjGyJm{7^0)ACG?qe1COImd$E3$zM^TT*Uoo`bSQM9@Zj8otGohV{&n6Tz~k{sHg9u zzTTJPui-x^7yTY}f9=2bKG@y*EL*iQ$5*n<?$0vHMcj{;f5)K+2V3bt`HQR%%0r#{R#fi{>17qhH1~zeXvp zMZZVTU;H)tiZ0YW{h0Uw(oVV96_0-y9@;n&{T=K_Y@3a1M&=25H2rOdLGMn4y{E~x zPFzDS;(kX-9c{c_mAsi+^!KL+|Qe+@hs^@j1=K=ezFc0XEw9nh`3jP?T^ zu?k(vMaZN2_T><)KiL;YJgd`iJrVkzmEXkm`Gv5`7qK@!KS%g2lZ#)B>$m#LF?(-@ zpTasocO^&o1Cxu1^?+7?`M%XJUvb`DIT?Qk#L75aLoPxdwI6;hsosgOPvwZd!{lOTLLd3$8{a#+9>sF1aSo626YDc@ z&F6YT9#8+y(7(pVFFxqvuZh@JTde<9 z{Wtr~>XrDrFGq}JOfL4FKlkzf{6bpxj~K6C*d$zu`*NBm?nmv%zVNaPYrzeanfQLJ zRd2zyxGb)3<=jH~bDy@p7Wq9r$8&H^xwtkS|B80!H&!gp@mh@EDHjv|qviOo^YL!V zk?~S4CgSH9i}i26d?%vbO)e(t-_pOqm!J5gFF$cZy!=|#@9B2=?l~?;7*>1iNC-ra80>*Qe1x}?2q|gd3lb= zx5>ps{#U-~^m@B;q#osBLf`UUId1Mm`8lS?&#nIQ;Ih5YPr}bHJ2J6+ns{qGe*VHyrHZ!mc#iXMO}XgLWb|i0 z_0cHjFC+Zw9KVNa%EiQ&YgR3EXwD2#YFxWZghGJpUDw=CKnU>bCJ$Ebmy*a zlE2_VxrqDG{(sS-F5X3F=7@MrE+*n%wAJY?9+@NcC>Im@{6bQ7DE1pVazvkEa&c%p z{fp1_@!p&x;x)OLh@W4WufqNZOvn*-Z*nnV|Fh4BonftL_ANPn0@sv_e$RgZlK9sB z>?Pw}x|giU5$QI$m`K0P6Iz&maE+|-JmNmA$u{^OTRZc_{iyx4I{)IAyZ8&y{Bl?z z)*?+VemEXK{Ri7WZ7mS(-sEDU{m(=GYp}g}$bTT(gvrH@c>LXWq1`==`RcPx;@zf< zauN5V<;O34ao#cx{v+^ZTvIM4<}ckd5${2W?_D`!-P`2iLGk!k-i~yl3|BstBg)d` zV#5CTg**O2k^ORp_!6!u7rz&ee>B_4{#buy`w{;L*OZI<$Mrc*8v5Y2jn;(*OZI3c>GHbgx?sQWlK-ZaW$?f7e~kSx$n$z+pz0%yaLyh zi;3}@*&l4!>`#O(n_Nt+U)V1X?T3BiJCI-TWL%@{>SE$N#!{q_=Q)<%og?&2E++Ij z&a2(;#(#k?xbYwNul@aK`)M`*oaffze>ndQgx@i_nD9S6=R@x<*xM~R!WWoayenS+ zY^Rl>uKfn0-!r+G=>NFhPhZD#Oo3>(CKnU!m*q#lL;DGY-!ZwE@ISqWIKR<*dX9+K zjR1Ys$rogE4-3Bgf^qrd%AH*5~>U^#jjw`dt6vb0Ck# z-|Clxm!O=o*D>Bg`H7X;xQ1MWJQ{zi;wR(rbN$W!p2{)* ze|JBcevTh2oA7r)j=#eHDHk^-;zzh}ju3Xp=Y(^Fus=Qr_oMN*+WzunU%`mOrkR)0B$c&rXF){wO^q=MX5AjCde~5|o zqeX|ha$9t!=*o@rUzWeWAGO~_Tbj(me#hiuqW@{N{1-fiaR>awf;V#f0In$)_l~EZ z>l`)oU0nYNdcMd411nV*h{T2`=3${V!i|9h~*X z^>4>?{jJ1puHW|h^;@oko8FsZTEEx7kI?JiN8ma(^|^lSnAUIgm$SxV{9eKMb?oUm zV%%zSF)@BM`-QET{d)2nER>7&&2jr{wg06)e|duaci@j*|IPO?{Qao?wff6p{Dp(s z2F#Zy=lCS{Unv(8`)~Y(g2v}j-l+G$zri)-;^*V#H)0=z`!~dYQj={sH$b_F`_cS! zo>}{an}3S+ac=%8LLSxcdI)xk{jaVUbHu)v$;HI}7we}1KWpR|#qFQh#YF$Xb&?wTdaj>{EG%0;@q|2De(Yzfc!tft zL_Evn;w5qY6>E`C6#a^Ga>Q9$lZ(h2lG5+{R7>Dzvs*FV>d0{m`Y+1G#Quw|6Fvfe z`4r+8U&Zz9xE3FY$8Wz7dm3I2!?>5@cjL7n`b3W3{rzbACvEh4&*Vrw%EiR^pTE4t z^-KQpSKv!%f0T=f^~*VjAl!9WZ-M^|+=Oe&MgPw003_+H>;GIQY9QZSKMF*;O)e(V zzv6ksgJ<-N%@NNsxp;oO{O2H_oWFM=|AEVqe#*tf{C)m5*Z(b;Ai{2tmigPnbpN#{ z;^w=rdm^sD&*GYL@k857HSv^q`deLpV>vV33nt`n_yOw=w>F zHphR$HRa;BuW zF8iOrbDjUIh)2ft&Cb?&`xDoD`xDp2_339S*E|0c_^|Uo74iDG{?G$my$?OLN!B~% zVygb_mviBR?U#2w`E6m!#mcsL{4<6l9QvLaJvlE}0V{Qao?P2TGIohc)8M89KlG1339{yFZU{|SVi$;E^|{Wr|l_P+x$ z?lrjx*M#KtJN~u(!>1AcSp@LZzP*^*zvubi+D7!h$LEN#sL92R@%XvU$Zy~B{7v9f zxTajZJ+9AlVyt(b8w*6en_NuPf2;HN(cNy`H~Kiy^)wIL zyUE4G`Y+Gf^8C(`&e?BWydhrywDX{+=SWX1(C7S*=SKp!xcE8$zgda1xnDC#|=>M^PKJC;dx$a51m|Fj2 zIvays`U97{^mG2???=ne{LlF)XZj!U7F^$jYcVl@n}$5{{M@v=b41%SxtKUVFzkNl zHE`bKg&Y^+nsPDG|Bt%Pwfj+~`{awDd!~I{8@9j`7rrzJ-I)A+j z1r0kGcLEh;?I=i;4AP zo-^b6Ezh3?V%^r{Vq*PvI`oCg{EzD+JB^3Q#S#t68I({o&jYs$qD@$zrgf7&?} z`0pJ(zru5x{AL8tZw7u9*OZHA#?#Mr#>y;&hYt^YH}X%pI4iEtb+XDEZv9NGcDnU5 z5%Q@0&`($Y1%4WBO{}3lC>J4*>Q8+QeirlpX&pIY-fwa-HUB>W@qPjR=JFiBi)+fo zFT~^LIXCL_{97ROOfDw$c}|3O&+{XJuzQn>3Hx9CF!bR67r&e%{JzP>g#YLMLuCoZ zEAU-`NRP?ICGq@oo=3am{4Wr8XL2!NfArJLH~n`Y@@;Z4k^k9`IKA1g<_JBLiwS+M zUu0KezZ300@E%-KE+*c8+Y|ni>z@-RwLf4ACya(|+FE7toC&T$K_DHjvt z=aHwlbdS6`N2J^2Vj}%x-b6Wl5cS=a;E^R{fhX% z$;HI^nS~#LpICwU8tO0*=`p#uB5uFzC%FE>{v+@T*MC&S#Q2^26dY%9|03`wZvUbp z_Wk}(pYsEJ&#C&Ld;cl$U)}ppRq?@i`gu-*>7G@|5$QI$m`J~!lfEu<=cmO@xQ1>` zOpSlmAzt{ux$AR;-u#dlZy%apLmh8 z`-x~n){aToy~)Ld{qs8kEdOq_pFotI$;CwZ&-Uk6X8ZFi=Euf*|7Em!O|<{xcP2P~ z;rAy3F>W!rSTKI!Z%lC99>{c~Tq|NC{jH9_>8Go2Aiwb6Vy)BVUxYlG|M^F`dYgY< zj;J@2i;4Ohf%b#-)odi%kH~db%023w+s)-?;wl??=nOW3AKcI44KwnOsb)UvivKM_b|eArNiJ zwo$>vZK-iPX#l-s`6VO&_$D+Is%kctSQ!XanAFy+7hhv?+1K~yZU6YFm z|2rN2oBQU|;lBevi)+fo#QyoHc4xPv7K_eqZTvfyJwKG*+g|Nefo z{(1hVmGjrL{rdB4zy8d9V8+Y+U&nO%c}|J_4$m(IqAi(RO!zPMv(#h%8wfpC^M5i}(ylC>M{$7{*bl;gH(rt1vk^aR?5zob#ue~qF z+i*>}n3%uuJyF&>-yaP`ye1bD@z)l*eAkWihdqefpWo_0InUqCYUXnAm?^vcZ+xk|%RSxtUx{lpo(8V7c@C z%RuOvTukXd?evxn%#nJOiwXT@XLvo70pnjrLeJ!4Lf`fopvV0O>KmbFaxtO5e7MtF z-jgHrOfDw$ZJ%pDj8}dLTN6*l^;dB%CeHs)^W`_)m!Fa8_T}gAN9~9Eq}hMC{Zp|r z$L*hrkVo}7e`L8$_vLRy{3aI@`EMZ4+;?gquYs^dlZ%P{C+^cTe(v7~B3_e=iTD>h z1|R<^#`HgdPdWcn7jKX2bDi4K{b-I%H|63kOuyCtSA6}8 zKXCP*iC>AQpX>BYKiBUAk#3WViS#e``CsnyZ-k!7#e_cFNh{}fsh=U=Y=42sx5>ps z{<+SQz3$duM83C3xd?f*{a8F`e-^(d+a2X%s{L`Fp8KcVzZbc0O1YTYKehKvP=B*3 zuKtXyx6QZ~3(7z2+h6DM!F3a+KQOU=V(&>Y{;PfbB;qx>n24Y6Y4O~`;+u0k6xWoC ziSr9==dHZ|)Y0zJ-LW`Fq}$|TBK_QFtNc0U+jr#neOyy6{(0Pf?0ir+<}Y_6ym*{H zHzan)^|^k*cFXnWK&G2=F_C`0C(ZqDzCRs^beLRB?0<8fz<4=t2t>Rl7YpLA-0I>F z+~VS|h>7(tt}}4nx})bWIZtG~oIeI4UXzQ7__@x)b$_nE1Y+IZ#gzV=PLJousBfem zW|~~*LgeYe=y>ED#zuxrd&+Cf53GLzBk4FyTHHUx&^Mq z#QwLfv%-Ja`l|?^U~)0xKPKFRcuvK7&vQ9`3D=a1r^fTobH?1aWt7T7(}N>-tYelSkV> z&kxt1&fNPeo{fR-{IH1oQTfr!`SVj_O#ljA4*evgRfnOsba zpSaFRzrpp-K==)liwXb1bsp+Xg|82Up2@|8{@|skr!VgK``he)GqgSSzhVV4%0l zhxq=fL_xGdv6{z$5 z>#BeMy4vORDsPH*KdQf|2HhLcUgzhCdNjFsW4!){KJDTkHc)i&4uvnX{JZ6i>o)D|Md5x`mMe{IP46c?(0RDZae>l^7r?n@!R=L?338}P4PSK{AMO5&i}EW zG{5m&j`SOpi;4C>e7H;Z@E*~nfB10GOCKnU_hw*dVZT?GyFE+WD7=Ls8XTMwVMvmC`GP#)8|1v-O zF6VzM;u+rmitmb--|(A!y+11YdcR3b*FX24>fdtjp9f+bYjW{h@%U%_9{ffF>tz?_ zxDeNri;cKG>xuiWtiQmIx%%V&tG^$$U)nj(Jq+*3@d{j1E+)X_n*uW?cU^KqW$x?Qt3bTJTFJ+nOschx7vPN z%|HA9?3=Ft4}8M)|CyNh{t5NNzP0wTwQnsZ_OH24UAqSM3i}Cs5Z9E8*Tn0;<2u*w zIyQ-}-P!p;_TTP))P8Jz;5UB#z_T_D_WLWu@0t333;m4k8@$n^?HfQYrq(a{ou0~% zFy4W$3&eV=$;BVV^KauMv_Bg^iKr8kiv{g3jN3Ghd)c+0GUGQ}|9A%bwX5MjJUO4H zTugoc+4Q@-zPQ%umwA7p)$yyH^Ssin|70Tiev^xd^Pg7#+&5tTi`+MO4A){}{haB? z_YH0SBi2T`_9sFfwcl3#x9Q)2`aTEsC;lC-Q3rhg%ioX2KVl!(?nay>BHw6tJpb=# z@~A$~*>L{P^EZL_G1|K@v2HPjQ|UkZdDFuC}Hc=?am?D9R569M#DwvNgC zZ}$0j_oMkAg?#ed-YDcha3!uO7Zd0AM*8)Sk$(My=XhD}JiqIhE`Ppf&EM_h``3Yo zTOKR z>#eJE#JJ7mVq*L@cb%)Zx$8w&Z#;iSJM{OX`5$vT(hWa5=BXyD({K&Bi2G4}uD@o# zLODZUte`F_7a@=8k2wMH{0ri{yh*-yM7fxH|H$IM%EvF>=i<-AtK#ubt|8tk{M`H; z=i!=iu^QJO^*rkBi+Il+WgYkc`VY#*#P=h4&Vuu|8IR_81+FO<6ZSX6pPw7D#??Q+ zBg}f|_k$fx9xeYN8@%3=qSxCXruCW6>Jpd#K=cD97nj7#&(3+D=K5{UgJmunF#N+4s7001cdzN9isb^~7*2)i-4n6Mv?b1S#H@o(T3H~!`M1%E#pKi_j@ynO#P5b>H^OvKN1 zvO2QL^|QdaxTajhe@Ei4zx}sX`~OKBUAa$sMs(%Q@gwWc-;c)6^5MA?&L0B1UAa}o z#Qq8AcVXT35jPLyI-JSH#Q43{^Ar4?BCbF1_lp8w#x><);{6NmQ(L{im?P_*axqc= z=0Csg$4}zpe*7eUJzoFQ&v5lN{d&>W8_$2T{`~!D{mmHe^k(#kPLJzf)c5zJ`d0p^ zH~aky5%p$rF;Rar{QC6_zkWRf;{b~n{lBB7D;hu7sayGeD(wu**E{_E(DCbBy^mj? zBkJAcVxs=Xzk>Kr#qX_5&+$vpr(8^&pWryHKHH7o0)N+y-|FJ*xc!X03;qxD{E^S* zi2lUn;>x)G%zd2Q%sfeS^~Uq3tUrH0T7R_vR`y>w&f)u)JNo@rmNVNg%Ri9yM!A@% zKc2JWcTes2OTeJ5o{uk{(@B(N5H8HXOwa2Bt-0#d0<);`-;TujXWc*cYN(|)%^{3Wg_7avH(&u~M$zPPv77l-UReOsq} z*{|PvR;Rl4Te0$TJbvpxknSD*{h(Ic|G;wR(f=l3Uec>jXyJnVP4{u2nlXL2#&{{}yddOH#6 zh3yA^4%d{6C&tsya21pv^#f6ECKnUsw;1+QTY>Ywu%EyW;+k?X@%;<-|Mk1v_&4xb zH~y`QiT9UzPO0)ycYY}l?aAcgN8|Zt{qej1+qbF5-T)|DT1l*E5`VMOp(fZ#B7yTO;w;@B9_{ zxAkk}KX8r9zpY=p`@2*>L%(V3w<7vYmTQ^yQ`_f0$&Ej`es25VSCrrX=KQ^t-`^ax z)s5Q*j}(#au{b}!RZNfHCfwunCiwLip5vxI&!0J_^*PU@-R|i32Uc8%c#cDR+mz!a zxTai8{C;Vx^G{np!Mt@xzkgx+r+IzxT3n-E8)CwL+xQcCwehEjvM{-rm_O{XANnb* zzwB{xj@`JXTuiLL@SG$29e)2V5a~9#m`K0b?>d+Ny13ruzb+=u|8bmx^DXZCo1V34 ze*EH@I{&irP3V6C_Sco;cM(73V&eNhoPULLN8fbwuyF3^2{#YJ{iy%3@gK^1M}NO^ z0?MA}4*1=YK$MBe#l-mo{!TFW?ML5|<4RmpE++Qx2V8`5dOz0xF^3Mk7}u1GiSGyV zoE`0k=kEfM7n6$#`(gjjeKYPKirhD&Tukkswc3BTI{zMguJfORZx)dc_)m@>9n=1k z@0swsHGKah@FiSRE`Bdzf2SZG%zN$Jg@}2toxczh^WQy>a_QdlJkh0_=Lea7e?Myf z^fTOdp#KT{tn)wIfAIIC`rN0d-EZn_T4bVA9%Kl-@YH_?nm|con~t{kLJjBL%CRxfAgP+Uo`*8c&E8^ zr2HrMe`>HHdw)WNKQg&^O5Fa&orQW|g!S$lb37K;l#7ev`V%km<$kN^%AMaoqy72& z(ek66^ZW(vU*x$9`+lG&TjsCU-sj)WA@v$tH4D6UH>}rJs4&Ec#g=A$;HI`gF8c?^MA%4_@s-U-!JF$A&-{7 zzu%Jn?#}=2jGy1>$i9rahyM*ke`0d+%klDWRiEo;t$e@AerE*jhQB|;_G3i5F}av% zKRka$J)XY`q#osBLcc!R+wJNcX}6S%Df`_S`fCvv;}_5I@r!HY^=Ijno+v%BNT2O@ zHU329Tin(8SG=O)6?bv`)_#%yK>E$mxE53Xli}!pThI^X{@*nJt(ITQ`X~7M6EFAm zFD8CJ*z81lqV&Xse)XRb7wWx+zCRFlZ*nnV|2st=e#7Dy;WzBLV#0q|IO&Pf6N~hB zcm8*C{0-O*%Rdly!*Xqi1@;s4^c?AlMf&hx7QYC;XYq>(|7YQ(CrVFzo9l;mCp%EP z6L)d@qyO4%<$s9tJJr*3WWFgEQ~BQs`m|rhA4t2!bItzAg#GT}&rj~*&rj}gr<*tO z`~c^V{(f}+F~Of-oZ!zd@;eUHSRnkq$;E{KAAmUNw+A4uz{_z>xp+dn{#%W|u?6YIxU;R1BgUO3 z7Zc;p-|FYeP35R^6W{LT2m7`97h$(nuVTV}Eu8d3>4`=9DmPK(ChqF;Q@TZ^TP#Yy z^hD{2Z)5$QZntmHbDZeLZ9P~+=lIRvkM`d?rT$fJqRLH7l;6OqZryU=!8u-w^J|og zr^Wpz-*e--C*OMugr3R8ls?l;eWW#zdX$R^eV((U9?#zeLeJ!4Lf_W^(Qa)2Ks*Q6 zU&o)gHlF{TpuR>qjg{TgQdn+H=K( z{W2WwhI%6H&h$M~_O~{O{KI(f)R){kFRQZ{shF6?fBV96xg1WVhyjH^y(@k3jtP{Rk0r z36qP7`OklOeXes2_Um6F*4=IWOH8c4TR7>7(i7j#`j5f(RqvweT`a19wBH^5{>W}G zznzhPm7Az?6AQ}E)?MF#9daF(J8FNmhupeH?Zq6g#h8h5@wE8-&Fq)uzJu%M=096VKi6#P82dA=f!!`Ibun@N z^tbxCa#J~~+{Cwg`SI*B>tDRW*T0zf{+89h^hD{2Mfxf?QROD?>he>%MWtITO270( z>4|S+eZI40b+|r9+5+Wb!hh9Yae58R75N+^^(Yq;`a8vbRPLh6T}+gJ<5HjQJ99+3 zO)jR=-|6()4$BdGZIp{C{d>ILb2(CvaxtObew5Q|KQBk=X@ z@vAX0e)acT)5o~e;ukUQwC9S6@u!88o+v%>ZLZJx+m4?9VE$Xx=lFkjmVc}1|1YmE zzah$Rh`Z2#@H->5eKY(1NZ=pfnsRa9xc|3s*LZ#LL9Z_+e!pR7==1wW{C-N{-Y))z znE3t?;}3dzj`YM`tk2(lVfq7q?9yKszm+ckpr_|ZPb|_u!pARu%EvDrQ4qiMMCpkI z`mL6KUGa*FS1gFXhWA%l{$d^Pt3o?Dhnq)QF>yL{)s+bQQut>Q{P`&>iysQa-`p*Tuk}DouSWqXZ#}TozE3h z_0Mq96Qw5>=v(_k{DJg)c&_9`!`}J<7!b{}=RjK%d_a%&>OD?+*s@ zObg}Wo$>y2ck6Tio%3G4zaNNsugS&4{I}KbC+-Y=&fi%6fy-U_bN`3WhderdvHQrs z>5Is>$;Cwe2i2Va8Z9m|Jc#;Gdo57 zoBfKYcaw{$`d^4MMpq&a$K;5$&l=@o;`h&5jlWfWrjzTQOn>0DF8y3T_4lLpKYpD% zw?2No2){KJ-+x*snmn%miqqR;y6E+={%+54_oMp!&MfPn-=7UcyD_<#Xg{s$v;4V! zN&5*r$Jr0hzxn&SH2rKh%)iL?WBKxgJQ=_A#O>7&<*s^Axr>SNZ?*hd-9O$b`dELo z{de(6Tt5bT5EJJgSWlJTarGC7afHdm-$~bB(9?6IC+=$fFZ%S07>8N<#l-iYEu8d3 z>502gpXV2{i&0-VzYusEt|=EUj+g)L)}Ok;`OT?Miq3EH_jl<({rzbBuU_T+e)YZ_ z;rC50CicHttv{~6hwuJh=GM`}cmKcR_Azijn*LVxr<{wtemu*j+??Z=aZS1S@q+k& z;nVF|8Ryb1X1~~V`mOf=t$shA`@D_kVLRCW729BUw*Tu1d9?m`{+{K;^ZSAOqUr**y!Zqb$;`_%tMgKJ)zh|Yx#V=;B z#mnE~-_80JoB?6^1>!6S(^V0VN~b^Q={eF9ccuPB)bkxk>j61FjcdxqI}+*N3Hp_h zF8;t?7k@<@nT|i`={eF9ceOs|PAtEQh%pJvuOgltPrrR5FXartb-PwEedlKYypS4nN1=Zw@p<7ShKiShGT`04u5 zaCPWE0{i0jVEQOse$9VC5Ij+MswzdYlZ%P+YxVo>77yy*;ulfxCKnU+&);vP-SGDt1EFVfu|PlkZu|)M zobbExE8TN&Kid8+9+aQOFQVK`E+)#4^~CR{v;G2Ka`ngWr~CWS_=o=<>ix6$-u8t# zejC@6i=U0B-@+~N`r>=NzL@y^-zg7cj8}!dzntSdTvIM4et((qH@aN>fv8iHi(T>b zGoIS5F8;tRF8-RB_@%re9Y^MH}69Iy^zi$5g+~n&&4(6 z;*p8?84mSt`XcJR?Dz96ob<%)&>!-eOZU)@9FcC5i;47GIK*rEBH}l>n6O`)zoVb9 z-+vQ7himv1?qB-*QU5V+p`Z60BVyb-7W1ElVtW2V`>nj>>{qN#!ZqX~;g zGhcqBW)e%k(2ZlcOfOq5^cO}}o6K8*WkRq|TYDdl2f{gmen zD!)N}zpu&aG+aY2;(k2+zty+jpS1GB{KtrSkCm&KnEzNf>50-4f2$w0KknaiosawP zf#`=!E+*zLztzu`o61q;Cce$fkL%2=f3Y&e*S{y^N&AtW_n*0g)%>@rpLM%+8~%nj*Kdqiw=ubxSifoY{6Fo7?;Y&u z`E%yq=1s64(dJLA7tX)j{iOeso+v#L@?EMQ>>lGE`tgeFUQF13tM$LT^>>Q@k>3*K zx5Og;12Ij`zRf+PM9({qg$+eE%j8={C8TNPnyQCz=0#f9Aj6PyfOF z6Z#Lw^!~}7UxmFd!|zo8Fvs`fnsRYjJpb7vZr>(*RrK*bBBtZ7oZ$2-my1rXf-{Xw zzrP=C{}X!==ISh)czllc}_gPZ3QXE&mnmPH)9x(dn&d7Yp>S z^Lm>^uXml8*5`W`JU`C&F9N^g-oM~Ea(_RXe!Kq*ukXoo$drqz^V_>ypZ=?r-!EqR zTls$EUfm%$8k<*O^#p2HRa;R9`WPuS981;ZJBa0G5%&h#c_M(@*JUOaxtMl{8tG3F8tp8;>qh zGf_qv>}MA0PprZgDHkEnx1T=xSqA_9OqQ*>OvH0wd-l(0@~Hm6qY&~2%o`A=SVezM zxd?ewe=+SGeeaU%o2|%N9M^WaTfjjB*k8qxv125zmoXHv7IN z8)%D^i?|=vpMR-~cLDq_(?K#`%EeUtOSU?_rH?jAJ<7$D{uGwq&rxLqn{51JmQgO^ zelqF;buxqTDy zO>eUL(JZ4}#QkXg+txu3d2L6U#VX2V{*bK*hjGhL)SuY+dz|}%T!cKTKm0(a zH)3&<)T3NX>5plLowVV+81gSxm*D(0VA>s>;CU(|Ih1B&f7zF2*TrU)d5Ymt#HEYg?V zh_V}TSKE)$Eh^n&QTnAPN>BW)e$@V#`0qh1@!x}3f->Ve?h=%xBm8ILef*^d+WlPm5{&WoLN3>qV!xjN=UC!{3kQfBA5yx4cJmddr84CXeg0 zuYEqtmOmY>qSM=ZAJOD-{mo9VyCQnM&0<=A%n43!j9L!CsLEvAPl0kc*J#+g~63vDZ4iv3E5|J<7$m==WLA8ax$xU&H)uV3XCo@je3N zBJSto@1sAU6X7r)8#uB_&ci4dQ}eNLY-i74{&!xJRg?wgBJM}y=e&{noKK3>qg+hs z4@Oy8{;zM+@(sC|%76Q%(8GLu0NRgO9ft7}q!H*AzswiAojbSwhjrom|BnA6ZzykcoNbtGG5BXx6Ft8*w3V+p!Xd1 zE!H&IK)*$~i2Kp{pXAd&*{7dmx+xb^>91dfe18sc(f&NEIEP2M2zfMqt7q6h>!0m_ zq}@|4rtH5)`$xQWl!3^2DHl`mTR6l^ea25RUdqK({MARC9_xuS7bt^RujX zeUm(gLAi+gQT-MBK=1Y}>*;E;a$lBFF5-SvpZgwMPvm}x$ZtqdE~eHKI~YIp8Na9L zK`y5B>1WyR(f^9<_b3-3kEVa*aFjdhe&nl7vfe2di~KkH4f=1<{4Uy+nDW1jhxumw zBG+Ll7gPBkdM?6YKVjHOO>!TAaxt|Zz;Im0roPDaYJ4*>UR%^K73a!TI zaxpc2~|;^Q~l4d4bVgT8-8_@Yz#5jRsZz!HSA%~|BDT*BTz0v9<9IWsAr3}(xk;pyI?xp{iHtozZuXM+3!(L zO!a@9zi`|&#93OlJ_ygm)~BQoEVi;ze2 z&v5L2s4voQQ7)$XAI=l0$N8g3J<7$DzKs)*e~uqm4kYtUxtPj7?VSAv?O$ZRtzCGg z`VaaU+8zCmNV}t4Oxa)CI;0!*&;59j<95o$RQ>bZKz1p@BM&0?@hKM}kJg{flMp}W zPmG^ryp)Tn`01zUcj&)F`W?!}l>cEnw|0Z_7p>huE~eTK?VR&2+P_G@W9`B-HUAon zvSPluej-}CgIrAIf94rTH|&?^kwn@p<)Z9&2p&1Kiql4Iy&pt6 zFU#5{v>o>D7N7L*NXotTq;hGB=5O3@P#EhifBWxW?pe|N4f`FG`+NAT{oaXTe3`O} zrfbi6+g^0U)$=lmhFqS(rf8j^PU4r zmDgd>)L}`ds6i%l-SSgN#*hvO;f!S<+YAalGVcr(L)UOE6f9iqxEM?vN7}m zU6|!&r(&c@@8D0^r@}8j3#EsXrVEi?&{{$&{KWYPcM4{1@O)rl_^tyGZV#M%g7*Xq z!_OIm7I0FQk+qG*;X6)5jUAh1WWBpMd}lYF3olGo{TGN+`CYmc;pSx7vUeB@(?1(4 z^tDlV{_h$K!_U1J>Bq>5#A&C(@H2mbbi9e41H{`!h2aO`xmEQ1Lyj~Sh98U(K^-&I z5$LVJ!tgwyR{h^t*}BMB7=8fCC3_GnsJ{!Z`Lp8ZcjMXB(=bwh%2@dP6=*Hl&k+7{ zV`2Ep_fU=-(N@7We^01*8KbHzV3`FMJWcs$Q6nm9SH`; zI5BUb33tJ;A|52R&ff!@eW}@GI$yoUO zSqRJa+d0%&7=Gp^q#OO$SoGasVfa}nmpb}AvhpXz;klB@_CD!uW8w2VevWYPZJb|$ zh2iP{YtPdE!+uUH4i8tARcE{M1Phg#Wfh2cAIM7W=#{HGWT!*^eR^xOb@ zBmJ8X1JTrC^Wf?GqFk`zN7i01PXAsnBHT*6K6t#bFg?>b-hl0pTDeT6hvSDD=8z*U zG8R667202>l_O@k)9u5UXC^c#>4w{k>1x`dm$?&=GkNRN4SG= zLITYGCHZ_C=dNVAjKO%7gdMGF7z@)y|IBMiJ3zi2EBKN>!<@>Qgt+_yMABhl~CkCK&Zi^H=#GxT{@uKo>yl$|nM zeW?rIHmUgeBT$Z&L*2;)urR+%D+s?8iq!h8R;R*j4RpRE2v|(cd#)1v>%>en0U9bF#Mb=U}x|@T{jyG!_R*d;oyJfW8MrF zhF|%9l;<00zwa+MF7CY;=|*2kmdWq@Z{zv!w`7@hh#myzIF~F_U*lKcxzo@d$r9^> zJ#+9}tT&OBFBO;1{L4^|XkTQR^_{^#h25Y*k=1R*&tEzM>B%ro1j~#Q84l%W;dKHf z)qXintd4i%M6l2v=3fW$^dFCT zB#2*vD^AZVu7110GW9$DPmoWfhb+_HN1!dzztR7f@o#h3U!Z@V1D^{PrhmcDk$#j7 zS*CtF$D_SmiTc9W4=j9s7oKh7ZqylA7=8fe@YS!wf1=L=3&Xd64bSCT%@2)*;kge` z`8EE&SI+-T_y^<@{k5?~f4#@iNC(D=WSMoK%39>}FQId?u{iyUkXOumxQ>N43<|?9 z{wcygh%XELv|N69;+!XG+tF@xVm8&@dBUU)f8LHh1}sd^qQyw}9JI$R#=`LJM}ek#i6e_&m3ld&-T(hKoi_}j%FF&2ie9)t8?JYy`e4$=N` zm;M2NYb<>Jq-6-V4dp|Y7>^CW{HVGaC;R6c3!gvi36u*uce1*)xI9M;aN)@^;V18b za{LfZPLrdGpFimeJQx0L^5>0(>9>9zZJn%?u%EVnMY!kDSCD5Er)S6~5bhi3uRl@F zKeYEE{OPXz!NT;9fj{T?zw7@P3&VG8#q;4)=AwOph2iU0AsqUo_LGf;;W;j^?&HSi z*}cWjufi`>j)u+$P(CI6Tl=>WejL&RW@i^apXHBn0LmZs^Tp!uIJK2!ufPY9CDvv4 zKwhh((7x_87M9PH=}5;BI5`fMS(mN;8^Y0+!K}nO+}IDGKV6P>Re~fhwdV?#~U-SG5+ap=`U$9DrA2`6JzkXA>^fwNJJv@PDk2Dsh zzw0N+=g;9kN&kzksq`%W8lH`@7+L*9aedhvmav~GL(AFEqCJq$D%MZHN{R7L=f5J{ z9oPo|Ym1B1WBXHQaG$DNe>C_YqG$$g5`-*p-6axCl(M88pJpUdWF zS@tT{>A~un;_xd@L^|%l{2eTF9%aQPc>W(_y$JRR7N)27A7KCRDXWl&GVABuXXd`# ztmlk{&!6!F3fAi;VhtB83_l9vJ@~0?4187@e>M8!2nXLuR=!{S zeD4#dI!!k;itg}41p%=gJM;Ya_>J)bNSe(D1*JXt1u z=ATcN2|w-E?)hYy@KZkT!jomfSHI!HlV!rsy1<1e%Y@I~>B5s`!jJXoA!~it$NII0 zy>7tsVLxQHU!V5UZ}^T$EV@MM|rOXj)oWSQ{u|Imde%Y^5-Y1%(o^KT2J z?0@O6U3jw0^B2AD!jomfFL}U)C(DE%{?{%%Stk5mLtJ>WOn9CzV)>9|!p~df!jomf z@9oQ2JI}Uo8CiXzxIHeo z#yy`b^ZennTzIlf_<_q@c(P3RF-SM`{UF=l36eGsfi@)ALPiurT~MjGeh2HR~W_Vfgy7$S3AsTyq2q!w)$L&)tIaCl?wE z!w>ov(v3OTpl_9%Kek_o@R&~x#Qd(z`$*O25bi9L4+d3Wsq}C?gz2i4SZ^Hgb3At( z*5AM~>*o_Ox2`^j_3d{V3)3_48k9Ze{KgXdxh$XhzOH=0!soMJVS0>x*H8Mj=fR(M z_DsITp8E~ow#tPkONIXjA08|detYLTgFfV*PnLQ9_KwFU*4^{TGS6qd(qECK!f)sE z_xwZme6rN@w=+H4+rLakxii0Hne2m;I>=PnHSKbt2juiF2BH zf7q|Tn*B}}o~+f1!!KOs!jomvGh>zuPnJo~%ClW~GApq@Fz+8+c(PP_{@SMpER&v5 zf91lHWuDJ+tYUmcmI*%t>7f0PWx{W-{~7J;mn`%AJ#KLMCCh{#|8WGWi|1zY9;69iOxP+Bp5C;`YdM>I_eo zNl(wuTzIlf`S9EipHG%~{^ZwPc(P3Ro_G56fMweI_WFnAv)uE^GU?gg^|3`Ca?dBr zJbyXbIm?qQ6MohHE<9PLeCTJ*pMYhazrEwz#tkk#WSQsheS`~7mI=STySCOr3{X`f`7@V#SPc(RN? z+1~ZZ#h-W2CzYOdxT8ij`zXG^GbI~}R|A&f|3k8I_j(4m*e9hX! zk6yCwp!Xb+)d%1)xpx)qR|@$J9h@P|MxB=<_Kr<1`ZJ0B=?^~|Y5FeKTHlxBudx5sx%NEQ2`qvz)M0py2r(ZAEypZ;jr zP-S1}znCM=@|s-qbL#%|xwp!%;r2{ulE3b3-!AZc%U5x=reo#LZ);QzL|=#U7g-;a zi;&wGw!ipS9fCgf7?jtKa(oEal#9p2^?4?}@)P_W+$6sWPq~Qu(fAQEYeU_wzBk9O zQHpEv590bYlzX)cbH(*EoWg{R&j>rcP)HCNViu4=M=0@hL?7i%xZ^S=~pmUgxV`4=nDr(A?Q zT7Il^t_4;RzgV5=Y(a!Ps&BuNinA!I@5Nf85$TwMKmIeCJg?v9SIq`og!|89E$>zl zdN`Le;3CoFQT_Tlq!oUWJ}eOVF}ZkrpY->MzcLZ|J{f-p&%D0A5H(PyO37&yR)9n@zc1bT=Zw?y#4e^f7e+Ehq$_K%<*7cQ!Y-8r+?-? zD7TZ)*Wxem3S3hzo)p(#wGi#}H2Aw?azuMDxp-Pa{~@mjKV;7#sYkh((6@XduaEhS9Rvx=h97oLi_Xgqv_|bFR|SDYfOQN*W_X%{#7XR#v`aNly%^%xTak6@5J_3 z{>%1)-^2T!%Z|+PVq8-$zCUh1i?+ISFCLjA(rt1vk$&cx-^`({1#WTAs)@J8bP3VtCJ$)JBSLgUWTvIN7Ij+C>T%Yfob3|TDE++Cn7xC~c@La?% zHU{GwauM>V{VehJyTseC5%HQ_OvJxrgG)Dm4UTnTq#osBLVw6>@KZx^R=p#~ZnPiD zMSli!03M0)cOU=BcEWhsZUPan$;Cwc?b{F!?03L~9AUR67Zdh7`+V35#xS#Q$q{EA zO)kDWUjA%n8S=pP7l^!=T=eg#_Lu*zg)ZM+$K;57n_NufpZz4?1!4ag_$gddE@JM3 z=BfElpYrDzukk$MKCH<$_$?dzdE$Olzx}Jo_b*W17%z+VZVluj`7KHmS;%nr1 z8PYN-Y5IiTEFe~#(?%i`yLFzZjmyD=sg zzZK8_6z_kgc>iNWS(scbvLD4ODqb-WKhw!`RZM^2-Y)(8W`(~Wt$(|Zd5-Cezw~;d z|As<;?PoshthOKC#f1F@o{VeCMSrHUKYfe;L?6G1cVkR0_MJcVX+JxlU&-2r!D2J} zmFVAD3cqzzmXU2Qz*aufB<1eco;}e|{-RoSzx-Tm6LsCdA9eJDLP zAD{Pc$UFSOvd5a_yHu2mxS!YWGoNoqoV?lI(Xbv!J6dygVC+x_8+`$fNP|I|ubE5!cO4w%veh$VJ?b z>Nhq+ZzRGFY?5|IxrqBwefyO!mOskR%Ae(k@~2!(mA}1X2K%W$i8OmQ&_7cyrtGIa z-0Q7rl6FtIn9{eiHn4YoOQz~s`*&Plg6DZc9?k!NM-Ug<4bK{hY&VpPsrFNS+NHaO zI$-`urkipxmHsi8!ZRL+u5*5lKSKFaE*=+8|8&$l*HWh6*ko-Xt|1q3Kbrq#HR#<2 z|957S{AM5J;#+=YsE_}v9_aF2g+B97(rzgiQ~96%`v`kH_JV(wBlAPK*mpgpPyF0N zu5Q8lMWac)17&;5BJSt&-={xYbf_E0EILy}xug9oI#f)LXBKUBdW%PjUT>?I*6-z%}Kf{|15|fAsMmtNeUum7foBU6S?2^+?Bb{k6QFx*7R|tH-UiX+QTy z<8r(f*OZHi{$uPRD7Vics?&2spKfyTbMf-G_Oscq$9pzl%an`t&2jx!e`TKfT*nyl znH;f>U~)0Bp3w7%FSl27WVul;Cd!Zf6w9CeRv`3DE++JAzW!^z{%bF~dgop;>)+px z)_;ASvzz*Q(dltNjQak5RDVG)>it;w`{Q%G0N0d@$Hwb#v>$(r_T!JyC`ZOS8s+C` z>59f*f5oM{F{q??>bBz}TVkGS*)aR^+eaQ7%Fr)gLnv zdhlCg4rsDE4cCy1xF6LYRD&M+zd`eJM89WpG132x@#Cj4e*DCJ9p;<+IgaV_TZwTJ z?Uv)FK-w+kV#0pgI$gQ99VWVX+d9Q`{B8Gmz2`))caNCX=XzKx`?>8$xp>>p6J5OR zM~Uh9TV0Q?p#S2peervmfrsImaU!S9KU)c{_e{WZO`Ol-}SFPUIsl#7Y}bNXH=E7<<@ zBXeAcYs$q&JpC)4M_oa;XKaq=;yfhf;*oLvl@n1;>(JlB{|BzeHRa;Exc;oW5YP3P z|2~`J!?>ngygsf!^gxso`k$evHra-LhjJ13qvb#J3dFMw;qPv;Isw;^i?|=vpD`Ta zPQrR+Pma(vxtKT)H5Toket#_5f8fiwrd&+;e@j2&vGj|`i^;_+;_2^1`=#IMMEebd z-I-iW*dObe_RIPYgr3R8gg)CD%-7mqAkGGxT!bl x2?UpMTe{xrhFe#FK=TthBG z9@S_6SKH|3FM-dv`AbdQ7}w`IbNzO#H=mi~Q*QmaF5Vv3=eaM|JI{j!qTWp|ChEV{ z`Sa**=l4e+Cpy1Bx?4>9|AkYL?+$#s``{c=CMFjX>%S|mL%Tsgy<$_27vq|8F>xNo z);|%}_R~c?%j9C;{kuNnk4dO|+WjQdbs+54_|IwZ^IZ3wc50Jc_oQ4*t$#9|jlnMcfy-U``8@@HKk7f` zb)uYL|ML#Z5q58KF=79$`mb>d5ik75xMOmJ-!QqD@E>M>2RZwxiyw1#Qx^}4+i&k7 zC_l8H-qUkLyD_<#Xg^by!hXJo{j2xo_!6!u7rz&efAsn2xA(_-(JeXt5w0m0{WpR8 zvtO>$asRgG)f_Kz>vx&hcmK9e`>}Bj+K-KYM6?@|i;4EbeX{Ckw|^G6+U=k58>Iez z)c$yGgX523*XMWzt|=E2?Z@m7Hf;T;2wOI}m{>m;fikM^hjSNqgHczAN^oA?<%u@&T#_QuQ`4QyughgxPI;LN9||Pm*6)p#(3{< zbG!}Ll#3U~>u)6LkL_pVyK+1k*OZG1`{zCd&oOfUB5-fFf5G#N{(dz5%wKh@%fHAo zFgAYjggmN0;4#EI80T%?$Z4J2O;gW-@!<0AnexU zV#0oxp!~Aeu}_Ec6DzZE4Y>$;H2zl0pYLr{KZ$x;lOx81CKo>$kDu#T_V-kd`Tx87 z(e!hDw1Tl8*KY%Vh5u77_C0^sr~hNPaE?~zYGHRK7l*{t-)j3Ce6Fwen{!0Hn_NuP zKkJX<1h${RFSvG75&tNj{xNUDZa#|ndRLCvk1@IU(YU_N-_COVS6#f(^;>l@asFlD zN8oo?V7_%_jvvG|<>HEX{L?v3I0@@Te*9p(0@sv_iSfgne@3~%&vgyW@h6ziQZC*W zkDu@DRI$#^_jv;U71xxD{x<^p8^7_K1JggNk|WY>axsy98)smyW8)8TlOKPGiTUH) zb%+;sGIxEB-^DfM;uqriA9g?L>2QqKUdZumTvIL{9@l3-YxBNmbKK(kUz`8A`_cA4 zX{u{ClMc=i?Z)I{qWyHA;>x}I>Ksw-CKnUsKi{uEFYxQn3nm~RW6}R?6VvMt+$U{} zKzoD#3S5b6%Eb}s@~fQW#xH?PH_ELdCeqJ&a^o`06JaZX-@!HI;$`vpXFq~+!`x#w z{>0i$TthBG9<9HH8(qCEd?rWKo5{sQ{f$8T;ri)Fv>%b{rj(1R^;6mj^G*8+M7~Wf zCh~9VgvhI{ABrdolZ%P>0qva7X$b!m;un!`lZ%P`FSy99do8$CbauDkA~9`$9A`HW zlo_Mz=$TwB82{JN*0}!>2w%o|L0wGDf9SvYJ{J9VAneiPVq*R^p%dvo7Ug|d zju`iwTuhu_vGZ^6-*)~@gx@x~nDF1je}#BApuJ7b@ky+IQ7$Id&$v&}_`KUc2>ctj zf6x#=AGf~|`#>MXzdjo%t#;(aWeKf>49`D;&}JEL4ooj>C~b^V8K|2ps$w|~v=q4@jJ_QQ3O+E$eR z9ZfQ@t)F<}epH|1=PI7T`D-AaWpeS7xc&;XU;3>TXupB*TP7EgMI@zP|Fz^bDBp_q z){)~D%%3P16YoRYIwAbNtsjc;`z99?{(pA2>-T0Km*chQKPeXz{-6CU=Z)-t1F1*3 zn9v_fKL!6ij{Zx8-!{3J@ZWO|fgZ*Rb573@V+oUsiSZ}biP-OP{U{LWHo2HcKlgd- zcn0@>1Mw`Ai|5DdpW}Sa|2h5-T<*sIod5g#QTtgq)%Cjz4;EoJNDKFm9Mk>po`{>j zmAEJ33j8dtDHlH!Pe0EYvfg>_Fc9TtaxqnYs5|O$|0)oAD<~IJ`Y8X}DX#njuXg2M z6Hke!zt#0O?q4$9+`kM&x=k)7(m(SO^t&kgnRn#44%d{6%j4;vbr7{A&&D{P}#(7t$Q}mmr*W49`#@2FizpPY21rVa@<6@m>NIP z&vE~f`{yF}Eh!gM`dKWaaAP6s~7&hLouMJ5-!u|KU81@pAqahhEvR8w-y`Mufxx42O}V%ruFw2) z-el(|#9Lhc>tbU5H0=t+gYuhpcaA7GlZ%P+8+JeR8gBm}aG~2jp#SjqqxLiEIyY_{ zwMlg2#!=`$IREnZqx#&R<#&|0|0~*Wtw1iOzNch>Q=i>2mov89or1$Y0{}|Vli|>r<+x+bmXFr_( z**OY~p-e6&=6@XLGJcMK0}-#u#YFtA?qAsW8Mb2Qf5c60+*215Pr^?4 zJ<7mya80?mHeUYRC*U~`d;eGbCax(LFNo{UxC!xGgY({x=J+74DHjv(53!%&xi9uV zfva8r!}DMMezg5@{k97K&Gp+r_-&Jm3IEM=VjQ>d{8%8yEhZNe;}`A|GymK-4n)39 zE++EN_k_7`$M=T=SK^v-v0(qM7604n`4yhCw0`H99NF(sE++aPt`k-|5FUL%;GxJr z{{?;?ZB?wHJ}4Iw@g7`LE+*a|nsb%Y2wdu~fG&c6`#C?Y*37nj7#pZh z$3W!U{oMyp2@|8KIbPH>W%wEFNayxfhy=?6LPrvGy^ zc~sxl2Y!S8elqOOvo_7G8;GgzpKzX;{fC=>iu?`={$U{Mj{AodF>(He_Q7)tw4cD2{r-uVIDcT{&u9Gn!;|xA%Ei?8j9Z<* z(EeIE|K6(qwEF*wuYd6euKqLeEAjec`f)zk+Mie(>Dr$NdDMQm&&>Buxc?mZFy7&2KSX`;>b(B2VWHj)TPucoHw^Wb^)KGf`p*Y2H5PFS*Z{>nDk?59=pQaduvx^8{_=6Xy>S(GHkg{6=1X*tId<4~Q||*NP_3 z#(zJ=ljHXN5dTDs+f6Pyk%hLyO)Z= z?uZjb-~N=bL2t?yG3ZS}`_1%^_p|Wj_7ppCA3cxj@)8x#-y6uU|;_{g#R$-R=Dc`5*6R(|^j2pf}|q zF_xPhqRF%R{7#bT#_uN+;kU^}$N#0vVTbQuZ!Pf4xTah@C!c-`ZjE-IERc377ajY{ z9}Rjd1{4TAlZ%f2ic_QBl?6i23VN$B2IO;$&@;K{=|33sRyPZz9_6B=KQ-pxw3vTJ=$Tw} z^f}K(zd8Sv2tAXFjz0IvQ;+-S6QO5v(b2bc`mLBJyf2I+jVIvxDqM@s_hVdVp~s9w`cN1@Cw?}JpIhRG^7h%z@%#w3e~FKT_OBz}kk{utv!z?C zf740$ZE|rR`fvHq_Xg&_$oB@83(pggo1SnjO5qoBc@E zJIY0`{!KVL^xG4z7vTr`ea@c+`u#V@`CPx`_&;%V82|J4bmIN2|9sDD+#lZG5?=`K zZ~T3_ct5Kj_cz%5Vb9pO4`NI8{SULxLOY3mj=!gq_#Cb&7oGV7t~0aTa{W0G<<8`y zQ~vm#!SyHZ-%b2tc>mz~Q@o#z|HLUF-zLWWvz&)yzH#0$(9ge#asS}NxPOr6pqSoG zqOWi1kNo5OE#IGv$TyRV&im7(!-M~mP7{M&et%9s;{9y=>+b(&`RakGJ>CC4c6-S8 zvF8?ud^fr1`VgDqY7ci~BPeGq@(b@knsf~UE?Zu>p1!CU7|v7Rv9S$`5)?lc znDs~GzG2EmZ~ySvk*IeFKXzk*X!}eqI_tk&TuiO=Gia`B$L|6Hf-`Dj?bP23UIZ+k>%|0K_upk1CnkqEmc7ajYIANOsu-Y4RD zCKsLdW8C?%-rQUu>W#@or~XVq99i$CJX9d`OfEY5gO(%zF2i{ZZ!PdnTvIMymQR1{ zC+?5^hlp{9$wg=UVd=Crrk{91Oh3_if3Ca!Wb0f*U=M8o_fHy8_e?H2`~O;(gnHY$ zT@3Y>=kK!q#{1dwKl}bz?_UsOy+>MF{SWuE`t8L*uYI%_^xBI>lV|mpwBh45sBa4k zgl&_H*W~km(i6e%JS=Z{xXQ(A$W9iTd$=R^Qscvts)vej&7f z-2WNxXZ5X|qW;+UM?}3bx#-lN$!7$=CtoK9zxjKL^grIu+PD3i=>Kj1rigyu&cE0>rIOMhI zi|0mt(b>OH*M8miU)oRO=CL6j-1l#C(HTG6IzPr={C>uM$AQGS%jBXn{;IovIrP++ z?^lW;-);XP@;~0s=0D&6I$sLwPKnrNfhPZK zzu`HZtoJ;>GZFRPu}6h++N^mxTah@JfD6XKcsy}n6KeDEbTk0u>Z*3^R~wKKkeptVF{siZ5M4sDj^)Avozn%G)-kbN}{dw??&~6Qm>z{)kLjI#J4&EW!{cQc? zdm8u6^8GFGXW{*g=fA}JS$$jQ$NTFDlmWiK8u8w0a?#m;IW4xo(_;I}bu9YN^{YVN z|8ZO4_dD^vfU=tSaa>a_I{O!R&Ij|I=YJ$3-%Tz$`9E|%%00^7&^H$NFs>;Vo$vSd zKPu$k{%49I-dz7-{Nw#>`qPe`-v#@L9}M>G{H}06t8eElA^!Y+knuON+&_qGu_XT1 z?!mrj?H=t;4Ssm-pS{1F7~21~h_YmI@x*-lH|7J#=Ovi$#uz^F&A6sqT$0zHJ}jjB z^tED0cdq|2{p0;?`mvnz`)ihak>6cYE_&ZzPkcAZ$x6(ZUtA#iA(M;F{tL6ie1m<< zKN9(7ax^TGc7X=1edyy)AXe}2@vSqysIzeE4y{cQaCp2Tu*ztZZ#scvkY;w^#KWzH7A>XDyAclP7 z`aAP4-p|H=)D7?xc3WZnDse$rziNrCy#9VqA>S^;cok`#cqi6h zC>NdiL*^6TzotJ>;6=aA^JM%x@&!*pyLxbLslfIKh86C zw&8u@#R5;qHRa;AynfyK&+nudZ+<_Oha&$BQA~xPOKDAMa=LukQTI zj0c0=nN2a;eNZ%c*8a>Bqu!-r(Bt_n^grIu>Q8?b`GoO5`;SD7`%Nx7%+ z|4Bq!Vsg>x|5~pN@wVR&L^>E5Z^}h4{(C{c=eS@u@xoxgM?5Z{{^R2KbzB_3j=MS3 z8?GO+{>1y)^dBGV-}qSnxQ;+Qt{((iz0B$}oq6sd(?1dA-sGZF{+Z4x-bx3>TXf=Y z`h#Nni7a<~t~e;4etSco_2$>L{M1c<%jf%J{);cf{1@-fr+@PX)HA#*@%L9n_B)h| zUjOro&_65sFY;Z8@e4|0fsH>KKBI>Z&7w44Nm!2p+u}r^i{>iQ=yJDGr z>50-4OZ0ote=z+L(QjD!6P^CU!mW?`;;~U*T<@oUkMu<8iDmkA{GWh$<2{YPKa%)w zxTajZ)A66-21k8yRn!-q-ybnM(i5d8I{NmV=W`fmo(`Llttq(PhBi48@@)TQ`&VIi zPk+D1){m~heBul(M?Ds1~j9W}D zI^!4a^I^Vo|4$=MrzjHRYl+|2gOk zgnK{YdtHH7s$zD-%pGG5ZAxNtMmH&of^J3jGkBE<(U7WTy(}ygYHGX zy$k-L>?eK#*OZIz%G+nSCen}kiAXn-i%$A2Mfqv33E%%E{%-jGkH3Et?`P{D=MOr! zg!#k7$HV*~e}5p}&+2oZQuDoG|576AlgY*R=Hp*?{nGYNBLD3CbP@Sxa?!~@hGV>` zpNM#yTy)Owt*d{)=V13i%-4UrOP#wf;(j*$XTtYR1M?K{H4*QvCKqvQ1papQ{%Ywo zHpHLv*p`l4Lj3LgOr#Iw`&6IrjW&NPqTi=qRpw8*PmT3=VWOv5Eq8||BmSFzZyI?%)1QUA|l?S(H|l&0{!>D@wW!O@lT3D zkKZra`(wDD&%Ym{V4w_b7*Zh1J>{ZP{?}XqJIA2Cy{EwQa80@B{C;TN?`LfO1@Aq! z{vy5*(!WP^-hWIV<;V0zlpB+aPWiF@?})4Ie;1J!CKsLY2lokZ-IMzV5?6=)16==% z_p|wD^F-Ui{14}mZ64`#Jd61!Zp+tShHF0`^u*4zs2>TrYhQZe?&>GM_t5`eYkjnH z^xx($MCKdiqL+VrL7(|X`=aF^pUeCQA>QXY%>q`5gSm`$vc47ZL9rCKsLekG-OgbTj)R(#@VLI_YQOq$f&G zEYnxKMa5g(*YTHKQFg_BY@h26O#j4{A^kc3%k+gj+kV9Rwe(ZD`)?ZmlPyHuO~v!^_SsT?`!Dyb@{97 z|F6CNaWVhI3uFF^$K~4}D<{$ur6)T2wod|bE{ ztEIm!>Wio2y@lgq(P@7yob*KLiDmkA{r@%B=l%iPe_|M&u_N4ek!^KfW? zdwU8*x|v*b((hIMLb{>+Svo4+#8-Oyq1>9^BFe4htLT(p3nx8MdSaQr(oIyliTgVJ z)z0}`P&-)zp5YdFQtR>UG(yQBy?GRHWo-d%Ef)GZ{-g5MJs=_&+-Sl zHa~{^OOP-^RHE^k3}Y`~mYT67p>S$#BvWcUQko`f>f0@6X)-k%)N*lZ(#$ z1J60+?@qRlF7Q@dQ!YBcUs%_EU48n=aR>cRygc~N@khL$&A+kR!@kS0@%#;*Q7yLra#*c`~3+K?S{!k zr~RmFpZcsfjK9eGW6zC*Je&S?_4zx={GA8>esbb3a80@B{Qg+o_}5K;`<>^x!GE3~ zV!s3ZhTuQX4~h4)=~wssg1w@TcNjZALBzX^Jy&$z|16yJMCpnDmGwFQh4$a(zr>Ys z{!4V)f14+ho+v%>m8}0Qv|q}1QTZ;G6gfP zSNO&C8>2IRjQ8v5v)t0Y$Z|{jqE~(yPI{vB#C@#K{&!EyU;C~&?s=xb%h8uoE}oq4 zKkLq)Go9=B&oNtPNbogrb%;wxbk2`^RsX+0`W+whU%V*hzvz6wW%(~XQF>yTeqWbA z`7O$CvCMzziP95a!TKG%XIUPeTOisklZ#IK)xmof^?UH%l}J6xMMr_BhSAq7IuCyH^-M5MXN#d&%EX{UXCu%GyFu-_J)^YeyWg!~jT@$aI2@zW*tr6)>HEYaurJ$8PG zxGLE15uNjQ?3@wliP97Iu|9uyg#IUfH~7!rAEAGcXWNf>zn=b)(Z2YhXjeS4#J==I z>4_!!b@Pw&_LhF4?20A!Iqyw50-4OZ4le zpPjpe^h@NqOGp=>n0`_o%Iv?&-E|HKk=aue}2Ca z?`O;Z-q7dz3GFAY40gHx!skPtwa@)C{QU^-zY$wg!~Po)@_nm+S+p;r9++REGyi1a zq$f&Gd96gzehJ3Qx6sW{hR!qa;~4XZZGh1TvIMO>t}V_AAWz^xFCE#oA}q^ zyWNI(K|cNK>hpKHTkpes^>qb)2G^8}?<=wYljwhBb4>7GZ2V;3>DxFPBRm2iJOu3l#63tx%zeAf9mER&&Ou*&3+&2H;)SbC!QJo7mxD&PkMTe z^u&FsKLPoCBm6z2K$M*(<>HNw|9e5dIU?9kTp#Q=#Sy;!q^IXdPu$n~Cq(~6j6Ils zP4R@h|8~yx)_DH4i07DGbbh~`;o46GeUY7&=|@7I?Z4{U=l2ag_lNHu5?=`4J=po- z;eOUW_pf4nVf$}I_M?=G-uR>L{+qh{XD1zwKH@L%d!wfncpI)M7oFdqsBQmKn0LGz z_P$==&u~q-=5bk>X-t=JwK8$P1MQ8s9{j9Tpy@9&J_m>9hOd{S}OfEX_FHOu@Q?Gep zfzUI#=;#l77GYn9->;rj;HlU@L%I06eEVVHu8aEOx1zpy-M-at^#uEgi-Y}^*ps)< z-x;DFe}55ESNv2fB8r6)T2gMS(P9x|&y_-%60@!!J1uIY=gYjV-E{|?lD z%oE%1Z;7A4HRYnS{x;^WFm507jEK5F8o$4Km*|h*xqqUGcAonm#MVSyLoPy|?SEg@ zN4lZ^w)7Kk3+dMso&MX>PkN&C#8>tG@~3nYm2RSwe$AIczt@^qAp1SaMW_F>-~SvN z&h70HF_tj7I5uB@_KN<=(Y^@3?YUx!|MvSs*I>MNOLz`{cZm9l&hHOdIO&PIq0fB= zTsP+a1FHsKgQiWH;3bIBgWk(7oG99rQf!g z{^IE|{l#th@@M-aF^6XRC&dfnzDaQ(?*AP4DU_chkzZdg@I$z!Ts$)G|0_YC`?l%7 z$bH}DSERT9o8hDG#$)7So+eYKmS+D);n z{ZzddRqsWo{gg%MQ8jP_gC-n{_DE!PhJ1}TEDS6%-irckZt{gyc~T8 z<)SnHQ8)cq{F!?Uq>9eo0T1p17~|)ozMvH$|uYWc^Fuy}*C_4hDXkTy*@mbrO`bJzYO( z+#klBjTgi)?&SI@-(TYWZ2jB+DwOvXjmG}>75Fw>Q!cK^_n*z&ReE;G+w&}(9uXsPJKVdy=T-s<%IJUq$a80>*Y2N<{-$J+-5gvbHYYwg< z7a`Bu-~Vak^_vlXe1RW9`cW>v+0jQjcYZIVek+(b+#S;cWCBCn4PR1%3+Gl#3_j?XT$<@_)@zG35W6exk{< z>A&WRsCSPT^{xlowEh+AEjM@BJFwB9T*UpX{)|&mPoO*Vg)Vyz zYcwbqao^Q%pzKj!Y~j6``Xc06{YC@jazJi8x6`u+|TOIdJuNr*l5hY zy-TJ$@GU3OWLJe^z1KtBIqrDpiAmeE_(WtnSLn0Q)2lgd;Yo6pj`CI zFa2ct(|?iaPPyo%|GeWux*hOjmrOUxMKAq&?h5|*q6{-0WDm*{<)Y{RoPJ2R&%xfb zE?Xxw8kCE;pUuDC?a)J9`@v_ig|wqwggmQ1_z8qV`!@vnC-(d!+7rk{$g}#x4h?$4 zmv%`#%0*9qR6mrHUd*>5{$gtx=4~MtAZXF9RnWBQ3~_bgo_z4nj!L_Ox8NPWxKNKb#N(^s=d^qMpdEdyj z8H~$Yk+K68<0F4;Jg@>jaUN{Nm%C&;M!AUl8U0|d7ySX_m3T{(i#zuRotPeOH zjIYvx&td$+^Yr{c^9O#4=C}Mee-$o}&k5<$O(&(B($Ufv;grryf2ODMA^KO0zx+q~ z(N3_n@mZ1i8~z_?|1auKDvrk*uSIzLZ9JvR-i_h^BJLOUCl>WLEQY^_V?1?qf#{n| zE*_rOUwc3FKG|rjeWAd=#Wm&PC-eI2jthG0E-VmwCKnz3#%iPw^1pG6i2skq-)hn1 z+4v7UJm?KPO$>Tm&*5|8{k;AYL2u9qG3q@bnmnsN=!~d$ofz~6ogw=AT({vqB(CEm zJ|5O{xF0Fr&)T>9DEp=_Vr|~!V%y0-+TR}a#dD*+xV=PQc1770_qF|+>DO~|h=1bc zA^tt0GoRXT5ZbSAVm!36z+d5-a`Bt_^kX=lM^633(}O;Lk1O8K=Krhug>+LoD&0h9 zKJFEfemi3Rix0(o7oGV)^Iv+R^u#iKrJJa96Zduc$!}49i)H>xPn4ecs(!ZpSbk{e zx0atIhJI`Lp`zb^Eq^rVtr#Fiy+_6Wq(87Yei?&yuW=CGr%?99)=jvET!g&n|4#a& zFAsX7(FahUq#ots%k=-#d{*PH!hDv{kM=*U z%hph|UyzI5`zX_i`b2Nqm2}rOTet zcpl^;?q|!-#OVK|=s!unDHlEeJC`8dpMWiv-^kW<^vRHm-h8r^6VxA;AJ!w1^@eiM zt3PelAK2|64Mf_dT=eW)IM}5=?US@ix#-z%-5>Orcho1TN4e= zMAL&@^z>QJ8-E+>zsPcH?Ls8vS^J##<~%Ov!$r<7P%e7&xudSYIKLO|HPT&vQi{5-7V&CXJ9`gsc6?iSBxE7Dk+n;_Y;`wh_|5(~(587kOMcmK& zznZP`!BNm zQ!aY#H|;Rqv@dcVlycFF|By2f4)gg#Q3gfM!&5GL^YIMFd1UH~oKLprMtbwf?5C{% zd#Fq6_aGO&{%=3zb8{Vj|Kj#8nQoMexSvgbhGV^@zQ}x|T=eQM+kcL~*zSuQcUiv> z>5aeEo(MlV{yDl!j(aE;PEv|=(?6)Wvz5Z+H4(Or&4!g8V)?3O& zul{n~)XMFEF0K4RE_&s68tgFNr^CL;e5YLW@}K=g8*3o!Kg1q^G?=di^Kgzc}t2d}Wumj{l#5>d zpMrAI#QVZjlpm4trd)(P8-Ipl|3!U~?H=W#*MIRnmwJ5v6{$zL=;_-y1M%nhgYhRB zZ^}h4{w(M0cUb;K#@p&eq}Ttjong6S`y;a4Q7(GruXj8AM*ed>UF3TM<)WAWJ$J$H z^AR3#5V;Odxd?eS|Li>t_WAxs`y}mBE_(LaPO;r#`z5m7pJ8+g z>d#ZL~i@x8yj4MQ_ai;`!3!~Xr_e}2{mylb{EX!MTnJ>s|OJ!#_saOF08QZ=8l@f-6C zB*wWGzk?61_N;9Dh8~D?|7|{N>u+KhT_vrue(k?tx6?iQthxUWoS9F*wjFY0oDVF? z!|bz0eIJG6Vm|8)+v+}R#b&gd>&>q%zkNuh^g80Hk52yT5kL9QWosJO@?U5S9^d<0 zZ#ZPzk%zyb+VisUT(Jga^=|t1+Xo+fXeHmW<)RnwcKCe-l>2Rm)q7IwD5Thr>`B$) zm-VSL2kEt)Pg1EL5L$>qafZ47Fx39Wvc@R30&}iGY9Qz5Og37{_QJ3J2%dg5T5>Y( zVDQ3ELrLhMbs*cn3TfnppZ^TP;muvnAdJX5sPDsXHkO9x4!_pFU}h5a7c33mAL-KgJItWJ9=-05%EPb5 zvs)+QP3aM1>GRj1wQc+e;V(3nhHu`Dbi4_57wnBYn!WnM8F$VE_Itos`h1RXJ1-(U z+BvW^{F0@JC(7HxhmED-`=K{({vne4Zr-O zcrMES(svt6!_WF7Jog=#nMFQ>rQzo+!E@mg*>g#Gc-AkTgv<6BEPX!Hv5mHqY`#z) zo-+XKPgx$o(&x`S8ubJjy7;Ze((sEvi+Fwz;lV2PYwWWKzXk32na0xR&&H}s`}^=8 zbr>uSKV&$<{S@N?usORtJXcKH8^X$IYe;$c$^DUz=VE4o?d`ec;ce&VKcKxu{cSbN z!_PV#<>&S2ACYHZX?&Oc7|*>1!%MIkcg%bBarGL+_g0K2!QMYDfBpe;5gvU7*%Nmp zXP!TiE9WM8A;|tg?4(% z9SDCK-mB35f~EdUJseg5FjM_t9xM$%6Y1Fb1=>Tf`6uP!InHJKF!5`~(&x|m zF~WTX_4g2CX?XS*?Z??)puC-2?hl%_Mr(FRPq6g)eD7@cNB(}@SQ>sU-bq?059<*g zEDgW@Kj0ANb=Ln!wfePg3HyEq;rB!T-uh$g=y}Xo`uve>cSfK+VLSBq<s7<9f2nxPJ7ZDEBD)wxcP|@b1!|4Pjn((HuPg z8<+<|9Ro}K*|;FoFS1#oe2zL8;kF`uz{dY_pKs&n^>0V`Q7F$O#;qGy8cY3R`_FNf zagl4s#@!p2A|4nUuV;GAFAu+b9-jYac;C3+Sn3bwyIZI?Y_Gx6@FUTNHZO<&fIJ3EpT87if(FVb=R?8L@Ejj0 z3m-r@v?mMko(-0UU-veo=Zom~-d3%j-|$uVjee5EF9wu8pYx8~2}L$5jN{fKILF0g zmHb*e7vV79L^eNF!Ji9|E-3#C!7B4RgT9Y)FaTeUfUT#?pTB%K{DJRem2n@#AzduI zc7(xPwx8#?uQfJ|`@r(_zXIhM{oUfX8%x8l#<+~*2#(9Dj3ZdySpHews+9lz-Ua`U z#d{>!tT3Ou`uUK*WR?6K`zMGe{2{B<-{GiRY_HfpS81>2vOP!tJNMhh()3*PWB8A> zA*BIkbo9lfcsO{r(Ei&!2D} z(h>PJ@pZ;he6vb7QIhHV#1BKsGC^zxMt+!aaq)g*>%9zJvc5;ZPO^|8d3o zxE|p#rf2$trT&jX``+SI4P0)Ee((}dg@cq7u@MGXVSfxE-ezCnGJC~I|AG=H& zjpxy}krn2B$04q07a9|9HkPL6OE zd3;AL3E{~~;qOMc?}mA=D)a6fN75g%%JV0_gm9=clktuLmZs;(Plo4{Ri4lJx(>#- zWcz*P&!7Ayq&@1(6t@2r#`Apd?V!yaf<7B8^`Fmgqrcb zJbVYw#ux}JP0xAXLp*T6Rt(U!@>t@yZyFssk-y6~YzNT7umYddQ z^pAg1Ej;FuZ9f*-{9d{L^oQk*Y**Nivgq}AF5Vv(Rou@vU=;jET9VBQ>-;m0gdcy8 zb!>7|x&QMnKsg?b@(ETMf38^AuwUsVTU*MXzvf-=<5tWkfK~R#tT_+Q|0B#tp?rd+ z<(cc)T*sS<_lzp*{L{YyztKLE*mqrgzD^(s`^zVXb&P(00Kb0&;{i}7j>Pt4m*EHB z8N!oQ!ZV-ve6mXT^`8&n$;Qs>FuU|;)CWU&vdZ(9{&@&bRteumyGH-XD&cLPJKllG zD&a@|C_JC65`Icdf3iyWMtnY5CH&N%2Y<*a;U~xRB&&pP#r!3!grE75;15|PeB(Dm zc(O|P(a|5Wz4Lt0F3a2etMU9paMB{!*jiq{W=#zKkX8I?jSAt(D&dzc2;s>p;TQfv z2v1fCKjSAMJlT$4<9O}E@}GzBWR>SH`Bex{RtdlC&Jdog5`NgaRotPgu z!B`re`}dj%Pd4r?_lNuCxIcufGJo4U65;UZ-WQFf{`5Wwe=rBllN7*W_+9p2>);E^ z4_W2?X#J=Vo~#mn(4U9!!5^|pd7Jdk5T2~!|HN;E@MM+n zyF0$!-S^qu^=Ivmg8yWr!u!8tlU1J2_t+NZXUHny7j=R^WR>tM{w#zitAyt~5#vjupDAtsXa8mhPqy3T z;TNw6;mIogOrIITlU4lLsr*zZ&kO!3JfE!O&)-CUz$*Ui_mvQytnz%OBga={mGINy z2g?sxCH(HTpCe=bl2x8R?&=Uc(O|R zu${H`q+)z`cYNM+b?}F*;{U26LwK@E_}v}uELKi!YZZH-=zq_bU8&IPJ>b$Vdk|U5MbCfkQRrNZzlXZy+B4-M?q~h) z!Mil|xu0F69_6B|zbW|Lb5fU#cMs&E=YRkEVHfGgy`v)aC>Oo->%ThK?SFiiOgG9! z&;H=;L2vMdU9$X8F1q^9Bism-w|QN%-0-X^(JMc8RuJ?Dy%6+C>QOFw`gQZaGc3fr zv!zR>JLRGmf1Y90nTxT@5nbX83p?9N#Qkjfs~dmYTZ{73?*Z74q~DZ_UislSr1XC% z;~Yu9DHmP;7l(8kbWE2lH-jJ-z4RMOhw+1XP;`z9yT#Vm7?yCKm@C(d={S1xi zbzQb*V(tWTQQwO0N`J;yghPC1oYH0c6S#(4#Qkjk*$Z}7)=;`yUK9>98D0?EyJ>{ZT z{#%a*yKSTa?US@ix#-!SdppA7Z{FfA+xS1_BJO9?Z~fPxhx)c*X_sy6W2Rhu*{R-| z6Swh0>(JnL>$EPJ@05$4|MMmw?C)c5!-@hwjrdS5{(jznu9>$U#=JvB8kCE; zpN;?iDErt0- zQ~obxIOMf`vlhwpq+G=PZ2BMcFUY5(5np_hlXw?~xs;1X=k*)+!T$H~cUYJFRV2zq z+|Sxa$VM;9-@&gb@UJMvwdj<;-XmaV9>QX;ZsMJ|rd*ttw?Fm_)bnX*Z?EsNcQ&pe z7jZx9|DX}jyBYl@pA*@ObtcM1$g}#3RwLceUM)JlOXeHpqRx8QRr%R;A`?6qMcmJpAKGbQtV{c1dup^F33*n3IP$+|5XL{q|HPHJrd%A9*Pr`C_=&b--jD+C z#rTDC@yfjZq&C8R7~h&LEb#NVrd*8Qltnm*uNhD-;(j*&CcYbb$p48K zciBR|Q!e6uR)6GK&_n$j`Go>e?@TT_^{+VrdMDuTkOJ8jQ!bv6w?FibQ0|BRv`dzI z%0;jIH_?9Zbab88NxQz4Sx=wb4#6{}NFjn15|C?z!1j z{jZzYghSKSD#}W<09 z>+|~k5YJ`{^G%3<;sRV#F1GUey(goxeg^q%Wcvkajly6IX}vUrUVNboGZ@SU=jS{TVh4`F0-WyVe$XBd#eI&&%6i^ev>*Z(+Rh zVu62*Ys$sn%Ign*FxVY|#*Tg%Vb|oMW8eB|^*iE+U>o(KO8-6Z2_#Aw8 z*vb1}clV&HlTheG%&qCKumT z;=lAn>4`h*Hygb}QDPc4|2yz^QSYw8c>AIPuSNNzT)b*0`#b5cV4gpP_8WCqBGT`-`WC`$ou@{UF>Yd;2vS z2OiZWpBL>F{n=?gbic#l_gs8ya%PuY2cTSh*?PcE?dkl7p?5bD7vs6apP@gbT)cay z^w>#%@w;JXG~#t}ftzqmxi~tnzyBcE`6cGRS9ZxWxhWTMzZn0W>`y)e>4kQ1@^uBG z9W=SGp6#Dt{t)pOJ9wX?T!cJppYvAjJHmWc;!neT7T3e#{jC00l&PMRF(19K zK=jQf7f;UHpZ5WjANW4+ngSQ&nsTuxufG{}srg3Czu#9N($wVQ8}s^G&~J9OHyT^e zpC+D*Ys$s#d3}!CI3L6DTq4rcKNrB?q}0~>`2&s8uQ;9 zyKIfeHRK}hXY~(2+*|L#w~>fz;wN!Ux#-O2OhP?vzZ3IErxo~dTvIN-GjHGgzbN`I zel7Ul6fer_GoM)gn16|vhy3dio$|+eg5qcOC-Hz#e|kg|g%SAck1Tolcy1GHcOHd4 zY>7Psa1FT#c~+nA!~88H&SxcJd}?y>ro8?dw7H!daJIqH0w2LO<>C!_{UyvNl+z{5 zKk+$SQ!YC50jn^c>@35#j?Dt`eq(ZRS>FC;^cO9>k8D1(z;kd-x#+x)*m&Ryq}NB` zuXqoxe+Sp%&g=0zwZGO*ULEqkBmP5dKgFx_{_hQa{yHq%??jYIK9^_c8J+j3g-;`% zXoDA_&L^TRHn|x0pzo^ua^ADE1Nz4n_zdDVBe?6~Dt^-gmdg}r7lkaWxKM`%Q$;CnW{9FDg(rp&TPXh`>**Cd3E3aR-{!e-W zcF}%LMgx<`c9U|^X+N#}y(X4l@egD96BqQBRIRdyhJlcpk1P7mvxu-}-sf zAM5``)EkqFPW@Sja@rc%Xsk#1P27lY|0own=IwJI7VFQrX$4+{{*Q9esXtc!<{!Iski*gFR^`{gFJ(G)$eqH}L@7lrpJm+H* zF9`Fo9npE8-@~YeEPAU=6W9c@5GOU z{@d2`!u@Rg*K9*QKL_KT(+m7Et|=GK$=l!mD&#ZE{e1tptm`|i!boxJr>maSDpNO!#o6OOSr=M|$60AjWYf7vG<^&-EDld!)eP|HJ*P z|4qj89{eHxiAYnEi}yJ8p`Yf_P>yU~O!H`BN%=|jM)hbn>JQUDt>eEZl;bAe`%Er6 z?|*gcKl@3}Te1I4JT~;7Ji{{H&!)fG|3GYi#A{;vBRczWtp7PHw7(tk3!&ZZh-c;V zuWtS0IFEZlIsQw$DvbX)PZ;lK<3H(B$UlsiCw;v@i~~(BPR{#3?GEU@h;Lhe+GT4F zt|1q3KWl#?+Wgl4!u|)e^4(ePY#*HQyo$=%1n_%}ZFkkmr zfw$qBa`7+n_PLLa`b(MqBJ@lyI{FjNZZsOGcN4BJ5cSXGqO%`m?OD)6|FsrnEfM{e z$wjCCvUTfaVLiJk;=SACqVxXEc^Z~G&fg@m+)*yZ-!AOGi}??}XEOeL|4c-@O)fg| zUyO1>y~QX$iO@5-=;(9&)4=$J^N)$QhWW>a=!{=%e05@||NM<~8;4yQ>VI22F<*XW zJcx8c-p_0n_%Oa_pj>p`-&fB-Jz0bKlh+maySS!YT$8sy?=ILuyFKrj0?}@pT=d%S z<*4^4XG`B&;P-G%x%lP0eXbj~{w}O1C;mIybIQed=I^e`5BCG|{fGMr6E6z;3HkmL z?`PW&d(XH6_D(^1iTB`|?dF%fV^Z8H$X#)y`-zFCw z|83th+8^5wEu!5qx#+Y%<5ADsJ1~Ag{ZD)d*OZIS{BPa$pYgYbdOQBf0#R>GE;{v> z^;6KOT@qV`a*!cb4Fn(eG zVdMAb!uW;#N4%faXFtomg9oF4CL-NUE;{MYeI`w$6ZfAaZV&rUn&QfQ`L}(asQHv<)UMs-yL$F1;0m3{4%a77oGVhu9I{B3D?gP z9|`N{+EQR4 z@deUv%0Kic`$5crGsKM{U#{h8mB7@hqC2Tcif4?3(s*fqIWV!sKWX+QDdV7Dnc?_YJt zPn@TqUCv)5!mi0h$Nv6Dq1|~i{6+as{0Oco7oGJ3jvu-InB(Wf#bNx+{m1csX9TK8 ze{4?++5u_Ly9B*8e!q z)mjwhzY^aR=D%9vqI~`_o@w13Wr^vK*4oBLYWNBYe@r9h;g$wepqxK6`* z&-I%`)O(YQ&i4mg|7ZE*{uz3I`-?X zKiNF#73eQe*ExS@yaz;E&=H;STiy1X-|e=M&+~6C@bvIKZ(H1!Prth7i5&1e?0y>d zrWJ_wT$78=c?2u3MZFn`aqa^JZp1a^;>f)Joab--Ir`Zr3;h3ZO}Y5zd42AaWxvn; zvx(^UO)fh9KiA0_f3BY=BHkt!o%l~`qum{j`Qn8IuERCu;_$rxv(ZLz+&KG~0x!ok z<)YL7&b~kDy-*I@l+vf^jw;YS|E+Q@_7u$LNE!_5~FPep!sMbe|3BxrkZyA>ED-5ta$3J$S&5Z@3 z+?rf;$}h*?&DX)siv^yFYs$sf<rJ2KOONk z`ScriKKwi$?GM`T#EWoEx#;Yl;Q9v6TeI~Ku{i_Rkc*IK)1T*9GT-JMT_ECZa?y)F z>~!V?`-w*c`yJ8wet_+y*+=`CNV}Aaj(vV-NIiakm?i3r`_DxBO}Xg!&wT=oFNXaCiQftP2O8oR^Z8$Q{{hpP{T|alk^Mg9qSOCz{9^G& z`A6gh8b?PsJnf5>%`<~Q-a ziaMD1D_m19elwr`T&L!~DXw29UKG}^n_@}*=enh>e~QnA`p5N4mQUQz)_)s+J{I2} zBKaOmx#*o|V*2xdLmV!isl0`I^z<>IAz`*p`Je9vdQ#rOY2v|A<@o%UtBAJH>uI&QQGJJ z@kH1)x#-yEK10((+nGo`%0)+?-^o*t-_IvP&*Y+`U-$bPey2dY{C**kb}1Jf`%BM= zde;>QJ(G)$KKDt}F85C-LeJ!)r@tfWJyam|C>I@leka0xH~fAi5n~sVi_ZR=<%b5l z%TFp0c1`1-(_r7YIF*i=O_2L67Udv~Q#y<)Wj% z`oySrX@Sr)x#;M#ooXWQ*nTD68`>|P#~bfw+h3m3LBDx^M2)ia19s46uW|(gyQC1SEN4eTv=$Tw} z^sW5CmaV^v@Wte!Q+`Li6zq5Fl#8?T^=AU>AIJH}7Krhz$;EYfeXdh5-dw*( zWV|UCo%nN}(e!RE5PBvT9etkDLw%m#lL$SNi=O_iQSZqDsYki!=-Ye7*!cb-Zi(+7 zqBDQaeIE4NzW)+o*W{vOfB&b0{)F)bQjc=c(YNmut`GA+={tsp!~74=Z;JP`{TKHM z(0_hEoCv!n7ajX{PQ?}Q7w_M;eo3M)F}dihU)ub~d2#+jyfMsw@cWN=KkNTcyyG`6 zzOo+^Xh*8!}8r6 z7|MU*ics!(&U?I{E&pq_Vg3;HY|ZHfK8tJ0#e4GYKfm+pc`w?_o&qmJ`%Ss{-n{<0 z3BfMUU!fmH*fqK6*k}CGchhJ`>^o{a$K;~ZesG_V<@UQf7y5j{nd+IHjyVbuNjH^+43{>&|r7!Nd>~b$wklp zdX$s5c^Nj8UI>7Z;kmbo)Gh2+?w}4u21ao`h~5Z3_*E)1oco{jq4k5Ejs(R z_?;}v4ZojFWV%rUt1vbOfEY5t8YQQy$16Qj~9r1 zG`V<9KK-X(8|^+&Anj5vI`(I53VJh+FA#br7d`z4gWk+$fz+d1bo8zLKP$HX;uk{u z&wYULezyM1JTcn6v_RN3x#-xR)i3DHT3R6VOfEY5Hhx9IQL+~Ga{ZdI()wr=%0Tu<(Kdi?^#o46^L~MlZ(#!!N%>u?#6S)V4vR~ z(SE$2^?&2@LGQq6V$^$HGv+>{jbg;W+ zycq4W-9Y(?_p|z3XJos>@0SzN{+L|!_RpOi?OrbiyIc5N*xwTFXYC&}CFmV=m>Bd9 znj)G!t8eA}iy{5^dxlmIvG2#^qO<>p{T$CNn~DA}@wU+awM6IqvV(4lem^Egzi$$K z|F<3<^tPTR20ea1!t{&xv+=im)>~2DQHJdtPVxj?4=T_Ze>PCY*l+Ue-$eAACKsLl zlWQNQ_d=1ak@{T46$Fw!pNqGO-$IkxZh#R9jW-cT+&^LKWB0LGp?zli&%j2Gei zSM+^N(b+%Mx+MC4dx7xVIjl#7l& z=WiO%hVxs*=A>|biwJqP{op*Eojdthmv-(X)w=$&iRA=jtX}Bomn94np|}3_j@|%^&ej#^(Yq|{r+c1J&Ye%{`-^AGr8#K z511141{_u(^h_=~`kd!zeKyR0Bz`l@f3(EU=JSv5dEBSDr{_1Sb(&;4`pezyIz`ISe*`xEBb?LA8K z?jqz_{lPn;-wzc?zbO|T|A!nJ^oE>NAoNTwdiwC0)QM-=)b#+!}$u{xI?K@5gPNgfhVS<1~&!SunZijGy?sk&OSawFSa& zlZ%f3!>)~b4-`l}%0)+?XQ%V~UHd&~@e8=7Ts$iu|GlC=C)yW}i1tP2`wg=%JyCjM znLf&z#XpkuhR+qf`m;~<|6sqTkXDyrzu4#kksl@(FU$LH=PaYIvGbQj^hG8Y zcjWcCe#rbE^+Og?^jk13-MmLRt)jx{%6KN z-p|H=^lOpt??TX13j74FDHq?B_n+aKNI&W)BHc_bI_bxK);#~6`>zv!7yAb&7oGFp zIezcl66PNg9}n{nc79>FpN&7)8Jq77>yL@3k0uwL{RhlHp1aHMk3^okYxx@Koxf}Q zH%<=k&piLs&T+-K!{nkf{$M!9n|g_ezsW`C{KmTaOYeo<2gCU%qR#ylAh9mR_oVxg-bjDj2SdIP(m&DJ|6=-RcT8VIyJK?EX@6}0-xXN5 zI~M6D-h*qlyP~sys_ywMYx;$JU$ayU`Ofc0nE&y9HvhR!&hwMFexA5Gte0v&Ql)rSb=|wYs$q>=IyUt9pb(A7%{|qEz*whkN30wbN^NP?*EGo+kcn7`~MEE z!~LwjjlZ{Iz3e`un|K1QG5)u8G}2i=n>HW)|E1XP^u_}3z%}Kfv;ULx1g-NhU+_SI zH-`BG?jMf#v;MEWKc?FYVoW#GX-mIwKdZm)xS+T0LNVy^{9@|I`+0q+z4R2)d7c>c zUJ^~7)#v!D@hr+I>c7Z!3(7^vv-)+%Pb?oyf9~5%M7on{=g z_-|Mo^fnwV2E7f7MPI+)Ae7G?n6F=1Al{oyE;{c|7H(VA7f+A+;F`doqpZ#@0X1Y?cTC2Vrci4jTQa&Z`qEh_mCL%P$t=b#rxU* zgLD5Z|D3B&M7wEn(P=;Tiay$1voE6EwdaaX`)lE(CrVE&)9>r}_pyDpA58y5w3|#< zw!cQF{fzf(>8En1bWphy_i_14@m4x0-r_!vf1le&yKDI`qTRK86`l6i!bwk*p6KZF zoB@tIdG0{sjku;uP;=Yc*?2580?qmBLH!%GZQSO+o96uPH@)z&d(?`2u_C>TC_FU0vKP;T| zMCpmIaQ#&8`YQjGZlcmn+?VP1+R*N_PAQP(o^sJE|9e57<(~EvS?=*%EB~ZZ{+Ayb z#*NEQ62rKW=NEDO81HAtkINqodMgHqQSVXF+Cp}Sm zVu?P>|F3KQ*G+%he}cTOwST1#^?A+!^N;5bB%IUxWW7qo$-T( zlb$F&u}r^4`VIRXYo8j!K3X8!FO!Q-`?c`t(C#c6FNSu9=O42DiubeaPu=_*_$ibd zq}#x+7l?E-x#*Q#vZ$#8-Oyq5NC^i)c42Uqz?=uyE27r6-o@E8Rq;o4Bvj zPkxK?TP*WmdZP5iSFrw~vtzq+y%^e^MQ4j9&$d5{rv$ylhlxRt=Z~`eiubend!_s+ z-9@Fl=%oMRo1)*3iP3MYf13Z{e%AjbhX=hSr-?z2=butP-p}hl5%iXh5To7`qRF%R zOV5aU*NH)o=aJT;FLtIy{Yc1N|D`AHu0H#} zI{v?^zvt=4`8TFtU(TPi+|a(r@?(BQLY^&u3@1HtclG-^{{NlrbN-6)@5}j5mK)j^ zS$@o~NXWD0kKv>z?yi1c$G=bQWB!EpZT>?3Hbxc=KZf1UOJK`18}_wMojp{qjq=l%ocgAwJ{et%tb%5UBM zn{~h6-7ES?Kl^@I#CwB1S9IPVES&U2>52c9^*R5AGHCN(;>tMxB|7uZHcuu!QF`Jl zS^wEk|7w*V)Vn?Xe!b7rZ*Rn3^+r^^5liY1*NvEdiJW(ZUtB*jI`gmbem#AZTeB~+ z+|s`2m0yOFo+v$WAM3N--_!CpH}0RE8~4x7y*OC)-JgYzd{HS-c81(r2nY178 zXZ07%33>~T5Q83nKal$IeqR69sQ088^==hSp4DG?RM1;^rWo}2`+2kzr+qVc z|FC^1c<*4mxc|-Qynn>|L2nQBIsWg<^sf{DLH8n^5br_H6^L{*x#*-H&xvSnMpJo8 zfxnMy%Eit3`Om$gkKa!<`yzff)t)Olzn^O1q$f&GEYaurId=YrxGLE15uNjIXuq%Z z`MdY@Kk>W4|Bm=2KmU@Ro+CZ6O#jGeU;I$CFCJN9UwWeS#1j3w>EDrEQFg@=`|bY- z@fSPOWBel_&yGK={MOZ1xffOL#gg*R-z~0F|LUe6&p)#IC*s^At5>3P{*i@~o+v%B zOuxqYJ3Oa}?I+J~N<_P9a?xo&_liF9&+LoHcYChrDZt}To zKaEcN8SnS0KHER~pNMvkezE;DI_+P)Ur!(Hj@cK{?$~ohr~R>T(i5d8mgv{bKi2;` z{4_!!bo*M~ycKoF-XZ=X>z_S0(yM=U_3O4j zdn5jJ&cCJo<}c7*A^pVG)R2B6zr6l|G5ti0$1GjN1546RdZP5ieXW04 z^k2k#xcM(S=O50-4_n|)bKQzw4ei3{>nD}K}Q!bv9PyfEwpFS+K`_tEo@EdiJ zzyBHNxBt_xjd~A=QSVyO*RPv@oWHO0``vZ*XJd`O^*;31#}xP(TvIN-FQ5Nr|0iMn zpb^;|6V@$6$g}NVUH!V_m%8V#bN@Tz$^G+*n~`>ui(~Tf?`wTaKa92Z^!=@F`g4DI zZIRZ&~J_k_7l;M(0)@K<=ansdXDtOeW*WUQ)stl94{i>(EiQX zB>L?a&mU-9fw*G+Bk>+wQ!YB^=k68#Uq<_p%~`>|*!X2W{mp(K>o>92g6WrdX7pb? z%J)C%={eF9_oe;>^H>`zWt=9=SWZ7*ZL6yVSyjU zHRa-)^8Q=6uS9+EU!uPFmAw9p2Sd9xvnit7vHf~b^xLnQCq}(X#h}OEW#x0?{p|Ro z?)j6mo>5PB8 zpN;>lE27>#V${1rG=3C;=LjLjh+vELg{Kq|veE)c(F}|lj zjE77vemw8Lg+SpZxOaZ{z9ufh@kShr4PRe9GUzj&9rRW4$O8Q>Q7=9g_2Mmkr|0iv zSC56izL(?qIHp}ZHXXl(L;jmyM82C{^zxtaNGD1sI{NYFhIEg=DMzH+?4px?3x{}3 zFCt#Ei%$IZ{E2?ve*a7S44TfTQGP{d{c-wXq1~N+x)|Es>4%ACPqsgMV*gzAXGrf^ z@LyzYGLDZx`HzG>89&2GCrT&&r`LZj`cJ$!_^&EDzh7nklTMUQ9MJpaPks~SH_`Fm z^qryJ%@{0Jka_q$mz|_W7gA8?+K`xi2z zA2++`JiiamBc3M7=Y=h)(^paMFp=iH?5kB+Ne@gYnv-IerAkw2Q~2>kq?m z-xl?v?f<5JX1I>Ge``k5@OuKkMy8oT{Vu*KAw;1DnQS{@V zbWzmZE(YDCi$q^P^XEwCHQ4VkCC6_e{j`hD??2DNJa6?i=U`_g)*v+bmi{)|`;H@kRJT2DW5-JSl+ zh;=Bliw~yt+$YySxLKz(*^YH9+C`jC`fu{IknfWZ7el^Jo+kSFKl!exdrFMDyF_0< z<*1;Wa*h~uQ;rgSJ-=Va{m10gNYNbZBBUG(<<_P4&({XbKl z4St{6DMr6PD;E2|mG1{~pHJ;`*ypn($9uW&2gjnbel_*{nC@G|knXAHi+!B_&7t1T zIWI@l$2qi%PW@%L>T-mKKI4|4xBXY)e6s$s{I%kLE59w&AHFA7I}`g|Ud{1KIHp}Z zGhP3BYyQUUc@)>O+o$)QQgb3-*Y<)%3r~^&Gb| z|1)CT&V1qc-RO+p^`u_=+#rwWnM7;3Zgv&(#{#%{@>+kiaF{r1U{~Pmaj`!l2 zcF~#tYxVxS=LWxbA1wyIch41l|F@d|t?obI`WL@jx4YkOqrR2(Gp>KOvVW#k{jgt$ z^bentBc9vME;`TeZ*={@_#OGKai^$pr`XryPsJ-LUa_y^-}dGm|F?|^{eIiQIj%#Q zpj~wO|Nhpu`ux@QmC)|BeJ@9}duA7%_HRI+^Bd}s`B8oo3;f6am%5CYccfqW`y)nY z{?X14je2o;)QQggXS{xE@xQ^-&+iY@{~4bS{;P=2_lN1fOsDHeC-$Mf<@%3yj{P6o zzl`X2*sk$AoJObriRW9=^LLjSzsTQRw(CZEzrW0I(uuv*XZI~X=)T21zW+CU{A@Ro z|9p-xvfX6)6TSA6;iMC#6N~i9cTxE+_I3U%-J;Sh7NuW0Q95xzpPc_;IkSEj@zhA-M-OPyJtuVXj{C)+) z)jk;X8Ltldn)t!={Aa88&-pX zMvNPnuN;3Eo$*6FKcH{He^q>ckp9oOAo#s1I^Q3p|1+JgBc0fX`VN#G#@~Umk`eKm zU3B8-`c(z{y!rlOM!e5rcJWJ2`}0`P4SFp{=mya)?#231Ys0v6)F~p;Jr4WP*NXo5 zbJXKO*I5;#?s3uAx4Qn_s=o8InC@%DknYaYL_hspf8)M4uHR+EzBjXr&i=Py?+xX4 z*r#$txi!1!lwXG9cRQ)i_+-%6Md$qk>f11Or#|D#pl=h0r_29|PLxg@&?m?5wtr!BXg@g)w|x+J z?limTJbw=8(f-J9qWmWA?f%2_d%x~~k>5o5P3&v`_2d0>{KWYq?mx_kc_Xun&is*u zgWpUq!f$359slhSeXHpo(7&PcpYuK}e;D4jTN}AXOT}I#{SLD z9RIvhF}wKTbo)E3E9i!;&k;Jai;jNi`7z#Ga)i$8qN5*%zMttIhOtgY#!I{C>AS+b z(XjPmm^WhjIgd6hoKM!DVcVka2{Gyrzv;vIq<;8eK{xz#G3bULCYn91-x+ix28&U* zQ}p#C&W^h4#h@E;w&?3ejtshytHhuiIa2iXgDyfoq5T+yIk1dqH_R?N?MKIpQP-U# zbY>SF{m7eRx*ru|x^ET>(*IZ(cXR&O)^SOcMa~~*bjIHn4rQe!J;o2F7cp+P>x$0! z-NH#HN+%BJll5<}$iG(0&l_E@ax1FbihFzcZPEX>?)nIjE=Gh|_os5fR8o%&B3`qLPW*#EfOw%Bd}WT%nO*E_J?`J)7jfSfzv$e* zg_BN{PAt;*_x-=w<5#|k$~Unn|D+S86ASd5w_^DdIe%s4DiZeO_=Dl36ML&?{qNWE z|0a*0{Wkrd5&a(XmHoKU>Hp&S0sU_JkNp(=&i*SS{BCy9@xO&bzi)aG{l3{nr~lt0 z@wb}({?^NHqWmWIvH!SljQN)l`@Wbj-2Y{C_J76m{ibLA=e{|%9~rUl$n2uC|ES;f zcZGSE!B2@{-evGzqCfvK5=o`3pv{{hO4#V@klFkPZkenunh{BG)KJnLq}cT>$S z7JWbUh28&tX{+DA>TkXI3HNXQi{y7(X&1fkxAwQbeQp@{v>z>oaZmeP(d^0bPy6<$ zdrpixtle?^6wfF1Tqota@9@)e#JaE9MQ8nY_|BjkF*rx+XcrxQ$8jOu9T$ip-TeJJ zrazufr~eAf-`tM)zL_K1+~Kr~&i;Rf|PLxg@&?nm;_FtU$WdD~D^PXlGo%v6eKfd?L@|zLweVSc#-v8`)F^u~L zb&GM__o8U_WcmkP6m_?YLC5`X96!eMNj>LDxo>XpQ#oSnY+ksGH&K2Qi~J{@D4p0-U$3-}K#N^jFbZ{l!UJe$Fz?ZgXJE1MonRMX z&zGMb`bEc~{g_gzEWz`?*g#uGy9j$yf8gUt$ww-c)yFqk{hyTz?IO-6^-O;SX=nPy z8ur55eMQ2a)Q{g9bX`X`Nj>eNr=Na4?t68mGPBxb<8Lb!+C`jC#y|8f#EbhMc72nz zW3X-oyXf72_bbrdir*W4s!4vwi*^y`^YJ(G`M>5KghT&z&>uEgf4NejUBvla>Hmo3 zXVbZecUfTV=1S#|<8YnG>hqP#(jOroBWWLTML2J~0qy)B;8^5d@JDz)P}c=_6~C3= zMKi6 zZ&=k-(a<+pMK!sBn_YfZKv9R4lhd|p4fhkhll z5C2zA6!GtI_**HOJ+0pnb+3w1w?p*x)vZBSy<7~s>Q=EpkJC(lZN3ZD$&>17X@AYcrobei^Kx`15x*q72QSaaq*eEe2iVGSSy_ z{etsHT>r?3f16$GIezF-e+KmM+qURG5%UJ-7txtNuyE3e(uo85WclAC|PLxh`^sGPJ zcgyxaBXnjLi}c7p(~HPA(~D02SvcuL>BJ&^tLc9e>-R|gQMnUU?nI~j*#3`Je*YKk zMCIa8|1*9q)c=Zju`_<_Z~ZK!zm@xMQP299?HkfQBJCRzo&7_*q3sKQb)V!={s-6xc~o0 zd}yo02IeAY7hzAvKW_*8kGZ1xcQ?s-C)!1vPwH1Pe!O#dAmfkZ`~dAD>`6WSTy4ki zU7p@#ZE5hoi1V(V`A5B|d=tI=!(CU}Pr-c0TXIC&%`Wy_|L>81`(B0n{As1K-vv$L zb}F=sIG;@a2Hbz;Ld+k)=VEPcr9!(1ds4sl73l7$RMv6+EwXxFr9!(1ds4qY;^O?> zqRu93DF3vJzcqi?$xU5Z%w<1^U3%bj`POUi#G4e z>!M7=^GW^en^Ep&R4Q{WZ?Z8C_Yb>>^GW@PZHNc$$H;4%WV=DT=(QjFoQ8B?RH@88 zzDedg?IO-6<6l2F#Jd6IkLe)Whg2%Gi(dSbwugE@`IaVG?`apk`p&ZbG~0tsktu4(Ud}vF4H{*>BJ; zdi}?QMbL5msJls9H-cUC>ffBlk?xC7Uhiv?<(78QE5Gw!hYtC_?{iHu-)R@U{AW8| z$9l`Ur5ZZ4M=ac@Qzct2tbdwe@?4lPx_3Xd)g!`r6$?#&@OuI z&)n+}7VYi4OPZwLX%}%mnSO?=|F@tQ8@PYkMc9-2sdu5>!}{O!RZVi;k9N^p|6@I8 z|H=9<*4`KDzv%U!Hco;6IDVlYN&1a;(eoecFZ(^#Uy**ZdL0RS^8VRQvi`9B6j^U* z7rpv36!%|$Ygqpj8}JA1BJ9cd2h9!o!JSP~N4x0hN1cuPM*G=$Vv}5VrCs#ePqwpc zKiU3@Y&U5az4nvsl+}CG8`0`L?4noySx+jTLD@(B5o;@O47&(>(tl%7&RPG*q5g=h z_q2l;t;`9Z{Wrs0qO8O@%I zzrGdmpx(3{-6Y3dw2NN-8T~loL3tZ>r*Qnj=NFOrPP+(u(*Mg33+Z05q)DcmcF{{e z$0@vTj$cITXcs-bjWbaG_d))#{F9A;tl0QN^vXZe$$Vq_MdlmrqL+U|E1O>CnSQI6kzV>)&!}Vl6REd)8R_X8i*Vm4|LxsPvfR=x zdgYhl7%%lAE+*0Y2ABxOB zn^%nV@^9o}K{skilho5LdV03AjF;`NNS(FIk)EFY1a<5`MCz?yiS+brr>SH6EmCjo zdZer062@IDzZ{2=9Cxu?i{AK)`NVjcZzAKje2w(tXE~vc+C|TQ>?c`n?frpBtADVIUioD`Wx82^ zMW&l}(Mvz)i7I~{=8r_qJK4NaB<#uZyQ}_(_f5O#-9P79xqiv{SCQ+Mw2R*Q<@ED| zZf3Pf>Sz}|J?F`J-<&@edH=MF-u=(p0l#DZfBxM~a^8=2(VPF{Ixpv4xc)10-i3D2 zn}6XxhYIq0?D0)<-ime+=acz26ZypXZ>}GUocE?(^ya_W&mw=V-xb;Z&@OuU&*vA8 zoA~@9a@<6_=#8IdBOIT(xqdC$x-IqN!a6qW$@`yubMPD2f9Xe(exqIV{Ks()>mA2G zBI}(!KSz4?kMjid8`Cb*Z#J(G>G{vbdGH^{fAk+oztJvw{B! zzlTj~ti9p!AqRixhVNH~O>V#v{u{=3bY|U(Ipo(XgSy*)e>0i}D+>kJ`aApYJOA-o z8z&;KK8?q%_M?Bd#VcXex$R!NQn@rG?{Df?;fzb{{x)qYcdg|8b^UMT#qaZ48{c|F zxoaiUHRH#<_IvVLi$9OV?8kI%KJ=J4A6Srw$!o3o75b(%cCGcle`u*(Jo@=hO#Q~u zFZ{>yFz*$V`zI^Iy4!#EZHI0?=BT%oyIwkN|AzAQV9d+IN~JBCmqRxqFMrAsw)ybZ zu2ee?9{aIfsoeb~)6{+u{I!KwQc1BsIvvOc6Z{IZA93Orb;9E@HDEWG~s1-R}EjPAhdzZZvJcpbt|z-Vlt zvGDq1&PTXe7=8V*u`v9CGf=iq$Lh?xjK$%*ai2d#dH$raF#JN4gSKCyyn)q^7T>S! zemDoCowJ}jr#Srn2O`|pQD4|ImnaWw-UGj2R6^FyD8Bxfk0RVY!JlB^{f@&Zr#=Yz zd#JH6{Gyi;?qA@4tdN0);nyC7^xTN@Nyb%Buf8lpyHLky`T&$^u<-g5QCI4}gZkTH zEDS$kf20E=kZ!JyO)S2C*St!l@*-B{z*>p+p&O&N8b*(H{T~%yf8tw^ZtPYftG`zq ze#sh?oBL3Hz%u^nX1jyY;rO$Sh5lLcH+J31vM0-3e--~`%Fjf$ z6D7(I>q#9$&c&!VVBz%_p9KG$g79GduHx_uzk&Ai9jNahzE)Ux{YB_~>#w5xgSB54 zhhP0X!lCS}`FCUS^|6Xuhc6d>*;p8U34F3 z>AxTTTx2Z1{yN$=`Gqu%9bqgCKZ5<;A0RD{7z@L*o>zZ?zX!{;KQsOmepy_pkd1l8 z>7PCd=@^UISFrkOari3w#@heHwUK_XIQ>YA?UDp*BZ|YXx*Fl(qg7XzyI-5lyaTiM zFF_aI`tj=5%IA=dc_`0U7z@)s;!OAj&kAIv#Pi79KS6m$|F{781{Pj_!CxXA#)xEX zdU5y>w;{jIMS8&6CB@-qpMdLs5YG!>?PJB^+3vIdBHP|m9DeHK@Xvoi`n!#V_q*m` zT=(~ozi5NN!te`G7OL;X_ylzxEDXQsqqr`{8)W0E;_w^~R6E0X5-hwv{mA-4mZ>kJ zzHIGUg=~wv>b&yFw-ETe!17b(d11jVxIQkp5@il7O#jOFz%L(0c(6=+I}L4HV||zp z0Sm9cXf*uuF9_dZEDS&X(+Kwy^mkyj#PcIpO*kGPYw;_~o zfN+10=P9yUe7~H3V0m8rhvmxi>M!HE7@v@3#wVQrsc+}}5Ay4i#pzl4-w^(8%;$iO zue#wUbG~jp(q8>#B*rNl55sYZao_qK6&ts1z_pQXQk1X-rbMT?a=gTq9I@Va2 zo;B}5y3wz$c~7~1Z{2kv{JK|-h1Z|^ytNON1t%K|!|#WFjL-254;F@B`zw@#*KohT zDwlpf|JFVeo`dU4imzW?48J44NW5iJn4Sr!FKwU1TQy)Keoe+}C%Q0)!g;<)4;u@w zKNt0j{We)izzxX#u59+&d z-{R{}{wS`CvOw0KDGtBS`*D5r*>g`a7N*DY>mSh%v?HE>EO-4n#s<7TSa|*ROD$Zb zhI&vY{1_Ai^p6#?9(Ut=<%jbG3{RHn=SS0zNPh?W$r9-wvIOPf`-lT&6fC^ogWrvG zJcW68uomB@^U`mt=g6-)-!7M5E5Czu*D#I%t0xqvXYo3OL;FnD|EM_p(oZA&*<6<> z*Pe{!cnM?6u_d3An6EXYWeneDD$##c{twcPK8eIGnL__FJuDAoy~H?T#UNZ4?f;5F z<}x*WN-IQ*JtaIffF$XbbU-@56zF2*TjnQ_Xxjkx}Q z#drYa4J`EMMm*1AHMz3!5o2L^uH#{Sq_S|8u`v98lX0II!;$sT#pzl9ukbtC6tePg zarliB5DtCJ#tG%}m)EWTOSpcSaXRO9SbjLK0~X%zgtfR|q^J7=V`2Dd92b5SJ2MV2 z7KUG1#dVQaOEHE33&XENy{g?-scd-JSR5YXAIx7>IQ{_(!*|__a6iGi3RvbjWAf`L zH-}>UiS`yuU;m)6?!9~`uKy^$O0dM34jY@fF`9B&$CwzW$Q0A%Bm-`UhC1U1WONmWA}RPcFXx zL_AMbk3xFTc7cWdS$#LcJ&XC2dyIwQxo+8*kL#aeEDS&GezfO{F+U5InNOU8F=!2C zi1V{x;q@mEMY^vF^$aWw&*#+&#<=#}`h2IzxiQ5=5E)kwz>j8nie>nrSM+K?WyOuxbU%lUoQ-!kh%%-=em4QHKY zEWF>vPoo^5-CBylCs-JM`6UR4_Jr#VU}5+Tc&_4e=Z5kBpx-Y;1u{KS|Zvfgt&W7p;9 z@51#Lf%TsK-7drL--dGk3Cv4@Wz&Oj6{hF6)?;>g{WW7lddT0p{x0|Pjrc6C`(-?5 zU@Qa{*2m88;QBXWH#k`4Ihf(N-qZP=|4@H-nV!xA(N3Yxla=@@m9hTrGW_WGhwx;X z@O%rW4ebfnlfc6JZJ-^myn?=wEHN+Dc5eu8ED^r(cBCJ9KvsTJoSq?%!T)%UANn3+ zVfq=K^OPf|7z@Ks`aPs&1;!6x+kY=k&;H*=_?ysQARe&r`fc;V{gP$wx3~VB2fy$+ zm@Kn?)7$bk6k{2V$41~;5-hymsUN_7o{o9etBr->+y4srgy+1$97mUU&YSfD%E4mz zA8eH9PscrnbUcanuwg7r&sbc$dKu<97MH8PBeo-aC)yJ{ca~Xit06trb5WijGL}k@ z&AT97^%C=lqXyx+Xivy8?ZYgLWol@zX2U;VVR~jA6a5dC89&oMZR>)6z{2a-jz>B$ zJ~Wot-^p@-eJ)lWY9+?2qkk8EoQ?F{X)H|71h!YJFunzA%Zk(AeQ^j+mI*)mJMhm* z@IP5%o@VwDxb9alulZJEVfx3t2jNh5$ZCo8@}Yl;aL=QBl4lp+@3>zf+^x8N$#s$u z??iZvwdsGbF#Y?lM7RgSybD+uo^SJ3(O-=Cl(8`Us4rKnzaI7Fa`m0hEj2tFk(GOk z(^I40S$4P%R-*qM@qL6x{UyuPU;3Zz1KIZZ;`HFnA?x3bCHm`W@Mmo_$|u@%u+X1# zo;a5oz#Z>`W>VnEHmC_IF5(O-|8QCdHszih3k`*p7VUW4By-5 zudXx0^~v8FZ}0N@Q+^Y|ll7kKe!C3M=LGu4o*R13U+pry&F3IIStdQ}QEqsBvW$Oh zyn*m!nd{H_dbmDWCj9z%zhou8Z57A=yS(2G2ZZaBWy1F;4<)XD&=11($uj9bvFIlEPU3LP( zA6lu9m7ep}yX;>U{!zF-StdQTSRctU;aA1{CCh|gK0Bm`EEAsZ<*JNwTWSQ{YG5utj@JsIx*C$JbUl7BCWx_A}MF>xp3E$iCz{-z@ z>yu@!-`oCZ%v;0t$uigP?fON>ABF3aWvlaxjd~eG$_wVugWSQ&pIgsJWGU3<$LrgzdCj98hAv{?od}l1r zWSQ`zUI^DG%Y+|(LI_Wm3jd239xM}n*!>|qStk78144MRRCtto=GS1bO!z@x4B^Q# z;Tyw3c(PRZ8)JB|O!&4lLwK@G_};cxy&cc@cKkf#q>z5HO!|B4|K2|D^!EC_^-pih zb8qvv{)6C8vW!1_+h1UeXZ3|FbN${vpZ3%!uFJzhP_jP(kp&T$hWSR8zc04fd)^L5Y%=P#Aar6&ZCj77kAv{?o zd~e%_-nMVO?e9j$`b(Bce{Zkf+xd{*ribky=O@WB>F0AV!;@vgFOU8q%Y;7&>0o&# z%Y>(Y7@jQCp6~y~5T2~pi`)MdFNE-9ne=d;m+2wPq^Gy@?Fam6xIS4XJ^Kv{;mI=T znS4M9PnNkp{b>8Iz%t>P4wfIXO!(gR7t>D&=_kuvf7*f&o>cgbN@a4RR{0RV*fzB? zyt1ltApSk9G9Le4gBM`Nwzt1+^QPbVoy~7szxnM;x4h-|kHHchwnOB<@wULe?RFpT z6@T-&H#4%1f6v3eMc8c&vMc=>?p?vYY3`91@h(|~cJa4QqOM)VUI#(ay7@3vGm9I|%V^ zb60x4bBZtXR@Ob*Wc6D(hF!$@r2n|*zlyxFZ|gkt@&5pShc}6DQdDRcaXuM8LRQ+buj|nNmgAq(ievE))B5(K5zl;t#eR{D z_v4s$aei9gbw1YGXW$){+na3P2gk6BIG;@a&`#*KVXq3W6Un_{w2OLIc31gtdrQ8G zwdPaczewgE?IP^S`1y_!_sXxmzDbrFdv_^Pd+2u+|NKda2lZ?}wB;GELVHBJcyc=a z#n=l}e+hGC&o$^PGF-m{zei3&*gFuqXBQ z%WFSk`NQ5ABhoV!fBesA_Pl;_{6=SJKY5><5!YEco`+-F#rV!l^nVXM@3D3z(tdf4 z+rz!r#Q4peUFj_zlsk)Gd?Lm##&@;*Lf?)wGX3q(ctTpg@e9ajq<`bLb40q$E;{LFxWegwy~i#>lixrhIUpxA#{;Tgpe7OIN*k5UO@qKAM>ly0} z>t9Bs+w7u~{#N~GdW@6J|04D>nqBKjVrJKi|pV^P9$67~zVlZTVdpz#H4*luKMLo`_6~fb>9{7TJM{T*o^1aK#%7;t zlIOXmlu_x5d-9|Ilztn(9l$b&YaN6(ROB~bXcuA6=YNmq=g~(Y+`Ra1K_tErRiRzP z`J{gMWyt5-@XJg0HQ9#edD=zq`Fq4%gzJR=k8hISlBHe5`DFZqUxyC&J7h_djhC=C z3cHB&Y5mra?!nhI*+6?b7`Xcuul8Gi%)2lf13n@An)qN`sU z(%m?{N#1t@cF{}!pdE-8{u_+`OQeo=(evM+l_B0i7z45#kn|hvq8I08bJwvpk!+txQpztb*y_un}U zVNq{8k8YCnmUhvrzpdVX$90Go<)`D(CYf&9MX&tWyG=;Hy;~W{bki=n>0cE5HuS_M zS#E~HE_(j!x&-mezhPb)c8_+^YyXB^1zjiFf82w}dP}$(+BmT8`_Gz1o z`96$wG9Ha%+Qqp&@~?+}`V|O==ZERv%<;4q+N8LpVwa$SFef%!hLT%Cr6~)?4pzYjn4+% zrp_Fxqg{0Lo6e8ATXKZX?4qORb6)iw;rTD)$HVhqReVSK{tw)Yd4r4bt(^06ME`Ae z@#3_8;tlXS+OLU^c3}?VdCY697g3JJ;kZjQ zd(!`_Uq?BegYm}v9MLA4U7S;p{&QpdE8Y~_U-8_uegWF}#xJn`|6G&pQ*jKti1W$x zTRlhnv%B+O=6|JIRJuhc{VOg-UxjvR#hp362gkIFE7IxbJbxW+C+Gh&zJg=g#YfWm zv8-R8$G1LM=fwMQOuHE0so&N3Z^2x|vlae6I>*a#OuM)>9Y4ca{y{HVzQHbf`Nwq% z{uU0`FEV~VtY6f{p3h%B>VM~~ip_s_9@!-4y=fP{`EOe%`DKV7zvUFtF+Ii~so!|o zmH$Q|pRNBu{%1tLVRq5!KjuA+bY743a`b;0AIAP2+QsYB{-07uxR2po|Ajf;ieuWv zkEQjkwqMk@VXl+?cgBAT?H|7>5YMOUFWdNcpuSAW@#Cn^w2RL8W&bq@_cGRtPHvLF z_)WWr^U3%pe*ik<`{XN|tRdfN7jZtRA9EpesCQ#-%Mta??4ncute-m-+C%XtqE9xv z=&WD2y8gqyyA5@f{dY#xWwVRU^B>cht$X3Qo%fNgdzD!KqQ3sCpcC6>;+WTsggxp1 zJ)-|&j9>hl5I?^KZrA@}LHyE*(uoE7mi&kO<8vePFC*#$^N-JuM(6pl)%>@5+Cu&1 zz8gOG)j0kX(f6BObe{hvJc)MyA2DCnXtIib(k|kBviwZH0^tq_^A{Q49p*2p;sI%W z^zUx_-}c{Z#{Hg!@-Ci-<0Eh^de0AA!*klj7YcHMJN61Za}37;iC;c;{UZ*Tr2$3Md{?cz!4 z^shnvv3OB`G9q5Hi%$FpEeg7Wj?WP~vx|BKuq^b zIWpa}i%$CYV>^L-UV!!^;}-0nqFr2`PQUFF`$pV9CSvW`?BX}l`fik+`rFWcA*~rd zjAPoxx25&_UxadsdDi`J&+)HtOuP8$w7%8(&)Kt3PSJkMJ~BtN8)g@s_TymqnC151 zOLBxS%q}|lXMWli{U<&V{UMw-(bFpoFm_HX`PsV@nDSA z{DktiyYtuc&qZI2yq|wlj%ZWOE;iEfuS5G;JvOwT8R2`gi^rz*R!+CX@++b)nO)qH z*0Y`Bb0_z|W`s}8E;`Sj92}cq|<-M zWwG4fog>P<*+r-PGoNkT^jeP4nO$`BtiLF}w*HlI-%x)WBAS*?{OxM~!`2CRhV`qq z$j0EXekH=5)bshFeph(@&-he${;!L7rS%7PAYpf4y<$m@Kg2QZ;vH%IV&*@}`C{h3 zh_=M+qVxQ;c5cYGwMXX&znfij{J-LHq;n2_v#FXR(qwkgnSWh(9LhPKQ`TLOY)KSXEzzIJ=O@8@#lebX*F_s{azb|b>0@MZk_*nfyOrt@!4 z=qtB}_%k9+ye_}FY;^XIFMJVxs$;x`HY?*ow4bz#^>q3!`jc~f0>`wA&iJJp z<&W)NH_Bf|v_obWo%V0;>!|;jf1fwMNzU8SE_(B~OlMm;U|>; z0|w`aa&LCgDgUjuKU1DXylDTYcIL=-pLWq{|E>JJC6-_Dk7M~2-;&OM+h=|T){DOl zKZ>X~W%r-Yc^>8DL3}G9}&&D~Ze>Q#>QSZzyI`xmw>9sN8`90&o;rX4v zT^G+M`!DWWW4)U&BgZSy|I;oy_0P&L>hSLFzp?TE#yI{LPYvV$HgRLxf32>6v!3wX zU)GXqhU&ZrDJ@k#8zrCoH!UmT}1-5kGXL>kO4I_YOUp^o(@ zBXzWkj(+7V-0y)HzaN?7AK;jF@xXNc&3^#*iT-2$OF3SFW7dJt{3Skw z<0Eh^I?o?VyAba;uwP<*jz7XN?cz7m_dj7H;&~g|8}yYKKa6AAMQ8q%<=mbdP*yUc z+?ri<%I}i%W4XH}M_kM7qEr6(oJqg)`7rx+ij^ zj&{+}FFP#gmYtp>bY>SFJ^fte{UdBf-Z$-{bN_7TZT`GFN6ed>U3BKp**@1^3+=a9 zN1o6w!k%n@`J6+)^Z6$u@0)hfx&MjBAzTgPy$f>0ysge$Mky$N8U()X^?FdOm+*=(OAOcQf`2u2n zF#c*2??~%spBB@7O^!&n*~LCgKiAJH{{#0sCC9%*xusqFAL;bZiT&4{*nb(3ZnKL{ z`sc*+X1bn=hs=X1BcKOrJ7 z%`Q66-}}V&W1rZ57#VMDKjQgh{o^v@^rM~U_ow*&Uq+;Z@Bi`pQ%2|g*?CvRc<;**@tR#Mh`)M! zh(F_#A>OL!^q;m)bWeEh%l3gi6Q2Ld?Em68m+^A^n-TGvU3B8-b2{gZ`TU*{^TuWu zo%v&qpSk~t`v)^F!ZGclv;Sz*GPFBg;rS!u`taP*5S{nO?fYe)z_6c?BgW7D zM;Q^X*+nP*eP0Q>{buAy9qpo{=Xd@XFTekn5jwMrj-K<3X zb+n6)e&KOJxA1}-p)GC&vGwR(7_}0W zoc|P^_IJkFNH^zsu5Xg_ZnTTu{2TX)a@~#lM>F1lW7ckLv_%ce#F$5p9~;MW_AcJTvPL z*B>*o+|w>P<)7>KEWdpJTx7YWUG&PYwbSVPt^F1^$M##CpY|X3nOnNi2WCXN%`Q6W zpSld`{20p1yK=l0$Fz$dOUKXjSKk`apYgwj^jF2Vru8f**}COvp&a#Q{gV5%887#5 zXGFYa7oGT9U4O87j_WX9KwHx$K7?bmNo}Gtes8t^WE}FO?XwtfJ(uJE#xd>U zXVdyFq@UwH?jOpCai7^mXZ*);4)2@m#~E?oW*5Jhj(?Y^%KrNX2kl5*~Pb{{Wswr9oehV`e6-w*3g718;AL#yjoe9mIK$LFt%X!p!6I_=-+ z>*9Sslq2t(cG0KEGgo(B`j2&KuG$dh>^zr>}k&&#S0| z8GnOg+Qsjt%MaHXxNm~%4;fMK%`O(yf36#{{$+eQ)H|-fvV6jxtbaECcq)!RBl$c< zyXbxY-1PIKUfdk?ZK5-NW%rAv|nSlhWj3Sd5*Ymvy0CCbDuxQf876{5#v6yi_Z9O?CT-kaj1Lr zzmf6ME;{k^JxNU0*!zqNC^dIs5L}2NCaZ9A6#w4`g({f5zt=#>?lQjEt9d(TShqRMXv^BXnjL z9X;QZqMq+hWrWV`qNhh&Z@TAlq>gsc(eph4es_TH4`f81m|b+fKfrYY#&7%oM8s=$ z(TRWJi$OoBJ4fnh7acw4FBw1IAIJ!u*+oY`d0No%{R`@i(3xFy^annV#^Yj)cgE%T zHSFJ^U3A_*n0!}^7h?~LpJcqWi%$GgjtV-ye?YwvIT zV_d%%F>W=x=)C_m^X3@uqd78O+C?w^pQE1f`DIFzw(kLU(R+TGwKl{%>y#W3uh~T> z{&mNN?_RFEKtwvw7OX?N80dfha@~tTx4v79x)()Xzy6}AyIl;r^%sf0e$%orZrSv% z9AAy=uc9-4*)T1{yWwy##B1+W!VmF$vi@$kE9#ySqaN)a{TI(C^{wt7+;~)ocjGx? zhrqS=%2^Em<2Z@az!ZsW5d-c6lijQ3g5kDuRJ=e~iB3v+x1$Fz&i_hZ=3as6!? z+CP!&Zr1)qdh2hq5qH}@*l&ioGX5TpX&3iNkDn*4K|SR-|KuDoo;AC;I<4Pye)QWd zV(=TkU(NCp&nNS*vNF_rz5~qm(};R+cG0Q-l^s!sx?*~gI@(1?U)>sX)ys2)&g`P2 zx91G>f%g0%qAxVN=P`?@coOM#f9K=)}L_1IXtssHa!vcnOYa7oGV-&hzlS zrQO|sTDvNy`@S5JZnKL{`t3X6*I~T*2+}J)gyS15e3BR8v{uPdC7oGQi_#4a2xBBrp;=au;I`_|U66ei0e#-bVj%gR2`Lp^1 zG2WMQWW2PCPW-Ir{B9KMzsT=K(Jp%5k7C*5{j;oPM7(Afo%pBX-ud45RNQMutY?^A zbl(4-_Fm|&fxkYLBl6DdqBDQf_DV>1V@8flH|?U6ew%;aiT;P>HSp9TLiaMtslG?BbAg{0DAET}3@R@Vp#!S~+8D)PkUH6vk9mfw!!LcARp~RG(Jngv8+1|Bp$}&{7(_y6cG1xfo)&b256=-gvx|;?MZJY|7)jttBvx|;?*tQt& z6FD+o+C@ii``0k;vi)l!#$9F?o$=T3!$Q2nPtOtYnq73_AHFl_Mhwo8I@(1?Pd~NQ zga0xv4E}2q>*?}u`Yll}UJ~`9Gk$M1em+0*S&8d!8DGUQ?V>aPFyid!x9f9+-^?x+ z_>cR}clY_p*2&)y)=%ws1nxw;`|+@Tn$h|FfUd7#{O~rcH+(P059642(RqJ$-&ewO z?|w5x_-!2KO-W1X-uK;NxNq(Yq#xq>e9yA>IpjCWdd7QkOuP8Gbotpo&fo4I=WorA zxPSAT(NF)PX?7mpZxX|O+xMTKkLQ#Aw|%-BQQnuM{)wmJ80~MF@3$?A^S6uQ{4KwO zK>zc*2Z4V27svV6#c}?X-%+BD-%kqk^;{?BcYnEVEb_a*wtgJxegAiHoPS*s=U@39 zCW{y2uRuTk{opgMTkQv*WqcUNw2RL7is^9MHn{Oueu7B{=-%s(&f3irQsy%_GB z-~ZwL$Mfm?$M~m;vcmp5BgzoRB~|gLbp7Kz4}U+D^FJ9e4m7*ye7|DpwwUfG#F*}F zqS=$_Z*~5jey;o+{)Yd>>XhJr5%y&KTxZ7j1uK(LZ$N#i%$Q2z}Ye0>%|Z+zdywE$Mebf zInLs~W$ypahA4md@_E{Q}8o-C-o~XMLXNV^Us0T#dseQW4zaiW>3byYGu%^I#CR|RVzhb z&wiTw=h%N|#J)MRi_ZQzn_od&wY&50t9Hb6zbeLb?-2d;uihGTt1lOWj=#Ue{EO$4 z{#y;%>(67pa=sXKuZw0+>epNqb@z!ux8^F**YiD_Wd-p1!c!S2Q3QeKInKcq?^A#ME}L}$@mX?AnINcqwWFG>`DE>TY~Q3OT?h# z@6Ry)cs{9bb^c`2vfw}4{{jCQ;XkvBPWylGD|T||Jv3^LXE3T#m>Ovy0B}kJ@)`w_&{&{cl}-0>|i=>*BWb{d4`2 z`On`U&WLRnq7#3sdOrU(&cJwkVUE}0n0C<_zw$j7&O7n_ zmyGw~n0C>bf8u)*_WSGebG$LUKT#9^B<+9pKiPXT2ygGv{5?p!cw<^W^XAZQ&U{o1 z?I!nsvi*(clkMlYBjBg^Bj{N{+BEQ>c z`4{PZztNr(S$@9_e~T=)U%;{GlwXG9eN&$i_hNR@nLlb(zvN-W`*`^Nhp6vHim)gB zZ@;sMqRQVdYZEbUHM@vYsqw4%sVn+V#JJ1sqBH)QwKmk7S*M6d1j^#9wW8VkF8=uZ zIy*kU&aTFI;ZsXTIG>E)_9jf&o!P}A{TWd&qTMjP=(HcUfAG4ve^7iV z>>uR*&3Hap{#yP1$DHe8zCR?!d`DVXe&YFL{L_Aoes?(D_n4F8O6(t`U3B*E?6We& zyHA||;P)39FTcMSXy=phTRqtl{Lej;%tw3gpY`gJkPomY_4b{iUxx7;*N<%+H$9Hu zBE9wFjnCpfuSR)81DWx!(f-jcUY(A=)%KtJx3cdBe6?cx_pVEr$iPu{iCD{gZ}5f&y4W9*+s|yoPOZ^+r0T=yzkdVvnSI(@2aSa^KbL+ z3%Yq%iN2oul==H@+`pXhXJP+xoA_Ybf80O8b${-k$cS}+vy0yMgW~+#{5b!{?=Ujo z`TfPfg7l-EE`!G=)ZVAdH)?lQP1($u{=lo+w9`d zwEy;mp3mQmKjRZ2e*1nm(gwSm{!FLq^itp7_urrK+xQ1%K0 zpqzaP`SnPSXixb4^@{kVzSFn*{{QsDLcN)Ox)|yW-`{2ZiRY8$Z~D%ln=x37x}Bog zllpdyd0BqBR+$my*X*KG{`ZJ}bBtd+FUBu!PUoM+FP$izSfuao`|s!YS^t^;8POKf zuMN>@e=S^#`mEf^4=Q(JAD6%EzI7kEZ?TW>zu)6WyKDI`qTRK86`l6i!bvAeCpvn5 z=Z@o6e)lfpoj9glbjGi>r$f6{AD$!1joC$~{Ol1u+8v8uM7v|x6`l6S!bvAeCl={Z zZ~FEA`#FA&8+iX2QSRthjvtIp`HSaU)1%$6_(ilEc3shFKP;SdqIBY3u4nt*pXHD3 zXTSOXh|uoT&dQPP4(+1X{_Fug%RS@I$a0VCTKOlP@;~G3IBvXN4CBTbXN&&$apuUN zo4HC1I)1-}Zups@?iPDKh`nfTF@#q+@IJY2v=|t(o zB7Lj*r+7uhD;C8sohY5?=tsWO+PBKcPvm$x=AUU7x2FBa?_99{j`&HAXt&KSI_>w6 zFF=QJ+mLVPh;f_QMQ8jrpwIbDew5$Dz1@E(KbHR@%8li#SX6$b6QvW2^zxf1zlnYA zKc!n#y2YaOOD9Sv?#24acZG4!; znfxZoZ(<+%Z_2YF-BUZonC@pqvnSI(_57&2MGQKAzmfMJ&nNZMx`J-ndNJtu{UGY& z`Lupp)IA|a9eio}a6YN$`UBg4TR#v{@60Yb^>0t;>#v0P#kLtS{z%x}^h+o9R^Q+E z|7MP#^KZO=o4*%P?#wPaLeFx;_(hf5 z|6o9$^PBuAzlnRh|FHMR@?X3n=D+B?e`WbEohY4Hq?g}B`AzI=|0&&~(k&LHUpi4b zaWB?)y%O552{XjlZoMM7l1)GbEci=x?+ z`bif>-R)w~@%OVBe>|VmPo5TZlMfezj=!HpeLSDk+dA*&xc)0*-oosnGk-ClNB<+g ziSnDcm-~<7R<8eN#Q2r@%JHkw8NbH!t?4oDwD`s4F<#Lbe_H&~iPDLCxxSVC7rg&g z^&J2Ar~g|`{~KPfc0*LVA@+6qf#=@c-T!0X`M`H??E4?$3LGDS_Cs{Of3qj_ZGRc! z&-hOv{xT(uvZE1^QO=kM+Nm^t0WgUS#`c_Z10yvi)Q@>BL^^RqjQVd$FMWXZ3D3^{x6Z z`|cdtPx_JVCjFApX+JF-+CS5Y_->imMd$lvt?KLN;yL|p!ZkUy)w@V>s!=-soFxfBM`1t){=#_2WIFNB?W<@1piShz0u}*njV? zp7~e(CE9zGKe0AFls^%6xBN>dN+%ZT4~g-M7zbLq#6t?=mrj&U>}&n!WBNsmgDw4{ z^ZjQFC!Hvr*oS)Vzo=Xs_HSkUTG+o;5id^rzrXckc7%Rs%&TJPcgE}x{r+d{)}R}E zxfpa~F_vWi7tj0UU->2~-$W<>xPH*e@1M7-=XWw|XX1OAC+7I2@cqo1cxF2PE&dn6 z{B`}cXD-2b0(9ehc3~XeqW$+9qtwLHW?qnG3}!B`|W!~|LYikWOYu6U#$E(?SG5EpY>Jj zRiXbfUJ%nS9_Ob&)9E_WiG8V`gnYge={+>Zoj9glywgel9?(}iL;M-ng!rpsryqZ& z({-d1`&xf$OuvX{Z~Ct)o|;boXUqHVscBS>Le*ba~ z+Ro~7jCasxX551BmeVdezh69;`3(JB)SrydnO$`B{LXX*dC%`pXWWis+C^vn$^3Li z^q+Wb^q+V}+JBQCLp~$lCcTy;^3Cj`lYb1?7!~vxR|kDV990lM%AdtAqTE^hqEr6p zXMVSt{?GWc;D3IcQE}K4+-%%L}&cLcxVuJJ?1}#zMLcGP0TJj^C!0dU|q%5@9QGg&CM>Z zOUJ)Q^jNp!{sXS#8L{qX*A<=hKMNi54})sH_nqNnd7oGZJzf*>O-kv|j&mjMwM*R_;_0Q4Q zg>nDrheY%n<1qhpo#>DM_eA}v{w$PRu{JrBUlI0X`^)kxohY67pI-mD=s)q^;J>Qq z{Qj2tPdZULaX{~vKlx3R-$ciM_B$bGgz;NLyf%#A%Kd&_sJCNI6f4Ml%qOoD{rb!G z56;{2`}G;WhGW`AXa2Uo_1wR~bp!7I5Np%J{twYxKN!%%Z+PxA|A~0+Grx$=^Ph#2 zPLxg@&?nmu?tkDsA@@&YL_cnJ(RqF!(C7RnKgw_7UhY56Q#1d?D%KM$Un606%a3&8 z|7$(lT`RwlY$g88L5a=@Om!(^k_zc2a1!#$x`S?Uxblmf1z8{jz=DLos)X`MdtuKW_UEv43KB ze?P9(`|of4@WaBq#qiTbj04aX4L?lu=Pz2_zt8f=eBa&u-}E2HEi88;$1mpBNN@bI zH|Vo^vm5oNzspan@mu@TYWwv@*SFArvi`B%-`)3rT3x>wzB9BNBL<7H-PkGm?Z?b_ zqTe_Gzw`5n9N&#&+QkFX&mSYsj`3bEhImJuE&B0~92s;YSBXJ4a-`_%XZ{@Nyrxo_ zH6_PyA^o(A&hKB(x)kAFgZ|DYYjbf7yNL72=dYPJBkvzZe2?b%GLC5%A9nQcbKCn6 z9{$hxX&loozAvq3I{CZBOn*kK$D3Vre!rN0;<`Wmml5kxW)~lH;$MsY1L0=j+euOs8{$svBknyj=_X{iHr=9z!{)MQIJ(TKxT}Z*~8W?Z4T9^`38|{D`mO z_zO4|cliF}bIiOreUuj8>_u`m#(OJLR@B!o#o>MnmnIqocHM{7%zuW5j zFLQA3thaN{%Mta_?4nbD8LqlK=re8!dfUGh&b#Hm75}$dfA&F{shx@aHYh6@zl3Aj z#WU08XBO&t4f_seS95$4?HBE$v;TnU=lGfBFC+YEcF}o%vh%dq?p!0HJs4N1be<;q z?a%0rpc}nJ47$-Mzr0R7pUl7RGm!sBV*k^%Iil}2yLe>Ue-`eRs23lLdhwRN)z=y! z{)~%4{57$Wj(@^NUWz7oGY)pb!1W z8`^&uKkV-Qam)W!^(uFw%AMHP<*(KB59oKh{It&v&pqu&i{ZJaeXeNsNAo z#h@EJO)Svg6?IREQFoW<>xUc_bVJS&gKo%CVuAkIpc~pLM%}ZbuOE7T)ZHQm-O%$z zU*Fgm`q>7b|IqIm*MYQ)k|F@Z*~5!zt^AmoeR$Yjp6)}crT7=7oGXPR_}kVv#=coBSxhiH`q<{W|2|@HshR-pK5tGk-KN{)gW*ZWT3d75jSp zs(3}kEB1B#XzSjr&kt>5!nmRB;2hVXOwcYmRp@o%Ulu zpYt2)k@-=66AS#u{+qgt=(p)t{(g$l>A&qf<_}FTE{{6VnLmx!Z!P{ec>4MMWcn}T z)4_lIelq<7d$RwJ=lf0Ha{Wg;$NrD)UqBQdZv-_4Gbl+kh-~XFFezu#)e?Es8*>1A@iC+83aMFp=iA8$lyQq8@`#S%X zZc*tLi_$NhD4jTAD<(>n`3s- z`F;+=)lLcej8_DGO>};Lv(@|O{2BW{+y5e>-8H-Dw7&y-_z&&A`AU3C0!;SjIsMZ{}%u_%7&MCrr3_MyH5Wry*1psZv>yk-}j__=;o`BHd)G9&imn_c{p)BZdb^n+f@5xPONi+izt z!v|2$c7*pIGQJw#f8hHY@qDuVwex30y?E{aW$#Vk{Hn_P|NGr0lXWtab+S$NJ(*0l z$pVH*5K>VL0g;LV6-C^Df+C6qDhL!6DDX$B6c8vND5aoCDFQA<1RHS+6fK}oq(Bjs zB6Z>a`8?-*?)MJPIrk)AdExI>@_Nndo%`J9Im>g_d(OG{>+Qs2!v1S@|Giav?sM_n z9QVI+;@q6c#mM=&5pQ$#Zp0@FM7=Y)7^#2M<9A1CpYs7{UlSwmFVMb@xj*f5_B;E! zI5O=2SL_RZlON?b@lV}c2T7ti(OFGilfto&ss%1$h^m)}JBO?;{Sr*w--w^){b z*@?0fU$GDN|8`DbtLr~G54Ljzc_pj#f8zGs_oDxEV&98?<^Gp3 zvj64pw`Pwu0E=J5T7ZQsM%Ir^Pj;g0#8>RY?dL4F@71`uKJC9Uv;!y?w>W# zR&#RSjB+tz-@r36&)u{&3j8U;qg;%fzj?XsU+(iit;Rp>F_hB@IRDvM;6G%U$;A`G z{V(5Bp#6xA1;WncV#J>Bd06~c7YI9(ixK+~81pQCjCDB~FXdvyzILZO_g{NPM7+&>edhI;w|C&!}p}vZ?t0#EhqX7lZ%o5W60CquDd|knOuz6TmHBC z^-uA6zy2w1i=_X1ZvMsfW6r~jsEb@b=J_RKWd7yvJG-5=$GpSh6*2FyaK*^{!}Me) z%1(U6KG=T#B+5_qMpV5MU+Vg&ctyo4zSQxn-ioTX;-7l`mET19O)T-B?YsUCeLd#C z><4V$^?Lo%pA2FTaWMoA^@tZ@nLP z-d-T<4dr62{`@iQ+3py>$acqY#Yp=b`fiu*q30Kfbemj^r2mg%k91r7BGPT)ie>4S zohUo8%pUFjWzGNp_4rk8qRLGyD?izZvJ*?}xo*Ys7rB0A_0JRX;QWJnvJ-dIp6&K! zt^fbWBI;@pzS z#mM=km)qXfj}G?hN8%ZN{YX4GJpS4G4b~uE&Gs*1Ez;y-K&q6H>+MC znmjoE)_R>??Lg7l)q2Iaef=6Y@3r@aF%L6h-fMC(GXH(WzIFfEdtX05{Xzd@?@^=Q zp&BP)~NE?8GvAqq zHOofrUm(UJlZ%n@`xX0w-{eR6P5e{$ALe~l{vzgmR<2@X{%3l!6J;ls*~@RD{3gEC z{!_X|rCTgZzwAWWiLclP`yY;9T=(SsCnwfDO)f^(KUx3y-YDyDPP{j2axwD$sLfMv z@$*;lQ9pkbBj?{}pRZd^aN#txZYf6AFNfZQdFJ_8?|rzy+i*>}7&-sO?~I%M<^pL) zxfrppV0rU}C!o7PbnBj#7sUAbC)dyU{K)n9oT&FE7bErmk7AF0!{QgwZ&}+d%5f1%N@V!t*Ck{{;AjB9rIr;Ya4|TBU?NgeNXQJ_}>?@tPjus zV)ZB|7a=d!-vRa=55f-L=^lMolU01jn{pBNgZAUGc3H*x&4evYHolW(l#93@w4ZpV zv+Kqh0>dF`N4XfYXa4I`UH-)e#sbPk$b<2(+3xba?!YE1pUX1J#aRBC&J1a0y2UES zJER|>?5>C(EBgU8?J7$ZCI93F5-SM{S9CLuVww;;~K=f!m)Z~ zmc8l#gyUJkxW4=+C`V7q`_6OsjqrQ#N?eP$KlwB6z7g{7@4Nd(+#mIEci(ss##wy( zt}0%Mxx%-3-%;rT2TQ-T-}RVtasIN)yPB*$n`M-XxF4{0@w8#?M?d7e#mmM02iVuW z|9(XO(O>kB{KjzTCl{W=cVv2)PLb(W`dxaZ=g4r(KO+1jzsZmCtNbj#s~oI+V6Spg zxv3mkzKloZ?DJFfm+}jLGhHridt4*3{T zv&6oI-z#SP)#)z&oPXxxuZq*d@$+|StH@_pUx8ob@6_U2JTq+1-^HbU=Y<8r&g5dm zKHKKY?RgP?ABVqfV!Zq+hdaB)O*u!s_Z<+r@ zJg1po#K`lS>B&x%o%o7bm_)Ia%Ml;6dd+W-HD+g~T>C* z^oDzTah10hBj*+VB;r@PMWtITOTX+y*@+Q*wjZ9eX8)BFb|x3g>`{JZFQVMcUW}BV z>B&x%omghyYWn{V+y7D8kLsPMdM8HekDWI;8}0RVn6HTXontZfd&lS}veRAr&-qWT z{b%Cok@?%pZ9l7!@14nii}q}P^gG*cPWavAV#NP1gZ+T@ruqd)H`W^(CpXD;2Fk_Q zdczbvuX3Gd>f=pvoriKUw%*gV9nY^v;rAJDZ?b`N@|26XU!1=TNdK54o!!_sHAy?l z#h88PmB{CRAwKk#Vgt{xl#4G~?-&sO{B7_X)^--$+$7hFC>L=*nErmo|8}fzF@8@z z&r>c!9<-;QD{c6F(W9HJE_ePHaX)I$@}s?|auZ|ahg@fEN8tJUg9Re(CKm^ucNpNm zg%=>7|D9!vQ2#_^C!<`1JedC7PlNJDvuqR6FIMMe8Ra76LHiBQ!S04E+wI{dD|ciW zN4@#aRC_ z_eiAsv@DxU@u7bD#m zH`brgB*zWP#n|{Uu@`pS=jm?J_IV%|W9@IwcBK0>)Ym(jWWA+ajMd)-FTf7vzwq%U zS?-jJvGQj>UBi53w}+c-9OU|M5%+`sUvRjOcT1BNFXUn@e%f=~S_pfQ;}+#&Z2V$7 z!}y#{xvEKy+mwsAA58zae(3!S{oITu+uE@N3b}~;L3_;~IDcb0NX;9>Sp1bC&_};h zd8SGBJCuvD{%79B&_#bc|BNQ-cgjWF52l}bHOxI|FE)^W%0bB>>E|6=tWuKkO#@zdrh@E_+d^dm{XQ7*>(_v)t+FXlhH-`^zXJ(P>F z`48Ja$4$0>k$$&+!4vYJ|Jcv6{jvWQ+3qM8W9@G^{8xLm+wT+`f9Li)MaYBkwY&%v&ja^gK`n~gZ873L%z}fb{^U!pL-}5WBo7tY4*SDzeV=Dl#8+cm;Ide8)$c; z^&60jvHpYYEc;uuooAY?uEsUwBJKzCKNjtT{l_@8ACdhAk@E)1#aR8db0x?>&zsN> zB=b$V7|TDOb2$Iu^N+}Kr(A?Q=>JuFx^%DZYm(`vT#Tik^Bm@z^B<9Rl#4NY>nD+K z_Mgl@$$pn|F_wRu=b-(}MftP+kc}T^HvbW0?T7hfxikMF%bjvDR{q0IgC6?N;YT;g zev@)B)_)Fm-l*~;SN`IQ{5Pz)e?Ijo_#N?2!`h`-y$SOO$VJGDyhOq}f9*#_UI)=M!6XCpQZl}XP0?adwlvmArF=x+Zof%_9rs^)-F9`>1R8m9owHsdux}TG5ba@ z@{Ri6*4-rQE#+dY{!)+e(q3fz7OrP3{b6AE$(vEU5 zX3up#mK)dqM3$ee3wp-NuVYVVH@dG$+EXsZ?AgyUUiQBt?W|w+jM;OXpdH5#k@hyO zc*gA6Pt%V5w@7>I*FB^52gB!heq;R=`P{~OEykYTSWb+W%T}lYZsm|d$vFJn z7QbiAp5<)ihVmD!+#nZY<;QWF>E`@ZWc)U+d&c5tIywJk`bExrDHmh&-)^6No|k6& z$;Q9C^U`80{oLoE9rry%+EFgX>^I(qe1A2w^VTAt8z~oK>*wY_)F1PoC+m;-&ofqk z23!B&yq|Kh%%1t?yjx_s+x*)zmVfq>v}6A%(%$w@JY)8JexV(oTSeO2^Q&jneot?Q z{@3h5vxi)a*$>wL({GfEG5>L#Wxcoa{+`x;As1uypY5FWp6y>`y{BA^)qk$@WdGpS zf5htQ%+`NI$b<8*!NxDHfj&d<(&vkO< zo9pKy^G&%J%m4gs@H^K37u?)r8-k)-jIG~spO@<<-2WB1ZbG>jTR-7B2d+PjJ+Mjk z8Bwk$$sv3D21SY@P`JasEjEk@OqoV$6Rwe?a^;fAD1dHh=Jp#Xt8*#EW$=?q7=y z%-<;&wf;2%)na57tFmq5tH^EJgi3~YhbFP*j+oroaM|`@yB>Afec6a94M^O-5qu|R z*0or}eIaY_ZhPZaG;J%(lCbWvf8Y7Tur~b}e0??^x7xP6zQvH><@)UwQd*jV`J0CG zBW-6`{x)q&3oDqv315NV-^j3b-y6qBQhXIm*NjJY*zduxmSIe)FJij3?y;X=4=gFe zU|8#ajj?6Dg|+dGdnDy?%M+(g`|_42|FAyHe-8Cwd^V!H?e+Wav30+F_Du^foVK4M zyl?ul+%qX{!Ll5_JIeAA*08OcTMMar06gYGO3Pm`O>L*4ogd6JsitD$!B#!ouL`$! zpv7j(v$1Tsi#`Nx^eT(7!VOw&jOlMc$!5R9ZsM;|^ByVJUs^}F=V9~&)yu}huPlQe zMk*4k`=#lbh|;Wo47;IV!@tE43x5LogzRWM4cu)k4SybXuIo$DdxDkUm#1g`3Z(yf z`1Mv}Y4}qvK)UB(b$z3;RKFKJd1E?uuaO6^RG+657(P!Afu;JK6;-~3+0DJiQvK-* zQNF)H_?Sw8rTUYQ_6BBeWNk^g{;N=~6^!(+`gvM?TaJ2M`68+}>+_A};q$HM%AwFd z+gO_Z4W}dhIE_ix66)s))U*0Gvusz4zF=wi;};`b%rwZ9`Zfl$=-MpIF8|V48vf#A ztlnq6Z!wnZcO%_DM*Tz^155Rnpj_+!gZcwjPAb>8(;b+Z&3-@ZjxX0=x(0eTqW)v% z1D2*|{UPwnqu6}}tH+en{vOZ6wBFRV_&>I-)dCzXdkVSbin zPvi6`SRK5*x6P<#)oj41v|v26F)A6qULH#~=eX$EEmc1$*PpZ(a*H!uWaSOz`hDwB z+wMTE15>=(&E5y;AAg*&)T@2}YT;%p9!Lv+Ek_x=PtxCEEDe7qS^#U^q>GKE`fOb_ zOyrlLwSlGj%MOEoj(|Q`yQy4%$(PZK{yBOH5Z|mU4Zjz2n%eVdHDJmpv+fD#p~kKM zy|Fy};RwGA;a_4b)$fBZ`Rq!fw=UIR%+~t?W?5kEigNw2yFvdfta1FnSQp0Vb`U#e%e=7Tt z58=7_{l-%LWj}=F=ud!7B)mOpqzrz~$RcYnB3H70dXJRrX zzpGe(&>xbOgjvLH>>n_KktyXh?dJ#=qmuD$<^I|2A%u&zNLD^wuD=TPpn3(;4_2-z z*B^HX>J7?@tUg_?zwVnzPloyfrp!{P2S1v=)_!93pZ!C1f}5p)rS)Oe0j@vkpP1I4 zY{2--S?C7lV^%`=sGn>vte+|MXTx=_yvUUD>RE$yBYZNY{dQjiJ@{wZt;SOStiA;4 zISyySz!d-Y9*+8qzOWxNU9dF#u8*R;kfyPtjHUXcIDhyHq~+VjQhoNDm0#iSn`!NR z2Kth=Wm!fx=9i~``e>wMEY|qJ%Jb#=70flNpF`M4KUkiAq{YtEg4I#w`fEQ0efVhY zr_%CkYvwm#kLVfL`FG1=?W_NBq+>qn^SQ>-^p83ge!&wPnI)_$%)?kwABXt^$_*?H zfAK#;4>NVLI=x(f)aOxNA4Yn>>KWzwvkyY}@556YSpDmAefImD50LliC&sy|B+6#%5*Amo)%G)sCLz@Rn!|y!_;bQ(qHZCaF=lrVD>E`EP zY54Rb+Y6b}UPfPH{aQxW{kP&``Q^JVd}?F;NqK5pd^N&Hfc>a*U}^gM4}o7!fIgVg z-}azyYix9@OkipFy_lQV9)kW5W2yf5v!VAC#yhZ*@D$IzInD>js{eL*%%5{^hu#@j z6&z_SO^)-Hv`hDnE%JaMAVwCF}@I3V{V`=#7EQ6x*S6P8^{+Gs5eXfphHIZjfz*7CCTi~C~SnUSuSC{K|pRLhhO%?9xj-& zx>@}-^v*+G!R)u?{^U3CYVePJ3-4Oecj#qU zm)d<|n*XPqgmC{ItLb3vf%5Pt|IDR_Oi9n|o1lkh&pAIZmgaZaZ{d5?PvgS!^jP}e z?$iHhx&GXDBK!&HM-MZWrpI=Beu#d(4e9@3TKF}L^$Z^@4ZrP7)61%;*D3mAP`nuL zGO~7UdH7sMp+1>1ZnOSy9fC|5SG!nmkl!I3Clm5JtPl0)K7@U=vD81iz7^@gS_fJ6 z?`#If0oz@)Ga4x0Ip0Vt-~R6)-BrvZz{)}8{#>>j^w2+(wfB_kFFzal$8onOtv!zA zdQv5C(~tOr%3WeneWd!#%)*?%J47?Vhx#VYkb)5H2h))M9stJ@JS`v2AKY3b== z|B3vPm6OWTvj}OaeG9vt;(Ns(v2+nPV*1$NUHDR~hF&V5$Cu+oAUqcDKNk=Zq;Ypx*3(`6v2YFdY6a z?sUeg2NC`~`1VDgF|6-Tb8LD9;ogY+f|Z2%T_3><-7#rHdv}Z>B~sRkFj3@RxT>n zXMVF|eZCXcFE}4#yC*BZC=b8ypHRO0VLu5>=@*%v`U;nxwkhS|PwGWF_Cb2kcY&q; zS$8w^9>aRdEyhxP?jAQ5Ap9eYrTRTzM}NKy>$70WdLs8rs-JZ0vtViXQ-&kmsB8Ak zt%UU&KCfn&6Wep^6XoGkuXcjdPx1eRi;&-un77_wEKUDf_%eeH_vgS;ea?&dZUR}^ zHb7tR^1A)?X|7-4e7@~9ZeE}HvwnUaH>f_Jr>IY+=x_MG(_JZSyzy~pX3wSoJ8gX-I< zM~q!$YWksO6#d3Fh^fdw`|=$*WI7Kk{b?8mu0Y zrqBM6`YHWk=XaoYIo=@vQ=WsV$Nihm@1)IhJJ%rnzz)YOws4NTXj4$ zaDNCa^=AY9a`qg?Ix^wZVEuNdZ%oi{yb0+?8IvjNWW&A(|4+a>1cw+)(@%Y_JC2%a zEY+X<2Bc**#tX3iH|73W`VHt`f#(3k1D1wgpYQTZrsQ`={W%|g;d3pSvLCaf^=&xj zCY)c5dY7>@zti50eBzm5@u!TX`fdM=a>8@lP|k}Jp4(>aJL)#*ccQ<-b7ac?SrzH2U>#-nUB;yJu>WDYY6uyK%`?B{>X$=v#bX=w_^1H zztvM3e%I^a$Js~^*5bfY{fX?a)?&U2R#%kkcc1R`$rSzB-+_M)ga65{^6+QB7U5ol zb;`YsrRg7c2=q{Q$V$Tg_wav&-V>;wX6V1)`5!Dz|I&Ww z-|5y-z*2p_LtepnG3pb>QvK1FWHw%pz9g-^^ITpP&pKpwdwF^=C&?ot6iv{=+nWXKL?%fO+N_fc}60n?lj}~OOqoAZkMmVBWxl%cGcJ5G^Y2{6o;NpPF2nqiDf9Ckeg2wotP7t^ z@z2!XI(@P>aNloG|8w5Y^xJd5!1bR&^=&-_`eaIa2KY1K`NhU}gioe~Kj%i5elkUW zqt7pyQogTV>B1*d^mqA@(A;PU< zt%ytsf81D?9x_G0-Io`cqW`K9-k)HK{;=OVeKJMAa)Q$*Q}jD#JAE=mf2YkSY4(H#vPWMSs!dPM=KC-_iAuDfhVW$&~Qd?(Ou+6#cec zoIaVNzu-r%y^tyTTxX>J$rOE_NuoZPqCfvyr%$Hn&-MNxQ}i+BT6)M7{l&gMlPUU{ zPY;=*KlLz|9x`QoTyYTe_sB9b+r51Lv*bN4d@?0HRo@=T6#ccne9093RkK}s$P|6P z_rv;Q@Bbvsf7V~?^vRU)*WBpz$rSx{sP{|{nWEqA(@&=8FaNp=pG?wU?DfGE{T07* z`ecg!j?M@APjTUsDdF$vcr<2j7e1L1{*LZP40(?WpG*m#>)O!E$m)IN?eW#nWBnmh z<}<7JbNXaTdL~}(^vM+ct{a^`nWE3PX_$s~PW--fcjCG1zWf5wGRrsy|DIDIln|8lPn zrs&s?b^2tA{*Lx1J38Oq(fKWZlbY>?OiBNa`hQ2CM|L#)9re$E=hKAe>m9BCJ6a!V zKXU#jQ~bZ9{XgbxR=#9P_&fT%xugCcex6GYnUbCzoewYY`6aP$S-So<<`I`3G9^7b zI=;{R7Z*O6629#hpgoc)`a2r_%-KFYU`qHqnw}j!Z!l+yOAncnp4q23eKJLVNBi5k zd%N(-l<;5b^o&M5VE;^}q-RIx596+N;gc!h?|zumCsWpM=RV^738sWUVzJXFQ}lPV zKiSd#a7V|}4&UC%l=Sat_&d5DvZLu?zsdDUG9~?d?xjANqQA=fhfLAm1?gb@CsXw4 zAL^4S{r}R>IDN8KD<3abKk4+zl=N_&m+2u>(lcOwlCYkz^5ZUiG9^8WMmT*kB|TGC zI(;%FeEPA9Gc;t1KGVVaL#F5tHogqWvMG&fb`-vYH!T~PtvuUH!ZqdMm%{e*pjYp~+AHjHZpJm`Vo%t<2m8=f_^D@OffwMKa`C-k`x%Rn z)?IPdasL7j$2H~Ru3>vi=ZAgz#VdUJ#Se$=SHRCzl+z0MKj$xTO}Thi*natMpoe%? z%qegi_VOtg{TZsk%D?Y!=pBQzn9mk?5w0m0j|s=mdRBeR)jzR@vpQD)JR!F^btwKC ze9icCqAVCczprdu6t?f51wFif*8jQ!PscUo;#dTLtYz)UW3@-|8vqxz%6sc~@_$qCW#aSpHXj4fW(E zd?D|t0#P0&7jFv3zlwgo3i+k~#qZ+V7L<9o z{5I=|0^v84iv#^v88Cj$M?d!j+6&s7$ajL5K`ugGtbelKoA36o`vl@e8Io;J;rz`> zO;Wz}&)t2pZ3x~WL7GI_fyMB3W_dBgpNg`n-hp>FPHVE(>*ONt2klq?9(H(-rXO`o zi@E7xIMA?~K+&^qz-v{xa|ET|_NzSt=7o+_L>n-A8 z{~@w2t%h8LJeYp_z0;qt{-SR*BAjXX<3FRxgZ2xrgdW=e!uy+KyQf@yQTv}-?BBE3 z!VZ6H(dUV6ySo32kQd`0Fut@pzhAup`FjHXIzmL;<8Zw}G;+k^Nztb>S{PZ8r z9NY6zPK+le7yX&f!R+~k=Gxt8??`LTXK_urII#a3kpCr@A^!8x-oITS+Mdb9^TXx8 z@EXK}zGmSM3cL{4l#54(?dQK1@%G@|iT4+XzQp8W4E&$-?{Q7Jcx>1{>v!#*a}c(F<3%9l zVx;}^IXpYvJ)h_NC-;1wiKmC-AB*;1!5B7nj{@NjlZyj?uYExKoiGM=i||bW_&?|V zxTaiO6pp{;_J@AD4&SfFn3MBuuK%u!YfIw)uy6n36~6t8k@bpBB-^Hekc%&x{|zWVjuY9PZv4pkj2k~V z|MmBS^?%iyVb6Z%)F$z5iHvd)_k;FU{_?vhzl#z7_pLxYcy{Z1OM$20nsV{a!|`(+ zqlU4E>l-OnBSa=65tHD<}vEFEM(Z8cMm_66QDo45Xv7CSB*2gO1 zQIYgdM!m=QwX^G!i*|=S>dT_{7PuF#DHo@Oae&jEvv5j&`wIpQ?#>x%DYN z*ZBLv`pQ^D{Xe&7}A27KXS>Kt5vTK}#`h&8{iFYwg zE}j&Q-`1D6`}*hEsNkCZ_pEOZ+pomf!EtLP#)_O6w@faUj9*+|Ic9?(RdreLL5OGs3M&@5uKhf5Ac7JF=FUsvNus3;Nf#?rSF8)Q>f1D>* z@yGddPW<2G;+bK4TVFlKudj+2cTFxv#$V>2@iPB85wFR`Nc^p)zg7P)e;dkv4aSR4 z6o~RLxwt0mKc+w5*F!zn(fvKPQ^wEsn-lSxT#UreahmJ;9KUnks`s#L9eEexklvJy`qWoSW^3bM2gHHzpS&?T7PRKKFC}oAVcL{>$foe?OT1iSRkw z{X~qvIpIr_i;?zk_4F}ce?7UsW%bH4w!dZeE!2O`^SFM?`Craoy7^yK9JqcrVE)PY zIe%mO%ZYiL$;HV0t=0N#^9;-ilxH>-l12{<-ov_uR4aQW5DMhxU7%7=Qj) zb)~agb+72`R$VE^?MI{THC~1J&ld}PC$1?MUlq>(T#WJfwr4i?&;rq)m|Xl|*nY@m zsCS>odc(I1+>UF?MgNVq!TJwdr&{aRuSAr+$;HU?C+i9O4c4EW=r>F*M%EAKY=xgt zUUNQDAfAa$E{+T5zYF$t^q*a@7aQ|&&2T*-5B6V{&+~o$#oK)T#mM^Ic+4Lf58+#5 zm_La4CB=+#5%O^S!!hnWiTGAESs#yU$VJ=_+K=(i-(&pq_n7Bhy&bbnjMra2zt+Cy z)(>(%<<<}Qtwn!782{w;sAtdM-SoFNS)Gh)$VJ=_+VeS$;|8DKa_;Y*-?;wb?+5J{ zK8JWv_KRi|h_-8T@gw2**-use-t}KOQJ+mN{(abfH0o&M*J$rYG}$&2*N}_2A51^{ zi3<8z_8(%k+w~tJpgKTM#e8&CrA2i{ai%4O)f^#&vw>8Q(^nd zxzM%0hKQz!sYtyYNqX_~*Mw_u<1oyVeo){iaZR~+SlFKX4D|=G-+;V|jiI=PT!cIr zKj#nmx;@qbY#skT_xzEGk>~#v@N@kJtlz-@Ie(06%EcSP@mFA5`x)vQbVb{Tfn0<< znEqCuzipid^ITj1@nkttF6!ImgO%U%2}tKsJa23)@J+a;TwEGXKgW+6^3MGqu|5RX zkc*H9^Uw0DV7y?tD@ZZJQFUMGy z^DbOdE=JCmSvhOoB)-j;zZjW6bsmXv3+e20!7V2N4j`K{Ye>u?}OfJ^L@$=jR)6eq)IgxIYi;?u#pM%|Y#Le;BvsQucAr~PJ zmVc}LC->?2+%yFK%Xty5DHlt|pZYvE{^Z=^#-F-4FPwgBe|!1%C;p{xf8t(Y`=RfK zuRe(9rSl8?EUqaRBhL?vKfBh&pA-G4$;HU}!5s8;JYP7cuRuH#n_L`tK5oGCGwT_D zeVp|#C!VKGE-nk_zji0me>m#OGXht{kLEPfz53GOIJ|gwco}YI2&rc%e;wBd( z=i{f=;HSfIUT{f)pTsrgVr2f)>iEg|1D{*Cf0Pq_xyi+^h3k*^kDGt(g#WnC#phnG zf91q;ugS&8^Y0S$GpsjD(EsE_y)n5MsXuG`-MG2-P!aWh9QI%PMUw~n&slsCw&*WmH|D*qSe#Oquh#1REE=JC;bl(R1x8l6f;{~3MYs$s9hRcuRG{42l@jK^N z-1uD)Bj-VlX!)z zzjZOPf6VjCm64b)PAw2+Z*nnmK7HIzVfQrFD-edr=T6GS*z@P))1ZesF!}ldQEyBx zM(WSpk0M@_-`vj^h;lQz7%4x#hfw`j95;Dgfj`GJ<)Z&4XgjL-i=W@PPHOMB++W}Y zSihoNd~dk^asQpa1IqpPoX_E!a`D??`z7!_+uc(5JSY5SaxvmRwlkhGH2;zv7WzqJ_cH|M#yrd*8lUmbq^wZpH!cHE16p#SQ) zQjGUstGBy;ufHNXyVXcP!}0fn`CoIfvs-hQ=9ESfxMKXx+u{m;4m+nnEb`?huQ zbK&yuKhnk9f1&8&?LShC$G_r1XSZ^w=*OfE+1PZ#{m^|P+Kn&i3}u@Z0uD?0P^Uranakd+Oa{jX$ ze;VT1;qq&n=i+bMBD#2a_MGwi`@#A%;y38`{wm8x&MELJybnRS_*dchNBjEU>Fa+d z+Og%^*MEOM7(dr<>X#s{9~by7TvIMy5{|!Bdp>70kmQ|Rf9v$^r_;9|K1VbEe13L} zmw&74SFJw3%|Siq`s*Cj|D4a_nsPC+emP?i{D~fWA+Fwb^@+}J zUFa9+KYu@1e}*IN4LrXMM_O}Y-ehtyGJl%cfqbH^&Rkm{+OWyR$ofq;+F1?pbZ;r} z6kJm-M)qIroYcPPFQ!6YJi(t|688<)|0?E5jj^!B{3++FF!oU{jt$#)-U8b%p}sy^ z;6u2kT#UTm%XRw3|DdSuXtHfOt|1q3KUjWU7yEMe;~)EBD|ggCN4p=kAA)$1=RjP>7R{PW8g|NJuMP#5o*elZ?D+g~gDXUiT#S&hLtjm`ph#r{3z;+U}ic+QOH zhj{)hC-$*TE=JA|aeocx%iQ^WPo6uYT#TJR>%=^_fwtd?`ESk>aZR~6@co?u&mUvi z&(Fhrh5f&Xwq$ZKvVP#-pWNy9D;Ini@%Cid0zB*F+>C3=#h$SL+t(wX^YOml+Y3ZH zHn})IY|nWP=Ph&k3cSe8e>ng3_k;DH{j}Mm-Q=VlJB^ z2YgQAx;3Alaw07z7bEM}V`%?->>tqHlm4V!jP0K{c8A?2=)SkW_u-myF|vL(@d4-^ zhW;9pfSjMi`U&M?Wc|qIABcZv-~Zq_3zi$tU*tr&nOuyNAIC}BvH!~nJClnMdp=_} z@SKWw=*t0puMfrU<}{c_n-P!px;BivGZ@9 zJhw)<7(2hlbtbMKbNwmjr``HfRgA13Pkqe!eOhOM@Vm*yi2u3HS-Aw|^^pQG?=`u2 zN!b4ro^$a|oKYa+HMtmz{|V$9ZGQ~rS~*cCxv$6bzsAV=&9oDJzOOD2@tRyLiNA8a zi$5pY9^25^#Wm&P zRpIjEJd5v1asHLF*Ui7GVk2zd>iGk%6V*?3>qj}SbL&TS@zikq6VLMX?&bnf?j{!_ zNqhTztuC0KRdgB)ZzEPJN*7P-@~K-`Tm__ zJbu2X%X6E2e>dmTxTai;oZsYg62~n*KjlPNCKn_1hkmYn&G|p)Q_lYtF|z-{?+7to zeqSgj;x)M#iGThD@I9W>=HF4^Kj4~j(SLKY9Z|e^{nyfexKF=$j!(ZB*+1kuDaS3Y zo96tqTR*k&%iXVcqIwP%Ki7%4@7=bgN$z`7F2?r1t)63^W%XbDluLhId|$Z#;5?&> zbxO`Za$+1ex%mCCeFZ+FEx$LB^9fv2F5VHg=Q%|_zw+Fo*ft&4kc+YBSI%F!|H1i7 z&VF1|E=I;rp3~&IHP3J6JlCDy?1?X=?)CH4^ zk@jQfXP&|OAJSjjXSE!T0}jVm-{{Vr2cz=Kn{!`j_wHUg+u{zdzvb z2h-1U+KiXyw{s$1lZ%n~XB>xeJ{#+mmlpWXxTah@J6wL8r}Mej&VP${;hJ(W^88Ex zXV15`JPw?J$|1nC)$$9#mmC>d`_*N z27mPxcs;Hu7f%b@^PCIk@3!wCo|)PC7tY`P{b2p!K5-4}XWT!|iFGrRi;?xSaj5^? zcN>TLpA+Mm$;HV2TdVzV;{o^y{eN4dz;&p*l#7x6pX=lm_@3+MIp6Ho&nseI*#Bb> zM?C+D^}2JK#Ba)Fl#93@^#7#c@Y^>rUaTtc7r3TejI2L#or~ip_b+myA2hibng82+ z3aB^s-hz0h8~<5<{QY41hajEV<2bK}^ox}-xQ1MWJZNv@AJS>#pNM|jm^CDbRE=I;r?(^9AyS6~snOuz6+xhPgx%IEQc)45m;(2C& zKUn@FCb)c$*eJSu^LupkgTEiNpZQCa6WYM6sRg1fm|TpEKRhSF{PX-oPUPFkq2czBI2Vb4hWUY#8$VL4|&tT7Q=H~~!|2_HM2IXS? z!EpMg+=O^A{!KyNb7I^xxfmJ$ru2Bb%>~kqaxr4xYWiELHs{9GqtzuAVqE+_g;lZ%o5bMp5P&tZt~cLieK$>d^W|EcXZ zAMfJ@GG5BXNc{EZT)l715M90J`wtv{{QY44w{ud+KiAKheJC46ApHE6V50Qb|x1i_T1-Sy1DO>6LuySBlfNCKk=Ng#d~jojF)mT z5`U}fFI?wf{9OOYiFi#eM&jppa`^o^em_U#ck3t@W8bf{_tX(T&&@J^BjPo=7>S?x z=Q;(~pK_k+)}Jcko5SsA_zH}1b@aDyDR3#SDHkK>7x|tc-&^MUhdF=j-ao91H-zKo z`V{lc^{brBH|63>nEyWHli!Wm+3){xp3iho*<2vfZE`V^ex8%Hd|y}~>`X33?D?Hx zJTBSyD|0TyHRU3TrW1dIJwI9ddBN46%(H^N)asQd%Pw^HhQVglo#h)5G?BPn_@H^8Im<@7+=^#@@f>bDovo!v(V3C>LY?KeLeU zoyh;xeO$a#k1r7Mnp}*;zvwKK^C?(oxw*hE;F@yrl(7H!ogRMobmgT5qVF=f7(8Mur!n_P_epWi8>-6}tR8DVE~F=D^Sum3Ib>wioK{m1wn0*Ne`s9sN4({rzD1+4{qFJg;7X`s2xSvy_XF2koam=Hi{!DY|%h zevI+^`$7AK2RplkXNb;j;lZNGgZ5MRaduOW7k#|@h;e%>r$b%+;XaI&ANmB7i;?qF zQ*LtcPI*{#@v{G6{{8)6`q|I3{_*?8IZ^LSE=KC#f;(Nj3!V{OybJCWO&*MYL9e$v zQ1o`aV%(nZi80;%s53d4Zpy_-`uU!g*`X33?B`$L(mnqU(WQI-1!6q?^)aaT zoA9@5f$zirDHk_|>;K|;F5Qc_6o_=2T#TfD)FQ;QH=eilFYp7nrd-@R9RHLam+vW? zMVD{RU#$MQ`@!;OJ*^&sdirpIf8)l_s(45^{xw5fyleVI7w?)OqRE5tPxk%SWZ!>r z9?x`h{_YsJ=Q=a%57(b_!tW**BmSTCv`hD7KmJYbcJWSnT8zifd@|lOeFY+3lZ%n~ zSegDVrV6xxfcQGB~ z^>6kp%sbD+`NP*0_!V4JE=Jy;AL_^dp?>_geH6xzc4hIq`@!_j-3GsZ5bsMoU*KnP zO}QBP{>+qj!|#Y{%J~H@#5Lt2{@aPa!JZ$j{P4WBv)_N`IydJ{_THIzrd$8E^9SyJ zFn*R3>n+PIC+e-q#Yp{~fcDFJ%kRhKM4ToUBj*=cP8KivznqMhaxoJBFhBns=I5Wo zj(6ogY#%XR|61LDulo5<)z5!;hLrV==T9Bu@z?zPujc1}Y=^XC`*V!j5A*Z?;eP(l zeGJ-h|H3hD&-%mn9$9}xzV}GE7<>PberCDR?>SL!CKn^+$M|e~j1^wJI^mmUIi1jp+i_60O z$IM^4ev{`n*pC{~Z<<_;^q)K@!+i6%=W-(7CKn_5uleVnnt%SOJ>%+K?M^XX|E7K# z>4weJZ#2p8u2L@Iez5-XK$8-I(_xFSL%dc~G z%kLMR-SX>1lLzf*ZE$w8ju3sk8^pN%Sls6Czl~cUIy?LR5Yq4O2jk~=qF8U&qW{W? zdTVkqQh%3EaPcnRDEfR)5KSJ8KkIjP*`cDd&a(%#<>+Rwbw+0DFHbap&HPJ4epXy13Dv+MKqx9@6a*XQf6zaO;k z?Q!MSySYG=o5{sU`Ssr9?a=mF{=FpaC>JC4_MR&2IBwJ42s@LD5&LC+{9ES7KO^i+ zF2?LJPBUJz~Do zdg&OCe`bfXpSf0ac09jIdw)Neetu_}>F4*Cb0Xa)7bEGPah!{H#-*Z*m-8>i@9ziW zA6COyJr(tJNr6a{$;GMR`p0tu{Qk;#%t3NuKgi@_+S5R-9+V}SOcsGkaUW_Xizq=o_ufUA+kE)-4 z*n42i_Y2P6-w)c`bLMxDUbZ{&e{s$BCw?bf|E53a;-4{8bn$ZiiRt(EgYok@BmZu~ zSKV_+{@sKp-E#=;2kqIFUk&qeWkD_7qJXEPsAykMXV?S|H*zxfqF```l)a^3Mr7 zlZz31o|9p_=lPkOX#XY`Bl8dLQ!?I#XB3EdO)f^_AOB&D8;7C4zoNiT;+k?Xa(dn&YMOSZlE{Xk*zaOkWJ^uNz z$3H*v_nTtDlXE*I?(b@6)IgH=m588Jloh(0ozd9$%&E#UF{Fr>spXU4d6W75QFW0{u?S3$R zp0nk=o9AzHV%}|XF*5(=Ij`y=ST8)jKjZ>^?({%eu{?I`c}H_3BXl#93@^dFzI*lx1t z3q-pyxfp3b)6Ye`P@jHlf!H@Rxrkex_#15hkom9O?);zgzg+p%#M{I6Hh(zU&%eY^ z`}vm`nSb*+k@@FyV@~ATsbR`+qw}f&S0VPZ;C<-yb9Wb@@${-^7Uj%>Prp|HVDM|Ha7q3D=3ZZ@07G zzvcXl-;d_{T~5yDC>JB&kLGtuS?~D$(wwMwCKn_1kK-iAk7<}cFx2G^8}cZSQK>pYB?>pwXe zFXdt+{#NH7-M;_n_Wcj%ah!K?{^nTH|MWl)>sEGdNBm!0Q!Yl=>o*1{E>(@W$`t?tqNTo?K$sJ|NQ4!?QqY3 zV&&0r`SU$N?tk$8!JOFlFu54n|KRVmaNlC)sRd%+!sKG){UJU-*M5ci_Kha%({K&B zi2K3$!^E>(`Axi8bbjOa7wJELKWIO<-`UMQRP=uD7fl|tpL4OZn{$`w?D+i^#_#V3 z?ORxfrQ`_WZHkJwI?B%yk6Ldnp%V^Iwkt9RE1}=S1BzxfmJ$xXwiX z@%^`)@SDlSi2vpcaekZACpy2)86uiI=)WOPyLj8X3q-so7bEd=pMdG+zCljdnOuz6 zPdM16d%_u_FZYARc>2fR>FmZoBRV_oU$Or9`@#HM`$73}{>}AwBg)O>Vx;`+oY@g> z{N?v6?ED$VPLqp~?^lfP_31uP^y%&uO&&~t$D7g5P0X?m^q)CjjcdxqiQ)cl_K_~$ z*%yi~Ue4d>KYu?M|F{dB-MBkMXUF%SY47g`?K%Eucy8hPLr%1PlZ&zE7nG5e8~Xp8 zEH}!S7_JC;(0&}+7~>yzOOw?ba1FWmqWEjY^e=x5dT&QRe{Ylg-4e=0+%LvI zz#g$?ZK(I_mKAsot2p`{bH5tQILy}2h-1ZDo88i z7x~>!%0oN0Ze}`2GvzBJKz6=M8ao3vOWlLzBpIl<+7)rCzm-;|57 z{BxXQ{pI*2vfffI#_BK2iQ@;$Ph`JMxftuem!0O~?K`?j#!I;vi=XX;>1O*8X-Byj zvu7WdeG~I_lz~`%6MPA|2zk)|)T4a52=>{(A~ z$NDSM-s-hy%zpgg$oI`I{UXbaaxpf3c5Q$?_D?zg5n1mk7a=d^zu})>_U7}~x_2Pm zD;%p=;=Knv^NE!wu#SSgX|Z}G#-iJK-%)npVBy>I-Rj>!|9s5nu5FV28s#GH2kc!u zZTq|Q<-Enq#eu)?R`>Zkf%#*8n11Dp`DOmdw*3{pBh$llicGiC@6szhM}|ZHh>8a+ z=11v)KWK+PJg={Nhe-ds@ElEEv>)($b^KmZ?Iw&DZz=F$TvIOIG$8%~_Ixjk-+Sfz zS|Y#mO1TJmFn+G<@q6D~=gYayt@rVJ-~N8kp1-SB!QLEyZ!IUv*W}`&aQysTCcbCS z--{CYzCGn)?0x&{YoT`)(*FJean8%+V&uG6tNZ0O_%C}LX@~#B${1WjE!?z3{eEGPVFa&h4E&Vcx9 zy~sEEHhWK1JO|g5i;?dIa9x!9WLz)JiG4DYi+;as1e!R0@1`ef8;=^EwN0pG==&yB z@c$8$8yoJif8WVQOld&k{*7RtG5i*!=ObBLciYy@&J!geUABGLu18&V-yeoFaW0}g zBWv$&d*h}}aCj&Fb~db~=+QBfBUM{o-(pDr34eXdLP|?h&{L!T5~c9p%-`;NvthN8 zzlpy^&-a4)YU|dtu!8BTe+4z<=geWE*FW^|o?jUIp@;sk1S{-UYfRPFJ@)hKkULv~ z{cGU&^%mB~H|~+-tu0TSI_=9_p8UgfO+$@F4o7siy?)<4w(hsjzG>lw^NGG7`xw)< z@7Bb82EE_@7;44A)_&4b6>LBK%i#TQTAkRmX;0^|p{`XA_oIA!2U={lJR8fFI~MwU ziXtl)llw{n3{o-Dm-1bxC=8Ix#b;{=|p>lXCs8vytxQ z&<87*m+N<8)d8Bd z<@!fK?-A4!u;!n}V&&NJDd?dtl9j8=(_^cP|A7aU6OoVqDAyl{GN|l^UBHu#rRlH# z4(Y!YVfPyc({Gzm#fMha+goW*#XOk58D+d4-d&7q|EwR#z1i_unW^h~TY>*po~ub0 zBm55{KDK)QOdW{FN^#IUcfYqVp;a9#1y)%&}u;R~*#lj!^L}tC)9C$gUce6d6 zHjH*}Ks;^b>6zY#p%w{PzQ1v>Qc-zWewSZ@R)lX^kZlRQ`K0McH+pEY;?Fq5@;m2j z=>IQz5%MkN>7R%4${t2SZx^LmV>`dqVWbi*{YX>b>3=OP7^f7kcIDzo9*lm2y8VxEJZz z1ACPB8%x7q^$^0n0&9$5C85`!Hv#z_jakqfV`=zHrbF*GtU-c}FO;W$9@-bz+Q=mR zAxJ;cVr%Sk%EMoHJHqXNf5>=xY?PdZ(W>@dtbvllP`__%66+GB`R_Obem&Rixq_wo zoHbUyi1OZGEY;^IYfcmjY4{vQ6`AW;|p{`AvqODxbw32+ABBEc}79As7){88xBm4^xK3F}yJpFtotnY$ah&aH~{4T+)Bg6AVFM4vY zT>oN}(@&f}SgOAm&#ARzp})wOq+db$sSlRw+s-L^@cHPeFqlCI#?tU-9Ex!F!zhfA7%bKA`3?O3?-(VJ2e4fKQltYt&N$4pz*7C` zS0TU4(QANsv%FNF^|^i{c7h)@mg;jKRD?$1$6}(^#rsxet1e;}4@1 zSgPNSdc>0e!{-=F^@pR3D_CP2{!m)KSp6va=LUR=b_T}6H^0t5#QKL!PaO;HO^b)) z0M{`; zX2o2?T=WpG6V@=>(FauCig>^*VKzMsW0aL2`adw1F3UGh2Gvo1eChy8^@s0;aNmOZ z0pdhoss7Lk^pSVgL$FkTIDSZgoWUv?M5M*Cle@fj=)e;Lxl_Doh1#+`}i^Ej^cTxu*0zs7#$ z_eeXL@H8-Q8Tz?#c=`q_{>?JDiaWJ~?&&N$9pPSq^n)p@2czyox}VLmQTL_w6EhYd z+&%mW>V$d7>UQK6QIIL)CiSqIXZkux70d4!gkAp|oNxeBR(rVj(||HpHNn#IT88#s z-yN%TXk%bZ-}OVN54F?K|6ptut81fncJgNi=CRz1m@%SBu42u69N~D@{hKK4 z#?th#f90Ouge}HW{Uu+9KYQ^U2G;H>&u`yD&^rPrq`;K+H;3)%e6$bLIj}T6JgLVo zC`?8iU`*eh(`}Cz`6VkDqnE%|#9ndC-s)@PWW;kI@-*F8s?SqtjZ2}6m4C2Qf8~Af z)4yUKl-Pe(u0y%~CH~Ne!P4+oEP&p8w9oGuOZDxSkTG6x*PJ`aEXx3M%m><6oVhvyWuQLt2h(q2f<`>^f-VsE@upW`&f6&tVX39G-hp0eGq ztIR16fAvR@p4X#%-)1aL&zyHc56?DaBcXnBudvdI_Jei=mWFSuckjm+Gj2t`-#eE{_)AF3+n8-wb1@8a~y6#Z4Roj#eO zKl5LlK3Vf`mc`Pu>@cTKri9NuX6D!Sn)fXCXYEH$pRBDc*I$jXo8glw=~?0ZPp0Uv z^8O)H^m|vj^pGj~i+6GQWQzWB?@uyCKf~Bc|BxyAGiRgT--3A&n4-_!A?VpIQT2xM z^6ER!rH4!jf9wfPpG?u`dJXeSrs#K`;`GTB{dqn;WQzV=?|(8yf6OB;J!FdhlJ_`$ zGDW}J`-e=?pEJdUPp0UPe$wfaDf;8aI(;%lf8ym%pG?soy`N7Hn4&*^lhY?t^ymBZ zlPUU3>n?mUMSt!iPM=KC=dL;HKbfR|qt^%ZW_qmuXM1P(WNqMX>Y(G-jE}qigiK8j z^s+95Up=Y3KUuw>OAnb6{)n$TeKJLV>S0cw%=|C+c>fH#KCogm{8GjG4_G;9K>7#O zpF0cr#G2i_k;c;b3F~uZ6ZXaSOIuIi`U9Smu>OE~)4R*l(}#H>cc=UIN(KeV5vX*=b&6M-zKX|%k`^= zz>hzKE;+SafAVe6J0A1BJB+32;qy^;0-m2S27{&gc1q(t{cQQpZ(w6fG<;i^>HHHj*D%}qT$$c zR{MNc&&fu@y4moDpf{fT1ZG#7ugcGnudS$CVD-R+^x&DPf#=+z&l*d^=XhNEU##nr zuPG0I7}hly{_urq;SW6t<@X5E17iKVG(E#6I(;&#zl(bg>gz$e??F34JpoI@Z}7SA zK&0nFW2yeIe}o?PAITS`XV7uS&ba=wd+tc-=a>B!^#J1JXV_t;myxh5Ew5=PTZT`*XxtyPe5b60KFW(sDX*!%zL6>6 zbNkr3eK1Y+;ZfW|=uZ`yk>o6l0yY!GL>2I(5{DLX^Q|>|fZ$Nzp zQ|c$zk8IrlOvx|XA=^8dlAe(Vx%87M^XVk}C z_+*Oynki17OwnKah|?!i^x5w-zcvmG+;<+de{1``(60n?JgdR-B~#K<^XVZ| z)?M3nap99G;ji7>>60nxua0&4WJ>rG&6?Po{*=^#z7c zrsyv`*6EWe`YS)~^vM)`?oU{K15?V&);lnsk}2U&@aZR0^e5L{`pFc1j_WpG1ykm$ z(+_gtlPTekyVmKGS|=QW=|rQN9fgxM)3TA-I)rpoHX<93|F6%+W@Fp%w)dvjyk_gZ zTet4}rsW6k^+ud~ZAUQJ8`D=yKEwAa*JH1c>m+3L$}HOj`|sjlUr|{LAMz_IB)_7v z=4(yXp2a%X?Y!^Ea7JF{!ZTiuwMeWfRYlxiHq+fFl@8FJDPEM`0bg0!gBE`><5_!dlXX1*Q!c(Je%Zf={)(l)4TVBKisr`;xcuz`wmpS3pVVZ; zKgImx%Mi`4s%BW*;othtH_5jDjqWyrcbgc1TMPB2-ioB)|wphPfgS0y$jRXBZ z(Eeh(kFQ#b-tJ;CZr|#!BK5u-<%T`zUevvuC_j^no#Fhq`m3T#TKrY0G5)LQWBgaq z#~kYXHl|;U`)>v6ZEZEy#IdKI^AKE9F0KyeztvwQTkF%m)~BDpuFZPGU)6Sur@z%- zm2LG`>013&>n^mDwqwu_pxxxW2-lR0{>;7azXr6QMGwGF-@%@0qd=@xnp}+hs=|8z zRq6HqtJ3T5aDHEZff)Dy+HH0}TkC%nVeRwIZtXTPZolquXTR3Q z`URr5!#)P<&pLNM*niPa^#`5*#0EBzC>J3Q+V>yn%B>%3r7S-q%FX0rr2Ja_Rr^-c z&tGq^{wLlgI;Tm#QA@dq`@#J8V0_}cGd-Uu@E5qITpajS%mMY6zuri{@z)%4!fz%Q zBmV2U*p+*iA3wUVZpw1+^5ciUA58!9-$4Jf=vD_-7R}ejJLnO6TRKlqO9b#>6ET*UT=Z)=gQnllA9nUv zmFmx7+(KX5n9*ddg0Tm35%+`rul@SrmvIIFZM81`1lMTOb@9t#`|f#e+~TiEar`n~ z1X3WBne8PuvC9lW{F}h2x)mny}^IK20=vu>MZzaduNSi_UIJj~KU~+wb~~xrY{re#7Kqr2nvXj(7U3{d+d%yY?^E ze;>~OSooMeE z*(1tD+z;Bf`m1iMH#onqKB7SQ-Q;4#|D5Mn|HsY$#oBZ~|M!GEnEuKQ=;wZhy#KgK ztH+RwxF57{^()7V&T{p3(aoYOw?$`(@%lUD0Oz+MCl?66nOuzcuk%P3Z>RrNu1-A1 zv)**#x!y4z|B45l{mP-Dvs>|?7`N}}K>1+EU=iq6iy(hPflKWNYAe7*zC=l`7NxaWU- z&EM7m^ltQE^T*ZOUAgyj%svL|E+pFxrd*8lKQk6V4|Qh7 z{sn#w*OZGFhRbiNe}0+fpI@eRdcQv=nqPzdTQVGTU#KowRUpnCnp{LvMzHn4{MV|z ztur5rGotv*#4~XX-(}*V;rJ&!hjRaOyfZqZz*8`OQ!YlnQa*1x3~KXanqnOsCr zq58LGE859B@VxSo0?)=Z<)S}BI9U8PPkj*O^=(Ar$#q-G#n}4o%Hy2>S6(VQzpq5S zqW}Hr(*?)+2q~F?wC*;BW^Vb{M?@qkAz>9EAxftnxyJ5@q<8J8Y`~|Kl z7bEM(+^1mt+_%VyuuLvS;va=RIzw9>^;Ch+;F@yr&T#%)_1~H&;PJB+_t?W-yB~Xw=-NH^KiK~L{b2oP{ws@}|8l<3`L7}_4*Rd={_g}@%#Hh`?=d(zr|mZXa8kHzh!bU z(tq__=i=?TUv%+uUd{OZ{b2mPJ*X#`C-rVF@LXI|E*=rCKRhSFai8ZWa$?*!xrn0e z#9uqIi1R`N_W#&UE7!aBn-guyG$`8_R}A9b~F6*>kQ1D zXg3{mDMz~>wCAr+v;K_3_?r{;=Kp8!T;TO8sX} z28xK9B2q+)h-fKdR0IS{Ez(F4DIx_52$%vQQlx-rfdW#riWDiv%10?6B2vnymhXAi z%rox}&8+>JH+-Qj{5ZdV_S&=d%&b{+pS@p`i;n+vI)3D@S2xi9@mH-A;rk{Ro%XNO z@h{i;>R*Kq?_J=Ja80@R)x7^(|91-7>-&&?@fuuTf@{%Pf1QDLiu0ct4-|;KcFLOKetg4Y>$;R=+U{w!-+ev97@Hztqn2Q(|=< zt|1p8&*p#p!-yB-w+YPxF>W)t=#1aYe=&Ek`I~qIuD^@tiSZj;+e-h~C86ILduV~^ zcT6ri{SWs)stdyYXX3$O|FbGC$mf66S+Ls~u=foGUWaSS#WV8yW8?bM*tq`0e6ZY^ z{y@L{XY|B+1KU|WB3W-J7oGYu>i*z=qh1t4yrb?H{rLM2LpbEW|Fi;;ZX1?d5T@Li)pBL>nFWPTjwBNjFzj#0EzsuwJZ+RU5E#D)=yL_DJ$Io>R zj(fQ7kqEytx#;+x^>c4WKX)MF6FMWE$S_-@CwlaZR~6E$@G` zFM^-kgKsX}UEuS$rd)LP|L49D@tlP6`f!1l;hJ*sqlM{ZCCi zF0ViTgsl#ieLWE}T%-z;%c*gxa^G2YL{ zUt>FW1$5c|iQmCB<>D1i{4-JR&mf-tTg116D_51EYIN!!bvS-z*hGw*O)fg)Xa1Tl_UG+acE#pg zTthB;`}e~ijOC6ozm-3UayPl?%>Ty5{%dUPzs5cr?9OwgHhv5Dv-Y2LGxEI@?*>0s zAoi0?F2-+^Y^(kbe=wB$h^iRkW%?O^yq}G~)A|qv3Wu ze>7&E82oPZOQOlM{>S#a^5xKeC;lL`-xV>wL%yx@8*~8b`Jdoj{}T)RDy}IP|0Lgj zvY)N53jJ^5?}h%iE;{cI&48V&pAF}~68{$cALZg_^YM>?|5TBNvGAY7TVPMh#Z7sA zuG6GnxBh$R?2YSRfRu~QuUt=gJop{=?YoZ0yoH3{nOt=IkMVS}eq{5sccK0q3O^80 zo+cOHmCrxz#Clh-|{9l#7jL^YQmCK)mbX`3>=?cz#1%m)D;?0OfZy`srl_Zo)O? zqSODe{?^ggbN@RLV*!(k7v@E;(hsi}}{cOa|hzIS=2=w2HKf*QTqO*R=b56C>v0niDCte%QKjB+2b`A>i ztp9SH$acfVkK!$1{K)nr-p}fHdVa3?Fw%|lzxIX$$A5;M5c;iQ7mG*-#!bUc5KH1K8F2*(+a!-*OZGN z%g4`oa${bYKPRpa^XG=>^dCJv!S8z3iox%Aev*C|?`Qpg!JdeJD%Nj~Dew)rrd*tw zPycMBk-t$gdt-qpJClp?Tl51_tzN$V!2Z8E2e#U~z&GQXa&b;Re(sYr_QKz~0^g5+ zQ!eh6*Y9}_ab1gf&XfWlhTSL^o$>3eV?(Le@L_&CKsLdgZsRV{lfll;va_n z--ftfKK(qW#dudG?)xNe!ZqchGydYVpYyL;oPX8O z7O~x^z9icHto=L9|DX#{PMBv5`c{iZyq}Gq>!;ke=DKMj%G2bcvwzKTZtZdO^BY^NkH9tLBJOA7=QEi)?PvY;M`HgiVjO33@gsSC&j0xSJSBtDF5%0;LD zXa8Nt{GI176aO~!-*wTMzmI|cHNFIYf&V4KA51QODW8A7=ga&zdkaLqO)fh5XFo}O z_MeH+Gr8#K^F2H2O-5Uh2tAXFjz0U}3ff7oUnD+=Ysy8Z|KmDoXQ!d7Dy$?hZUp{{4{tU+*+`magye1c&_k!2OfEY0XU-`>Zw`Ej&oM&J0k6r&|5sAK@0eV4`kz6M zhw<~^5hB_l^aX=3rVI4P&s<+?qVMJUTjIyVx?58`IG_K~*Q5RF!+y&n1s;fN%0*}W zg5TNU{vp4=llV4VQ!YCDhkZvOpYOtW@tgv&?rw6?S%0^43W#fa&o2!Ac(nVKVzB#Q z*pBv(_p|;pWL(f2vWFP-hKv(Up4DeN!}s^u?j)iuG`Z-kUr!tiy}R(8hyDV8j%&)r zlKD5wiSzHoQ^WkbDmv@eJSWR~Gvk2*QEyBxI`xO={H)%+SRm^i<)WiMB%VJQ63-tD zc_`X_v*_D@=suy`h8`ydJ${#g_ghxRw| zQ=$EBh|c*tdr$Z%v^|F+y&~$8$;G4c@pGP8fvq|JOhj3jT)aQ8KlIUHw_)94wA-Vi z$+P)qKS}$s{}gFA%0CZnxRgx{H5bo|f0bM~L1 z{jWq;=Z5xQggl#njx)J#Yv=F9^~ZkhIJSC1*C^^@k9CYw{?Q=$Mu8ACaON=V&nUHeOu@13+)fb zA+`>7U}%3h{)qRp{@?NWu>nZzZDIVG_-|qSSrKo`r{BKcg1p-ITf}2R{cDJO=JolV z2$tKF6AMJSnOtvs>Lz1$o1FOu(_P%e7!pKzU> zYfn|wzr-hTO}Th`KL0$Y!~Bn#S0M6ja?#2E%(D;|#-B59C=lZrlZ(#yv(x!6$Dfr; z!uT`sK3r2SUXsuM$^{7bE9|!%+#=UKDHpx$85;kp|YEM5M*!qEmnQon89xF7W?E_^ruB$A39~;JBOf$3*m-CKsLl z(|)rX^_<^dYKZ8MOfJsN=b!r|w*U040$&LGCsol~|9TGfd}!GJOk5rIKl%PR_dy}g zw%@#8|8mez#C+c5qI3R^=f7!pp8rmS-Ayh!_UAqo{g?YziSS#Ki;n;DJwL|F_x=(Q zugOIxe$LaX)582Mao;e1tBTJ43BNOD=S~kV@Gmicq+E2)pY9Ud-(6$-Yec$DE;{M& zwErHwBKY0lcNF*n=G~Nwj{n*Dhb`!@9)bTyHeplBMaZ-M$M+mrZhY@C5&1T`=;Xf- z_Oo?Q*e~&~5I^Ojv;N8R&pfxp_dgSN!8PTgbAHM84}KQ+4)Q9|#k_eErwrNBe8%_e8Y2CKsLd*V?b+&|b0q z649oaTy)kCJ8l1Y&ZK$={`M~LY5bdV@s7Ox8Gr5Z(Eo_sx3zRdLZ0JLC$!}Eg!`dj3= zLCQt%{Ggpv$NCS)zkL7F_^B}d<@=ZMel~u-XTf%BM72P+Ta=4V`_o&B#}xQ|TvIMyowq;FiSf6dd44SMIb2gN-ksOC^{5Djz@J6Rj@5c;%V`55_g+qVxR^{!R^a^Bs&r9jNPO)fg~@5QHwd@sJXK;+xxqLY7qr;B#u z_q!6IXL8Ze=RCE6_Mh|DM6~-R7oGOM`+X?q`;gyr3w#0Bl#9;%m+NQsZ-n);#HYgg zSzY`_zWh3!e{-Ldb{mQ@U?S{ha?!D0?+K_U(Cxjrzzc9qx#)}^%}&ra`-#vqx#;NE z&I{@0+BMT}M7m8bI_Y0>W6)ay|26+1p=WZ@(XZbb{J#Edf$)2ii;n;EcOJNJI`QNd zxo=9j=!;jjso@#ie@Vo%OfGK7r++f*DeBo|*562ex0Z4d z@@)QjPKtiR^HYiN8#`p*PCo*$&Xk$RMijy}h!>326q!iO=AO~1SGI$VeQS^wpG zinf1zae>%3Ho55RA5Xjl_4Z!0SLg#1{~gzqi}&X156=nny&aw(PCNtGl#9;$J3QxM zdRq#l9_6B=&vg=>JLLL_$a9C3i{AM|wi6gWSo@JUAJ>$N_$R7&V<3w3nE7^Z149UI~~99oL&9R7%!pyN&E<|DHq?IPk*QD7yQmM&%N^d z&xzOJnsU)O|H|LV;l3AtKPPcDt|=Ft{V#r}kb3-nVIuTQE;{=3bM{;G|3vtr$wjaK zx-sg(|4pBy9_6B=&vhmnzwcY%S26ygTy(}SHh;JX?fs`w-s0W3J_6U`MfvjQIG^W^ zIsQ-F6vqF2e=Xk6)*r4>RZ#}~{T7k$gHbL*p4D%j9p>H5o5V2h=J{jJzvKO^zSTe2 z-0EK>_pK-wz5OdYe}j5r@861-hVehYM;GsBI-)7I#3LD=ll1xf4rZ~KYu5g>E`byCnDV@ z7oGI4y+7)`SRnN%7ae`}lVgtf*AFl5q*7|XCeQbe=+|?#PzRuKkI)h z?||M1uzvY;fuF}U<>CkO?MJ8QZ&p2sc)o-6#%h5$&t-D)JNfuI&Sk&P@o(a4TvIMO z{lD$AJQl`()yNv^B;_LHS^G^uJ*VIB_fr$$HzpT7|Cxqz{s;KWz6Ji@(EnG&f5^wr z^LMo?U_Ycik@loqydtm9??mwYAHN@w_%>WqE-uaM+wTmcuG{YqNAkOel#AZ?4?A6d zHdbxcGl z7jZw^eoT+!$KLJ`zY+18Ty)~^bo|eDBE5HgZD>c*d)E(#b_Dmc>F4*^P`|_X?;=_6 zDHpx^Kji}GKZW(eZ?(vEKgvaK{m=H#(D!cd`8E4~0mk{;`~4-Zv(x{${+@_(Gr8!L zAJ6ABPzSd6`$xkTKz~1schT1;{voa@7x&ATALnWOeh=qwiSNfX<>Fp>{l=)E*H~8| z^h_=~`j$@gUzUCm{g%l^r~l&mMPo`hf04LPIDgR)r{vSmczEuB@h2`0@$>r&@qX6- zJB`2g#$Y%5{xHhH2)mhFbnIv0V7Kl4elhonS?=6FPDH#W7oGTr-2uHnhMh2eO#BM2 zDHs1ZZ~sp7&-Js$A7Q+7Zh?P^Ysy9E{BEcI->Lohol1V^nBT8Vd<(8A7oGFxwSPgl z(dcV122OlE{DE?Dbl(1h??E_>dj>yWAjUl=7oG8s+3$<7{Sf~?wjbgb^YM48&)+HM zcf0xf<%wuFOfEX@hpo@U&u!ga{2Z<);Thulo%Ayt^SO6{*iSTl(fNKWzmv#x^ZSX3 zNVmyFC;fel|G{|vB2w=iiMXF_zdBt%pne^7o$C*YXbVg(I_G!iv;0np=l8^G;(Kf2 zDf#qsoX-4j@A;|eo1l*&(DYjhoR4eDMf`IF{sy9pfBF6a&n&Uu=UJu13vo@k==A^9 zmx5kxUV+r3Ty*s9`~l_;cK%t0!^Te-W{6e|ttpD?zrltF)0+DW$i%$B-o&?8!H^%R5f5bn< zHRa;Fx3b^hO4l&#&{Y-=uj8B)%Nf2ldfx(%jB;^BUZ3@b=g;ZyiO=Gia&hb5Z{8|? zgsODCw^G^lKMMRSN^vc|Hy{53v>lbNBQxDCR`EQ_McmK&56@ZC{!Du!>~3<=vA>N| zCdcuM_}g*(B2Lc7Z~7gKe>#od#=j@RUl+kl4u-)M*@=F{J)KEG2yyZ6Cg5@C0f zi;n&IJ5SbbU0ER7Et88*`^9rkY=3y}No2cY=bs|I_J`k(=D8n!KU(CuAIe4V{13l# z*Zgd?uTvINdkuSea&p&W}jQ8Vg{w(snIm$)v z{W<J>mU0bo?lKp3)hs3Tlb$^{r>HMXM)`Z zPACv|Gr8#4udyNQTQ$xU5$_n3J<27}-@j_S5cHb8V$^#<^!2$<#&YNT^NC2e$wepq zT&H8Z$Mw5Jw0kBOo%WCCG#D@UZxRu&$weoAz9+!*r+j}vU|{pI_ItiMLoTa$}U{pC7M zbs5r&^_#@Qa80?mEZ=@~+WvI<{->?q!*;fQFRsV+^=L!HdHM9uzY6WLbgtbF?E|J1YZ&x_DAx#;M38h@wdw;k!P7uS!5JdSn?>oh&6D`IUG_TM2F zAuqPSTj}?roU3E7-u7aPHTVMMBJOAP``G?Hi}}V)EjIT>`w6*-`&s?5N1>g8?u2Vw zq#orW?q~I9qy94eb8c^u>84!t(mxX6Dqq2T5&B|nFO2`G9|?Ije&&;U%)dxIvrD9> z-~TA$!Q5r_;VtsJ6O@a%pN$_sTL02ZTeyBFA|2E4$N!8b&+3mx`f0zhy)Dvil#8DI zCiNkn%Mn#~i##_*xrqDO_*X-h`CoHRi_ACWqL=^W2L!zpYg?oq<)WwG13OpXd&BQ; zk@==v#Qkjgp;hTRIfefwhjg!mF6}@v-IR-7`st_j_XqzKo9K@z z7a`B4zd8W=*ypXH4vWoq;~H`i@~r;C7m!o*b4#vlv4*%Q7jZwUKcWYEu>Xh`Tcq77 z7d`u1JpUTvuSIqZ4DpMQXXCeW#`*!vzZTiVc$RVz@~r;AKGk z)IYI`@hs&c#TwV~As2B!tIu|l@v{9C8879c7yqzvi09uz|0#A2 z!ul2DBIMcl*E|S)tP`%C*J2%INx6voS$+1i^>Z-Zg#U?6jAg_`KoXC1hx#-nj z)-%S-`X@48%0(~!Y4B5yKc+v>BF7z+i{AKy{apP9tQW)PBK?MP5%R44*v`{$+3t(< zTgpYxf7wp6{<8fRS#K#9z52^>63>0jxxGc^n{pBNv*~9!Rjvx&;BeYwtFl;k$z9P=(T^=&pa0TpIT(|?$G~;kZ04+aT4vv@sr4OQ!aY; zV>?H`W&0=6Zz&f&|K&J|e#7yTNWY<6^!#V#=AhSqev8zjTrAV)xP|&6$1T(sUp9Ve z7UwT(I8Qwn?dOMDq#orW?icM}(8t*JHq^6&E0xzRDe&ef7Z2X1{vUAvoqClOfi>7{ zSI$Qxt4~%cOVKxpl#fKYBwmVric4@UULNADMe4a=G5v#z`5%QfqRMY!{B~H2wUcoT zxrqC&etmY(7rU_UO??satp1n_L%d^dZn1VfwjLlCUl#vX=LeQtjdDVLS$cYljiWIh zgIvV@V*Fd__x8cQ)6w4@THu4Yrd*uv=--NPpFw!Ge~~;-(F?fMF5-Sxzi|NaeLM2{ z%NF?^XUav~&+0QC8$Tj`v5tO-a`9#3$F1tm6wFy_@b#%@w8*ntl#94;@ehGXaQ<#u zrE6>rx$PQP#Va;l6RY_5kV(zmKC)$>FOUAnk19hZHz5iC4PhHu{d-(|sxojw*IU*f z6x*VbXWeW6{lkBK*1*S6UK=W16S~&#-BzkDd2rCW4_xwt|N5jg|A8Fd#Z>+7UVH7+ z*|U~@8M*uhQ*|JUZUp|eH-Cdsm+BiWfBSa!q~RZgrGCo%?YZ9@+e=l}R&?&_u6)+| zJ$|=6yC3-EX;Z&;;8XwA?o(I8(;%PF^`^J%z5bvB-qPOlvL4a+TRiV)wAfzlw-twR9OCFvYyOx^_DSP^h1T#TOV#@IF;(56 zR;yJQ*n=8dSzZ~&npjatAcp` zbW}6MV>Qi7&-g>|+(YpeE~rz4Uig7?VGNAM$Y%6QFZ?Q$Oa&vI{(X$4>6!Qj*b}R5 zWG(he-t((>!S4GZ4`Ab;+sH4{Q6G)`g0=4Q@S~7yzBNKtFDwslt4bIxcCEwnXP1Yc ze;e%BgV6x_YF26oB`dMS?^ZPDBKDS`?f03~?{HiY^99CyneX+gzHS#^M`|n`Z z0oE6l+rNRZHS7d-ea2Xto=o3k(<`sVS0UUtDwSm$jiu?Se-C!~PuP+iQl9<=w;30Go%9SF zhxB8#*mI`Qd;VnhN7Gj0`Cr256m3@G(dGHz?m`tk^5T7sUOd+CEq*h??S}AR<%;s> zFZu)6=ko|Z#aR0M1wVs*5SDD<{}K4>Mzw>zx7iPKb+v(htPZ<^rQz8Rb2W%We^wga z(t~!|*hV`&iR~g*T&B{$d0X&*5WF%7tc7H zMB&MAvKm)?yzq;UMR@cFOFwNawbS$~P;SdH{s3`0p)~wl&M|JnX=t#W`p`E3=|KNF z4>~3NtG)d(;<3nlDrDn* z<?x} zYX~3xtTbKIehI%r-!dCz2YTVnf4S<$eD@A%k*Ba{Jc0a0Hsa|yuO9c^73vw;PCc9W zHI(BZ_%B$Et25s7N321(6{u%mt&MRM>mS3D?S!B77YK(o&$yp!H|w7!PKSNJj`V}o zceD}y0))FW*4H-1$>To(`+O7i32dkTSpG*y586=@<1eq=E&Zcz#&ZY5KJXRL3m@9K z=Mb)rdCD7GY@UE!lf6*hkzGsO=UBYGw8NDckD>2vr(W8rntu-SyILFbuNCMQ>PQdS z&iHc;>Pcf4jOP~^Jv&(XnVu>J3oFMNOT!P_9qBK-w`*nsSM;H2mD(Lq5k~ya3i-D9`UawEqlGwiABAr3m*H z*dMI7VNcfQ+VP=Y<1~0_`g;z6{l9^J?^rQuiYigMaN z)OWBneBTpD$2p;W1HJIGIG>t%5T1WbB>KbIU%_=Gz6|2&TYEHp8SK=J^r1ZkOT%*~ zw28K&(Pb%&q1Z!wmJuTRHwUqslS8cV}3 zM!Q&nEf>CEEDhg#IGzn3oCzNUOT&*m0DdzH;{e0~mWF40#Pw6QM__6Ag|9w?X@q9-;8v?=g4-(sk70p^DP+TTg%fk@XbgE=Gg=BeC$4!rhhfs ziz>!>t1%A(OT#bU0Kdn0W#x2ZX?Tt$YN#*O%Z#PrXFme_{0R9)KMR(IpM-oiu)apt ze^nm7yNa~D74|`U4VFH?b_yzY6VHZ!g8A^T3;QL@Vf*^MVK*4ehmZR!w14eSg8jkL z@B@3`H|vmov{_(jc#d})Xw&CBW-JXq?*Z5aV=aD-0W1yAabE*vHs;&L((rw|!JkjS zcm-`1SQ>s1`akv;gXbH)@HWroxQXdkKIT2pUcDT7CCcr$F+WE80($XSKQq69c+NvV z3)apk55E-o;IC8nqb~FT<(5tBj@jt^N$pUyo;lwKmqb7JUuRoq~29 ztRGfx|Ir*r9EW!3WMgT128|E)C)=_Ais1-{ap{WT?b(0&8a#h7#wlPs`<}J;;`tZ{ zasC8)>9=~b;ArG?3(A*7z8C&cd->+RWbG`(0b+fjJRa2l`ssK+`dP8I*44B6#{Gfn zCLA+C`wDvTSok?Jk*-f;J#(nBH2iRkL8^PfUk_@pJZ66a=||sYjHd&;opIoD)Hl9m zM^>+L({JT5;Qg@wOVBy6#dg-SC;TJQh4F&1jdrYWDe490Qe^cP<>{J@w!88u!oyyP z?{xL7Jh*Pw#JGWMXI{njkK+=yf9;G*IM1`wP9Wy1rR81yYlO!b1AF9TY52weiu5l* zdkNO>E)PE(bKna0MMq<-3YI>9BGyH!Yf--!8B4=YI1=eN7X1~+8}aQ{&;M3GhrG_k zxCHaAcIK&*&<>;B4yP(FEKh&W2N3>YjI+u3wI?q<17Sz*uaWimc7zvx^%Y1r>JM2` zf6U*OqHn9C{*%=<_EEXd&$lfm{F|}V{>$EtaKFU9F<7~>+&-&sM>yfd*t&h)W_s344&ljm(o?${>G&-E zz{=M1$ZbBKeJ|5PwiABsPeORIo%9UD*pSaB+X+AP?IAqbPWZ0Zh45rM>FO8EA>9?S_KEWH?Y=ko57|z7>OTzWA=_zx*e}u_ zte@O^JiX2SapdHfU+`u2-{$$J>7&t?z6s-F5c}T6@xV62+go+FVqNM?^a1hhg=o)h zhG%+e--bQOHqI4~xE$doB0SnSurxhfU#i}K@EoVzP#&J^liW`qJlk0M{K0R)bKimR zU~NMA^9TP3+$Y3{hQ}u>xhbMXMVi$2MAw-y};_*-SpXf z%lfmQhyDy-Qup!`)&p)tI({7MPaEgp>8Ezi_($!n&rCyJd9IKC)Xur>9@HJ4Hyru< z#?tgJz905M`gv{`EDc}XjC6bufADdzH2k2yMmm_95E| zzxvehe6pSJ{a+8^$#%kXT*>s4?Sxf@Pqq_& z@n3}SWIOifeled?RZoTRWIN%x&dvQ5vYqkUGK8gl$abE;Ys@d%PWbu{V|u`L(lhWcLV8Gr9{~4j z)+!&s8+}tNLo2&r0{(%@kjhy6d)Lb7%E&H!4P&q0{_XW|dF!$bZ}{DVFyR}BhZNob zv^O8RZ~}neCF#Oyme|#YYsf{&ZN#`O{TYW~2Ki;w1HI0skD*F%^IO&uH?Z{?@#vHapmA> zwDb=ZxCz&ki$`yj|E=^p{eAdOe;>Vj8tnTdRs#1GVfQh(<`Rw3w z;OpNja3QWK7i)R{ZH$7Qzku-T3cL%~l#5@;>n}b%=9_syUKYo^m>l_!_p|y9p27+Fp! z@Q=`cQ7*nIpZ-pNAH{x06rD3q*EGbB;Tq-N5aXK*17RZc-&?i6?4z4c;>Lk3cA?#~ zzB>~4v;H&S68QO3u-APpRc9{KxD ziLiyqMaTa;ji36>AtC*Vt3vvl;*fm$O&_Cn=D#WaH0q1-&5v!Bzx|HOp4bUP`_1ps z8IJ+ce)Fw}ct7iZ7XN!<{371iFuC}ilJrYYl%BY?el>m{^P_yjZ5OoBwaWv$o`$b{ z8s!*C`S9Nf_l=uTuIq3u;{MFv3ipkW&s`qwlU)OFxCLQE#RC@Yq4d~zem>lmZ>cR~ z{flhu3OhnBLSEF@j-KfcK%BfeU~P*$!ArS_`&s?wZXurLaV<8Vg)ZbG(vj64fcnsw zi})UB5pOb8C>L=*tKW4j{N@_i?BW(1*Wns+5%;tD!@3bqFHXLlP~h3Prd;gJ>yMZQ zyZ;<-kfC1_YqM}N8FCTweEhc}9NL0mu$fqY8rP7EkZ1Mn%Tv%FjyzDGq#os>r#}>V zY+Qu?{Pq@`2jCiV5%;t4^X>G;xrpn^7P~IRHRK}hXZ4#8LT?1ZcDG2sqg=%OtbPOa zh2`JCd`4_?@(a1>m4Blr>g~}Y{g!gk(;t5p><)h&e@lxzF;BUu6Q=`_&6m%|2i}jk z;QxG+Or+maE_(i7do-lG4jVH6B-2f~=%s(ki3ochrmnELXy56CT+|8PZKZ!1?8NVc zay~BB*$zQ2dfyAJ?Gw^nV|++INxz|7bkqM`ggp}TSCn}o@-u~U@yNXYaEGaewsZQ2 zTdWVo^B@;-KkI)zZ$(4<4Yc#91Bt&t{i9s`MqYo-w@~h9VjThfLgEV;k5ew5nb+@s z2JvjcN$}nlxsF1)i2K>}_oAJt!q&a;8ByOU79r2-ubBxu9g6hA28nm#nsV{bynf|A z#EVt2>b@=3h9NJIi@2YSA0h4cqPY&!^P7Hc0 zP^NrNyq}GK#iK!QWw#jh(0-VHxS!SM@6t7xwv~i{am-Hqpjk4P9oZElZ*G| z^#`v&yZ3plC%&UVv^ypj4Mct7huTz9Htyu|gW#2FTqkg=f*S{$0 z-7QAFi$s%W^@lzh^oGUupYtBZI~4Ud(AOVz3jFqynEzZ;;MKUMT>NC-enXBxxc9@} zpDqx6xXH!$`}$mmp?)IPVW`jb7^AZuGc5KW!(#t2?BbAb&Ig(Qct2~up4fi(#P+*q zZP4q9?RUJN*N^RYPi((?9*Fvvi@yDb?-t5$cx=CiA070D?7wjUfvu-rI) z2=wEhaTxOXJl20tYq2&B*N}_2pDn+U=yR)RH%1{&u{Is^0mwzjv-*ST&_nw_XiF{b+>(N90u{i^g`^uLMc;op>t$K>tLe#X)t$DgZ7q}$}8lm1TE)2r8`o$5nc zA1UxaTvIOg<y7+e? z{<`=VCGks7l%80k-;w=LPW&Pw%P$e_3Cpi8-s7ad!}9O6{pK1;?OgobUf`|xH|65i z>vvm?pSbQ>`#)&^4sVfP1fyKU{jC4=9EN)SeXN(D{T0!aR45lA&+3mo3E@`a&GE|$ zd?&6c7vmeR+Zw-GIdxEewKt-ktViBIT;N7rQ!cL0r@wi2X!n{oiJ{%&+B4hlct4x} zPUG+D3-NXxD28~u&?Yhdct0CI&)m>|s^=64zcIP!_>ZN3bF`oMP_&=8Id8vC^}BA3 z`F=u-`9|6;|KWZ%|BVeu>yaqyGYdr7nOxj@{c)@M!}c@vTk6M9_e?H2{TIKG%r8Mr zpIspKBTO#dmABu_v*2SW=b1MYxEro17surFha((+Yic<3#U|21xd?gIexqMPIP^bb z=C#;`eur`q_p|yd7oeSa3g^cTZm}^I*N}_2pVjC30e>NZ>sN_ShxHropTzrFeg0m0 z^LWhDP}dVL#5LujGk%zI0sN+k_3CdGxCGafi_Luc`=5iaAn&WD6!>wBpD7m)&g-w9 z2|I1TcxV3t;mal$H{|tuVdv__;XGR6J>fiBRlGQ_KXji^?n94jk>yUg=#~G_O^E*) zgukUlys=uLT*Uos`Avn5cph%*l?6iAQ9Ysy8Z|F`rb9!tN7 zyqH|vlu!Tc0kG5Yc#~yWffwSMa?$ZWmNWg9<(~*WlZ%c%>lxhF>R%!@pG_{pwMO7? zTmA1!)V;=|2#>lZHoI{Rxd?eypW~nUEn)te_;{GV*2VZn@3!LSxxdEsIKOssfsf#t za`F0n{8J7H{l=6N#n5j|IY2adHvJ3x5YIfUKOR`%dR$X3ZoU7oRsX?xGS5MA{+xJ5 zm_PG8RJ@;!-_~C*isvxIyW=?waqIoxt>R}s>3`fuOoZQ*N5d5bh zelYk=LwrL%{i|<=-B5p4KUN^>jmbr){!F|C{&5$6iQ&EiG43rhrpRG13?7tXzc;z) z_`ms2AIj@#3V*LUDrbk<*N{JUoy|BAev65WZ}3@#cK_vHnzthWeAZI@F)4I5e+6 z8-13If6!MYqTZNXbm|Z7$NJCmPei>px#-mYPRIY8Uv#p6&iE9FU?fVya46Z2`9slM249}r*|0Z!&*tg+% z)ObIee&(Kk&PVcQDRd{kp(@TvIMO{TIV=oJRe`jX|I5Kkb|Z2i_hVD68^-yo%j(hor8uS@;T`oH2jax!ToIf zoz_3@ld=4{ZJHa$5^oLbHx0b!l`Wnnv5jOE%xTaiOlTZH?wA~!HO+{OsxC!$|%0*}V#`lJ*=%@HTQQ{B7 z`$RZ5Xzvw4o~^%p@2!S?BEAoo_%mEnF8*C!pXXngZk~ThM7m8bI_bA@-bHczC*B>$ zf1)%0w)Y0%_sl>2-w3}qx#;-+uscvrZ^3x^=>k8BYs$s9~3<=vH$Fg!n)1uxc@vGHs`uO$B%*j`pwAo z==Yz;e*4B2o6~R&xrqB&|D&C%Oh4?G$aGUKI_YOS*~B=V?PucOg!Z#3ekLD3+e!W+ z58F+#J~OnRBIMchbDfIi#`UX2l$*&#r~KH@VSU&7KauOc*8fF9o{gXF9P`cgFA@1R zx#;BI);aGF^Vg;bdzf6jKOg_XTZ8{BjQd9mp9p@l@K(|HAI^W82+IA7M6H{OaIF#e z+t&PX+$h|@{D0iK0)LKc%0*}X-|70rOq3tzO|vk5Nkn}xx#-NFdg15P>t*{RLeJ!) zqtE`E^H28QiI{hqTy*B2Y=8MaBj?|V@F$at&ir@mok;iFFkgPQK(xCi7oGOk&M%_< zweyQ2+Fg^2PW#*Q9OB)K`ZA@!hcJGlTy)kCIeu$?HjLjA|2B-@n&M~k{>O0w>n+C* zqSar>MX&zS|2o;fv-!(6VXsdke(|TcJ_6UG^FE@@zv07nem$}YU#47aJebcv+j*XU zWc#0pbB`t$o%4?ze>d(6VpcjDc+rd)K!k6fo~9v{~45|M6`i%$Bv&)hf#;inXM4a%Q#@sxb|FFGXj zpNmcwQSM`~{&a}w_n)>;f%J3#g5wV((rt3lOMg$$>t9YpznsGSC&Cw) z|GMbR-#E@=zqh^Xj~r*%xa)}mk#3WVPWpLHq}m_Ok0fpg=SQkyf4=;<|KIpp*#A%b zaoGQFh+oU=TR(+<&-yPB{hrB1r~jLAIsBL7-v@N!}*7b_?J%lG3H^qIet%Mx+xc(^mCp~JJNPi;64a`?vbjA;TM}>I%&M6S_np||^ z=X+9(W#KzYiHC*nDDnNvct1OSWIeC%4tt^gC%!k-|GKz)-u`_rg>=uIS0K|(x#*;y zhsX0@;_AHq-1B0(Z!Zw(Ho54epZj#Rp<(|n z5$Q0w=mN;VkFfsH6yq0T2cn2x z{yizar`k9T{=RpCn{iFKcv{~7=G_^~{n-Lp?v#s8`7i!1>g_G4=f5uSaa>a_I_LMg zZw&EvZz&M*np||^pT8mK%|Ek1=$Tyf^j`>i3wjHr9_6B=Z{w7og!ZczSsxbLuSm!n zBTyXMDnGvG#dRCL_m%j!xTaim)^BW`8TH@RpT*U;F?=eH9t!TgnS z(b@l_pYfL@>3@lD3I10T=jQXzbaMX5^e0{u($D#4yq~q-lse)?zNaiI5cxK_=;WXK zB&@gGKS@NrHM!{2-^n+IasT8kBH9hie>r~*^vC}^U%>CV@%(|v@3>Jedf#)idXBQQ z`Y*1J^%^Y?f^>py%?g7NbGiA2O}a?y#u)BPJ;=esD3Ke+y5?_t~x zB3)eniTAVV@3j5rcVp}8v0m^{fg5p6xwt-W|7qh8?k^A?eN`g%V@)nP@9(jlt&M`e zq5Vx<7usK*AB^|2`RDpIzZA{&>qNAhCKsLdljjWC|J!@xBKm!ki%$Q~eQxHP``?Mk zx5-5(|9sEBIUMWtXzLPp!8PUL@VxyyZ9m!0GzW+FClTvOCKsLaQ#@zOeuL+46EDFv z<)YJnaGkU|72(l;B)$RHl#5gI`R6`a_19tlOsw^V{WB5rtp7}YAL`j%Xs^#L@aMRu zTy)ldIM3$$bDV!C-WulLeE%}u&&JPnX46Akl?XkPi_ZBKdrusGYwwMV@LQ9Mj{kC; z#`A+5za?H5#&0}781HA(Z|6koSU#D5C=cMb8c^YKr;2Y!#d zPkp|?CAg+sbjDvSC!X79`6YfZlpoLU$NO3T<##@K{)FHCNZf>L%0=h=2KNc*C)_^} zt0)u7MaZ-9+dd20E8Bk&4-EP|zaH;r_1VvI-p>9v@xsvma{dzUXZ0tbjiKIzCklj~ z$wg=U*J=IjH2+I4fZe~0{l;$<_yb&1E;`>2Vz_i};P=CG_#I@Ei_ZB0zGrCt{*?uy z-#5AF^#8Ns_fKZU@1JlVlJ$@6f1qFgdf;dL?vbrOMAoN=^#{@W{t??5tZ!QTBXZr- z+Mh^o{gdBau6_sSmG>#-&mzmxZW?i2Ie6!(u4H{qIcv84WS|IPOAM6@OJtGei% zKe6%imNlaZoD^?zwJNJKb-Yg_-p5de!q6R81l{UhcN%~e!l;R z-=CNezdtbneHQg5pf3yb?Z@}z`Q2Q;KcDy(TvIMO>qj=ux*zrb2Gm!P{qb427M=0i zgj++tC&crA><2B~=obV1^iN%ZbiNzy{W}W$Q(RLnzB_OKiShiz#CU#U;>jW2iHC@O z{1fB;^Q5@{%yaBaH_xvJ`ud%|e=s|KziM{;e%0({A>P>oL_dDMKgjV1zu%dNafiu8 zXZ*1gZ4dSM`$37+qg-_K>q{(smHMG#NOygS=%=6a#A<(-KPGMn^GBY)i1)MghwCg% zH`iYhk#3WVPWmUE9@0JOS}~-X=dW4*@qRY`$i zZ2lV?f?nfHG3fD|9JF7&pVyD`uV!!1Z}vvL7ete1_1Vr;FAnWbBE~@`7oG2S@H@pU zx8>3QjIG#-QNt8gtk?_aW?V7l3FBqCmu zi%$G@{siNHem|1qfBTLk$Nfl?%>zJh{Ll4o#>@8?5)rS-MJN72j|aaWJVFe9Kj?AM z_y55kk9t>%L2vNKMPHxmluS3*FB6e&lZ#IJIZvrwf&K>Luf*@*nsV`qeE-jN*2em< z{+hTktiQJN{wc>f)dRx#ClP(A$;AWm@!NNrkbmy~G5<#9`z2h9PX6b`_H$lrKj%Fg z?9TmTwtw+{w*9hsW(VtE^EZTYpC8*_?gKMk?*9h*IX$$A8A07ya(`0-%0AMR)M&Hnet^E={;@%)bH%s+cii1A)5hIl#t zVEplZHvUfEpP~OX5!Lp-|H1d9Snv7%R3gira?vUO3D9lK!FmD0CcYWhl#6rnNva3nTU~@NQgFE;{E=ZT;{pjF+(f z!}phrH-H!5T0ARXe)j!ltkVy;4|Wj$2G^J1T6EqYm~nY5_Xosacb;Fj@(=g3_GdrE z_Xo$I|4KX+*OZIS{vG$(X!r5G1=8-6i=O@A|NL$z{a@sFJL&(T_x;W>Z$!Pp{`;5@ z7x)HTQ!YCD?=yD`cAI&$80^OL^R!>QpS547!+81qm_)>Da?y#O`Qy29 z*1JSJ&*Y+0f4NR>{)=&EBK+3mqT|2ZC!-#}-56{%c6=zxW*?*83sjL~9qa_M7=&Xg6k6#h}M?GuD2D`&oUez4Rn} zf1VihsxOHq&+0E44Bg96U;7J0IhtI&EMNXZ;`o0^9RCk_D8xHtv*^cf?cXV({CNJ= z=7HCQ@@wb)OY<|-9~*y&s5d4To%+M|2lktG{!~Q2X>!r&Ke^Atddq#EM8s=y(TRU( z9KR2ZmDW-acczr2ExT({{D#B4{f#CPedDTa?v@z z)~UYrGe^h%N8A+qAJIAg!T!6G@0WJp82XLwEh3DFc8Bku1p4jwxD7#XTwH(TJc@dp zKLz^wTtA@SZSVI_`Z-TQ+r{rkC!$W9Ty(zw+Ufd*t&gFfwDmI);~tZX&iKc|9Ut{Y zw7Vu3o%VMG>o3NyHvbXt4)Y(Ie}?;6{~z-&==U-18H>Nf)hIg3MQ8lObK>kbcz!$) z={C9Oq@VfhY{%PF=Y57gpPX-+j+OI(;ipUSzuR#Zhe*49G!t?X2 zKZ!pN^@r!@;Vo%c_temti8N-?CH^IxVv-p{6=@!0qO5P#xF zL;Uvrzi>aR&+p8e-=qIXq~B96mgFDpi{)Rmc8Bp!59#pQADh1(i~2GJ;YIj@$;D&y z^@s17HI`z(@{t1JMAatT!cKU z&+m*>`!Qc?7KpKf$wlY;E&To$%Wc$)1)|(cE|!%a>Ms44{hty29{q~_pV8_6Y@HN# zo&o=1{WYTAnp|}1uletnL%jU`SH{oZeKoo0oZsd=v-am<{+W1Nn19wpXZ|$zyio7v z-Y$l6pL?F@m;byaL2urnV$kFJ^Yov1KU@CxejoZhd+$#~zh`pM>Hn6-_Iqh;zj=<1 z@h&|;^wYmIwx7#l`^j^3rXSnSct4wd{?07@p1(UQ((fr3J^$xEInOO||2**?TvIMO z=a+1ph`PGH`?vP}Aj~`X{YIWYH*Nyq|5eeMf3W{g=PqD#&ZDa+1CxsfT@9meFM^ZvoIj|ab77W^hU7x&1gf8<4| zfBy&Xo88?ae>aeF(fj>C?(@}ZsIO?N5*Ol{aFgl=raw65 zUu3;uzD2M8Fr4&6>4_!!^#A{^<=?6O`P)G_-)z6XF7n(n<)U}~`BkBRN=(0aO-MiY z?=5|&i5O?#RFr!VqZ!8(i5d8mg#p|eu`IAykc4W(i5d8mgqOJ)>C~1 z{wCfY?AH{}^X=E%HR_8;MtyPDS6E-^7L{(%OaCO~`?*OZIjbkfgoL!!R8 zD(Z_vUO9bx&-!`ncR!6dBWu%eeFxgqNXWD6A9j8k>E7Poud{usi?H6iKiV-7Yau2V zo%3_u??bvjkNxIz3q*OCT>N}K{kG4C{=E+L>ACL9??)!y7WVIJqO<ps+GP&r?zbqWe&Gbds&E%qEzt{AG-)*n`SkBx(VfiOs7|NgfU-5pv{TLVY zcw?OEbTy*psR|UUqe6PTb_&4RE zDP0lCzk2&?EL?4j(>-&pTd7TS-+*UhU{a?J_kv_|f@rzb|jGyHXLZ0pa;{A^FcXs}FYW%1_ zJJWxgdt#mQ3mESoQy|XMnOyurzWioooUo%K6yKRVU#^!ssq z&x`Y3zW0@QA>aGLwdl-W?VK6(dH#(0M(RBp^+`vc<9lmA))mNhlXB5(KReX7@2npc z-oNAi8{fm@zMIL#qw?+l4$()ww|GU=dwZ_v)PD;nJyCk%E3D7{o9R#77}C%28`B4Q zw*BLG-sm^=XA8U#*OZHn{|wv}<;8NtT7M$TjdHQ1{8GA=52agl($BOvFn3^D6IX}4 zG(_k8?rZu5yUC8SoA_$C-_f!B#Z9sNMd$pZmA~{v>4|0fvYRNoiLbQ%lx|V!7R%Bv zJyCk%t5~1!j#(L=Ss>d2%0;LB;%`(_zlphKBK0U29sM2RKeD?hyNizfn`g&#-&7#d zZF12|e_zn+II@l zz9+{r}y+-dQP7G7-G5Y=vouXOt%zZ2zmqT_#^&YwE50-4 zOZ0jE)6NfyIQMDi2Sw-nr-hTAC_V8N*5~imG5v}E6w=S%uVeZk&(2@s{m%4Re;B{W zdc$}{ul_Kc^hD{2CHkF~U#Icc@&35^Z)5}Sk6ZpDao@Fn$NKVnQGPF$_`SRb{`rJR`yqEj;iJ14A zTy*BYot}T*5&E3J(f)~;_wu>i2R1tMU%QX|o4$yAn_P7AKd2tsuR)6nM7w2j(P_V4 z4fqFr=I7r}2Ka{~3+f6Z!qwG4cDe{QVTZ_sHK*3H0Az z9237kGbVn2hTjpV9={(R=LF}di}pHB5@f3Ew|e-bwa|Ka*?yq~pS zr}0yt^@jNuS%2)gk&wIbOHcew^^@II4zj!G*uPWz#r^H=-v8bq`j|i4{=0~EAA7Fo zt^a%%ej`0mdgA|NeV!9QyKCnM#C}{;E;{Y6g_E8rJ@M77zaz>Y?a%g}|JdpF+Y$L! zy%AM!M6dq9&-nX`^uNUGg8%XN7ik~Jv+ZZRzuo$MvHzSK`_H+t|LlwXXS|<{pTASf ze!GT#GZFo^$wg=XlKn&{?_YBLIsNVkzSqTlko3DFe-rj!a6g;=PWAaaueA?VD*WBo z#4m*3f31lhDvAH8nC{5x*pP0q;(UMG(%*r8r{lLy-{0jvee+594)*WGt}(cVT!cJZ zetiFecH;XJiMyjLC>O`RdiBlz9n4=lEkC}$Q283{_2B}4jBCopuQ~SH0s7U^A^ybI zhxn`FXg~g>r{_pddN-Gq31@V?9~1+K+4-1o+Fo1(Y>&2U3P`V*xmzQX#pZ;tdQa^D>3vi)D{k`N?{Crm(i5d8zNYW{pX?^e zZlYtq>Pslp<1tpj*dy^mlnLdcvwq5RBGrFKdB3B@+H_n)F5-SZ{jcfU`m@;&^B*JT zJ!V(Yng3Wg>50-4U(?U}AJ1QKosZ`y644KtTy*BIujv=;COgV*;;Y<#TxVwai&c!{ ztXv}@cl}3t;{R`bw!h}Tk!*LFe$i`xEua6}_0evc{Y12zW*5jC@qS18o#emM^P@Xk zpWhkb`Eh=KB=J_*o^r9|{CGNdydKXv2D%%;JqvW+f8HVa$GJO;S9~bOFFNP%I*p(H zXY*G0Zz9?~lZ#IK7vJyLdGCL8nEp=l->H6Obyzp699|&S%}g#j>t~(5KSTfF`Qhz- ze}nnAc`N)!wD~K`h4bHVKRf<^HRvb5NB_@uyehvJ9slpN{CBp#wI6DCM729&S^FbB zQF`L5U0?0K_#3qUtbcs}fbXv*BHtz#o&0yYe^pr>&h1qW7f}zff5rAA&_BO7?kf2E z%1UM2_X>O`t|=E+=I8H~`(wN>iZR~%ML+&(+<&Xa{kLk|f2+p*w|GC>evC)^RsA)7 z?;QQMSnI(8rNvFfkAHsPhoFPd3091G1hSgBR77njto%9g=>r#yS_h_+({+x0V_lxb{R{D!E)~Fp> zsVqU+iS_3z70N}(v-%5PK)fHTR2FS%vHB$>4ssFqv-&gkK|IL!%w;V$_pMYY7jZwU zKmWWC?}C$CWW1D%Ui?d*33^L0)@43O>QOFw`V-HF-ToEr^@%MuzmNV0auN64^waK4 zzeu|?{i0|8zJbAReb2T?yHPHB_G{i5(%l8$Wj@Fz{E2eWOaIIhV7IF(m04*2#o93_ zPsl~cv-$7Z06o}h0Mab-cPl9uA0*i_L#P{efJ>{j7e^K0$BzvKFaF zx#;PS+zo!xh4+mPZLzi-=hq<@aX%YB!!^)%QD0=aQ7%HB)u)}QH|u9DQjc=c(`P-W z-?RRU^n1!h&;Mzs3Veq46KiOT%&w7;XVcGeq8`gnq`sAFq^CdjDER$Nn6DhzBJ)kT zi2K?2YpYRC@cVjii}ZWSMbH0-!_Jkf@xIC7E!N%-dq6JYel~uFWBREtGToGmFH3*3 z*!~ay9mI1v{AFE%o1ss+c==ZGZ>2x;w}ReW_$;4egr3R8ZR_vH{%7qy$oGms>aW0` zSbZ`)Ppn;zx%7R!A1FPrXrHapw`?%NpIfOc!(32gyGXeRc}73P(}n(p=}f#i%Ehhw zmqxVj)yyCB!}Kd(d=B#$o~P#rT6&O9(b9`_iuLCeE|AYr`Ki3D9AS5rr^-j=q4FV> zF0hz?r61!1#uMUNdymNS3jYqYe;4(q6#c96Muf-T+5)@aYm|xjcTsg z^L1!nA1m;OxTajZE}#F|7X`i9cNYjflZz$#{9R@0C*t4KZ-~zOsRITh-tVA)?Jw|G zxTakEPCoq%$Mps3CvFV-+-HjSv*rJqe!*_CqwFTGf0f%G?U9wg_)si&(ODn1@|T_{ zJ+Vw*b`xbc@s+lp(k&|8Vp;m7CrVFzO+VXyEV|JZvMFwKhU z+<$+!zRdJY&rHv)XL|0Jp8LJ$h6sfFQA8LJP|Sp=K~6$|fI%XN1W@>s1P}?LB5(jF z#0w~JfM5bd6o?QcUVs212?RMn5D}3-7|#2ys&{|8$FADd^9^T6!aUDBzus%FwQE(? zs@hexYwxes_2C`;d~C%282?~>dBjNt-iBk!#mM?{tNHKo_21*`zsJ{qkFS4!K3M*( z?oVj-`F5+%=lFbfnEPu+6vuDlF_+IUM;L!jlU1}m%0-+nmfs-zo*z29o)?>>9p&Oy z_Jh`^M$UlUmoOjfY_hr*?}tDx;(RgvLG~T}(8K($b8M5G*HJFU=64g=P9Mj7a#oX7 z+=nO^aXy$n=b^Oc{8XeJ{CzgWe-JjzALgXvp6BmPXuo2A;axrGlb$qT%bA4ar`Ud4N|6{vR?r3{sUulx{ zPPvHlL3{So?04CJi|ltP7i0Y|(_#LZzQ}c5%EegzN1Y12XYo7{F(~r60_7s)LI0`8 zb#dB@TpzdVddAkrd7t6^i}xLo_btlB*!_$BB*!1@H${#+tY7tvjX&0&hISA55Rm@ep4bpYMr`JIY1KgZ7IV|LCulAcjOf z*P&dDjoPpShni%+Pq`TD|3_Z~JH&tY#Z5BqDHm}*=s%yMGVb_%Rb>3xb63w; z{7pwWTfKKSY4y%}VH~*g!SvbB*6@A?`(Lqfxa)sK$bkfD$omfEV(k9I`2)v4U6(i6hS;H8jE#RP?XW}pt-R1A+b!i{to`;K0$ubs(~xJ8 z`KDZiJXn6zWB*Tkk^Mg9Vyyq4u+G_W{ziL}c9e@Td%I5~|Ga;*97yJyaxs>F#yR_K z#=ppXTf6X#_22Ag758%Z&v*)cBW`CM)g?7%dkHBILFT-t^?ogpV8!2eqG2CzK=5_Ynxcb`L;=w49{|>RPcA#)W$AXJTPMC zW3PBH>*{MbbISRQb@FSt#_L%}Z`*q|VQ83gt$Xa>_kQzQ>#;^#J1=YNZ9CvytzBsY z@=(9cu9W6eFn<%4p)k(2{Oz$v+O>lD8~t|F`+In;-QW3+v}*w%RP9lmHVJg z>_opd?e?BMlS=-8XU?2{(*e)^W*ttu1F`u^*45kgt^@bnbkP0>rd==W+pdU-yL|cY zo#b1v{M#^>!|(DTzBcXK+Lfw@pv0cAE2ZTx=u^EP<+YhtQgpGCK}#IzS8_YL(aN$_ zSsz>5+RKpcFFhZ{9qmROKkD)lup3Xib!_cVfS)s3D~;)|#~rVBA=3Gju~dKD2jItQ z+?kIzmg#ssytLNj+e3h}( zpB2}_|G&n_`dYNYC(5rs06(a|c3)%Z_4}?s5Fmpy&oq|m&p^FaaYv*+SgJpO7N7kZ zBa?m7{GWvtD3%XdTUmbn$_nT)uOLQRrT&cmUHF5#9fxiaEY+Vj8F7G-99em#T)z*o zSw9K-cN&-ql!H8)Yoj=SZjWzft}# z7)$jhjzfLDit;?$SgJqfGWdZJ5m{SWp5N|cQI2>zMYj1J%ANRghvuBV=3u4x=f+a~nHN|)$>yA5EZ5(Fd?J>|w;4(4$Ede>*!e7Fym>a)MCJ;VMM@$7eu#o`TJLsrGbS)O3&^+(=`>vy1j zzilklpF071Kf%iEUl>dE*Zdj$xDxS6`W=fg|ED3JbyQ2#t~&+#@CPjQXVhPz!f=lyt10~t@9Y2K zukXL`6!jl%y|xPaVCCKA{&YWy>*Idf^|Gqh4RuUbUnn&=DF1_vrTT2Yb;SRen~kOV_QuSQ@nj5XRDWFVPsh6vpWnfF^4)3ouQmUM z>tZZCz&J|iuLhRm`WT-NTx=}$zru3o*dI)}|E~KU$^~N}vaPQ?zhkb!wfA>VPQX%s zR-o}!p2EuVNMor!`;o>%T>oifseaEbC`a_Q6LvP1>hlc@{(^-)xxA>{|FI|+j(^D7 z-<0dmM!hz!!s-XcCt#^RYcE5+{?O0c{Ep>Ve?|S;$xc6|zD8s0(}wpl=0a54d*Z{#2Na;{(X(5`imZd9`5anPBoV5uR9Or`D?Vt^V0g0^_Rl$uc3TEw}fgm+LS3EXon>i>!RP zTz}+G5I1O0WcB%S{S}N$6cL%yKT{9oX!?5M7Hhw}A5|y0@g!JYUt19WZ^!t7?cnX@ z`fF~5|8sD^U_R!Q>oY#tUKpP#@w4W2S6^gGeNDIte&hONO8Xsyw#EL6{dr1%HTQie zPpqHJ{i?CFJQx2A{-1^?4PZ)r&Efs%LX`jA#?tHeW9(z&ZH}7~#@ijQ!tXDmKg2x@ zEWLjFmvCLKiQH=})#o^%@(cXGE3H47@GD$*Jjx$TSwE?4vU1OAM;puiK|8l6E+BRs zmg+D2DfBVETK3a4|G5K=J8RnSHgSm3;Cs_aKH3+4Vnw0AP0JbP=nF2(~> z>c&$4ZCs1kAu9>}a~tFM8N?=eVtIbM(7q~P!FctfY4_juouK~_SN>qB|FhZu;~qR8 zV=}N*pF2z{lW_k!+E}X3d1iGNH{Z-|E5CmAcW~W9Ve>o4Z^C$>{U+#7gg;<*a{2XH zp6F*$o`{FfmFr{ILzcaaK7vemelg)O_&yHtw$NBwK2y$zALt9ol;;=KUqSCPxHgz2 ztUvdB7X8`)o_~S$J<9Vt?!(Z>{f?|JEZ6UW8})z2^+EiyUTJ=NkAXg7bPC52JC*C( z^>Ht?>z`1rKXoR`2JzX~XDs!H>n3&dS)W0I0AYn;`t`uEKS%yFmfOGfw}U? z#OL*_r|PcgpFfdy{p{c1KjsT$<&pC8p+Br&vX(HuT6`$3i~0NFL(}}}91s702LHjz z$IJbhaR>bP2RyGQcPZCjiN1#;rfu;I0-iCale+TdvP_TYiCg{fE=) zm)EWR#9cpSKEm}b#t+xKz*7H5L*JecR*a?kYu7^WtMGq6W2rv(^Kku*`*~8<-$w5W zz2`9g1XJcmJ*T2x_jJ!Mz_34W%WQwe;^nyhKOvq^Glun7IsFY&asBHNZ(wET$o0Mc zxRar`JMPyY`iRo&ug9}B#`C%xjivhBkzIKY{F!Sk)#v&@*W0=N50>ilc|F$;xi14O z)gO!aBOZyy>nyYmcB`*b8M3>WyfBzZ+8Dp1WV?p1-H)kLz>SCsXwM z(2vj`GDSao!s(MK`qMt>^vM+csdcAMrs!9{;`GTB{h7aT`ecfJ_D-iyrs(%P;q=Mc z;Pu-f>vsYDNBbqS-3P_nkovR!%w3;M@uxc8>60n?%hx!4GDUyU2~M9((dTo0#+yCg z_q%js@xS6Vr%$F_f0>UTGDUy+JwCr+ihlPuoj#eOzhJ!6CsXwMPj>obHh4ZVWIQa~ z!|9VL*I)e$r%$HnFZqGfCsXu0eEE|p`klV~$rSyGk2rtG6#Yh*(2oYyB)uFrjGY`9g6)e;(tptxUp*81lM~~A46X~mGjgl(^N{_|iVr$}$gT3L_gdO!RkLa~ z=s!@3mvC2%PW%=zo3fF%ad$LfEV5vVNu7%%Ne;kqc&I+F? z@tG%|DWyE~tUe7rtRb~y;0Gr8!!;!ORr^u7e#cL7-HBLJ1h-m)A2J5#KjC7KOu6Tb z{EX8lw~E;zuiy4Ir%xv7|G?{mDf+{m1C2miV;?}KTz|M@w8>rW`ee%W`7DqAkV*Q( zy#8?e_~H7q?hNNYnI+6=hU-tSj|VcPe0qJnktzDa9kUO2jy_y}x|X=|BvbsK`UR&? zrs&u9aQbA5e*FZePp0U1cz?(gea?leSmPm6^cVa1CsXu?JBC{M8JAx&<@#KsV*9ms zMFv0f9I`*0{Y|G&)@p6y$+wZC+?)qekKdXKHk}3L2eSXOl{Z)5(f4~%d_G@hKWQzW9$E%Gi zoj+vC^;aM6^vM)`j)NJ`WQu;}WT#K2=nr>1GvYOOeKO_x!yOOw-Q%uLrd+?>#}ApJ z-{s?nOws4FZ60n_!*JIWmwmxqpOioCcqG-RX2;^|7Spp)*+zV0=Gd$& zn~1-6%Eo8o+S(4>w8vh1Z94GKRh##D&q0`#ciw(Sil#tX28T+}Wo z@BiTRG1IRvKzjE#iCwB0R{%tu52oLC4DNV%dfs+i?;G}?A}_}WOXh^5|E2HA5Q;P=-rL;Z}*9{{~yPY zi;xHX@3;?XA^vUmv?t@9axoVF)yJIQHIyOqPttG7#hCxou#=~H5$^olrQlgxj+qza zBIH5;S0X+8PUUG$)}BOvM1MTD`cCDb_FvuG`CVmt@SkMdP%cLO|2}j-ggX!WRT24^ zM!EQ*u>YLl@b^wMiY|^1pH%>=3Km z{U!1@cqtbl52nvD=aT`pRk1q9)rAOo(B8f?h~0l1`Szg^eoV)I{xh1qXg}z8y4D?o zay}lr#V#%oqq`D{%boxM846NGj<$J9?XAbD$4z6{NJ-c)&=F_(c$#@RyfOV{oMsJ-;|4y{I_2L zKcB^T5A9vFw;doCArJe19ojDP$~UBQqU}^D7yBdj$S2=UVE%J{9LJQ42gU7czjF3s zeYUsvggop&(vh7wYYKm zP`$lC^vNa{2alfzwSUe#s{e-h2m24t+88$<5g`xOfA{;)?tbK-X_5J44A~HV#eHY5! zG2VZ+ntuBsF5ULiM3-*+A!0mz-eVa*mGcXP?XY`{jvXQ<^I|6 zDew2!Mdx?Nr^LAbvlheG!!cezxWG^1m~!#(aQ)3-ogany4(nRH0LPSzM}_U}_f(H} z;}?ztIF8AGU-c3AAb*(>Cd~n zK%{GOF_QksQ=Q$&s|$pk$;F6$tG_ci&ig;k`)@?LCKn^=uUw7$B>L%#&7x&WGK#YNG!N>QL>!{$;ekDq{VI1!7Kba9uf`44 z{uiPDu3@gU2>o|X%%w~&`nQ;dvbXdJp!=D#RAF=D^wTc~HW zwKdNbh_+~QF|z(J<{a1||6^__5cxK_7|H*N&%1J4@$CX(XL2!O->Uzd=he~vIseOf zo}2&G#Yp>K%lzMh^=sx|d=kf$i?@X1cg{!z1>0XvtXr5|jI3WQy#oE@S@`?iK*Wv7#Yp_De$4gzYdS^O@2`GLG)TS`ND-w;w|-zauMf)>9<<`U6WAme}ekjt-vdBOu6_c z;qsN}-j?e#S@6QMAXW~B7z&?RlolUk)$9@FJMVt@X+kIkpcmLRy@pG%?zxZK{ zdvKpy(kSqE@ce^vac$Utu5;AxMSbsC;LA9sT)a1IKXNttkI!Mf?fnJbfn&-={}r^M z;%EJ(zTWN@GgohyiY5=1KgSu3`xi|88BHay{8Nwd zLwnKU268bLKO5G$d~e{_pq_!>n1?cMxvuLN&p+3B_;qlu|KxlS{Ws;};Qa@K+OMq> z{nD==dDdond(Y}G!}(u6!}-13um3K`n3sMppCQKmUw)&ve_Zr-H;Qrl4fi>_4X=pK zj_cP}e(roQeySHayXx(tv*YtW+WYfC`yRw!R5b zzh!bU(tkD1_4&S8bm=zE731m8ejnoWC9Joe*(84-mU0p2gZ__0pT%D#>_MKz>P+Mx zauM>N{fHXuaQ_&wv_RZ9OfE+5A3f__zI%=kUB0<~$MW~*gX!D+?;Jn>6K`Q07|DoFd3gn-^@45o{&-pNpDHkKpU)FCzy6EfHpHd*! z!c8vvU+oww{k|Jr-1R+PAmYyCVkG|NwL80cD+`33$;F8M_^BwEyYRKMJq!Ffjwu)K z3YXv9TcC%wHuuQ_(FRQ}4&FaK=>ErbE{+?x{*@Dcn_P_eKky>bJstYf3dFs@ki<83XkMaG_Sl|B`k#CcWvHWjw z^*(Tafv_8(T#VVH{A$Oz^2>R#E5Dj}OxXWc*Z(-r;=0M&qY8xICKn_A&v+I6)&RzT z^9tOI=l7J017ZJdpTJFi|A6=}e*b`YQ`o+n<#!UYlUUZ&0(e6zyM%w>;-~Z3|{Xd^$vi+}aVS)Yhw z$VHqF+H?L~`<7e3$oZUGzu@~f{(R8B)%Ab=nn$&P=M~>Ba5;`C7aL*!d7r2t@4SEH zJk|Ao74hJ(y~WvPAAjQ6KK{hW{!#WnmCIfKlk>Z-|EY+VhtnUmx2yM2$2G}%r(BHH z|ELR){`1iP+a{~MIEGxr`C$D`M;US7)by(hgssWN$o{FG`w&0KXU{7IzJz1S#mN3u z^B?J$|042Ya`A$&|I_w&_Z`0P!~2l&5|DB+a{podbKJuICnxMoE=KIx&Je!V{&Hg6 zYH|@xaV-81HGZ_`mybaoZLRLv=)^JFqzHM?p7XC7#-QB4oAYtEf43&y7`9(}2-3X{ z&$~`5@KGF7E?yV5xBZW|puC=hzKFj~E=KxqTW3P7@c9?V;YP%g$;HU{dz@dt9Ou_B zxsJ^H7T1p*b3%_=7Z-E$hnp}*GKe=UnHk;6BOq&z!gqm|UD5&i|wy z*!?=oCLvbDYCn!47aF@+ zi;?kr=Z((q&c_Rc-zFC${MiujFi`h3rc-(TYUPdR_)-hbk+K>72*{)_uB=r{LYZQ z7bE_!_&mz#Qj8bBUEtj~rd*7SKWv>D?Z?)gMYJ1}i;?y-`ddinK-_OReiKi|G38=p z{hsk}^G?|3MBJKOjKnYdS*|aSh@ zE7oxTrd)(P=s)+_u>QF3CMW96xvl*ZJiCnj}=YO7%2h(Ri$?-q?&zuzq*K`b|UBx~GWH zLiaf2`X|R(oIi8?mGkFr{KetGq9h<{zrtJ z$;F60@AF(Y<^4bBlkWb{_ecEsVE)<9^8GdTzc~?4CKn^`uS~oXe!my*ySz}~891g~ zjJ!W#`{dB?+Wt8a{jSNyNdMdF^FxmF8koOv{Ff8+Hj|5y`5WhXY`2{MiPnB07h~<0 z@z=`pH|{fQ+~M}0<@|TI|EwWK-aq5|M;$S2@6UKP5X+Q{I3J83_VZkSVgH{K>n>=)ubVR4W9 zM^4z8T#VQ+LK~yqqO%Kxoyo-)_$RaZI@wdH&4y)5`l>oafPR&i``KZ_34p{~V`QE_378od4j)uNCpK zaQxUlr*(e+lX!&R|0J#p+b=%X`Mvn&0^zsG#fblWPo3Yt8M|MB@WbR{I@LBkOPWock8H?vd{!ebTLeaQ^Ji2iq^_sZ5vi*PKY# z*3ZaSaEzGB8CyR)#HGvqbM(WAbh&?y`8P(==Q^J!QBPaR|lZ%o5W9%_*-a7VT5&am}4Y+^MF+P9g z^M8K#nBU(J?UyDY7h~T)w*CioXZugYO|Jaw;=*wGbDUu92Yq->#Er?tNc`|S9sJ!1 ze!nB>DWmA3oH^Og|ixL01Pn-7Kznv3yCKn_2t-gQG`)l?B z+UtHzR?wy>7jZt=|JZwC_hG-=4d`z~^uHz-BmJ+H|L$)6o8J$x=a5Ic_3xUvdpLc* zKfrZ+zCV!jCCpza7bENUe9w^IZ{quhIni!SE=JmK*Cf~Ox^@#$juSBcm?XyA-<$`W z-Q0@k>^Oeqb^Q5Y`FCC8(|t(v>0Tq6JZQgkB-+VUxL*wvhdpkMOIm{@DNe^FjMo-(Q^S-#?t{-#?s+eu#cgMZe@2FF)%) z@tnr`PZ7`kOfE*A|1llwKYahk^f_)Yxfp5xqxN>?KI%Bp`OWuF=)XT7Ex$V2fPMc= zL|b6F*2T#FQ`6h*?Zvaby|_6XKW49VMWri7(&u}|Tr1}L$2rmOm|TqXKNde|tJZ&s z7a1{}uNOm*1$zT)mI(6n(uv zCYn5$eyiV4n2x@e z0vuB=M)ohTf8pbN!TOu)$KP}P_?!EP*za=xkYl|4**d{5{rZ7tZKhj45M$q;VgJMD2JC-C zdv3sf2lFC-KIlL9$yELcagC4>9)r>Q?73t&U&0{*&(;z0j=#<@-i&_4`O8`$w&Qv3_p# zFY>to<3fzApVNPQ-_ge1Vr{HzeF?ow|f8PIzbKf#Px%m=m$(L-V;gx7RN0T{>J$E z-&jBYvvp{u`+!g1oe!qpH^ceex3B2zxPP4f`}0A2j{oxSUjCum$5i>O+rN}E^8L$s z?JnJUD@B*?ymry#!SwrIcjJ}?3k$@!#pGgS{IcL8Z+Clvurs+Bv0r$n^Lyb7qVt>k zA6S0=e9(W}=d?TO>ju=fcr=dB!Lb-wzgV={rMu{C(WT4%6HMQq52n9rlCxXo*B`hK zh<4on<7nrD_M;v{yTSfB`@LCD`)&c`Vr>81493sp7_a_$fw+>#W30VgG6U*M0@E z-=bWM^k3Y+XZw~9E)e4mlZ%n@2m6o8{;vPXc@mB(7xxdh-wE$PJ!AYi;UfiN9l+#b zWdG)(mtFlWo+bKve_4#zAK!D$Uc@-zlqM_Fa16PK^TGP(ciQ;7UHopF$lvXvT!cJm zZ|S2ATKeMcF8xfrC~V(*JkqV=`!{H-IhWv=a-gswCKvc_f|1p{!k zZM2RvjP;wv=el}de6#4%<@0-{@6QM0Z%MziTXKNt?D+hh_Wpd(p8YJxAMAf~V%%YJ zF*5$J`8)c0&b#WK{B8;5BILpJm)z?8_U&iM)8232e*F2M{Zik4miqR?=da8+pSwER z^@H}4HoLf)basJ=82l30{`k%SC ztGAiQiLTzbf0Om+&j;&o=3~xoR;TFg(3Z{Koe$bu`J>+X{tBPp8By;h7bEpQ>qMXK z<)TZM`zM*cKOaor=BY?`Tla6Y`u+vuoJ(ih`u);g^wW%+Uaa-zMBJEMjKmM~Z~LB} zED(R2T#S5wiQ}aDd^di|`EECUs*Cf(@i!LfS2kk50Mg2d`-#cLjbZ!Q-LBqe`}G5R z4;%Gv?_V43e6apk`TlE_@4r?(?N2;rh4VZ^JyN{a%!Vi07Ur7bDL%|{{DQh{wG|7ejnqu z3AYz`297BgBk#X)oX&Eef^kPql)K5rSoyR4zUtbK$nQq7{fM#eM{=IX?_O~Jm=n*# zOfE*ge=%nlS8j8T5?#4*|1QhVpAVK_tK&b;|9Icn*6%Mf&MG5Z{N-HZ;*Y=U?9T`N zUwQ@N6mibqf6DnHjwu%-`+vIM2mjB+Iw$_;MBig_@yu}ibB|2^yLDa2%bqx13sNq2 zh3(nTSMGB6znnjJ{eMNgD{OD;)GztdC$zAmScka`Bq5J@-%Xy-ogpN6vqDzu&?4H~slw{M9~& z_K$RHR~3kKO)f^#Z`GdP*{J>{%Ion0Z^JR=;$KGce=79;1o^zWz$Ao^XCi;@0!{t9yfxnAm%Eid{M>u}r_|Nv=h)=rt1NXoC^TGIAIud>#i~eq)K(r^5i^qoZ z&-&y0&#XU@-yODk^^ARg*!q9e-?n~#kM_(z?Q2FF$cNmw(&;kMhCz_6@bqE^_JT#8`@crL5m_{LA(CZGHaF^$+ep z+t%+NPI}pmdnV5k;r9f$e&cBJVEIk<>vxm=`W@E+Xvg`#W89weWX3J$%{dvjl#7x0 z9pE_k2(-8R3q+q_axwD#$X4g?w*G`Z*uFa=qAxbN7@7Z@9@@Xzi|DsaE=Kxqj+1TP zg0jlVc?;!YWd1VYUvZxrh4o_m&$$j&N4Xf;zuS%S=lzG@f6WQMO)f_KXFmB`Jr=*> z*)IQeF|z-W;}q=gar^&0Ycu`$#WS}5XZ@wH$Mf3tD65=*k7LTk$oJzozRveuea(%- z^Lnm@4u|SeBO>U zZ5|I_OfE*|?;}rj{pQH4MdSm08OMK)@&1qPjNkoX`^$-Wi^;{v{Kei=;rn;2fANzz zJ_g5P3Mz)*RaV(bP-}*nKFIxY{bZ0t0V*Q`(6GW`ozCjVOWODJCNc+DI z^}GW9K3d>=aZI_mB5XhXRA27C{qQ{=mOJ;2I@5fe*+O=WVY4sEU#K-@@gt{udr5x_ak# zDOmshe6arOUq-!;M6CU=zyTanE{+V>-+y-d#_lMmFCwo;7l`|b$;B^*^WUm{tNY)$ z&y?+k-%rnpc4Kle(tfzll6HK5At&riE=KI@J&2WS@PFq5AHv_1i;?xG?P9;lr!Su3 z(-${|>(A1cohUo8%zj7be+Q>;{m0I}{~+0K^b{EDKd8t4rv>{R9e=I*|DWC-V^FI< z5o1xSe=+j=&88HkbmcB1UWH@SV@ z?i2@Vcj6n|{@8!+u=0P0>vyUjDUkW5T#V&^JJ>URnSM^jEv{?vPe$T*;+<~ZF!2S^ z%^SG?mGcLGJ~)4vwAtBBI$Lyh-2X^>e?Dk$ezxGh*^l)37YBU)#aR1c`N&R`omgVe z`2WvZ|E-pPnd+9dbwQZC|ru>Ri^_NROQ#jiU5`TYm;@AMM?Whcr`EVJ+T z>5B*WbjALX^kpZ?PAs!;wf>Z@sC31$^kpZ?PAsu+U=4}!mlJDA7Jp*o{SVXI$=iz` z^7i6R@$zrTPL!QkX5WhcQ<3jG;P0LVV&6!GaxwD#Z`0GhA#ts@7bE+J8V{r0W8B)- zC=laTlZ%n@>s$7Pa#J~~+{8C~`QiR&^)F)FWA!RV#y_SfJ5hFGnZ3$QRJn<7bot3| zQGSbM{>x63o%oi0aQx84GCLmo2T@izFTpY8;_>1Bo8Kv--KgUVgq_L7m_6>DwCDS) zIcZ0^7_sMbV%qWfaZcEoT#VRr{hj?U*Y9(lg1;#jH-+=RJ?v{QyY$8SET6t7gR5zEomaS^w6T`>~B)MMPxPGsDfy=N@`wugQ0_cr?fpW6N% zXyeGYt-pw@H_F9W{cQ(();rS|t^Rpk);}1ne|Nqm`yHMC9hyGc-;RvmR-gad_zPoI z8^4Jd%bHw_jQ_WbJ=)EV96xgXbcg1D2d8h(e=&Z~iFIez>;J3$H#@TY?fV}nfBXK2 zh`2Yo7>WN5thuq=IUA=Ki`@? zTQ{j4R^TNVb5SlHA1QzKAFMz2A0q3Gax8o2YUV-{|s_-=h2$%lwy}C_C{@Y+t+6 z)phNK0@-g-E=Kw#NG2s@LD5&QN-oL&2A1;WncV$A+|XV=kNAnhm@BlcaBoL$#$1;WncV#L0!-`TYt zP$29~E=KJ6ofO```2Ccer?~H@aQ(!e53ZlSWnU;am7~f{+!P*vycx=m;~v(3PK|c5_*w?Rh{^$H>=YL(iHhlk}|GAy6BRjFo{ve;e_;H`Ucu-0DvJ+(|me{vie|4oR zDqXQ8{TkkXW&DVBy#H$D>Ir#p{6jt2iNm)4f=^%kj!VBLexW3N*@?0fOYB=MKl^SK z+aLBJT06wPLu*%JWdEV*$xf7=SZ4oWpRTCyE{U=4FRe!X?F#$%7x+JMOu4vgr2Mys zJ?o9>i>xx!}dhkCLTWha){w_5(Krf>Zo@-JHd$9%E>1R)RipYFVi zfA+&HM{g&V+AHow#l2V(|E;#4R?9E{?jQR<iDVx@l4+2;>}_IceFj9-*eu}_y2QZ-fMC(GXHJ$`-$7bp7S@B ze@@JMd0jsLF-GRUb{_dRdlC6Ixfsd+h??uaMl3B5{g%naNdNU_uxGzT|3&sY=9g!z z|Dm4j#Npbr|Jc^@vp7ZlTl|Wscaw{;`d^McN*5vzhZT4$jwu%-zyH>1`mNemFLLXi z)!Pfa1in))M%F(kT;$$coN&A7-dp7NXZZf2KOgLWC;IQNO!VJh;qR!}dy^WYvudVmd@vV`Xa`GCKn^$pKjHj>v!2%Zv8IjH*ie3cvd+7JKBDM@4pxL z{(AxL1MGJf`2O3U5B49G*ZqBCVS%`Bm|Tq9KUyt+u7BpgTX3FRhtK}Tt^4OZFI@hu z+D~~N_sSEpY-(?TU&QYZP%fTOlK!*aZ_mm^=f9Xe`^MR~I(}&N`}1uWBQ>5u>^$9M z8{&_05$A*P$Nm2-C%!+Bb61o-<>JIQuf3Ij3-hN|>yP{Evzt&}A1Ux(a7?*)Q>6U1 zgMDSZOFt*>CrqFB6XW=J`njF1BRla8v>%V*>Fdv+%Umd;+z`L~ex_r5{L1&|vrCcJ zM+>|g$CQha?h5+4z{lx;{4Bfn)hEkB<_E1r|Za0d?W3r zqMmPnzk3$=7>+3yZ;1H69qcP(UHUn9a_LvZvGMeCJ6%V1;u~#`xfjc?B4X^q@~enP zhyAzjl<$u3wLXb)xQOeRT#S7GntHXToW01KmDzhj9=w0Gn*KQSJ$3YRJ?L|CV%%+V zF*5!(J&c>pUc|WB3j0K@w~1W`+hv@iQipk{pI|*tG~J!`Tjb8=c@7-_}=W9 z1!6pBa`7+1^=EpkyuEn1w-;B1?WgX9FYWA9VrJ?Z)>e{FR zULDRqzY|_L82b31^HdyDE*@NBkN7cr5piSoVkCY{Pj;g0#E5;@tIqFH^9qFDCKn_A zn;z0NdlBiHT#Tjv0mM1R3HJMO;-_&;xfpr=$)9iF{AYom|13Zo=Der>bum8wVVv=I z@)&<&wa>+$2zjvoe#;)^_Lsi=#M@l?Rm8~m@2&h~C(2HI%RU}|DmPK(CPvDyf-xrF z+o+sh;3YVwT#T%ra-Txwm#FXeH(8yDW5`9E5BvX?z5RZrl^^CmM$CJxT*b)z$Mj?; z%1(UCJ{W&|{?BzjKEKb2`;f`S$o%y!`$D;?993@Oo4owE&dmB3D_y?+Jt2?AkL<+% z*Y@mxEq*=O?=mjMSpRGB``_Ij{icHrYAd5cH*1Zp3grSx7@!WGJfs3 zs3+vX_}|g?{}swV-?xDN(|*Sq{ic<_80kMvPj;g0#Q*B{Xm?g_BHEpmix_EtrYAd5 zcH$dtkN(&E_hi3Kzr{m-_oA@ueg6V=R-KAT#W3$8u5AP z9fbSUw+s9@jwu%p3isb{27B)NqyM7qzoq}PT|OWW-hb%7>_pj#W%jL7)JF{#Oz0#^hq8{j};o_;0oTceMR>>3`I3iR!n+vi?hUqU^*s z+J2Mof5lUL|0`|^$6u@CAA3%OINR3eN1Zpi=SQ87i)e>C1@sHTa#Z z9~SuAIHp`&6VCsL{av~vP7+5uTg-#ya*e)q_+-tH+eZa*3QSLN6Ez2~Ew ztoGv=auMf){l_GX6Kd~;KE@9@&p`eu7vCGPM>*Ah&y`$NBz7&cAW* zZ*c#(KOZcAJO8Y=_vAig%Ej3J?Hz63>i#AA-^%+py%^(G{}R8~{d9r1;h1tU^8BpT z^rwt;aW`e4K*XKN#Yp^7&-QP@J}26N$;HV2t5*HD_-P@2r+y0Md;<2%VDCWAFXEVT z@q|eGJr{bgoqThF5963}F|z-F{&W1ct>0hG?(^f$R|-VCH@O&T|Ms52@vuewar|n8 zoyo{!c|jHzYW4BV${h}~I7 zHQCtL$wizm_W!?OpCSHfFIIPR_9Em#`z*uw;PEWmc$bLl%)o#CGnzbT-+2i1uEe+> zX^K_c&nXun585xoSfl!(EL)B;6l*VK8Ra76LHi{yBi)Z@*;3>|to$_!7jhBup#AK< zkF#(|?k7l#4O@Dd(cxev1C` zq$V3bLjMoBi1ShZS?=^-WVzFSF;@Ql9j@H^Uucr$M!6U(zs8-;?>59R^FcNcPn3%> z|JVNm%I$RQcfGwyj$0`gaXy&;IVZyIYgsn;l_smlA|4v;;hjH7e`i|fuSG1n25S zqOGC--uP;Pw|KcYxc`>@$-Mv4E?ezb-GV>yU#vWXeWhp%Vio&|=ipgOPUw!qUQy~B z5eH*q+l$&Vfr}DJ&lb-zW{^5G^ zQ+_KSmOq?VKAC^!SLNXS8_Iu-XPAylYvZdT%h&zwXnz;&rxoKNdk6IKf8!}lw(acx z7ID64-&eHnKN7l!KLC`;E}!ID8}Qb6$dD%EicdeB+VG-!rrqk?sU% z?`ZO%|8s9a{eJ`f;gbbEf@8|XZ-o7ycd4_RcXxrXGr3q|U&l3QpA&!6zAi@I2W>~( zRsIS0!+`={!!hOJKZX6L9_RD4&xu$txwz>q`>`k<46)iqRkK0y|6ii~uJQFRKIH3P zjI3u{{$(f1PAs$E(eWq0Mfok3`7b+BcH&$1!TxuJUr%4@*V9*`p|Rgy@st?vzgM2> z`u&wxi_UK4sbbu|-`_v_{r$u4V@TibU&gro_}7un;c$6jfw=FPT#VfRdhT<6_q-xH zzkBWzO` zeufdn@zeODkptZ-iTw!MVv3TpF#FLKXi6IFE&X#%Ehhh2d!s~oB_KpVgA(F zWOXgxhk#tf`C|Hm>^u6Qhxt$^+O5cW5anWQJ~Uw+(tRBBFU+UJD((lAi;xG?=e&(} zoX?50qg;&Hk3?Bn{x5IR@(sBd%YXa1u)}<~1Mw?XN8|npxfq)dvz%zh@)K!ieSCCL3sXl#4hYtpDE4&hIHmlm3(Rn{qMce;s~ipGI2nU#wyu9OWY9 z!St=35&x`z#y`opr(BH1f9-XbZXIR7^hu^mxfn~|^pLLEc`{wf#aQ~)`<&mbL;6qB zj&d<(KNayyyFTCk$p+R#DHmh*Oeg!YOINJ!Xuo2A;axrGlb#tx*b3I+;`U2%*Y(03~1DNNv;ePQ#leUfs zxrp;Y|7maQh_DygZYdXI>wzfstnDbwx9=)&3#B*~j|!(h{YK>T-|^_<@+KST4=ERM zKIs4YU62shqZc-5>(G#kvGwSIyN|6{vR?r8I4Uulx{PPvHlL3{So?04CJ zi|ltP7i0Y|(_#LZzQ}b@%EegzN1X~itmltL42oQbr(BG!$5W5%$g~%^o^03kjIAg0 zKEwML?>i#zTa=5j`xpC3jz8FMiX3-Xzv>wqf2=(b`TjrH|8hi=Z6grRkc&7UtUu~y zfA8!?)|*||6Y{YAb3R?vpZNh=x{!;p^x4ic)B)SS$nvLLgglu3nn|!b5AC(mWaW!l zM!AUdLHm_6kPg=WRvy=6?QtAKF5-O9p3mJFw|pKaGHxjsWAVF~?Faqc62zd$=O~nm zvGE_DTk^iK;-Mya-=JKK-9JWO13R>z?u(mbyP;f+wI4qBWZd$3sL1%W=cJy|`288| z7=MTx(c%wsF&2N*QBM{(olRQYuwEHQ?tHNR*w5GS41xW>*uZ?AauM>N{j^WHbf+VJ z=m*JkDHmh$OFiEIXeaW%N4Xfg|8f4p@mJU7O|~KSC>LYnuSz@Y(0^23Xp-%gaxvC^ z`woFF`nze!v&ei?EVn{b#~DXUF*??Md2EF2?LReqjDNeh`^& z%Eegz*-m)hVEYl7Z);bcvHJ)6DaIZ9FOhLaxfqMTw$1Px_0Q+wBFF8Ni?RA|+zG$Y zhPe+wLY>*ZQkr$NIayNvk)= z#aR8Ze_*?t(b=SpJ6Q%Chr08@{Ii{K-p2MLvj4MoiO{!#gwmJoolXXpP>~h5eBX&OaiU+f0K=grTA3rQ}-o*uU@n=CwBbe<-K(vbNr~1K!ozl{T(|E4SH|(tHZ$Z^AMZ#@Uv? zJ@!buRxp2~-;R2J53jZRJ24DTDXXAglkORIxd*Scav#LhPV{TjZtvMM$*%*RIdl3= z2R!?mbvW$~#M&!aS8v<94%~CoLHi$=cD=A~yCNp;^5wsGl5fHCZ^K*;zvqMa+O%(L zSE?R@5_`g~l$O7sPxXG3*JfTx(ZxoJmN?R{Xp1_?J7Y9qrX~W&{>q4aSDPyVrxDUXO)ffpJZ!Fax z^EmWwKs}sjEY+WV9Li^3%-q4c-?1AgCyR@Y=i&EbSRn+fKPb=dD&)0#KI#p14VL<| z;#&Cq*O&=hi(V(8JO|(h_17W~VCnVyu0Z@CgEP-Gmg>*=9Q?)|l=@(){s4No?AI6x z?UUyJ?76t^XHfoN&EJb+% zKE!7IB+t{U7^$F*fu;K6ehcwAFUxu`G6GBW*L@GyJsC4Gu&u9Lf9#96 z?$ua%9AzxMK1WiO|BaQc7mTI)6UU)`u^VgL*~U`+F_*!QwP?R!ZE3mx-N&NbKaY_+ z*yeXkcjC`bzs~-%vW5Li-(7AUCGr`d}>~J{L}f|99cGgE|09 zuiy0*Tz3|RieTkG%Jn-LZ*#CR{&QpL^=DpS?IfFXim_aO1N=rTk8d-U>d!*?*KwaA zE1xL$pEE$VuimA`((BJY6nfWZ*?hPUmg=*=tv$*97V+tKsKw$3T|-vI#aW(U>Gen6 ziR*WuzP@cN)t@^7dOyKR^j{cD_1F9v{J0YFM*1C_G5@C_pY>0pzhb^$EBAlFE704R zWeblsmija8HRz!)AvNQR`NR8lZ7;NUjtBNC_hX<}7U8=ugC+ID}%Idp}rTTLgn&=DF1_vrTT2Yb;SRen~kOV_D0T+@gxvwRDWFVPsh6vpWnfF^4)3o zqc#7A>tZZCz&J|iuLhRm`cFXrVq>ZQ6_)#rh-EP4e!6iq$^~`2et%=>^;fKf-{`BB zKVU4?XMb4zD#nK+jivfy*TWCokI2d;<>kNr!zh;%;6GULJ7i<+oqBbQ`N)*<)tGB= zU6c)YwMVej zf5s1=s7?M`W2ye!KSZ3Nf9T)WSgOD1A?TrhSahnfRDT`%e$F@7q5DjkFK@UHet!++ z1E%D6(QUXs?$>0>ddS)i#PKx58<YUjA!W;JRw17UhWc zMW(DXjrJq6DeZR*+7|mO_U9@6 z)!g@?JaIpri~nG0c`p7L{67&-a=?`On#23ig(&~KjiuM`$Nk;r7sv-#s^9S{{Qfff zL)^o_QvLQX;ksM{y4P5$&v8%X7x>RNyA#&mCj1K59gp${Q`UlEzud5wxEcXZP z+@45**il-lzwD>b$9QttPt*M84nyvoYQNuDdVM}otfRke$2|Zn)nAGm5$6kw{?%Bj z-+mCv@sDtQFiTk9nD%-2ff$;Je1oOepZPuLErUK-omsBm{^zKxkHH_X>fZo}^;f-! zg*xteWc5$Wuh07m$MYoK+$!~d!XYT1{{jC|u3)MD3i!?ba2e7_=?`aNeo^@V=4MA{zc-R#?Z!5-9{kgbb@cPDa<=3ws27Qc&jS1^}?I%DNvET7+ zW2rxruY}(7$S;{Np6~b(^d3Ndml{j2Kl(wGKiV!?U0t5vF&$2yOwsQ>3+4Vnv==g= zJbP=nF7BsO>c&$4ZCtp*jSnjc{af45;QupdE98mg`R)2B^uB`e-bd5!U+p_V|0Aya z!BYQcv;V|BZ2oD+QvLcx(3^z*|7c^WKIduGUEF*vyRF=x>hIvXhr;G}kl%#+Y5Psk zp9p`zl=jQ=L_dY{L_B=1+#l=;$+DNxr;rKHFD5(&-?6^eyUOTGg0=4|GqwBX@0q`QAeLR z>Re-~KCfRx|Ih1#rTTp__<_0X$i)2vtf%U(=>I>FcKz(%;6KLmWaW|a@}WPh zU$U04uV?Y0xGv^*iw{lnr*k~~{~7!TD+&86X50Zk{sH?3$X&|)Ux~gjdj-#H!0K-0 z`UA(}y0>CI1WegyG;jf~|HoK=`>L@tJ~wtDKG7yOe$ZH|&;15`{yPifKCo1O2FAkN zflA^zWU2nT^(gmq;6Ipsw_G1XH~ZrB27Uo9VSRwtt^LGZKV`na^(e*<*P~MQ^NfbR zJ+G`7OY^&SE%Z=V8!%={S^wewBCd~de^JW%*yvrM_Z-HzV9NZZ=Ty||p6>Yq820~d zZeQNw<+%Pmi09LcVST@kZ{s^~{p%5LU?t&s;<%Hcw>!oIAo_^d^=;prJvTzzHEu*Y z2f=>uI+ot_cKZvbyL>GB0@~MJn3sckv)kV{hSaY;;`GTBeS7|XoqG^dIep%=|Ci$Kq$!pSkOkDgIQ)JAE=mfB71xPp0TEI>G6aDf)cA&v+nf zes^*#zbjsI`ee%Wm-+Z1Q}mbL60n?3&uNrGDW}tWT#JNgXbAT#?Qh% zoIaUy{nfv4`ecg!k{>vIGDW|`mp_@J-|5SrOwphCi1UX`(QkA)eKJLV&aBc9|wGWktx?7?)`MmLC$|N<@%$2`I9O7J-$526#e10uTh6P z|H+i=PZ;m?$rSy$XE=Q_MSr;c=cE(d^~sd$4}8<qKy1w4$X`5Be zs+r#EvC%r;hOyl!AfGz-VO9!m-u;-5=MH?Ap#LUg(MP4J)J(q(i)?LBZNu|Y zuw4HE%pIP>+Aii=DSN-hKjZwFndndby~sW0mRuhNOa19O3fF%adzH>Kmg&OQ|=)nKjZYt ztzvM<>$knl>61zNKk)isivDotG$YV<*rv&p>koI#HMz@OpG>(vpSjT=GD&}!*B@@5 zJzRg*o#Ff^vxGUyaQ*4^@j#}OPp^+RGDUy5WANe5xrggd*AiErWQzY&zu@%A6#d#B zPM=KCub<%b$rSw#?+=-x&$(?CYc^zx{$d~hWQzW9$3!bXys&S z+~LkqM*YHFpG?W`_>-MJnL4Is`?9h1&&%U^xb?O6S?3R#Qa;1IKTi1rcYQLYeAXW1 z{Q*P%JZrWq7y$)Tud7t+jggG|0S8%V!ps&+*KZf+bfwhIZo2-5b^IFJ7oVW48Q1<5q$a)>mq>pN{fj*FO5$A*HGoLlYF!L`q_Hg+ZArIQ~E1vY9U-uO0H|1i?f0h%! z$~J#tlhtlleq!wFZ1xpM?1`|iOM2E`!ZZC7ksnXJt36cw)6eW*o&O^1opKTKVE$KO zO^fePt-ilW?s1@8)Vn1^rN3?q(n0&>*9AqsgGRX+`?_P#F(~)tevg4C->IWq#QC8A z_H|pdKkm6_`y<)z?CZ9kvG!+s-S@_xDb#tzljTOa2zfAlenpLTOU`bRc9e@Tdz5-h zU-#u#AQ>n8x~a(g+ppz$LLT&=?~t<-q z4}HhN-jnB-Z*u98@&hcEm;80V2E{Lq-Ag}3R3Lv1isJyI$&2>-buQR9{h=RBU;fZf z`sMu%*t>Mv4tC{~lfMeaauWTwCVYDxl)lPK<)`wboaO7!50(Bqt-eq{+3G?)sop@A zpUTmdtMki#EzHWt*}=Ye{Z@Z1tKz>A_6PKDC+?v_xsq+qA^ksVa=7u()((G>FHzS8 zi~cKptKXHgTsf>iq)F~Erd-@Az6Onlw#V1*`IW;R^|kso_M1qb&q+j^dq6HiUM#;s z`RAT~+HLFCE*p0`dwy+`*CClMQ^?z*m$7TU)x~)^PS3V{k4eN>&|{#f9;`qAMC%3zVPNI^(zS?&IjYS)n7|soa!sF zqP`L<#=aKI_D4ImKaqCUEfXWL(-0NF=o%NLeq|4ixz1| zxfrvzUteXq9FKcix=;D^!I=H%NzSet<1yNkw5ME**>m2_aUkd8BFBN0i?Q(_)1e*H z6=_Gg7_(>oX~+DFw4+>%*)vXP$M_LxN4XfaztP*F{>&aUJIKYDJ>!ISj2n@5l#4O@ zIVZvnx^s_clJii?#n^m&Znw94rAgXRF2?NVJ%Dk`1k7h|Z_?(mkc+YTZ1>(s7y0L} z4~xt<&dvMT#UuP{n`fB4EbvlnP>Gf%&+f+ zy(i?s{*QVbN7G*9e2j7t@}RwaQ*SxePi{u}d9vT6T#U_!nNOBG^Dna8DHmhq&vYuY zT>4`5+b(?(@}U3xwpMlt<^f}ytnTK%!6V{)(B6LS0OfAK*5S!=w_iK(jFrEA+Y949 zrqA&o$*+7px$Z$bu7`-Uqg;&H+pq1g-$A}OFG_9X2n7i0Dr-@SSq^Jadd z#fa)ICo(BV*N>%z8LF2_^T*Pm%o-GGF|%>70+1u)MLHbuWfm<-Y6Gi^|$y= z_*U>2#aXwgnT=(ZXG@l2EEO*Mq*m^X_9~}S8zr9KJyOfKu@r(W15q#%5 zF#A!G=??m}BmZq@+!lW0c~pP9t2aJRV*Qa!mvS*ye;lXTxaEi@Ic}j`jE!Ho?#%M% zdbG%Lr(E2s{0IG7_KZo;`xTlT@+`K^#xdj~~E_kYU8&xGw4 zVeVXCfcxJM3p^0Vl#2_(_MJDPf519o=i?&kaRQES6ipuVe^L+18Fe*j=K|4|OfI&E z)9;$(((T$!bm?}X{OE^2A54Go?kK0xXfH<>xE{xpi~d_tKK=%kf7dlW-G@Yi1J4J8zm>9Po zeWJI!Ty%D$PZZhb#Q?gH<(=X`{jnRUy5`pFQNZH`XbkVC>J3Q`rmsz;smz6 z7{lj8-(zxdAZ*|NI{e1CeZj&e+vcPHgj~e=VEPN*fpnL{{v!n*hGWXb!OsH+wV&0G zA>Tj8c%`$+Y9H?Bkc&7UOn)Nwu-Ctc{_m;+@4_+V;upjAV|Hey)%0M?~LgcJcbWe&XpN-4ibtL%JuPF8b-8guSBLhw-;+K2fP<}Zn7;F@;P>HkJ}SM9f>vE;{p0iG#=+~bq2K{=B{d`WmpViMhJLu+JBL*G& zPwM0StbWp!K{siK7<7}a6wRL1Po5TZlQ)P#HyLe$@yGjF{hS}8o}%xai?E3w#QPiV zV*Fb_`pqmo?AMa=NLy>;Ye}^LdiO9Rz#ftea$1To(6ESXa{>$;p zcvC+AvyMl<@iUa)xh?X&mv+&6|DE=8q`M3226Ia6L;p>?*p-jJ7vZ`#V*dyF#LMvB zNV^!nCA+`+zvybjgS0QYtHiCird{m+*WUZhe@9OWejAPcoBlJxZ)O)A|E(B@biM=Y zU0gp9F>f=w=*-_Z&nz~D`DfymF#jyXO?m&#d@b4^-d|_Fv&8#wO}ps4zpj5U)cf_% zilP4UoAPXj@qV`c_P!Z@M*Z!5Pl>3vW*43MI|pUYacd6BIuYZR*~R|jSHJah`>Uq^ zgtFU*_#?URL%ZnxwN;+K;J(Me5iRoE3+>|b_CNa7pS5>G_f@Pr|FA^N2hA?VZvpPF z{;q)k_}9W$z<-Hljz{|s zG<&xG+B^&SpEM!l--vvhU3Bt4^?uYf)bpuNmxwXU?Bd#d`X|f_>7Hcksq^*PWxp!HBkpxeu=0HW*4u>=bz&& z=l_%Umbe?&w2RLCf6R5LCzrxi_mqe;egCXGO5BcX+C}I6t<(0$ zc$yy%@h5&e#NQO-_Zjxr|1qCU^xe$A*flBSUxeNDpLC*hqNneP>kmC~{h{abP<}n9 zi+=yH_WaOp*Iq9M-P-d-U(b0y|Ar&y|A`NU`F~C9e}1-K{q3~}X zwRk`4|EVj{Zo1Ily}ragT+=Q(^S?!pqTJ_WzXs1p#J-2wMQ8tm^EB?iaNd^qr7(Zv z{!6@{P5-*MezI-u#*QY+#*=`A__5$bUop zM!x>{ZV&PHKGGuNrCmIf@y|#9TfYJ84d{OpF&{F!ctbw@oF}lJasH5aW|%+JL}&b5 z&yEOv$QGjU%SKMQeJKK{XI z=d~R;FNpS^h&pX{vH$*Yzy513%D#RH`ahI);?20GU5ww_-(UI%BhRcigOS%nJj?8& zQ-8*s5_DrOE)hDji;mvGh*+u95Z#mLmzaHmJkp9GbaZS7Ete+2v>sJHf z`qcoG1^cf7SBif9V?W3JC-!?H_nl}LVbAuTYaWK*zKQppT8Vg`*~M?>^_=Ii{c`@7 zcuko9vHiyT+4xs(MLyq!_VdLOKZ9%9#dqc7A2=OboV`wiBg#G69>VgC{DXZ^Qo z5z=`Y*2`W~;@fadyLehY{e37ut{e8D{1UNlXm+vx`Imm}pXF5F9Lg{8wora`adSTY z>3dL4o3PF`u*5BR|Dj!U-rrWg7WqW^t$t^TC^xf`(TjP6S{Y`B4#`U*I*t6*${aM6=`S<9@N?eO;+C}I6o9(Rr z^U(gp#+=apMA)6>%Jw62-blOX%^#c3gmUYeA%=2mJ|mhv8~;f7zJWR5NccJt zb3wC<&iaA9Kdz7OkK&ud`(smFpU?l&W6^J+uU>jqiFe?dcJZo1r|-Ht`Yodig?Y;=)c-`xTg)yx^B2yO*?(~UoQQtI z?4r|u@SFMiC^iLAG@i(dU@J$pRXzsRl;q5g@upDjO|=WdPjU-64^{wr?H>&IXB|KDHsn}1n9aV_FQTupH&u92pucx^uZF{gxfKjz{R(eBMI zI_-bisiFT{cBvTpy=AA0e*edF4m^Lt^ACv|(0|Y_PRpmiJFdTX$MyH_(?Y!6^F%-X zX>Ufp-;Vu~_mqfvm)S*U{$+mFxFzD5W*43DYt(~C=PtbeKU?BHT+=Rk@9*~`-5W#u zO}s3$U+%xMeZ!t@Kb$Al@Q?GyMEt+m#f$QKjuWi696u6KZ_O?`_1D&~kw)7;5}yw1 zmi!$+yq`@!_er>J!2OfNGsFG~*Pr73te)q5*?$eWutoM;w2NN<#eEi@pXB~a;@86d z3(rr+``P%p|HpGr-2Y2F1J|^R&iN55X%y%U0+4#9mP+t?)4-(%P)(`69 zn!KL<6z2^*f1Zeb!|bBde~jE1bR#b-5jwMrj(*lvDE9?%|3t(y%`V2jr8fXYitlmt z?>{^2zqwDyd~^RW5$QI&=%nAy$!tPSFJ@@HN zcYTS_nO$`Bi|3)9!uN|$D{(8XX&0UGljj5(KhF;)B3`qLPW(1bVg6v_mxy_T*+pmm zFt!i%_A#`7v|o|yN3@HuXX_uwdCprn{wE?{vx`prw$Ft8bN`9?HzMC=7oGg`oVn@H z|0PmKyXfe7&YZgSXO;+^*+ozPVAMTZB6YNjj=t0V!wINoocB#Y{Y%_}YuZI;|AXfY z8+W6=;r%u7hq$I)boM`ZPM-bWq#Y$*gKOGFr~l(V8P7d(|11&b9?dQ~=O25~emL&+ zqWy>*_h=Wr@sIxJIw8+ri1le<{YHd6TmKuo!n~)kPeeY(An&_GfBs|RH0mG6Z`MO2 z>W$e&r~b@3KJ+_0zs-KgxD}*bbo!s>rjYLD7BQr|i8{r6#QWL&+c_7co9ADcek0Ot zcF{>c&-rrQhUb41H-z)QT)&C;v+?u&hVk;fClT?QU3B7~JuCEEvtLyr`Yp4IPXD!J zFnly0^MutUV%^W|qO<Quk_R+Ky1 z+3JT%`~|LQ7oGDjJSWV4i|2+D(Qlbubowu@(@@9tn?&f$E;{d#GX?q?``wkeC5%6u|HS)Q zeW&{$ovuGmc^v)pHoUKnC~-H^O}prvKj1zU-+Q@#mH2enzvB8yyr0cK#~GeK;P{gW z-!m zx_{5|3{(x);aw-^EWv-A>WhkC=sWLme3LsuD4mH@oQUAMiUNjVti|I`kolx8s_2(K&yy z=<}it`qJe=2bUu4xyY`3uj9^87K+k0yQw*R+f8 z%KM+^1Zp>h^8<-r59bH?`|Wr?TYf_q!EYPk@7I)g8Lnv;H|FCXf-$zX1N+rqED>d5 zcF}qNr?4q-OHuF~0yR$J~KUCs1xTaln-oNJbg!VIMxd^|b{?F+V z{r1CkGS*+NpNXuuw2NN-wR~dz)ABE3-N5XkvwpyJTF$$;ew(;9tl#qdXS|;+|7DMd zd@mm%hJ17VoqmY-vwHfO^Dg>75p}}sqO*R)dctyN{YgZ*n_YCufBEK^?%TwWZm$0` z{qcS_{T2`WZt;uoyV*s@|NNbH;|+LU$M~6u_H1_X4f*!7Vq8e~iW9|E~=ixJ%I9cb9lGu4xx9$(P^ClY`$^UML2?^ZQpU zzj)vGKiYu#Uqo9lzlvM)@$;NM`+c6@Pei|OcJZdXp5tT<^_=5p;+w+w$>0CS``Pq! zoM8Ro_>p*K7(aOaDc<+>$hYZ5`aEh{O4E#1Jpf zpD-Qqel~u-CoSz}oJ=d8{cYTS_nO$`BTxX+x&hiqW zGrQ>Nw?*CV5~-tIboAUOX8inqNFsD*7acw4xzus~n+ToRMMuxy8BoXHA0$F&cG1yu zpNu;0pCv+PcG1&67j$D5lt>-zqNC^cUl=dHpOy%n*+oasbE4Go{AeO{W*0sEuBh8r zB6YNjj-J0$WxV|TY9e%I7d`zmLC1YJ>W$RVE;@RCXV7$al?a{LMMrPz%!rrk&wP#% zIJ#za zX?D?h|KvDjzrR4aCtefAFYX`3``PzTzUS58L%#nd!k1R#yg%a~8< zng2w@Yj)9zpXp@zG5v|~o7qLjf5UD?y+a)u_E3qaOJ*0H{qtFI{cCny|Kj|O>rR}% z1^Vk>^KhH%X7l6vFaM4Vb^QA=fxe#glzwCVO@!afE;|03AJ?y1p zZG^w3lz17+pLTI$e*K2$T&U;ymqh5yE;{;!&xCX@njyw?KO>qwoBl;tN8Mdw(AoE= zkpFl;t7ksBZ^8U0o*DAb{iAq4t8ab+`5uh6_ni_~_3(t+KrW;h<0P;CpzuN!bvAeCsyfEe_wF@>9qcJ zs%JfAzFB`o=9_lW$$!r+LD%y@iO`u{Jk)yl-{Ke1?ks-MX@3?@I#D|DsNQeCsyCwQ zjp&qr$Ng`I^?%fZ;k{+lvtoFE;rct@-{Spj{--=1bW`K`S?&W;$Nj%RyPwtbJ2zcF z#@gExE!w$z*hSpW>Zfjw@!uwfc)9<__~ZSoe%iR8n|7iYboTdqppW;bRn7DqyeGJn*C))k2eoS0{7!%hYxQ{`-eb?UT z>!+U_;-7w@81&q~u-&^hs3DARWy56KXzBpjol{(9ru6Cf8l;s zKWF81$8iH{zOh(OG{# z=IjvfF|qydIgFS3K)aufzgQde#hGHz6SF&{g!@^2EvCN~ z(_f3}uf_Do`&qsHooo1y>z4GN5q>kf==hK0e-nKb=MRaGg!w~L+@9}$ebkcvg^#gwo^M*ge-ygiT#82azcJa^h z_4kO-FO2CIUmepgF04qubfR=(mA(W29q#hC_|bl>{)*_g%q}|p*HL}w_dD`mr~QY@ zO;ovwhr0YaP5+BnKj?$#C-FP~L0>8Hr?{qFbpC$Y5uwN5AF%X`_@0KPOLYGJfQ6Gz zluoSDcjCVW{EYs0(2^2AjceLPXZ*5ohg*+v$I>ri+_7|t&iG^Dq!XnRtMnb@zkUk* zjJbCG;u0|jH@oPp-y9VE;26KSI>s+L>u(mnbfR=(mHsg1zxG-9{W|P@KUN~vAj~da zm!JPwIIPv0Uc_3i*~McIvA&b^PeQ)$LwZjt@n3LFyLg|Ieul&MA51UeyAP%po$o(b zIO#;`#3NnbssGN2{uAF9{U@GN;eY8w=|o50cpJ(O?XU5dC8FOkyXf>k77p#+^dj25 z*+r-QAC&lC#Od$&{LCj%Zt(jHK7aEf*K_}!>jvDvPsF-`*+pmlz`|kPZ+a2)ezS|t z{Qp-%->Lr&w_fEYs@z1U{J3V>91_-g6S4MccG3BM!BPFMfd9^oeKaqBnjW)!VrLqV^xgEla=1 zaf{_DI^&mxlTMUQtkQ3c@r$Z=;-Rj8O1G$Vi&g2DPLxhOs?YX6J$!dSx%c2*EfM8z zcF`&SqxzEH}O_blnL+wAMTU5Hms`N`I zN+%xG=ldU?|6sX4P$J8ncF`;Uqxu)W|JZI={vz8A%T@H+55q|(N+&vc{(gsg{{ANs zIff(|9`)Ap zEwbJ+U!qrk8BRJ;IX>Xc+D<4@xR#h-2Y(tasMI_tDEUzu$hLdMp3KnEzkv_}Twq+_C;oM89wSn&|ZZ7EU@*I zF~%>l-ZNdISN{)2|E+Y3O1D^*e(6N%#G`t*|FHVU_D}zj^c(t>M9+T=C!Khpde%F} zE3)44xuRG97*0A-I z->%_1V?6(zh;w*m7oES~_p6{U@cnq^U##IAr{yaW_U!n>aMFqY)%sL!Do2%@_$yp~ zO}zWouSNaGyGG(pjH$GX*XGNQzhA5UH2i){tWOWW9}{8EmjAE2o_{BVes7#nBK&T4 z(ewYE(0u^@-dp0AaZS7UfxQ0*T#0aR!0**|l=v=O(=IxHKZ}27h3T)~QzFuBcF{>c ze`icRe|MY+o!Lc4-`oVu_)j`fIY+IbVd z(_{Nj{9|0xF236FKf*PB7W85hfB(w#k+A3M|3T2FbSoc9x9Fvx?N@#m<#*BZ|3UCy zN9kw$_?@YhpZNFD|Kg{8|97H4DEsFdQHO>gA0pPB4rKqH>-N-(T=zG9q__UhaMFnf zs!!>Df$4uy>rV;gb~yK+S#FG9wDM!RSpFdF+5RWq??}(`JDmAHtns7$9M1Y{e`oz7 z{Jn&m!<@~Cxt!U>i}K|^Y*^3@TVEn{W*0sEtx@+-iPX_9I(qg$wXvw9=)V$A2>n-0 z9Gg%7Vb`O5)kcTdd^>qpCgTrwOE^jYuH8H&!+!K z(AQ26=}){ore8eWPk++sIns%TQjhsdZ3O;d{+W0z{-1Vngp>XwL4RIMzj$3tzj&UL ze%3SoL;XuE|9`ljuYb({Zv3PF#HaB8w2Ql)_!&;=7L{(%OMi!Y?vvN*7(>2O;$mFW zF4ptu=lS{CH?iKdy2M}Lns)J37;i&;KuWy{$iDoU`>y@tm-J zSrfhelLq`GohY4nRG)3XM}q%t-);%&|My}&=#Awc3A>wq=|tQAW4-t<(%1N%Eu=pY z-*Yj)ihtp!Kk4)w>BJ*g&;2+0o!_q%>37?gi-bK}eurCczYQ(c@%Kn+7jZwUub+&x-j1-?KNq_{f@|1C*t7a3*1xE0p4eg+d_ueE>Fd}# zqrQQ6c#%5VMNi*#JmNYQVJ~cvI@(3t&!->jY>by@ZN)C^12th6z4&{!A?$p-?>*FF z*Qam|yNLVQ_`A;!@pj+bV)HZbJ?x?ze-FZqKzvw#6uZXY8g>!(Z2Vmh2VM8T7OA6M z^z;KBgbw*1SZk5_rd{;%-?#)ijJ=K9T4cSWUBvxt`nyjz)RTN9_DDgU6(=PTuztX?`75KXWn7Y1(oi)fE#7q{i(?^r(x`9|Nx_1nZfxTama z!->B`J%2w?pzb#QvPAYtw2S@w-+uM)aO-Q&g?h(%80(>t^^SJYtAA*trn{#^=s0#z zZ*=rLXG%TKpC&?QcG1(LpEBLP5~-tIbo4x@Nj=YRCPHU+(bGQ@bnJVnH&REt=;*ty zjylvK)05DdU3ByuW2o!qcrQX{cG1x{N1>j62VP{BCy9@qfqqCxhR4{+ad2NWarAdj7vM>UNX}9nX(bZ*=qnrv=@> z4JATncG1({8FhP0q>gsc(GPk&=mw7{5jwMrj(+gwsJpF1=*%uUdj9^5?Z4~164CC> zE;{Z1aO-==g?#s(SR(RmcG1ay@AjyBq(tgy7ae`4^}o~pi|72=Zg~Db5$QI&=%jzh z$w4>d!V;k~yXfin1l`bqB~nMb81-1r?;cVwrWM^|xqj3=u2ysv-4kp0|K3Sm$A82A z`6V8Fz2t&h{_a2LZY^3_<7?>nx_|rSFF(1nXHEKdylp>c&)T@LLthQP%yNiyz3i2E z$!N##**x~W3VHk`y>(iLPg;gEPPM)Er2o-bn#SXey?aaadwZ$+-+NE~)ad{HkN>$0 zSE06doyKSV)=969>0*OGZP#kafMKY~#foAyYxJy5@W*%%qXuZc^}^2^fL!Bknryzl zI{aLWp0!(xVj83^SJ>*%%FV{g=dZaA=|Nbs7FTb) z@?A0=;SipzudGhb8q|ZvBs?Fizp6U?;&z^9)w0z;^1-x|7ivj6nPSv9a>`^RK}3cNE0}%*w#Z@Qaoq9NG-oh`TLb zd9mKqZV2^9t9xGfSqy&?`YW)$xH>&cv7*^E3+46y7%S7yc2$2r>Kob_SQ&oJO-Ro= zq#wi_qB8snlvTY4&p*Rh8Ga6Tv)+yJnqjOAKc4mB_lkmS{!Vpz#-U$ee>ee7Z>K+8 zavYvN5#>A1Sec%g{}+D2s;u$fs?)O)Z=p5hdBs*^<@0C#5z>t)$og~D&!7De>LqqL z$a?&1PHufexz@(u`Q)?J&mYZt|8MxK*=R3dW%?()9_jz@MKKApX0S5+tgj&*yO18R zo$+NU+E(pp>_UL`Hpbtz(-0nQe(m2GE7LRcbU6P}lrQ=SurmA-_>n7dB+^wGe(*oq zI8hA#N9dMRhaZN%p@y)d(5Hiy&!1Z$9O@cbKcPDO4D`=U{F}MOSowV0z4>_DRr+}K z^BKPJ2O&II`TV61B0N^tmtnjBtHUGhwO54jV0HN4gk#VbF8$5+#%r55JQL;*?Tkk= zP|pyqAhA+fnVxZ9Mma6TUquINZOl(5G5iv=FR-2VGV=n2`)iah*iQPFd>-Nc2KfaW zudPo1=o^t9Om4?wrvR+X@7Vtr&!39$zt>dq!gN-)&+fgq;xIf1qi2shU(w{TK zdqOb-eNa2;8TCr|{Ws8`farItpU?LT_-58!#>(*Q|7&-K{vWIiZ}aE7Ie&(3Qg!%Q zs1N7|i`mZ_E1y4n0NVM#7RB%Z2;av0*?O9M1Bu)ubK7aBpDBsVay|aFPt~&hS z{{}yP46BG>E$)81QCz6k}z0_EUH-vi_=__l2353v<52 z_eZeu`D%7u034-iCk1qc5j_CO_Ste^y^m6vfx^K5{{O{XggB z>_5qN`p>cNMfhvczk%(P?~G3)JnAyp&V0{K5B!g!Souz*r;YkN;wj|!V$|o$jg{p! z@>GQTF8ZH;Fjj^idkNC^iPGJuEM_m+`BOmFeMoIM+|ecG5Ew<3(+07%#xe=P&&c(%pyjgE~#*^@ro; z(fjJ~Xg4GwT{- zW%!YJSMDAX{0UZuA2kc@1@9L#UuCQeZ|_CVh4-U&`tv60T5TM5P4WHHH7OVtz-jDEL@r&x`cmFHGqy88#X(Rl@2#@;Nv%y$dUIYIGX*mJA z%74;cdG#EN=VCk^xWrib{6U{axU1pHPq+8{LF190;}IS#zFeK(fuj)~J|b)JG@)02 zdbS}P#yp;?1*_Bl2MRL0B^aE1y5$HzD{cP7}2GK0W~Bg#G#w zEZ$!I{GQK;@MK%zUybnp3-t#q{-cfOe-!?C6T&`VtjzDg0SJfu@)S5&8J_(~<4&Xr zY-j$%exbp-4|ccFerp?1P9KK`{To=B9@d}c38DUg)#3jD&%Frc`xRql_~s(>OHoIg zZ^u79??E{9pJcs_{gmeaw0ss_nE$ksU$#T|(b{994Sx>&6Due5C-BohRQreh15cxq zUB6i!e!$O>?oS{*)(F5#e-7aIy9fRU>));pKkzw($C%3Y3syh>Z}D98x$FM1wY%59b)5!3{vE=ij{_^8-#rS?NAvAQn!w8N^DuYjdXBM; z_2-3OMqAt*_CxEd+Iaq(@%%{$&;GWJ^$@P3alh5p)Bbz)^XJURbMcS+%V1@GXQSV& zKZf_OGmMqtXKjZQcZT&DurmBmmet4bRUELL_vK+HBm4raU!7pAeE!IDP`Ka6`!Cr> z|1j!2q~n#?KSjNE_d|~h=M7ez3jhC2I3*8Oric4LjkjR_jCu-IhF|bmgd2`uVLWZD z4A1=zo;+W7lCd)UD)_y?`zcw!y*fSf@qE5NvVMY<&*!=i_e05c_Cr_v5yD};WyK%0 zH(y%XL^@C($oijFr)S2w=ohZR`_7fd%Jk1bf6M&Ne73#(_9FeP&tyCGnd#wr#T1N_ z?W|V}!L#{%vYqD-8E$?ohM*3$v){DrH;~WwVEr7#mwPMyGxUV;{Glhb_xz!l%kcef zaG$aA`6JQyH2!Z050=9ZioZ4q=YOWg_IMwj`wG+_u=tJY@PpqU!jtWUABcBlKA&tS z{DSX>@MJsTSN?klPqq_&+3$w%WIN$|qkqVD!jJkvcs|*q2<4 zo$#w?hwx-O;ivqrg)7MVW!33l`;PE@vYqF19>DrQK5xHlzx8wZ`$KrLo#(IqLd-AN zPWZ*gh45rM;rm#hF;yVdy} z-y5D!w)6Z0E#E=E8=g&-&*Oo@^)lqK|~|WIN#}uL|MGcEYn?X8%dH(;g=r7s8Y6 z^gjpMA99>Sx(gfcdaK*ZfsUugygj6!Y{x$b+Fwoo_wanO9eX-rmFUjzqQ-{y^LN`d7yEgY7(j+I=BB*;e?)7Or49w=+K( z9qSL-&hwZ3BBY0GC;ZCSh45rM;nz(L;mLNwPx(a%Pqq_&!OP(nykC>;gkJ$avc8ee z3%}pr3k>@q-lwj|S8>TU&RY)q4}`pBPT zJD)RFK7Z7oA{^H1M$R)c!YY$tpJ^^En0Y$ts44?=jdo$y^B3E|0h!XK!A zYHttEC);`cfu_Ib_rvqacAnq(ZU|4d6TbLC2v4>X{y@vOcx8M(*w*t$hwyc>s zPqq{OK=V61KA&vo`6FU}$#%jY=<_FkBjlHC=lLTihwx-O;SV(Z2m1VpzaP>=wv!&d zry*QHwzK{)@^dl2U^~xW7sHe7grD&3@O-kJ@CVvIALx3{ntu-IA=^pMfv!Ky`~v)r z`54*G^ZDM0a0S`U`w06%&X=q|Y-4?x=gt|PY$rXO_cJ`%czyMJvTs!gPqy>?16@y> zAM;DL^ZWyS-yHvukbbhA=O5_)#eu%>51Sp*L$;G1)-#qD**LknybiQKUAiF&6)SY%#hR-rfCM8(;p4SNzV3P5sP`|8-=<=6Mf2KMl27h%upM+^(`j=)+2(?MFiu!~;& zOeepR#Po~xkB0P%uxI1vIScBBFK>}L+C@)4^7x<|wV*}nXcs*_^UwI1Z;?9MMNhwu z@#C!Wdd44F!#OwFMV&|3U;VT15kSXsqn@OWcG1(X-V=0dcDG0!?V_hI3hb-njMQ=O z74e*D_{aZ@X3v)2sPhre46HltYq9Os9KTHS7cxe~C_!*AnPMyedqh0jM zZ_zHq^Ev#kZAXh;FURjFU>9*e>%XzjAzqwY8uvtt^gHb$?q~ILa3-&LD$aS`(<1v_ z+C|*Y>ZjtI2K&$H>sw^Jw2NN5J^%B&80>e3?{1O(4(+1X|1h8QAM-ELZ?ub^|2R%Hz7)nU zu?y?qw2QE3^FMua(DV0t)RWZFE>`K8Zt6s)n|jep|HA8nZqel}Qb)V!>E|3D>+OOT zt=_^edi9s>q&X$DACWrRMcA|c8?qUCjJre6ZIRmSGM}t>%)iKbN4x0NKl_da z(mx)0rk`ZGX&1fp(|>F~bKyVHzT*SC=(Qh?lk7J+ev0fjX&1fzv+s21euQ&mkF?m0 zftGd=_p|+fl?u@~2{i_iP| zaliR5$Ju6I7=J~cBd1-2J)3{_vuwBQe?_)i+C{JZvY)G+9Qr+xexqH4JsUsADe4w) zX^}eGMNbc}7v1M_{!-$twBlMkHy{7Rt3y5G?=xB7NamY%5%z5QInQMK<@{4*yQN+9 z+Ars6toNM1iA)FW;`8c%Grs@a!0(V9i{CHscS~d)`91F57Q0`Ax!X73he$r}n8D$> zWc^yyv)8uRcshg^`8r6ZDa^^SR*u;W3d6bi>aT zgKjwb1M1`btbPvSZeED-6k!uTSQKU#FYM>Pe(66J;}*XndMw71#2axm_lbV-&wL8uUW)niloHRu{El|>p5LCd)9wz zu0pu&=uht`5px5xi`(<@4}UnsJEA6rc!xhM`tgrAKkBX*gKot6qOTvy{u}4khyJ9* zwYa8TjK7b>G>7Y9{ro>;5!%g7_|C&?O8h#mX%}zG=YJN*t)HR%&TX-KCaz%@aX;(- zX+K9gyNY7^oD%!6lt8-}zxTGk^!FlM*G9~Lp-;RFzjL5n+?bDl(bb3tXl#&G0koZ|{3b zM7=e;=+xgiDEqFV=2h%R%e!2ek(+`*j z-H-6Q3(Nz>u8-h%fv}6-`$P}x8T11#ZIL?KMNiLjP1HA^XpuVFMNeOUFzC1@!sn3G z(Jp%WZqz;M_`7zII@(1~-$Xs3uIus^siR%=^j*7xu6sv|)X^?_diIfwzcHgl>Sz}| z{lF(t&k>%#Ll^m-4%$W7v-M}-=~0Jw7}JBMgI)CWtf%(f1=L@$z9!UP5%z5StY>@= zW&IQRT{Ekfk>2|#>p69-|04C)E+RcW%bDqB`HTGSjn#`tFa50N)Up1H)YC3{de-ye z6QSOV^<$xhU4%XBKh_h|q5g=bgI)CWtfvLafc00bPYd-|ggqNS+ZlCiezQ2lqMqwv zB6YNjp1$|gpc}HjMe1l5J^kQ2gRb}H7OA6M^z^-t$9NxV(c*<&^z=i=1>LY(i`3CB zdU~!Ka~*=~$s*SwXcxWp2*yJl;}@x;UG((KKXpTpe~~)cMNiLqLLKXmNFD8>tG_eq zP=2NdO$WQ^=~+*xWBn1Sqh0j$vo3)SVY#0mavha+(OXZRJuK>;ZIL?KMNdEHVT=`+ zug$%uMO%l3UG&ythn*Tip)3dqL+WBlkwYk6C)Wf?V=a|aQF%JyBGogiLCdu zi(dU7zYDrwVEqn06YDo&eHV5S_H6&la9qcsUgSC;?IP@1{g}1zTOZc{?`o0#ChelP z9?N{v@65kQztb*y{%1V386kd=`>?c&uxHc1h>$_s?~BPi*`Z@_Si{KfpEZ;$P*{zwjCO{ZFx8Fr!4A!85xU zzyGkm@@GA%{b8s-i8qD%QxpF%AOA6N{qmT&et8Uh&U_z(G70qazxpZU^E9k?O)2qh z@IUS1Y5Dk5Uzc(QK4@*RSHoN$SeEPZ0RJODe#TP+`_q4_6YZ9?;Vs^3r`boe3qZaF5E!MwU ztbhD#rmTPQezyPNvMJZk>kGuFdrtK0@4``t<9%pvFDda0xTam~|Nh%A{WC5>+}lup zZZ2^*u4xyy<^9ifGJY4H>t|xKH?E&W>U;6~^Z&GQ@cYlO-ifhBMO-hG?%;jizM_*=N9U5wvj+F$zXas96z*Z=DGgmUBG9$@*$ z``PjzhjQZI=@^IdOI(g?+QpuH`Wte!OFRSDw2S@Ell1F< zxldU8H;nfWwOF5wYuH8H&*p#Z+Yrx((Et5qiC@Dt?c#^>`rhrK-t;~qhI-SxT{L?( z{vjs^-H;2#pyOXtWc`cxv-+9CFm9ZU@nU_67(>l2I`iitdqTWJ2Z}M?J)+sO@pGS> z`%m)_SK_C_{x|oZ;{B}t7(AZi_px#O=6ekD&G(l;KmMVY1pUyP#h@E{iRkNB!+*`? zs4wteBF1F1i_7!nZ|(2;*#1QHy=E7k_qSogLb`{o7el&-AzjRWyr1>oq+?Oz*f?J*($Afg0vsJU@_#wrh6ro%!_7n*`l7)bo={ z+<LA6MQ8rVc`Ex)&R-MH2=iC=pYeV+|J^&I-<}Yo-*$?= z|CmqCf0+M7%zMl(I`f~&cZPT;?-fJ5eE(Jv1Bt+_fRybI%k*y60l-XZqv)y#B$cdsd9P2Su}I^`jWiCY%R6v&1d9 zrd@R2U)ewN`~v&$#I3ldU3AVbOlhE=Bd#e+N<>=BF0Re{ZzTHu=4hlBzDj&4&NI?3 zj?U{x!B34#p?|i-TX0Rg*#Gw&{pJsYS0bLbVxIf@67fD{cJZzG_$NMzdiOK*w-Z|A zdl&7Z_x`nD7ySHl)ZcwAHs;_Ob`kfp<-b1GzxA>Ht!F)eFW1NV7w>2FOOHjq`|!KN zvr0t2VRq4(e=T|xI=ufa?kW-QeP$P(_dl*Pas86(PKlU{nq73(FL}K3avfk1zdiA%{`SSwQz4{HP@2G2u zU&S@;;thHKEkQf0e*oo$_Lul&%)e+CKakf?Mf+#HnTqzGhh~^-n{>9{)lnf=8xi=!u+u&uFLDW&c*SM z>tBf&_slLj)X;dir(j{hfp3-xvg^8d>cksq^*&iV_>uZcRq_M3>hV0JP7y`lZpf6h}mf9L*H z;_k42#rb=@pB;b4T!;E|DT@4_5|Jjei_ZLI)xC%p`c*$E5jwMrj(+mAP;Qeqh@spj zPZRy}TMGZzF!n8l{}a*om|b+M|EaGH3GF8l?au6?)BZTl^87Z(-$eM%?4omiyVLn6&slTdgXgXjzZA}2 zbN?gW&-;H{EVtcaEI0HCR(|1rRzKxb#QQV6FQE;KoOjVKdh;*lzkzig&c73X8s^^( z@f-Q_UmxE;*T?ryo&#XIx&I$%`O2oB>zqt??;|ZT-L#8`GX0$Aa^A@KZzAT6W*43L zBgc7;dmR50G47dNbjClPl0~w)opw*2{?Ub`RuV*evPjrRxIMQ8rZajw{f zq@w&1_u-m$aaTV7d{3|K2=DKSXcJ}^o%uJ{Y3i4t|AgNXZ|1rUuEqF0_5F?igOO*} zo59FyBA#V-(WyUUP6@g(7ncZ~*+oZh{U6@{?EPEBd!N}w=lyT?EX0eke)g+M+<|M{38)@ zn_av%pMIM^pbRzg^Iz^?C89o>U3A7@u5)w$*nU4J-WS%t?e{z3e%}9ABHnZ1Z?wV0t+=LLbk+~~ zp2~GT`~8r3PI!N{-|vO{+4v{kf^i4uBqpN#64&6Gb}@d>a)0fY<=mVX%02P4Q2tGE zUcUTT&l-<~`X@GfV*QJRJ)3@(GxKlz_agFdcG3C$VyEdJeRfFy=xbVJ`e_%v^mCoL zF%kVg`l`g2|b&HuJ|PUeO8Hoi)-4&Pv+yd^*@Z^ zFLeEL;_0FPn|Qg1c*mgroi6(Q-|V~LXS{#R{$YuD?=ZXQynk?=knNu9hl%jL*+r-Q z^PC;mpLzaHwMQ8nm=QMbJj^{TLzkqAnMd$n+>pAC*tpABmhx*U?W4xd3KY7lyc0o9Q znuu}C>|*?W=l=SCuG3q+TV5jT9qpo5|5#5RkM$=~`v#)7e_->(t#SS+elgA;#jW}J zH*OQsd3I5Z+fpL>aD{-|6}R`-ukHFvpKX^hIVDzm-ov z&necBSDs%?gfGl4ej=~8e(qYthqzwI{Fm<;Z1;TsNJP6gyXdt4H4lezZ*5Hs*cB?6-zo*dqHa+C{Jb z;ywfSZ@K@F__eVA!1IIge%AkM&yVfqdNH({wdad|`&k#)kJrWZ<8{k}Ze5S)>$(5N z{eSMiC7yw6+C^vopMEYL4gMEvUD5xMuxInn_Q~Iwv;8K%F|^ydxF)Y>KgoWJ{bwTj zEwhVG|21-B(2cyTMCi;eI{H~xq24VB`v-}5rrE^>`Sh>b7Rr5HTtDXTsQCWC-%kbl z<=5%>!+k#bjr)Iz$hX-=C;v<0`_Gd2{-eCXg)(6JjnJ80^z=w4bxe06 zb+n6)z8B+cZ3p%XF#aZ@KQX)Ltl!Rj3iGb-A-*Xs+V5vz7jZx9e>;DG{U1AjAbu(I ze>Kth{Y$6oZ*#8*?QZVvC8FJ#U3A*tY>X4;_v=f9-_0&M{^#$^xK7F6pC!U~W*2wo z{l|Su#yM=VJV{crkt^GG5w6N6&Qv>bQQ82%XtQ zN55iw(5-l+MCi;eI{L-)V!wY{iCfYC(=Iyw|H_j?yels(5%HQ`bmF&jo*4h^{HKU^ zXLiwPe`EX5?>vU}_A^@Kx+(3Vw|>fVvK)7Lel`*Dnq73_=X(~*ZEfs-jmWpzMJNB9 zXPQ3tA8SeI%q}{5&NHd!yfYCxvx|;?X*@r)G@c*gJ_6ev_YVU7_Q!dW#T)w%&Yzfm zvx`pro$jAan1^(3!g@98Z{ikQ(=IyauXs+5zaQrLxx^pfns(9o{V>m2as6i!{FZnP zu4xyY^`CVckxrc7etzeX zx)HJc8kug|MMux?)N$Q}->*yDfNR=CXZ?iViM04*`yUb8zu6Igyr0cK_lenW&3;vh z=(o%+I{nv@!RROFW4^t*M4THpyXc%B=lrXNy3hU3MARv>i_ZLU-H%c3A47Se&q@3y z>Obw`$MW@W&GBL0u;yG5?Fi4}_!;Q;UwzYpu5W`FbbTmO>f`;a|NHKYy1io5-6@(q ztLN{;_`6U3ek^elu4xyY-+wMUHN?B@QZdB4>{QW@zti&PIRo~4Ja>?Ye$VWp)BlZo zCg{e`C=oidi;kZ4gzb#=ClT%0?Ba%e{pmFS%N`GYUp_*Net%r7_CL>!vHd0fG_*gS zA7lDp&(@#Was6s`T)&!){)6?F?;nAF{MP?NWBtE)Z|MJP;`RCXWBOij`py5?KePTv z{8Y$yQ*`#vI@NQY#qZ~E-j(=^F#oEF&i8ZXq3*N)=I^%>(QlhwbjFX#=x3;#j5?eM zo!Lc4zkGA7cejb5+_~<-@{jkk^@r!g((k@TW8E8?^t&&dhluyH`eCny-|olnWZzlh z)3~Nxbk5K4`P9GA^J^pS4*C&4ED`aVU3B7SI+=f_KN0yhyXgG>jo%69{^hWTO2k@% z*+pmna!TyKr^No-&S8y-=dO%?`<)W|pQ*9`;W;RlJI_A_`ugEl1-}iyqr|5%|Dj!U z{5N%TsCQFi{hNAQh<9qNfAM~{{!AMe^wUlhgWi5Wf_%jLdHwdNdqj--?V{PU`spVJ z-Si8^pqqZO=xzK|g}?HxW9si;jN!o{;Vt1I3u` zJ))of8J9%e&0^5;`#G#X@qX5S%qRDMnEyn~#mz1{`#s`7oGM$^VXQ|hs2m} z^edMBa6g-VzNau=zPBVYUfM+`{_$6bc*ox*hIq$cEt)+WKY!=nh4Sk@t;KHmopurT zvwFVYSh_L(CL-Nt7oGI;I}?2G9eQ(%eD9@Q^xl8RKMGwh=Br&LVn596qH}(c-_doa9Yd3}c z_r$M<{dazUBHquI-_S+y8~UxGuPG7zmf1z8{~EFt@$bNX?-xr%nV4O4-XFP7!QYQ_ z{~{6l2xb?Z-;Z;jhVLyiIev@Rg#8D>Xy#I5ZmFE_@{+hTptiSU6N%4L*|MRa4`JR7|81m2G zf6x!{epXNaa@~jiPeh$CyXdSxv!1ZrS$`5y?q(OA@?X#w(!JmeF{GQnA7T3A{cQRz z9{Anj7vXoai;n;KJKx3|@cw#xiD=Je7vGR?zYBK7bng>mx_60Y&!&Ijrl4E6MGU%y zn?zr~a!=5$it~?E1A~r#|APLD_p|Zy?|g9F9WtjxjJswRo$=SgJsI_pJU2(X=$)Ul z-)ZkfeZ%}8-x)FTyCbxV-uFjlJ{5HK{Tk>=)4?u!`Z?PW?h>pQ?k@4>u>Z#2zr_1l z|E-Giw^ecewh9{heHES==$D`Q4{gZ&FQP4(UEG?lfBa4*#|?hJG7;m3*~OdkdXBR- zv=ffMiEj$yFMod??`QMRafbDW<4@w5Vf?9yPW>@G@@;w%`8K=g7?Rce9Jm@BevD zhkobzokaNE?4slU)x$8(U4(t!^(EejYud$&^7Us?oWCxL^H=^IAm-b?ziRaB|C+1d z=ku{%d`F4bgdct2ZzM)m~V$mL?t+4onVkN5NXZBe&djC%BojDKXf zpVg0A8+4=26oZbxf1y6!&+8wIx@X0xdr&lcRzLdepc{RS7Aw8z1{W?jx8k_J8BT{j7e)xDfA(6UCtC`42uP z-p}i|N8KZ0)NL2dp4G2BIp|hiC8qsf>U3B_S&eKhI zPl?c(U3B!ECsWVgUnfFmcG1(Lo|q2xCXqVYMMuwhDs>CDln9;KMNf}Tuis4MCi;eI(qICQ{T6tMCi;e zdipz~Zf}Xy(JnfA?(;JKWtWx+o!LcC|9H?XA5kK8w2O|O-`8RM{QgcNbY>SFJ%3+L z9rryFp)0|o2??Jthx|n{Wqvt+_>250#db5j;-oEpWc=`P+KF0{1*+oykJ?b7Q zkviH%N6&o<#ykDO5}`A@=;`+a-Hh1(7^$OOboAUOVEi*~E)hDji;kZ2MC$qdzC`HE zE_(WHQMbE9>Sz}oJ?Dvxm%pD*gwE`ur++Z&o-L6&+C@jtc_QQG@4pkFGrQ>Np9{J% z3reJpcG1yup2&DPZ%l;F?4qORJ_~jH{&gaBW)~g3eWwO`e!qr#BXnjL9liZd2s-|L zhqvt%A@$&bhiO`u{^z_dJ9p}B&8>ypR zboAWkG2LAyLT7f-(c3yT;^q1^pJRm1?4qaN8Ff#TNFD8>qv!AZ8Sli)ON7qsqNjf{ z==l9UKF3HM?V_XSIZxB=C=oidi;kYZpP`<=pGkzy?4qN$eJ<*6j(QS0vx|i;jNiy;!%$x;g*;bt2ZI%q}|X=N1m@|E3qQ?r(O{S^sCa z8p@9PM65}gU3B)Jc+QIZMm%?wcuhEe#r>mrKl}d1-WpZN%}>DZ@LTgcCBkoJ7aji{)tCGxKgw^S=f6==|B@1^qg_0z&yHW_C;Gh;|DpYw zUPQlR&lR2i$HGY`N+&w{`u9=J&^3mX2%Xu*L#>DZEPfI7&f*uH`e)&!6QvW6>a+E? z>t&(ccb!)v{APC1@!vtwqyAa^BI=zzS9I#%uSEQ)w};FB1HOg05&wW+mWXmUyXchv zfMcWXtP-iCT|BDKw!e=3$MQqFvGNnqZmj%7r~Ozs=|t(oDt#yQr_=h^sh;(e`DXnU znQz)fC;vUS1YOSqB|>L*@lfmGe~Vv4yR-O3r~O$t=|t(oqk6yns@{mIH=2v-ho(CV*2C#y#CIp+bc%houb*Z`a!1#-Jnawpc{0mSfPJB=mw7v zqwaCh*Y}{!HaDW2&{h*K!!_;V#{Bw&h1(wW;v-QnZa=CY0e22Sb$tHcAL`hD7(cAs zFn%Pm+-MiQ@;j<;`Hk&>ew5$DBi(;&cTBg)cE@rRz4pg&(uvZENA=nM+x)D0BdXqr zhr0f#+(ea|cnHgn^AGkre1AwpzRfN=`9IwHfxm}&!=GWCzqZ6rBK612mU+UAEK8zn7`LEOdL**u_+{8m& zex0WOMXVq6LG+XO{>q@Ql=xFz(=IySUpXT5`2N17U&MF!EnTAX{e253ohY4HrSHUl z4fq-T@1P|mej3-bi_Z9E;SRSRzdCn29YHzVrYj97Jkri1fC%~4_e=l(O_BaIk$%`Q6Q?@@ip zZ!f@qJpV(#^ZZdF{BCy9@qed!?w>MV?ms3XUbBl%{0Bvk`IE&j;=R+JD?0C=7EU@* zI`ODJyZ&)Rl;7d5e}_AM)mu^ZRy@+{ZwLP4+3V&IEUe#H;#yqOE;`>2II1uCO@5T$ z#3S8*=f?6Ex5n}po$udR`Aa8CCsyg@H&K2Q54Hc4Zc*tLtI{u>D4lpzpCA7oMf=%^ z`qot<`(4^aum3%&fARYdFE5&QT^fdAKHzTpNMv2^+I&oPsis^ux4oIPOw&(h;h%JD>~yJ&)-wW z^ZSX=nO&^VH=YT4u{k5^BVo_BAI2k{c%b@}ZskMi7Aw;KqSl`h%8l#K%!iTrrd{;% ze-QL6H^wVk`SH0de-QS3`G@-*=~KQBQ~nQY{0E`^c3l6_?^*vLqTjRrLv;E-3n!f@ zomiz;yBF2&MX&xJ4F4(JqS7r^rC&NxI`OF9?LRnww|-Bg-`M|%p8pt5I#D|DNY|_1 z6V>mFUj1kOr4ywStMu}lD8Gq^(0`ropS;-h{QWe`kH5c8M7fz=bjt5w=sE5)ev#w8 zJvY)D{~1m?@j&${-|~aVd{Cy4IN#gnaM0^Lx?BYxF{qIpd{DyO?=06eV$jmR|UsU){I#D|DQ0rgpvI>o3m_CnDWu7oGI;{5Jayp8rlnzhQRK=|6rI^u_l={>2*3 zgIc~KVb6{~3@4rVU#(B&rgBudiNC_-*W}ta#=b7Db&L4zyV=ES^W|s#JbtHP{eNVA zdg%Yf+E0(_bHCqi^aZ~+&L|OnH@oQh|4!&W0Dtc-@yocTUHm}a|NKru{SElN+Kv+6 zg=^YH=kH(DuR}Q0oBBN^BHd;eo%Gju_MdYv{?>3!-FT$Q3zmEw06*2wd?IHb5(fRwOmVW6(>BK7iycoZDT8v+uSK&YDMCrsT zeW&H8ctyo4R>d!!D4lpH^=Ri!{7#SUKk<)oO}qGN$Nvb|_*u}4&6!aj346Z&9|V0$ zxALKMi(dNKe&u&jeiuFe9|Zq(lzzsK-{YG z62FFP+Qkp${eQUioTt+712BJ0WWH$^o&57XfjYiFBtmC)(b0EW|M~q3wjX}~ArbAy z?4r|t_?}|<-ccgbZFbQ~Kflvv`QA_>^G&n}ciEMcmKNUycBM4ShJ%pNPKP(l4Iwr$6cR9O=YE zsmJ`KhPKQ3OX9Kkf7-F-d_eezlz^R4fcxER;8i}if^dCtA|O^ny8OZ)|{X&1lg z=x;&0|7V1Mphfn>9G6Ah&-&lS?-#M2zmuxH1!GrNiI`8AU3^PE{~WVfejIBPQEp}z zo$|AJ3jAmDmq_}ZcG2_yi(PN)j~FLy{Zc#!*A3)f^!85}PC8LK@u)uAevbtI+rHfr z^grK=^`JMFeIF<-_h^fzZ2f)u*nj`3pT4mV zx&@eTTva0SZFX@%zw+y+r?!SQAnwcFBd%i+ z_QDpaqg}-PeEM&V>3*ceF02DLVHdsld$u9$e9ZSAYO(86xQ1QC{cQZ*=ZAQ^Z*H;q z8TcM{(T%?c;YJ|7^(}Ud!8Pn6?q}ogdN}C12ewEZ?V_h2@E~-^|G-*{%s1_#m;c7a z(4p^Zz~3V49ql6Q+4OgxhIsBm*qtrf+9&KH?q~H&uRvWx`(JuTiD-*v7oGNB6!<or!)H}0_PW@YdCUod8*5e&kZ2Sn$^ccG=e(}vA{-(ITB7Te?rWbcc zz1V;J_)qn}sdz=jD;~o5`!N4+Y(oEmv?p%CHSOZ2eEvJtFFO_e2KuXImzKB{*R+ey z_oMpmM7R$iy?aaiGOlSCo%2_$XSL;_{1RUq%C9Ca_w!$a@94iolso-W6TR|ppr8LF z;zR$F__w&GUF<*p_Nza~_1WL&DULfsgl-JR!#>gM+4jTzi{kU})x{-#57)GdpU>yN zQ$5#=i*4`~#(>1#xTam)mXE(<{UpS52jV-a#67sCUA)7Ize7ELzgygew)U4LejnGg zi~Z-1{mTDv>x;FRXI+G}V!Thpe9-Kovwv7T7qyPWeI}%Xt#RX7A#fStHlwCwo zLz_dmBzb-md4R`tB(NuKX>o~NJR)TwjsJ$GNK-nx2E)b?u6D-k=B zJ5%;g20N{T6^>DMk~h_P>%5^v&Ka_5KQ^-u2!D}KE{ zT#$H8?o7mQ`_Jd*``6Adhy824zmngN_84(LHn7!KQTm>aQ@fQtUK8xb=?Jxtdn&YH~9b1lFn|o*}rdPLu+)9 zgnvWYW77L!&BL{6_AmeFz~rN0BS!3H&M#}kc}`fKh^f>^zX z%_l=XrNZBll~>~-!oSy88U8wtP-lOcW$T`2tkj?PPGtuA)C5?mKfW$`^?LH>`Nm59 z4NxPUnb`h*b@=NZhTjYEhgmnQ41dD`@`c?DvHg;2{SC;U26bW+njx$Ve;xd8ZAE&O zjz+cq`t*qMe}hH!{Fgs%I#2lntNo!*Zr#rY933sJ)L(yh{2t9Rk#tn*Z*m=aN8k^v zQ(oIM!_@BFc6%Eu!{3qeZJt7XhK+ppELHzEUxps~Vso2n|9AKn{x4yY2%FEZ*5B+M zgil@G>>YHf4_51MPd#hmi`d9_&r<%cN8UHKCH#wwmHD&m6X-GH5VLPo`!o7u^!LrO z@ym>r;cxr>kUwJMH`U>9e+GIFk)I0xp=$k2e@p)Sfn7dWr~W*H{OOL-e(AGdrT-hz z*EX-nvW<^5R_brM96j2M*vg+)rOHe7rtyJLe|&c}rN6G~!Cv%Nu(?^a|I6u1I`pCA zuQFEpuXfdZFZGRf1}pVdw zg8$FWvLz=OEA`jC2|sScA6RF6*@Cv!c#NkAuvugL-I2aW^Q)bd-u%~6sr*^zATs}l zlrMb*tn`0#!qtje#IH*IwU0)RU7a}aP^oY8us?+PSe@~G?eCM1{E6(mREEFc zI_kj|Std4W%=eZ^e{=dPSf~G4=Q#AfN%_J${%`&z^u9%UVe4q>q4= z>7D!<;je|hcvH3h=4TKteZz9<5UdP;YvvBE|D-;^MveYtC&Fi5o{7yPtHWP%3E?lK zKRm=(>CZ+x5^gig#8!>*YkU{<-o=B5?;0z^UuTi|k*!UiUT6I{&fK~EEcz43I9470 zBk0q{)@43cr+?6R+Mo~BcnT}S-|S5Eu4cU;E~(aESLJm-^M@ylmEq6r($0UIWplge z*Vqr4$2ig1ILk!dTB!_wjgL~kpQpX6{(rt&f6jBrN5)36&iJ_Q^_1(IN$)R=mHuqS z+_QZ%>(ieaEA`b+vCg#qs?Pdr9o80_e`-ArE5qL@!|zjQU$D7#wf@3`$rtLf*sL*s zSV&*4{8{6%dik@%_Be72>z(bX7eB4`N9!E*pJJWy+=>pGBX#EY_Jn zFGsJlGyS3L?y2_Q-kxE6-0u1KUuS&$IeK?7K0<%nB{hE=`w#N-c-qUU#>)CIZ-4ZD zME}P82UhA&o`64B(%(`aV5R;GyE)5g);jFtM$hbiAT@s=!|6s**5JxTqieD#(u ztkiE)cNz=OKh{{O-}nZ4zvu58_0|{J&&YrL7ya$-RDau8j_yCA3+v2>n=eIIW9dur z=g-yY)!Z}t13rnftM!|Q5H|g%vBvzT`A7VDFZwY1Q+4=V^$)+KZx&Cep?^1e4^dwG z7%R(bkha*|owuIfQm?#bJ(F-5?*>_e!OHMwUyk0p$;!*?g+F^C{_KW6%&w~re{ceQ z@~#m9_j+~joGkS<9QiXA!}}cK6`MmGW>!4F!%;<8Pr^l z4=^vWUjxGI&DG)0`clvrYv~_|{%ff}Fw5UoP3Gsv$)CSN_jY4tdIty4Bfa`n0<6?m zf6}@NpJ1K&iTZ_>>OSn&Xupk>l+&lMp?`yw{;2-6cMtUkR_ni>a9>CHe%)B9-`>>n zC2P{=>*UX@e?U+DKWrXa?N9q}ES*`0`AMDhsvVM#)*f3m@>BhZe(fN(@2mDl{e$$y z&I_vb2mTYkKaKvojFtI0zfg!OHNvtSVj*Q4KT-pl&v5M!nO zx}PBvuMPV%b@q!!R92tJGO^Bjd30~|H)j8eJ_uI&Gw&4??!Pnt6l?SkzcF*w)?9u?bd9l6U(b*9Ch;?d zjg|V_Ur4^NeiWORRr|BiQv9F|sD8rA@OL73Jzo~i&$N8E|5XTItP}p4N19$HHczhh zf2Vyz_+p*#HIAzOi%)spG;RIg=Fp%o)(L-y(}TWPM}M=OgT7cte=F60_C&;N&+7bH z(hlK^txs3$4{a9o#XA0MeoW98>*%k2PS6+Y=r7$Y=!>o1_4u^qHGd-Li*>@^?5?0M z*3lpSeb5){=r8#|&=+gzQ%>k*DzD~`s>^HPPzYbF6aGxgclMDXe6ddW%MK0tVjcZW zULW+uI{NE>KIn^e^w(K0=!*n_e9B z#X9T=4p!FV^vA-2;QZSV#Yvmj->Yj{f#}_+lM>TgS4-5bNmAw7oxbBKIHG z34iGgL0_z;znSS}D(5=$lL^wP_9E5^f9u>Iv5x+B>xJ;eI{G_381%(D`fL6v=!8=A$hvE1^kKWk`v60AEhtW z(eIL%(idy#-<9jbI{M8^gT7ctzqKOhi*@wduMhfS9sSP5L0_z+Khykayg7s~)(L;6 z{?9rlgfG?!zxAV_FV@k|9t`?o9sQY>Z?A z?ck4C$De@@^}v4v5x-yOHD5mTQ%l~Go25QoD=*J z>-aPGz@RVI(cfU-pfA?ZU+?swFV@i?{Yuam>*%lhtDrB|(VuC0=jP#yb;2LZ(<|1| zpK17O+!oR+)(L;!8bM#Iqd!ysXBz(EV}d_o9e=b=LoXBS>_5!=e4budC;Vr;Ip~XZ z^cUR~^u;>*Gwq*ex}URSo?fwzKQrBbSm!I`JL@B{PWW0kqL+zv)+6c%HGi}Iu*Uwd z-rJVGSjQjD`=u|oUQ)dtU;d|{FV+cvru%6d<>?jcgg?{u=E94E|6-l+XZrkN!Rtf# zVx90u*9-b$9evd^m6zDsySlt)+8^q7XbNAfu~b@Zp} z-)3dm8l7f#TsDXAG!AFa$cFg;P&Uc`pP5bY>VJ3Tif2E2j~5=i)nU(h@qt-;fGGL; zN2~nxyzU=}|BK{%bbp9q!cg_ya#3gq& z^WR@jmw#iUq;-hr^yf`c>6YBd{b>9{50UTh=XZy9PEmeK?&N;dUh%YfXG-xqJBNn& zoyep1dM_dS6TdULd5TTmHIm%P{iwZteUx`%=C5Mk!bmtgYqx(!lSl1Gcn_h$djg}4 zDK>ZJy$a+`?nmvFPpx?&|C}A3!%OZ&9<{gkWU0TMQ;9#P%1v@-s{SgSU7pR)Usd8I zminygJUSkKbB7RbYwam2Udf%Q_y-Td*TvNLJ*L>fTebrimS0^0+osCXrJ zazAQse*PEw_}|RgzApIhL>{%*dsT|Rd&LwLujI~D{MOG9ulgUwFDhQiovHY(oUv2+ z%U+b7Asdg*5Gu3~q zowe={?a$dcF0?-<@@V;4J;86)ANeoJZ^@lc@xL)Oe$hAR{l`maKi`>R_t!!0^!?eq z|6Oy+o;35$kpAY+pJI2H@P8-w%l`L#J&)h++3)W4Z3zATUTm)ckvskO_<8)j_D@n~ zufuotdJBFKd&!-zi^o6yHp-egguV+>a96G+cTU9Z`#rsFdscsAFPJ6FZy zw^M4qOugs5)P|Eel*yf6j@w&08NV(4&d2lgJA23Pp8W4O{(kN4^nnv-f18tj=S5t% zxOV368%$S!^!2&M>C87?R^sJcOYS^9o`3zuZ>K!$Mt|`>;&U>dncTTsJpNf9rLEmW zdEZ_l?a1WL{P)t+rN7_!EuY5o{BzR&P3|0z`#752;*mHxK#9l5LF>F z$M_#;@@W3`{q@d2;Q!C*tJj`lXCD7Y?&N+n{(isSY4wls+WJrD`?=Qm;mr4Erpv#6 z?fd#&7M<+l|xPjWf}H%$}-h?a#SM-u|3a zr&#+}`B}SX{!oy1Z*pg%{oDNKpXmEF|8ZW&^%Aa~iLYnt>&qJV?DRh;8qCU++0UsnFAH>!V5>W#^riTcz0cjifL@@lxm zE%?9W&UV~?VFC<-I6#?*y%$g^{WH@4{-nqM9{VO~Y!8xGCrwemn~~hf{c`>9 zv7a@Ycy3_4XRcC^ax=N}hPeH>-j9Ej_iB!sqUw(1PVPtJA31_}ze4_fVTz4~TqAdK zKWeYvEj2#JeDR(VA7uU|x$|=gd;D%}ivCwiJeh0Botwt(N8f|r2Z#4a3X=aOcOD$K zAGwxzzDRn>LudORxJK?o9!>wyVZ?tU`!~CnNZy;=nSbvkAHRCazfHT>cOUdyZKqBy zm)waw8vn$3L6_!lHb&Zx$(?7#?J={{XH@TX3XSpOl5*{QW!%2|Fn*p(eSO~)yBlGP z+{yi@|N8xA<39fOnPPJ;kt26Mp7xno6 z7xvjU!QR=tB-lHVm($;VYW%kULj2C|i`*{{CuJXW=D){Dz1b%GKhXYPj=$&m#k{+T z_ZPG`)uWvH-KykHVeWboxfY7jP}PGqHYByY1XU``M$!--q_xao!S--%iQhm%Q#! zXPs{#tRHgi+&6Bo^{c*#p#2|bYaOnUJCVop?;z^OFVR2MNxseJ`XHytqxQp;Q}cAj zkJprVIoFaqPfx`ENQie}ku#519nn^I0I8Sh?HBIB;foh#$| zcg^Sj&WX7H!#^gif1s-L|#zu+P%Z_Vj{{5fn>hJL0A>QHFI77T@zluMb=RGiTXcsLLTo$&Jgd&2~Lwo;~yOjcB4BvgWc$; zGi|SS-opR6N0*4-CU++MpExSSJ8_;f#H;m?@-M$1^dNgMuIAT5@Oa`m?9~ zP7tm7|M?p`^LU?hnmii+z!|}A;0kB38#u$6wjaME_xp(w<+tR{l>g+D(yjGELF|-& zvNtB|2bTuF2lsIXzXz8()Bevn1-&=s^K~cdHj_Kw7;pb0w9y9hu906)u}MEDxs&_R z{8u~A7^l?!3w|WD|IB$}+}_&BzY*U>*gJpDwc3yK-{SU~|1_6{`A@;;hWSs^xh!t4 zcCPuq+JC|OL;G(yd)Kc$^|!kr@n1?=A5h}=xR%^`X*_<-v)U`rXZ}_2RrE!YJ6FW* zXFo){r|jm8O|ea$Nbcl*wETvSAl=)LU;j|zOSqQYxlP=D&aI)}obym|ZWl#Sxf8!F> zZ%FP;^dI9pgnS!6+!^vs{jc&dzaK4sJ%4MTNq)VrME0Xh?mRPI{%WT-|DpXlHSdw! znVSC$UKRWve83s}*8D~O=l7%j&wVHLl=<`AFO*1sWO8R>{;YbU{8#-cNWPognaF=@ zC-2VNkMm#i_T%h5J^e50pZX8mFL2Uts9vi7FedsB&C?s72=n)X%m+>G{6xI`^!&K} zApOPWQ|ydzjoiuoX#S7>Eq<=Wdim`oK8I_`oomJIHBWCYBfst`QT?{$&Si1?+56+? zkMZ}UDRu|BM(*T()PGy25tpssocLmL=V#*fwogyowtw&ZDA)8oZRbf9_G{+$&b@Pc zXJY@~)=8fyua3vYc^}u$L1Sb64Aca8eIV?mR7S-yUb&xt}n0nPPi3*T|jR zkLJHUf7H6`GRn`nE7x!1+L>5?nci`^y_4sLCU+*DAFBV(u1BA~rXb^~$(`57{U7>I z>~5vKtzY6})L+S+iRV|Er>o!5{JkLkj>(;={%1k3)Bca_jo4{kr18U;u(y1=Ezdva z<9Yr$6VJcYPAy*ANS}gU-0|T+YS7AirTl6+?m?H)BIoMuK9mK%H8D7MEPr^?U*v%Pp=5`M`)An;t$?ZPr40g8u!jJrZG=A0p&YV#H3vL(c zzrOXD-;dh&TmD+-waF{3{|YjWncTU5Jpaem#qKMV|MN@y8P}3Kd!K*y)PJqht=wtr z1u1uvI}_!v=j`_W(Qt{{H<#R**gw}klj^PZj|)<7P3}z8U)$%yUi*KtH_DDViTc0% zezg2-o-DhIa(fXwlRFdf4=o7wZfFl@sDJABRsZw*(fI8>i&xVJ@5P_<0{*{*Kj*9C z<*)u%&kgkcSHU-MEx9xC{6O=B#)XvCE+yW=wdBqVkEo+)o*4i@kyRAc+>YJQ{z$>zCGzdAyH0^LTG`rsKE#`%s>L z&YMF1HJl%c=U@A<5O4b|XNXttPAUEQ{b>5ze+YJ+wVk=$ADkwS+H0PzcBA=sLE4SU zor(6-d3PS~zdA#_dUr_v=l7%Wk6seu9lf(e;x)N575|>pmHUb3*ePn=tmmgr?nmSA zZW#RT9^edqYyVmP=l7%bHcvW@xQB_)d1*d>awgXQ%ID_IA^!{hN67!C^X9n!)_<~J zxVrB@sGn@E3jJq6)@>$tu8PNR>qn)V`HQ7rB;6)=Ceq*U_%%u%b(S&StXbl7sXvlC zm&N_>cmCGBHm^4iJM(&Tt<&Vu`ZH%6@{4?)^O6$ThcdbI?708qcZ7JypKykF_54ls zH@_c^-}W!hWWBdJ@jKbKHn}sgf30?!9YcQ8ehV@lnA~|x-2ZtW&iftOfb~Bj?cU_h zMEjrj;gIfmH#44*N6Gn>g+#edHc!o_LDu4=Ud)>^83;JQ~YgAH2*8O z3H45LC;uJeZ-6B7{ehnKdzXH~^6%jim2Z+e6Y014kMH|Bec#tvvHxqoTe=Jf4<#Mq zJK)<&O#J@B)+v;m)-Otj5x-6DO!%+gnQ{J<{eG_?^Kp|qZ;I#N;8kS)k<6DLDDhof zOYS@}Zm;LO@>|b;3*xuQoeBS~oRx1Xe<%58a%Up{`rUugI;~CHS>5L+?N4LBg1_&U z_$vM{xij(nT+jKme%13or`E0Z{4Zx}{o3#RNxz@c^S{=kC4P@<$(@(R%U{nKv~Je( zhk~22{*&C9SU>k${<62{Hq@Vjv|E!q6YbaH@1y_G{#ui^t9`eEl)cHFr^fTI-{&9l zzjbkFKLx)T(%*7k9FJf1RPRZu{ubOK)L;F6D8C==f32VMe$P3B{!jbV)^B{WMEVVr zI}`nf#%bAW+%AZn$(;%Ne*N#a{^>b`{MPe_g7|H6XTpDb&TwQH_tlSU{8ztia%ZCd zw)B4~AGe%8$;U6}m*VxOUwfrlXK87yE_iati-vP$Jbr7x@>}DF6TeOFO!#kleYAh= zleWK1dEH*(gIr7Q{Bpv7(ysSM=8@KdCvq*hGx7dNzxi+TZ00>S|8~-En%tS_KNY{` z-J16lWIkeYXJY=Xbas}7^cQ5^X>#YXc>bB6zHV^(y1|)PKj=M`_Br&|*Od50t|fP# z6OX^&`lsJGS--`+x!?!MOUa#y_OItu)^A)_HkJSTC}mh|3FV0!&C zdP%Swz0(=&Z2d|&`Tgkl(ZEda-!?aP=J7u1Gfdd7`*A*=w;yL>{oC*UkM=2=t7vc3pMqy|ExB`5y#6--InVd6IzzrI|5X0@ z{b>HH{%hU8y8HKLuXPu3tmTPoJTbX*y}1AOp2dOa|CxED z^DSI|him77aeMh$jJp-%?+{%S>-VKucd|+C>Mm$x{FZQWgZ~dL>FoB=yJzom+(*Bk z4Xx2Z68;U%ZPAvtA&#xH?xOAi{D*~Rd$mI%Rqd-Sq>)DtEDLMJih6!U)7ZF{_Wu^;*2=wV<$E-w_Vv`#XIM!4 z^cPa=-T3slLh3hlD#7lVGs>xG4W09CYQwn->xC;1d{%!coV5vg^(}?9=f2O&OOVB- zTF$g5C3G(4=CiSZS-#Vd(l38`x%Ksm4nE zre-dS(0$lgsZSfu8t0QoXVQs2RPF!l`_Q9q&b|-31FH4sol80yp~ddS)%q>;8qB{jnp7Lk# zt>n)->{voh+o%kG9wU@ix)X;REA>a!R+weXW$cEP`orhVtbUH}O}=cyN`(~^tPFqT z71Yfou3BFwopk<4d5M2ltv`GmVP8XirvHc4{_lq0Oxn9Q8!PpPKY`!Rr@leP zrAqx-%Si7(vf_e`k5}stP}iFDL4(8rE5jf7IeOn@MFfebQeP*)&^>2jvsc> zQ$L4|mEjNZR8Mti7&}<0uX@nfl|R^=Tdl8gt38i2h&Aeu-qLD(fxnynVmz95zS?uL zY47}GTK%4r=W6JWGq!7fCDxf=^_&b*BmC~pA$+k;_?jO!X^(cYPL1$;PEx6%uX(M~ zYxCU4d&+m({8YV^zF5cqo|6M=_|tPzP7VE@lPqiK&pIafFV^wD=cI}n;rE38)Jtwu)(C<0vr-pvd$uTwbdrnTOq2F^-R}KB1ldfv$ckjyE7pzmhI^j+AS*)W! z)05JAPO7Wnzs6DguzCEIE?Gd)_>(QTfJvH`H`jd@$!w@#EuGSyNo$hS< zL+D?UO3nAi86O*eKwslz{^oX4pK$f%D}~?KeTM#gy`Fv%{!!KXb3cRMjHl!L!E*jj zo1e3v$2@~M<m zG1$p>rc?D(zb@2##&}(g@PCf(j$yvXI-t@YeW|obeh#l^tklW{pW zbdIq;J=R#MuYHQu&6-%#zp?SoQBEkAd!)*pL0;j?$5@`aWD=$AdrJG1czjFtNK zMMU<1#!euQ?x+rbVj=0opNT&iE5o0)F7wpivtC%24y#80)*b|Y*(#6{C51ffboca3s(9woBY&%j@US&T7N+6 z(zlVHtg~Td_=D%;{|NrTTH({qGxA9Fv+=d+@MnD~=!H7b1C7g9pq2)QvKENM+o-_`V+{WU}gA2C!%*F^#?X?uhyUV8TrrnE@tnq z)}Qkx^hmQ#dWMz$XujH5$oK`bgRAwmAJ}3{(taSU41eTW!Y5DXE;Cl@kDrep57Ymg z{}=1iY3BoT)$fr1cA{2|`rnfN0n{D!={5F~hp)mP>dsilSn0p@%g>~JeVBIn%SQsn)lXhSQmsGtWXfp)_2IY1O8+~T;>TAB|7FHX{o#%9y+Qql zb@tmw55w;k{=nwqYX3(rM*j)c84SOMzdrh&s3;##% zOr2!c_nVYg($62tJdkm!AnVcz$(@N`0@<6C8_;)s41FhS29rAzUt-Z051Xra>2c!{ z&nAA!ovV8CujfrX^OG^q{CEB&_uu)YxV_%u)h~ec)^9=PP9}Gr7Ps&Bm#li@Q*qf` z&5182cjnKp^YN!A{aRCS(p1)2dy0Mnm6QAB`qyJWpSmae`NvODc9J_&_WI(1{MX43 z1@YVD&V>K=ChBpl6Xp}2lQ+ms?o7N%t~Y_@zuu&G%5Qr^IA_ZLe&g3Kwk+L`mZ)?~ z?o6a#?bp(MS&5|E-~3=ih|T>XZCcr_6t%c^Kg?psai}ur~M_Y^0`gFqxxHre#hj_ME}$8 zmq_~U|N8xsj(v&XR_1`TzyFDoysZAI-mCpMsrM##ChEU_$=PgE2Zu}Cg8xhIY{$#r ze#yEfX}_29cka#gm0UaX_kE^oKkC2Lf2jX1NWWonXK(-E`zLmi*L>=qp3_Vcx3hH< z{Wo$a@^by{wP)PbS7_%m4i#kV)p)GaZH(t7?7vF9hZ8^JKtcA2=1cB8JZ}GVokV8q zH`<%6-_{~-{WG$D`$;_h|LK#+>?E;kSTC}-ndNM(%k>fDe@^7l^3(ou<8$mA-&5j) zVc)so{9MBRDC{;xpYkerGS`wj^Y^{-`rA`~?8Gp}e%q&XUd;7-NRKn$-B(KTqDeo6i4@5t~%UR--y>g%{!XQ zXfJz|_*||fcP@+D|Mesv`Wfa8|KFbE!}>?W`6nqc5?k{umSW=dULL(e~J9`RhqQw4amcZ|;R3g;$oC|DJQY`BT69 zhx(Fk%b+>hGZ zbBc+uf4(|jA~E~pa(n0d!~T26S@Ha&_<|erF74wNUvT?o2#5Po|22Nt7d+X2F8FK0 zk=*&;c#@DkKlmMG&Hg)IOgH)$)Sbwq{y!aGGHsJod;a0vB;;G$N%de^8hw6Jl)Kl1 zUGwsDPQ>l)i~m(MZYK6*gA=R+tyD``fZat6aBZnfA(s|O1*#Pynz4nUSXY+3}-zO>fOL1 zXI}3fai;5EzbD~L5WK#`J3s#tuim#(z1MTKz;yio(3k>x=E#k*qfsmH00D56PWJ#`_=J-{Lu$?SDC6knejr6YsBU{@S^P_OeHb zzvo(V=PmL0J3pkJ?#o=MQ{o%2mE5^+++OPk{l;GF2d7T(wRJ^KaI3v`*fh(LL z-}NPH<$r!ZYOfPho2T>q`!yvp?>D(KG5;T28sZ(?#~I?)NgRqlzaNd?`iVaFU+l#9 zl{~L}5$hl4$y{&BwR2@W|J2X4_oJ+|{&JqkwdBtHds@@=pZQ5o+3KDIr7x!22_V;$ zNWX1zXQKbs_}%ycwv69Sy$>t76L~cMZJ+sIbdSg0c^cQx^Y152*M0`C3h@p;;LQ6C(q;WexF3zb-!JLw{R6eX*36$KK*gw>X7uq+{Zx0H7kZZ}EiTxuxu?u^h5qso8n->vTYPw^N1hW8_H4e{6MKP-Np8(aL&_lNeU=g0Z|c>ceM^q)rG_puT$ z0a z-R6JJO}M6B==pJeKbrscJJl7T|LZtk75cx9b45J=Y@SE`TixG}nZ3^&ojz}LCgzWN zPS!pqJU=V=PvQAl+j&gfe?4buzm)af?IoVcwdBs1rtLeE!M@<`!M@|1jN2>yc7h81 zenI+TlRN(xpQIu``x(Eif1v)XZvUkdt2J(oF$XTlxMgx@V*DEVOt91Q6WJTFGr2Qi zul}>2=l@#gD_*Vt3lgu%or(Ch&elGi*53uM59{xSGco_~_xl6=zWYijj`nQZV-wW^GIotH3k~@({`%mk?827CIb29Fk+?jZPO3z=k z@1&EAoZ5e~lZmqpY|D> zj5FGQEJ%GYxikO1<^Vqgr=6%{f)ch~MA1}WhLj4&(yhPfa>a{(8 z4fmt=L!Zgxy~mlyOTS|Ahx<|c;k|?1@N1mGPVHaz`TeN9+J9$GX#WMb3+-RuOU>^` z?fb32`kh33f8t@SRq!OPC3o&0FaNQ1(fbPh_47;o8P}3KzY@3CKC9K6D@vr^nB1AD zKlc1<1Nwkr;&Z-$u>PHEXJY@__NnN9bds+6A*1?j?O!=l{r3sE-9;s0r~NnC8x!{0 zCsMqlJC%r?$(;%N;U9;3H!|qV>mB}E{R{V_^~atQy_&Iy_P;vMk2L;h|6cR|f^P`( zf9>Dr_oMOK{CPR99#45WnYWwVnV7%p{oBTc*zQsy{g%m{7sl&fzwdwP#7Qf^J4;l# zN$yOPU%&6~Jss=6V&8=}uW_i@ccD+vIK=&E`Sp8}XXo7^-#Y(VBKc-=XCnW)8wR`X z0VQH*a%al^+T8Bp5@jd3Ghwg(SNW&;LqYP*=@2pcxq})yJOq74$^Eb-BpZC9&|NZPgX`ZI^ukK0Uwti=yRqwyHoxIOxa%bxO z+p8$FBiXNfpu~4^Ex9xC{JP(h+^v3S-;VZc`6yEFOzuq7zkcT*de5==-3;@snf`vp z=IJYFC$xX<{}`E%o7|b$|FQae9QyZS?_}L$a%W=w)BZI66L0&wB@(a6or(ChPu-#a z(!RB`yI#J3ofCPq{r3C*lfF}?{omH3C9G?x`Kbn92*8hITAM=l&cymT+aZj9+2Kx{n9tu1PLn6&Z=<@p2ApVE6C>c0wZ5&AEE|17^B zwVyaD)SHR(oT1+6`Gx9Hem`p8@Az%)??c3+`Ja<<*yPT{^G_QmRs{Ri89%g7qxpm0 z|0>A5$>h$&{7K(flAXR)RS-LqI}`T(=3l@4hxRGt_rMh;;{s{qlQvHD5r4n^mwrF0`Ri=v zZUx`PwdBsk{I%crPb~i!_bvaNjQb{cCf+~j*IspB=R#_&T=3-378=f#@%n4?5BaTm zw-dij?o9Y^dSvzLj=%Pt@XM_CZYO@{gIs@9^q!@r@qEqcWl*afG|zj!4XWTRq5SW&MU9Y)+Ibz*)0VPy}I9cNis zD^~RB*Zdo=j;6 z%SH32=VZ!%3T2bZPw7WarkpnaI~NCiG0S%vQsK{ABj}5*-&gyi-2wR{)(Jm*FzAbQ z^qV~OweVq<*WHx=!|YZ`U#t_pozVMAy7)@!TvTZAor zv5xEl z7H8jwU5%5{=C2~`b6J5wz93WSk50Vou!|{nFRs?t3FnQo$$K&XvS=zlv!9{+sZ6Kx z>5E2{{xtEsNxg2as+T__lvi`3P+qVyeEZS{tDV{J!KeJoPAUHf-%9?h!;UWGwB*Y0 z#|gIkHfHpYJgU?mRbRp^dhU0OmHNZy&8$A#mqfSWi7qSr<~G&-jJ$$+!>U|tj@HoM z9X)nW)E*meuht)BuA}xi?~}&L^mac>z6_A3kT0@U>gy!$&NnDu*v-G(pDN$sIrvY1 zA~v319llPmY&}MOxX@VXk9{Hb&XC^roz>wt*->q8O4#HNtPH>NTl}Uy8h5PLxBPrO zSO*@~Te<^i`K3#mu{B3&ueEvLN z^XD4#|4HVZ3SX?FKatNr#X9=q4~Fo?TKfCu`mm0Ecjurl*3s9zy!lqzFRY_Kc6tb3 ztfQ}Wh4RzZ8ISkWpJ~fW^*b^^BizoDM~tYbp>Vx91H z!m841C#}|~p9AfnFV+cvbiJT2*3s7qrSeCtqpuT{rEe!I*YMxw4d{z?!XN!g?mv8r z{>?Gp51rT+Xg z@#DqlL*^Zo`V+UJ#~gi|U+k<9`i(g|G4zTfO^B)B3OQi~3OGWZ$0f>*!NnEyiq> z7p%;m+0SF%!<=>KMaD{fop{v#F#XAEjFtNH-a$FtL;k$hSgAjkd~DPAh|QN&`#+C* zFq8F2zAuo?W{$-^LA5_$BmB#m|Lu~KYXYKsW@l<`*K6Lw_@N9w;r7OeN zuRJvWFCa)MKbl{)NuXF~|6$~vn_s-2L;9@E$f(B~hCg^d^>YM&V6E_}HyLSG{b_uy+8><& zFMY9&{s8&X9mSuY8Y}(p(9df9qJ0ZksXstnYc3^x)zkc!pQ-+5_#=dS1nnKN$5$Et z(23~XNPU2f+pG1pkD2|5@w(=I;2h>X8DoW=XxF=+Kkay`d0}H=K0iFT+MkhY(I=1Q zE;Cl9cdptk`C}&()u^8>=^sGZs!y!3-#dI2>7{JPI>t(WtY3R3_3gv7n`c(1w{tLl zd;ne8+@xAxC)&5!Yw0dBR{AsdWa{Pu%ImkrO8w5I_`!Zu_hrUP{o#%9y+Qqib;g&` z!|=O>Kd`yDI=$m$yY}i;l%u^sYrXg_0R{@A*X z@-o&KU*^4n^uCh%{EB+%9sB`)&?blOHCFmFdLVk6kpHm#yz2bXzLmbfJ+Zu=Kk8?h zCs}{ner^b#p|pS{P*7p^>btHYl2 zVrE$b6do&#M%Eo^QUMOtlejgGqQ+bjXlKWti_)+03QFF6s6=|?L5-l!&vN~3uAO-} z*jbL{@%5fP&&*qA&oBAl6uZo3C3B|Ep0{`R*Ch8SnbkS_R^JFK&YU<6-;d{7ty6O6 zX+8e;e7i!w-SaaKoPOqk6L~rPJ@yvQ26?>B7v%9f6ThA8*IvKT(^D<|cF)6D$)Ul2Q!I}`S+@$D?{QSI#H z#ivq#9?i1F%toDUdTq&_$jkMo$9{=MsIzE4XO#E{t|fP#6}MmXF!9oJEaL`G$kJM$Ugbm<@YPs)8;M#6hbd^sb#CLG#mnC;1kLLdZ&GethvW3i!oce~1#zD!Q`HFqI{I_o&p3BOQGi^`GloPY01=N>!hSvLQ2UJ~LjozZOJq|a&&Hft<) zD*o{QK>L3=zuP_Y!`#o1-eA|w&w5_1`C$mJ9AD4bvrOibp%=ir=X-dCjk!$dmEQPVqZsZ+_)W+3U@V)|p}D?d-gnl`?WC z@@V|h)0x0pxjR__hqHxqB9GeZ4C~fy>|C5R#m=F$PvlPSN9{*{9Q+?Ue2Vg0a%al_ z!AJ1>i}a88OtJZF+68ha_oMO8SsLty2B#=H$(B%$eomJH2&66*j%2{Y3nv{pfst=e#6D-*p}xx1aTHbl*yU^T{bTUr%`;cc$`hXn*or^N5|M*!dLo z7`c=CQU9%V#Yr2TGO;%2m8$({KV;Cy}3lm7Yil@03KaY^+=)Euzt(llRkU~d zpMqy|ExB`5++Ov+!Tf9ZniB8lT5@Oa{Hw=*`v%{U=)VU4o$um$Z?2t3#^aydGWb1t zNQwAua%aN-$r~vr>dhqMZ$avf$(@P%GyG%huA{yUmiP<&m)v<>+<$!ov#|^L{q_=% z;#zWN{>IXD?XT}|8(vR8NBh^e1q;&d^=(0Y+r*e?|NVa3PG{q)+;ui?LCW3a&P4g^ z8wSm@7%%oH@k*{GclPdY_SC7knB&_hbF= z-4ajaT5@Oa{!~x=`Zj{>^=-m}*qPj!uR486ZrqV{9VMK#r{;&4qyftpGZ*wT!-4B*Xx=rp( zrN7VmW8XGq{%&W3JLykM?o7=Lo|LDJeq6Cox8>3*BMYcgGgsX z75p97k~3&q}O>e*MHBoGtvL{eYRGM zx!-}I{S1>}C(qEAN%;e6*`zd-Z*rc5= zIBSZn5w4LtxgYI+?F`5J+3(s8{hT^eS8^xvsJ-f0o99=me+7RK>R;QL|3YHA^4B=o z&$l`I{Whi9ZxH7HtMhGheS=-|EWI)9Y)*2G+=)DzfBLqnzRf=8lO>+dwdBtH4g2Zx zPvxw!M&)1dgQ5I2Cgt~|@h|v8uv@rxiL#U2`MS9O3mIn>??T4kg4mhdnXq59VX#|t zK#ACy+?le!Hn)4YMA=F1OxWwa82PRDaSCE*a%al^kzl9yab$0lo#f7hz256FyDLh> z&g9O7z4ke}?Xdq*aEq}2(RH@t<)?WLzv!{~Pr>WBmfV^D;%B=0*YEhz@3&$59e>q- zY5Z6JRq*oAe`);B??>B@_Sv+5sQtHs>>HZgnb<$nH|+FHUVYonsc-X2?nEAqzfC)} zc`xm^AoE_6I}`I?t+QL`Y5nb_I)$?Vaw3n%|7q;*C%*4aQR9Yvn>Z);qxM>7YM)`0 z^=HA~hxKR2+57yUXZ+3X2>b8Z6HYnJ-yKeqN8?xh)%x4^PjcG28@V&J{?H6it<*cpf6il5Y_7*Oawqqr{`Y$}K)>Uk+DB)I`bzsLxP53h9p_NO ze~PD{Z{u73eJIaA=S?C18qN>JBxwP&>%)N9#}f z55capwllY*Uorb|KWg83cW(Eu&S0nYx9s!#QTu+!AI(!6%riBAb!s11awqa={F&MO; zXs<6R@j|X8cfKKRukl~|-^1&dsC{qAor(Q#`-b$1r1!im%bXwK`Y5iQC&uINZW!u) z_W&n$)cfv+PLoILpPqAQ+}HCDr^bEzwsX$Z_}{%YkN06`9xwA>^CR4k#;U@5 z>J0g&vq_YH`TeN9&JfqUTW5yZ%ez#?ZJ?;}o=N8`7B@|F4ix%1?F z|J=DUo_?(lJEzlMv3@Mbyw~K;#QvA{-|RDK|CHas82xuKPUKPl2hPaTeT6fmTi^Cp z{^$3j_H*Bf-}HZTzfdCmp2?kw{?C2~az1r<7yg`IoayxIJqy)ay?;@VdTVlLqW;d_Kg2ux zBxi`%zAaAu%kM|?fA&McZqAr9w|~fK@~HjdkK#A)D=ogg#P@S8x%0Sq{yi=Bx8?CW zAJ5}=-c}L6+d19NnX+e|)>%S)+m%RPvRHEGl0^LIwXY5K1=$OdecRdl{$|ho$@KcP z@5lds&xW#m`c9sI&Ohh*=lo7O|2l5xbUSC2{Rw&e&WrN+or&i!=D*uH-Oib^KZt&7 z74e-~BJ)t~-|6|aaaBD1+9#B~eh*g=JCi#T_I3vArx>ReVeh<)c?08j)A^}*{Ih81 zdjG(_`02#fRernw+{|mAnHMujfepNYX{-p9NxLGJa ztsnFI(eX=XkGAgT?_*Qc{-5Md?kDXV7Y6%+w*>o!^TN2j_Bpigso%&J{2temI}`h# zgIDGC=7ADbZzOjn>W}TyQvbAntNLfe&g9ONJ@W+F>HWEavXk7Iu-Lv6sefoF#`qg<( zzHW8?T|E8jzpUTCqD1|^^qr-gG-$TyQ?pWlzR zKdtj+x4LH|+dj?WkbfQLijaRD=i+$&+4JKAsqc(^ZRcCK{ui#DiRbTneqjFJSt98+ zxigV}de5pw|E2e@oa(nEcOsAGpT*^9-e1^N)hWYjS5Ieyvl@?&cC@C%H3WuW?@O zPvd_<+MUUriT0=WUlsrAo^5a6=QxeHkEgyk8IMfvOuWCLv#BipCrTvUCU+*%ul=6} z>ukM0>1=L5{vmfFkJewkW2|x0zQ^EX+%&l}F@9>FRQ_xKv><+)+?nuS?>TGUqW7N* zGH)@tGckXeSVFzUPo2r;Y-d~}ccz|S>UT2oTfd(vD8D6lCj7VObnHK>{b>Ku+R-|B z`^lNwf3)|655|`I({QRDJ&$W=V*g+B1bcsEtiI6`~H!gt+qe$Uq*gAPvZJ*Ts!xVx8EiC{^^o@|8&U}RDSvby+0CIvHz6s zKQGDmpY{HV?DYOhV1<3Yf4(^1Ki4|k><)6K?H65Z_gQ~CgPqpj3MaoG&HqLD^Or^W z^B28GWOf@m)A29NpIi4t5OQhd6xiis!_j|Uq_Rn>uulC;y-o&-!&cyp8de6xGf1*VB zEx9w{zvfx8*Ziv>b|!bG>}e;m)3>z>%1&};!hYcoL%J8S{!#jkq}$}qMEdpn5sNn; z|C=K5n%tR)f04@mdg4X5;IFxs+BKzOzvD7_g{KSx9kg&Zj(C`>DT*{=662-X^HY%a%YAAt&2na1-}{M zZ#gec`>%Pn{4cmkux~rLHOAinF7Z9ep8Zp$vwdi8?|esY?>w}^zTf!2l*jMKFeDi$td~;UhUuPo3UvSqDf5$nIj=!+;aNN#WVXrfBt^AyxZf8aM`zb%|)2jYz z|F$6Y*5uBL`m5)s^1mR@O;xY-{M49uewyF!&;GVNe&^$Pyw1e)PrawBba$9H7F4<= zcUGm}<8^wx&P4p0=Qda2FZ14lXLBvNb5)}LF@Chq3FAh=FJdpb^PCF%<+;7{Z*qI* z^8Y@2jWeph8h;AX@0i?~>VNu7zr{nlv-q8~JCi#T?XO>ZE2p0mALGB?*AhGPLjF6E zNBeKZul`5=7o^`YxiiuK^lSfg_+ON}*8?wiXGQsIpWE`y`KmnsoGaq_r}t#7-P8UG zDla8>CfdK{AMM`q-$}bSxiiuJO^^Q5?49(RCU++K&!@%S{dT(F&V>Ie|9aEG0iTbPeJXLPp14^XaOzupSpMGa<_79gRJIS31d%dS9`&p-!h@Huux&06- z%)e%QHvJO%;r7*hBCYP(^wIO=7hg?CTU$u{M{~l}^=UD4%ho#;(#m{yY;{A*9wJ+2 zb}oJNy!8&H(VWT2?a`bXxrIsX({$Q;gSzzeoN?bhkJk25%k_QwE58LS23nWs1o)ME z)jUl;npceudk;@4tQW6bS+8D2OK|o&q;Li&)6eweY&~nyNpE77j|+pLT~2$FU-n?o z7whP^7=skPSVw=>F+pFfqu;$N=!@C2LLEr?-?=#Gi*>@+H@hrE=@skf z&-7%_nVx(()00z&4-Ni{b^Oo=G! z)|F!SZPorPAg%fy?1JkU(rcU~J;_?NeKPF@Ht(+vf7VWf{{?(H%2?_D#8Sd1pTx$$ zRfj)*B>GSA+z58QQmsF08R1gb#O5Z|`g76KNl{`q-&ahP*PQ3z&+~a70oKvC?bFM;m*<0nq#0J~&;2mzq&_du zxVoTPe}Z>U^qgPkOTfzThrW&8n`n>UHCF0R;75nGidg5Qs0Fl@4s}heQ(p7X)k#{T z>Vs;eSKsw+-%0of7%TmsBc^sFN~9<^+}cvt|+g82!Unjg|TnUBab5ng1SRrT*YstsQ0}-?OXKx9_IkO?{wF zH14j}AK!>@mykcO`O+HtRJZ22=)*?7FPrM0=buJ?UO;_^C#Bm&l}50C;MYy=F3%Vr`1Y^7+45M}Of^9zLw2zv!-@FV@jtd`!?6>*z0O2Ys=Q{-T|8e_$Q` zfjoS%j()ap2w$wDKX!W17whQHTO;U;b@bb>5Bg#qeXY|}e{8*WZclxlw!UfIrT1~g zI^lQo{1>01KkfQ!$@$d(Kk$Ah^m83j{ne6f(O(yR*cj>Yf7)nSU;% zJ$7fK4_n*U2!A*9_UHM^!^X&J6yDt^8cLRQ@bpM*e(+@L`?w zF8&tbz5;#7-fy)(^lyy~$$#c>u$I2g^I5F<^So;P#a{~P72EmmM3d=#H}!_{U2>VR z(x1f_5-z?hx!hQ(uX@0FS5_Yy@2%ngG33i1NiS@*tMyecvmdE`*4rOYxk`WWvU>We zH}YR>>|X89;xCdf)c+-{v0-KY%%Yqc43J{>$!h(1FQz}ahxzt=V`ca{`8fLp>m%5B zPIdUU&S5<=LLbMvq%!=G6DcRwzQf-zR_bfNR40FHehVx0M}BJafNbRU}g9^=d=A`^5GC#c6 zSgAkfe*D=n%vT#{SC`ko&qMnX>$I=&=ixW$o!H!%^2g5eol8H}?9d)zgEAY(&Y96p z?VQ_zVW(h{o%Rz&pwIRIHFpA_ID_&lh9}13|9Ix z=Nf!@8|kI*hL!q5=i>he>4kOtQNNMh5cZ`xx1ut9t#73-*3qAHBYrQ%AFZSF_pej^ z>f8asMc;TvwLhcB1$|=;{m#Ysp}PNZV`X}+zP*Bd2Ih{9S5*5me+TrAU>teaSQ);? zWu1R*|35Pu%O<}T({@`Zb>eBpivZ++NvUVLEj*1NB^Y!n$f3~w%@cG817?Q4a|oyaX!WQ^Y0>b2Lb zgi&jF<0*FLhu+4?{iwZKhi=Y!Vv4ep+?lf14te{1{L#ZXSv}d#xf6Lbem&Juy4!n9 zvGXX`$epS5+b;b^%xVt6CTHhzu4%ze$G~Uwcfkvp?6!o!pPc-#P(1+E43~Q&hW=+?i@Wnr$oa+2ny! z?bc@DIgv->Uu$V7x3$PWm7l0`liZmqKRtC(z0=clXXik!kvmiMud95Fi_xzt^!+KuGSRQu6WXvNzi51oova%U?3wMLnZ{5|=_EWY5kxt84d_woGS z6u-3s*i^Huocema^_%eyPh}27At4To!pPwH}?+dZk{wn)jP?Zsq}Bc z>c9E&EZgkQC7z#UCU?F(9{*-{VMkxF#hO#p34D?}xgYg^@rSY7hW@5e;>%dIO77ey zZolyRl+)MfzwRyZ_w*lw&02> zb~oS}xs&@*|Mj)5&Sw~J6;94BGfVr5S5D+n`z7Bb-)Q%1JW=AG*hQ4wnP~r8K8fDt zS+;zuDO$NBcXB@({~mXc(I4amTiTDaaebCa?nEB7-+G*S@+dEnk#=XBx+l34dDMPa z@;)Q&yS{sh`o**4PVPtTRrU>R^($j%lfF-KC-SJhom&1A)jwwUM*LWdKm9YBylmg| ztJO_DO}w_B*a5-oMGR9ZxRtbgm_L z{!QF|c?&)AZu#a@)M{UHC-r-ql;~Ke>`%!y4b^86RRxiWeNxx%q z=lkRK`npczSpN1ZQFU2zXTGY<$Df}1qf>n|#tNPK>ugMNjogVm>c4%RdP8&>|Ju$2 zxW1BWXa2Nmy7=X%zSd@64RXGPYssCgeaE_FSsDss~O*{_bB+>h3ub^eyT+BVD9d3%X3yH;e(TiUg2+tnO!(h#|GUL^D7Qmdzx=txcW^Da^U!$xvGIFpK7Kp*3FCL$neSRp zSN@wHM7od8vdvE|@jYBi?mRjk|Ff<_k9z*B2TDAXYssDY+lbS}Z~nh2_uu)k+<)hr z;_>ggC;b%h@5&fhka$h*OvJCRj<&8~zWtICf5^4u&MV^a>vW(-ll_YAO5BWV$(_x( zy?$}4ug>Tfx&?3IT5{)y;`ZBaN@@C+?j~K z-}%SvhbSw`-_~nR%H8D7MEUnS|FTmBUrv0=Kj*nzEB~Cm`zJm9r|P-tubo=##BY;3 z6aM#`e`e3T-{yZ#=KUsjCg%T7hrNDrrT7bOAL7@ka|$1MwEft9=ILhd{7r7>ydiGC z!`1XN^kF;vv_$$clRNi}+gtpv$m4hZV;;XVf9f|tRekDLlUBpNk#$F?DcJ|~t1tap zr^&j-cnR~UySR4Juj=jBrW5({KZW~7rT z#l!EWoG;^f+gGQk=PZ&tQ~RYO8=^NxejhSL?bl20J$zo)<6jB;)s!~XUGCH@oFk~@#-DZd{3 zr3;9cHnVh(65qwOoH}7G8bC(jY;aYO%d*b$c{tM}RU6$?1cvXuGz#V1R|&g9O7{T`HAht6w{mzB5)*OEIa+A;p7>o52C4mW;`{-3AVp)N}9 z)qB@!hTi3pN0LZmh&rd`)AP3+E-@TGtMjVUalo~UKzLFTIGBz`>QH{=VM$; z?o6!rZN7eYK3~u2`-INae&J3#;OCZEw$tGy(wCXsxnGAI(whD_Ou_>lQ#X0>QBLMhWgWX=D(($w*EW~_SqF7{(?UY@n_D& zdS%P2C}+m)EgvXxbFL+Kw&MA(ebM%37{}2qcn{Z-I}_`5oyM;9roOIR@I72h?o6yV zH@=Z}_WLZ`_|YkL*Wwzvll#&3CqK0=lK%y_3;uVUbK>^f??yhYpJm&VhJw^RlRMXs z+xOdlEG6%)|0b^ss^6B}ndrZ*{yrzKzs}d@_1F2Fxc?i{_q1=yvJL5L3jU63$(=XF z?bjs#8!Ol!C;tn+ifhT8E8_MVC-f_NjUNRG%jC{P{oUm->e+af?Q&L$yKpVJb37iu z-g8mC)z`TTQg2P}Ow?a1f9kr8A5PkW$(@P)QhklKy(iD7sjCH#Q5{ z@ABOeu`{_dVXywbb$#gn3;sIn*XVth{C>3k^_%{6{+4vI&eeWv!E?iYYulMv@9s(6 zXUjC9{WOL{`zgqnW^!j@zeMTRxU2Fn_*f`^jlcQ*X#VM$y7nns z^nV4f|0mfU$xJpc7IJ*)S(mv}7oUvg)n{_44;*7{@A=CC-SJhP9xE{qf<%>ZpVI^|M6@7^|!*$w-t!-l-b3%rsL;&iT$Dc zoKL2o^BuLj@ON~9@Tu9wyPWu;&*zCyj%?ls<;LbwL}$LJ-TFiS=j-g~FKyjj z>+GVl-oEN~WNaJtpZz4q@9aNwo*4R1eC5UZQP`9IxA;*8t^E;E7R@d?^ZiRNg8wo8 zTY6)Q9QV;KdgDK-YjOCNaBsPulBO?H3WzZG6zfg2i>IX1&v2Ii(2LA>+C?w_xvtUpTv+eO`Q5PI(GYv@cj|TjU9&UW zC(-rN7P(J?cG24}Ve2Zt2=U|lp0@7Nm=WWTggse*?AOTIf82!o7twE+U3B^n?z5_+ zomlZufq#!<+QpBiSAobA zvy0CB!}RlU-+zGpY1b8q@?duH57O~lJA*oG?T?6l!|bBdf2_L@^?xne-|GuRddx1a zO~=3J65RJ`*k651fgiy!?V>aPy5d*Jr@uvd=M?yT)IZwA-utb4wLe_fu75MEcjx@~ zu-;u4znM-y+etpRvi;48a$|PUDL?j{h%#W$k0Q!~*+pmmY}Gl47wylgs|!TCV|LML zfA}j4jaQ()pI+eGaZJ1TiuC>S`O4Q2uTHESyiazgy7G(QjK&2Xex1W)~g* zabI9_bl5MLbA8w^*c9W}iTY}Pn10rOK0oGUytIo>{A{P1cur>fmGdj1{c4Kw>m+@} z&vvSgxY=%rjd`K{5@ApJkH4bM^>Y5YTh7Herd@Q_o7v7ZQO?=^h@FRp_D6(08NaPF z+#BYPn&NX|{-`P5o7VF=zlox=uj>c1evWbTx3X;Zv;r}2W_Hn;KV$qIFADMJ{8Jp$ zE;{pf%hCRF-eLK51wMmg+C^vnzX$yc^*!i+azbZz(b2P=;64SmA30GT%`Q6gH?yt{ z@y@!hK*Vcy(TU&2f3Jw+E)ng9*+r-Qn0yxEy#eEoT?IabW7e+uP2lIu>ii{APZ$BF!vBJTIh`J*s?>=3`4j-T_a4YYxr ze--I0n}3ajJ?TF_XL6qs=g)H96rMl1Un-tY>Nk!J{no~f1>&B}E;{3{?mxtRqy6dr zK!N{(W7nHak-|t3!dA`7Ba7?@Cv_DI(LA!@^F1e?`lW}`nLnTN1nwW@ckcKCQEtsHI_0vu<8{FUfUk4N2Z(NF)PheN(CZWf4qGrQ>I-{uoS z{F^TjL%f?$5dHW!?+Lmslf|e*p7Q?V`Q-g?xhU#x6oZb>uhhr$N&VLDpxb(^7<5~^ zMYE^%H%Hy0V$|I%`uc6h1>LrD#h~N|uD@zXB8BOO2Y zxp4m(_rK))LD>Jo{b%ufGX3qwZ~ptM=s)p0!GD?fSLyV(JN~9VL%bZn=R~|_7oGSy z&xpqrn}5uSbyu^Ca4jAs`dojtcu;;U{z#S^+C{JYaGxF5O}PI~`C9ht&<&r^rEik>u9eF<+mX^>t`J2)IJr) zKRLf0#y@wHt#zC;B9_ zi_Y_3yW>~RlQ3SsFO(DUnq73_=R6

    z8A`GJ+jde%Wov? zN&Oi1A5Y?a1NI-0Tz8~hggvQWemCkp>cH}63S5n2+C}I2nd^M@pM>>4u`x2N|B0|C zJsCfrQ@HNO=a-zQQ)U;P^}m&u zLAMn14_`0vRXCH#QG=hjP*}kkiP%!Um>3NBERMo__vt9 zqFsD%TF>Xi+Dj1MQw9DRj%gQPlGfWi&lw^A@^#cJL;f|yGtzoKr!#&&zvo1}W*43K z+g-o0=YRCy_WUoR-!{AG^xy5apM0+vUv0JbjdPxdW7@@?Y5%pm|6%cN#PbQfFY|bT z-^4NP;wRGauN{PXGZyXj@&Y&Fn0C?GKRg-n)IW^=3i0RsI~>z4emEUJ!?E9_KIeOa zp8GH2`DFXYb!y%}*RONpzRfN=_dlKe#AvM7v;Pp+A7~eGKAHau-iH3?TUoZ?GX5F(?^(}%H}T+W4wDsKYr`~F#m1+pZJx~|7D^x z|2_YT81HRjhzs)W- z(&f*>ofP%r#ZfOh^QY~`zwo4R-wQ7m!+kGAT38O_`DFcB80SA1#raQuXVG-eisk;p zuhW_Ta&8It-xMdN{m17A?z`vnZ_amx=Lhb;kLQ!==ks6frHJnv1)hUr+QpZq<6m@1 z@Y|v|f6IP^_s{+#(7*rjKSsIvJf0Uv6!<+zX%|1Aj(_o4NGICQ#k*Q$yGgs~wV#XG z0KkWfcZea~e1DedkLQ#ATf95!;`}kk5vJQM+WDk@Nu2*(66b&U-4*IN{t5K;li+9W zJDLQ)=e!KZw2RLEpCx-j`j^J}W9|d9c=w2Y{7Wy2`WwZdhO?uqlqwht5W+Wt$UuU~dth<{m}Kju0n)6MnEKwsZ(`?c(`5dZQn zF~<9t=*PeO{HVK53_A9|On*F|^xwwMBHZV~{+pcN4f}60(b@k@eZFt!jo~^q^n+#> zo&7tkXEy%cQ6R>hW*43D=ZcBpzE^A!!+rDpW!`^0pS*vYC;A1($!I^g|Jv5UXMkuw z8j;@qYqqbPzi0a^+PppNqBs9~WDmmq3+9hbY_X0@(JtbAGX31=!F_++|B(~*-t3}N z|5w}){q~TE$mzEmM6)O3x97h{Nd(V$iJ~C7L~{=RQNOn{)qR z&fCNOLw;{Io=@uA9sh8ijqMlb-*TeeGP~%sU#qW;_kEuj@B3QOzyB%shv)7o&lk8J z^RKjv&hz&Xn?k%toGONRxo*e&i|3R6<35QF1m*sToLILqyNFXL%6+b1vH!Gvw@V6q zE{=c2d(-+O9t`PTQxjvl9~8}=jGycD-1o)x`KK?Nw2Cml$LcHrv7DK#TKVoVH1e^GW@N7bD#Jai4D~@Jl$RU3`DK{_0|hEXsTzy-D=_H#)Z8 zU9tVV!0Y;3>B zo*Z;z*N7$h*nW?V?e|#p+4TR|*nW=<=acCl7u)Y~mxlWocWKa%i|uzjpVmXh_IrG6 zzsE0#`n{r`e)fOuynir0w%_Ap`_1nd@c#Mzf3E%|qdvCZ@qAK0^Bmki=J{t{-6Ge`X&1fq^SKvdoHQ5vudgrgL|VmZyby8H)5QyvA~CMOuOjqAKDY*oibUB@$V7Mo{XRSr1;(n z_h02i8)|mZS^u`@_xB;a4`BQu-h^ZH34DJio=?U<@^+*X^JMmW3*uLBOuOi;A5FO^ z-uI1SxNm#^9{!K#lkv}ZB=q|;hZOh>_EXRH5!hg6}P`{Sf)y0_~#r{=(FoW4a#|W4akX(;v%L|eo%P?Di=e}pW#;h(BJa&EI{U|`9T(C)?OZXWd)jfL*^}v?_E^wO?-HZ# zG11pgKR@cO6NAp)--rL=`DFav6N9dMix~8LFOvR`=aYKYuiDU1|8lMh^^U*85YH#| z{LU1A?{EDN3jBHa{#0GOD_#Eh{$TUXXs4S6ehlqC?c$r$dVXh#@$>sjIT5efMJImV zANSp|{mF^znO$_+ukIV7-yagA-)|7jp7h_0V}fqRnPSj!{~Yr#o=@uA{r-%N-|vm% zcM)Syvy0CB(Tqnzx@Qg%W4h7)S^C5IWcoROm|@Jt^;41GezJMRNZ6D5ndiiKuNFhR z9DgzXcs{9bcmB-E$+lR2#M5K>5x1q&KWkJ-_pEhdNcXH!qS=$_XFt#P|JeWMT!UlU zMd$f_*0nL-`@|UUwW1&Y>`g&8`&2RLxPO%XkLQ!=pZ#Fa9bOZo?m^M)N&R}1kvi)B z`lAa(IX1ianY8~8KO@F_r5NHp{0z~Lf6kzwo3mUDx;cZy68%+Ccb6D-Xp`u_IpKWr z{^zab|Cz}C3*+C34;1(?j%gR2@h|7u7%#uSmJ{)sT`Y;8-%nxuIo}@Q z=l4^X4%n0a<9mLLm+$@MWW2PCCGm6JmGO&>88O~SZ~c|;30l0j6o`1uE;{jZpK!-A z^iy*R{5_02Xcw2I@1O4(GJd{ym=p1uU3B63+OGrki%rNk7X6=UpxTMATigi%$E!`GgSf<_ii$yk-}j_-&sC zo*!)AhKT0|vy0C2!)E#!`L~7s7a1?@q7(m?i=qy7*z_cHW)~g3)vwP5|MB~CRu8f7 z!tA26|AOr#zcV%Wq zImR8f|6DvB$HP$nL}&cL_dFRdzyF>S@tR$9;@|dI&~5K3kUH8$N6&dCzJG1MZyw3_ zu4xy&_ph_q|7EfN%aD)kw=(2kp!I9X_IC;V#OKE)XajPhPcysdJU_NOe$Hb5o5lW@ zzrAYdzE||q&vCNNTVed1b4wUM+y2LJK6(F^ewN?Mp%;;FW*43Ov+qxwiu(En_)okH z#~W}gI{Rm8r-X4w?NTw^ckL9h|yg1~4hv@7do`!nP{qxgN|3&Vbr(N{+&o_EPx*I2oA>EB0(d=&eIseJ@ z=X^M%pYxx*KiHG{#+@9k@eUl* zE;`?j?Kmluk{Ez38_s{oq?DuaTFA)8q*~Qb+{_A))#M_)H#(19<&7O?E zc}djWA_iUa64BSwPxTeSe>pLZF}t`To&L^wA>Pg%Vu-hMp6JKV=WM>`&*$%)|Ab@O z#lKF+&-WiXc82#Ka-LWH`{UNmsofLR?ukzO*SS01_v2!`Z@kdTPH=A8_o}Y)@9OHddjPc$q`tc7vF6f4yD+b+AlqKeWJfFK+k&eeDZy4BFa#{=M`4pl@Cg;?H>-j&a?lcttw@ zEZl~u7daNDUfghi_3fm83et&jhW+k>_%j^SF5cm!pW%i_y?8{_i^C6`-u5Ry6ZbDi z*3tITF4mq&$8Y;rkZ${arigT#U3Ajl?)vu__FowHjYV6V6Zv3v(HZ~QcbZYx?fcE* zLpa`s@wa$G`u@3o#Qf*)7vw~~n_YDBzwtKIU&Pz^Oo52k?4lEYyL#@k;`|NwUFEzL z$Fz&i{LQ$%2=`Xx_ksdHjr*rvd~4c&d{2t=CVX!yC;9@ji_Y^i=h>*^{98`w%q}|m z@h?Wcy$R`mOM!ohW7@?xrPI%FHTaMEoba33MaO^KXWCdB_MhhbgRuX!A+Alw&+)5$ z_i;&qKMl|C_8rJ@KG}bAou_toSpUiS$*}%Y6VFb^&+l*3@BIFDPWqj8(eXdu6Eod) z1wvVN&j|mSU39*m$#9%EqAn-WVs_CPe^@*x z#rQ?d8;H*Q!K&Ml?g#On%Tq1tyTc;RC-Z;l{m^CM`;R#>?lQZGAgS?}rN0gT@jWlg zH?(y*nQydCa99+9BJpbl&=KnZMEuWNcqVi32($Dv_82{>Z1tMOvi%$GD|9fwIZV;af{;Tr+ebXa;d+rbs zuh~T>{?*sUbl+DX(@neR=(*2~`vz_QnRpkDX&0USH|>7EhVN<7|NQ=9PNduHqLY5E zb94QU>)$z1@60Yb>vu;y7~)-1E0FQhE;{jBJvk}lALsn6{#+dLkMpu{I6Iu#2!K%kMh0c@{6)yqt`ecF~ES@3~RO-~Y}Do!Lc4&vKgYTSh+G zK4#>b*+nP+*6$7RZdgzt5TRv2VcaqO*VC$exgHzQ51(8HM?!r4ywS%k%?%{{tL9>p$~9C+a=@ z%J$dj)c<(CEqz|@|%PLxh8 z(+~9h4{-b}xAcEblso#B<=5zxzj(eqJ=zV6UqriM*A<=i!@@}?N+%xV`hl*0Y(EFg z|1S>hPW>$fvfZIw^xB{Opl7*f{5e_faa}9_q*MOwck0i=xbeo&*Bf`?_@dA!#PiAV zBfpc#K7ij%%n7~O#j~9DJEU))({J}VEZ)D!dc*6AUj1P>=|t(o51Un5~pmY;({e_>3&czsBJhj?L0`lS=46U+4TV*KKc7{55L zB!1~c>BKU98~MlY1aRLazaNnEyzu>i4$&FEm>%`V^dj0#vx`pqIqKEczGb7{UErmd z|D|1Y+P`+=ANuid-$TDqAnx1jqI3U;^aa169GM^GH}PQiAIhzzTSU3Fd=;JYYvH65 zr4!5a@|!5Xi3i$$O1G$Vi)HDTPLxhOi1iEZ4C9^!PZzig-`%5KJS*LP^E>YxH!Zxl zK+ZYdBtvx|nGxQVcmlLUp${2|LhNa1HNYbVh8fVt{Vxv8^3g7 zfA#G52lD=3$nmqx^Zr>@bE4dtUEG<@|NWt7xncYw%a2_*682>IV>s!={_6AlmLGKA z;sL(@7jpcZzvBH5%FvcO$9rd>QM zJ^r!s3;*xy{JGVWHqt+ACF(E6?!#VN;2Ip$E;{@F59tejlON?b@nHAgsWJb>%VPeE z&ihZ6|I&%liDi2EO_bln1MNShTU5Hmvh+(QN+%w~dVc4V?bn>;1)|+DyXdrEbFPZI zy9%U^cG1!Am+~XOi}JhZ_@Cd&}b?4pzYx%Woha|KdIyXffoJI9QF9{TK@ z(3xHI^m~JD{(=Ijqg{0L{GDOOJO8!H^CJ$FD|b{2I@a%ZjF8aLp&nro1*jm znu9^l-!EtSbAB(R-+sRw{((I?f5i0XI$fu~`d7yI#dpN`#aEWZFP$izSfc0mliJC@ z4#g`fUa=(p2EMy%?BKU98{hxocb*!X!}p(Z{!#e;Q$yUG&j0e>D={W;P8F(1i z@6Mt9qaXR*LHZ@9)BagFw11`(@!d1Ci_Z7Y+ST*>b=fV*!_O4RJsGr%x1`(8f!1^V zna_=U|2!w28_g~{&yVeXzi)r&?fohEKPR3Wd0nob7@g-wJCFNk{_*~exNozI&i#+Q z5bZ45kFnPmh<3~DqSJo0t8e%FNA1Q>J=-nbzsUB>t{Vw^a{RbI^zHcH$~m5&t^A89 zH)a>T@`L%i`sKKH%-`kQjbqxy%hUJYZv5@)XI&fS9cSHF;4Xash<4GLf1JH3=w@T? znDdxM=*%v9`UivV@LGY?(JnfAekaxPe|&+ccV-uz`q!?W{^$B9%TLbpLiw@%)8Txw z{I?rF^;Yk2|03(3T{qIJf9>kqZGYOm|91V~Zu;9@KWM+s!}Dzqj-I(e3KF&R9Pi>xEc< z%=yW%{#X~!PUpYH|74i|&mwCR!upE{d$Rv&SKsdVquuYXbN@T+@H;{^$Pk?91@iTMGOUj%gRa?D%g#=xgIb{5jDNG5(r3&W}IW={nMh z2T;%7>1De&Yh8h8x6Cd&?H9ivkX?m){Xv0u;h1*O`Tp&G(f=yOA6c6d;uo`DrTuU5 z53s&=LP&qk3u5}k6a4h&I$cLP@j&XQAfInSdXFv;eJX!{BNK0O(!U?{wXP6<&J7{{ zn%L#XpX+oT>BIxAKRu>jydtJwJUyL${?1+p+6n&tUQUdA%`Q6QUkitEqv=JA8_g~{ zgb{4)jq1&(PKU*)8q;l3F4;=e|{_{Fq-+Q)-_+BXV>&g^2D9_cr|h;*A? zbkg7M_b2C}ovf|KcnR%i&XaIVyXgFWul>&6QStZpMC7~KMJNB~bDV+r7jXO`B3`qL zPW=2%H1nU|kIqTI(JngvYxn!p77xme#V<178NcY{KYwS7<&VF=l@mI%izRw~Kb!iT z>w})(&*pVtPmW(L9{A7V7vVRvi;n-8PyFsN^Dig9du(>m`Tp^whmr3e%(BVN0>6y! zr_(NeFr9u2w<7Ar*F?SO{C?qljFb4glk?wF;9?xpE;_$I$@rV&Li{<83h_6^aq09k zp2m$K{+zg`*+u8~*Cvid{1`(`+*lySQf3!NrQ>I~jxPj#PP7GP7r&6!4`cgz1NLh_ zQ{Y25rd@R2AL06aV{;bvPl#AIH@mnw9shpOW8Kd7Z-`j;v+Ih^`X7HMyLLSM^+18= zV*zbple+mDiU9sO^BAxZeZj?PfKXjw4<=lm1+C}I2VSkk08rnIQAF)0)lphiH zWc$lmq_}^atk?241*5JRI==^?``A<4gI`NR+FMslzD8Gr0|7IK$>fMYp3q-v$ zyXe$Et{-sTmfwHR`6V3FE;{qK1Fh%&2d*D*|Abhd5%y1r-ul5IJ^Y5}KJ%Z5=RWg` z=sf>fIO#;`#6$XI{pbDx&J%L~K~D6;W*433w?q1Z-{eR6O+3i`$9ZbzzgQa{^FI=H zxBN&a{{O9KyKCh)lI$(1E<#v3F zEWfrc8tIk)f!6BIxA zXZy?aN3z{!x&H#OJlQKT-o^S=&UfILcJY=DVv6u(U z_kFL%@!N3h?ce2j?7!I8{ol5K5c+-n{kL}Sf1ve~QN}rMIr;GdF>hja(V0K7{At7g zEPvehzpwkZ=|7I2SpGzgpUkh3-uUTY(C76=^+@$bbn4GQm!EdyxAsTvmZ)}1ENj1{ z6QvUmcKy!Sev9YD_FLSU?tfVSxc^c>`Q?Rk7J=)4x|^KcBii1W$$4}NE@aW~>a8gf2^W7@^L9Xj@O6u=frxt*~QnV_4E_h?diXqSkE%M=&axKJ55c5o3p&d zPON{~_nRVdKIy-y-67wn9$O&t-Rz>1|5I;{xSFJ>Ngz z{%3x_FXvan_XjiaL+SfB{gY82$$hxAi{Ad@f!4RX|A^^t=lh5J&Q<**_}PFI ze^nQq^{Z)*g?yjhRUq@7cCjS?+e!btDWTrY!}y8y&xrbDcG0PS3}^ecpwD?y(A)m4 za6Vc8+V#Jczc$K0zw=c;8~a^uEAW#zrd>QcU4QtUw>tWaIoRu)^I;s*E;{=Un0}6b z_x1as)6b9P=DGq=Zp9emjE?{8Jp5*Q z5q>kf==iT)eHX_GH)L5C?jh$xIHp~6#-E4u1;5FU@|#%Zzn#(l;(5{k;?8vWJt+LI zbc;&2SeAb2MCrtXT+jBO^(QChy_heY2QxbJUv~bKs24AdI??(5!G6)VoBkKLp5u1r ze@=|snJ*l_8=dicJU_5{JU3YSBl+CGbcx>c1NT4H{weI=&H3xFf43(7Q@Z`K{gbHo zw*OZ|y*In))c-?z^gr^OD8GpZyZ_K{?(6<<%l~%u@|!5Xi3i$$?WX^bexJ+F7(C~3 z-f+xa1@6Kz?c!PK_G|2#pc{K~fzX*<^z`>e-E##}N4x0g$6?IC^pC?>At!WZ7d`#n zpc}uSKSl{hd+wbb-{-E;{;&Ck5Ta ziwlI#?4qZCHs~hJERZ_dMMvM<7W&yHpC8fh8aIQqixbl2kNc#v*I>W&nFYQl?4QoW z*QE6}{%E8BpL9vQ?^_DQeVbi$?!VpnzkyzVVm;;j;aJq)oVSMh%lX52J~@BZ?)^`m z7yLeXM}hFW*+s|y?dE^G`w!Y(e{OgEjO(BIz8USKc?a4*+C^vo%)y}Ncc-|1F534i znf_VfKD_TwG5yks(utma_^%Mp4Ouo~PJs_)nb}2W{^QX29e&feQ#|hl_WXnL^Fkf} zcZ?1Fe#gcFH=|6@E;{}HKT=>)f_~-iml&P-M>~)CKhukt_cXic%zwt~w-^6!dHVVNV)`%VkAwgC{bKqD_T>06 zo*yuM+w~vq9Q!}Ee>u_rv0dZ$JB>S?``-_G{_Zp57x}x-7H_2Y`_Bv~o!DP}e&6zg z?pr*-_y2;ApY0~{pW|2~+f9}$(Q7{$PC8LKu}rUg7nSegfzE%WTU5Hmvh+(QN+%xD zr`vCoAM1Bf{&KS4rCs#;-~FLyzs>kX_S?L!==I+WC!Hvrcu1ej|1pEYyv>;91@6N9 zGwq@?f75~aOTPEgf%(gvyKzjr=)C{ZZvVaTvxp1(M;AU&Aoh)#U3B)3E=1qU-@jOd zzBVVmn`3s-`F;+=)lUohoS2U@yLei9{Lt?GbN-C|r|q8+&kO6P9KXc#$^3tz>j!Uu z|Ilv?enWxiH_R?N{l_7F!Ef@T{3e$959QYUFQVLh8( zZY1o<`onP2iT%~H{to2*ztH37_<{bE}8Z_q}obD<}57nO$`DzYYJxP;Q5Rpg@#cvx`poWjKEKllq(w2Yo|y-d~`;V?@yB zTod#i;)rzlKcxR};6K!TmY)vsvY7wksU`U@ohY4HrXT3?r*w--w^){b=|t(oL;B?S z-S!FWjQa<~^HBcKuJZXgoi||Zsh(6`!9Z5{fFn)eceA| z<)dA_{3fd0iO%!ueks50rvC-3=lGBFN8C4=6Z0Ns7oGW!L;8Z>LFn*EqKX%Z{fCrT$C(x>asC1KsEc}sy@gwE`uqi^CFm-}Wqn+5(D*P~r@_RkEoexUd7v>X4>$B=LD#Qx2$0{>T*nO%Hm zy8RtKG3bVGDG)ldi;jNS`7z$>3WUz=qN5*?h5JW z{1@*7_GJBGJf=hZIjN&vbo3*R3Azzy76_f$MNj`o(2X2YAa%5hj(+4hQFnEL(3xFy z^rJ=v-Kcd1LT7f-(GR`|`Godk@Qnqc-7vf8v>$`^MBU^9p)+u>SdcfhY@{Kj!`;qci@B=QGYf+d3*Komi&F_{H?%c`;sbXS)1a{L+ciiHG#b z`hQU5AKIF>%Fl1RUgcI)xfKug^4q5WZQb>GjF~Y0WjU~Q*ZT`}#=k7T9QT_}yfm~Q z9RJ7jZu!sS-Pib~6ESYLc*U~xOD9Sv9%#M%CdzN3^ZaD@sdS4ysqfgKZcV|lumT?L;e_g)Sn@j7l`{dyXf4%g+sii7ZI=7 z#j^ON6QvW&^zEkqkp8zi|2c2P@+Wfs%F16P?8)|*;iMD$t7rWi(DMHRkDvV~{ht%< zKJ%6RxY23<MAA85V& zCdzN(0rnsFjnRKOvG0rd!u?-HXa83`KVW*+f9{)O`;im-j?6AP`;P`(e{-038S-d> zyO4g`#k11=-_YZNZs@rMLT7f-(?1q;od4wfosl})MMuwhO4DKfGADFq7acw4Z#jOo z_kKl;Tg@&ye8_>bkD{?Cd0qhD?Pmvs7H3y1N8=|zm2%q}|R$HKK6f4h36 zTU5Hm1DXD9$Ui*)4Cwv?lpBj*WVvCwM6diXoOGgeVwrvb@1O7Q;rpoj{QcB+^ zC)Rz|U@KRTo@_)UJ4-$dvAiG#v_r^fsjQ6?;1qO*V9!bvAeCzk2u zH&K2Q548W3Zc*tL%hE5MD4lpnpKL!yE<*j;iT3mO0?)%S?c&aK`+G=V@SFT7zljIC z|1j>e{1-9qvwRhu@t=j0PLxh8)5~w7{3ae~|0&&~(k+&yUpi4b@sK{*{;>bzyeG#$ zIWg~PcF~#tWclNJpDe#Q@!qG|Md$rb?la@KZ}8*-cZL0D9KXi%$@Fudo9QtI%n6;@ zMQ8lTc~b751yV=5SfXdThd`eb>89T1Z%HTpoImIDBj?|9 zqTHKZbjtsJ(WBk4_{H;L{G!u-Sp3q7(us%k$?|_t663_CJVdcg!yKZhsoZ_cu4-87KRTEIaa+7Hgl>KF)FP8sa`bl>}hqiw*{>1tg ze19Ky5%#2h!YM&FaYu{P(Jp%WS;yf1*Js)6yIO31JIiPnaXuOUF!VpX|KV4+Sbr7P zzt}HDdiOv1S?J)e!_a?;^*6&Gu#2!4<8Ma)e}eDN9z7Pim4WqZvurctjjTP9WvjO$ zA0qktkjv1g=R~+Ep9tZN%!hvt=Z!c&^8Mkw@nh&0@U67EcN z_Xb^kL4nlKE;{=96;XFvfzX*qNC?~eM~>!_shw2(=Iyc zZ(b60=r2uALT7f-(R00n^G#eY$%%iPUEG?SI`Kg2xnHK8`)^av`p5Wf zzl`{mP=EP*&GEe3{|=13XZ2i+Ma(;n!5$BWfPse&jtuxDJtZT8pBFktOao*K;ObdFkiM12zMc9*i+;!G@ zD&`G-SRj1I|2oCq`xScS-(oy}Hhz|6OAx1s+sSAbVNb@t^#la|%PiZrrN#OYSw_2v z^GSWr9_TRNw+v%dvHs#Lqh0)s`MzH1?_vDZGyX`^F@9broKMDo_$cTe&9XTte_|bN z3hg57N&TD$q5E`}&AqL~`WtaQ*hQRA>JPgFI;4Bbxh-;@lXlU&{|S2$?n(SU?c*&r z{}J=Ou!}gKjGy5+&rH2&^TfO^d>YRu^>fcaxtW<|^GpdeN&tBX2-FXn#gs*&^E=+C{JZnZE{pyC};Rzz1R-@zXBC zo=pGNJt5w0DE~|c**O&T2X@hmpW&?jK_^E#^%xXtD7qj$s#ZKB-@MKH^3FSzT+9^@euQt3PX5 ze{uioZflY2G_;G}{U5m(@u2_Mi25V4-=JOe`j1Jxf7IW}lUroHrCs#u@4Ty!Zj`_I z7q`fAN4w~izeRV3`(BJTfPNsE@3f2F{Vzf~O^5W0rsI9_I^ldW|9GDL&SL0A_B*tT zuqXB7Sb`HkxmBTLLd{SQ<1Rd&K?dcX-?`Rjj`nTW|ghhL|a7T-5H)$7f zJ{dp5HU2#4#U|2Ey9j$yKjZw&*6U`W{BzxojO_EggvR}x?p2#ST7Wt9}DY+BJ4^1V8;Ivtj{w3$R_$@+C|utdK;%8 z|2clK_7}8v_k?IP^1 zp5>N$k>!_q(JQ}MhPA>+Ier##oo@W`Kcm@`@i$IEJSaaMJ6hzpfp*a=KYY&O_;D=q zU*x!lcF`L@a$f<<4fh*}EH|`^Uism34%=-$|A;m8f3%BU`5pC0xbM+-waELXUG(ms zO`?zR@mv`Nwe%{l@W+NWal8dj1=F5p-M8-k#Ya+g;j4ul;2{({Idw zvG&7||Dxx=<=xQ1-z(R($Z;F(qBnl)D}J`SRxTsG_-$VdpPzOhzDRp+ItBNSaacT` zEPu8y2RiQ8v2h$|h7ysSz}|{g4xaZs?X4siR%=^n-T?-H_{Aq>gsc(+^@l zhx89Vw?*n`7d?H)6+zd$phfCv7d<`4S&X0KFOfPMe?@xw&S!&e(4#FvwxG)*>)kQ^ zk+3K8kM)e{X8jYHeyf*}Uiw+jsAK&TskeF=>FJw2xNnsI&dDvZ+|n+3<(J_YFZCkh zx9diF@pqmS;vIBsi&k%77rppxUncU6`!$(=B=e1S(aXPK$RpDYY0-4Bi=KY?aX~j? zU5nJwE_(XLUg+Tej>lW%ebX*__g}v^==kix>yXsZE_!;-6Efd8e<(8lY+fyfVhB={W9 z?JU0{pW9ikMeq5Y`NVjcZzAKje2w(tXE~vc^z_VU%Qxh|X!!=a=;a^#X{MXc zA0p$oem&BQpXucD3)3(1xrKJodw!W5)6f0pOh4KD9>()bf25awuG3J*^&62o+C@)q z_mBLy`;TP)+xe&8?)YpqUS&MlPtIPzC)zdKiEaD{IZ_1+_L_PEVs0a zUisxbTlPQ0{F_)mBFw*uuqVe)ef2-QZ`wug{yERgbyLnei(EIQUG&yZXCWTy_`Zoq z9qpp0=R7~}oAdu7@0)hfyZ?n(!0$f``v=5MT#9xP_N4!~zRY=-Wm{V0b2IItH~+$Y z5}fxNcVdg2_oH3(=Kl^KmD#*M*RMJMPjcR$cF~*vXFrSlwfk?V>k+;yO8>z31N6BG-*+7jZu6|G8%bzj6JUekAEP+C|TQ9Otmz;P^*myJ64I zkzV`3c>?;4_aM@5w2PkqY@7%Gar{UBk@OqwqUS&B{}I3S|B;N>`u|8Tep{!;yd~GK zIe$quG5)4q^yV))Pr&=;{DH{$ZC)W#@efC_!tWh+XPp!32;Dicmf_v4!)o~V@F~qr z*E~3M>s6PEDbaK0ln=WNWu@5L+Coxi&iO@oz%l52g({`<%O_gdTk2lDDe zc--pT@v=%+N~UVYpWzlRwp4B3-e#&M{vAB{yS&!6mtfOMl{-wPYxdvt+gr(Nt@r~Z zW)ai1^XON`S-cX@C$BaAb;#1od97FMZ1-A6p=8xISh}|S?$MR}wd09*Pyh0cC;#6P zvFJ3E{O7XalRIDbilcYF^0-%2yI%4>k9s*u*teLr-`&3bm`Z6&meyh0P%eJL(z^55 z_O4Vv0Uiris&;?LG<9ACf1SiMsnlcW!g@U{&I=D7g*uz9$i}hmF8CF^aU<5_-fgs! z?S-G#gFBvs`C|kEOT#b!Ji^Tg^VeW$c-t3*>vO+QmFsgHz;&RWD~+YspZOo~4(@p> z1`l9q_`{I)CdMmdV`F*vEht^JYq7rma@6vll!ssSeuT$dJX!yj^6*pNi5idR7ZTqa zD@{Mw32G z#;J|j7=Qh#vGn>&H)L6MCe}w#hQQMBOCCkKe}MA*GGl4@rSMC~FS3lRy|euK9A|f6 z>@s(wvGn@OknD~xqQ1P=SQ>ugt?+wbfTiKL@O|!2qr8!E9ob9&5jfevIQoe5jHTCKgljkOoikGFwBGd( zLtSb74(j_LW9juLEyZ;Y!+gY3#?tT;=Vw{A2kV(&eMz~0CUczpqj>!vm4`prrp}c{Ok>%khzX9oa2g<`a#?t#;c{lDC^>NiSV`=yemmwW5#eN&y zH&`0}@aqu{8kf zhwDCv`T|zzk2by-;ZSyt`h8<*`j_30>yN?p-()Nezv{b4Kc4SMw9}>Gmv$f>d(j?( zjn9;aAGaCdFTwNw1IE(pv;E<7^I_|ZrQvO#4c1B4qdaI`!mID2-i(6qZ9LDtxmtg{ z1#Lgt*=*YfjHT(ReG7iM8FdltjQh;J^lU@gv*&UBf323D?MQzE;~%niN4fvk{3EW9 z`b*Y+P#%89ml19T=8MQbEDyitui=-^;QC=JMw7KG%CEn56w+}d(gW5$ULGDpx2yx{A*+<ekq*?wH9t3&rhnww z@C%+r$gINi%L0tWI?$gk{gAQr`b*i4U`$EYXOyRZB=w4v)`OU}^Y`yKvp@$Y0bOurz$n2XWm$#C-lE#?tW1 zUxe#od_p!Ww1*r&)R12se}bjgryp5g$SU<^^rx)d%*c-T-j_EX;CmKzjNe#(z|!AJTVOT(|b6@Ga;!h==X^O-0M%`IWR1uVV(vhTq^KScWPHI{~-fctDbh5i?; zRd_z-x(xd-vL3%%?fG-wdlBwp%%4ACEKQGncj_PT{PoWWUn{@go>P#oFGv4{2MnoAV^s3$HP0z+#k#6*>8*i=F?=3)|$9lhXiLvzh zOVBUzIiBIc((pasLAVd2-h)-1%WKOJ4*n$ZzE0`&C;dD8{+=u&oAG-%xSiy@^sD05ZX-Fa8z`me28I+BsgIta5!zKc1^B{XZ?g{`_Ug+leS|m^Z5O9BJkM zU(oM$B0Uw>0eJleo*j67urz-=-xtEypQsjo>NO~rs9)1D_O3FIJ{G|+e#pqi-;}3^ z^DYceRv8D4d1pxfph4BrKXf_r_q&J#_W+jqXXSq);XlJXDEX@L@SFY)>3$UJ9$-Db z|LL_?w%-BeVcrL-m524r-#X^8z}oA|)3ahQ!rg>%2iVwL9^Tf2-imR-zgO#D#(fLv z#vo-}#ph0zgZgHchicc){tfBIa|~JgetG(t9+n@nQDI!R_D#4h`i!-2s+OKHY;SSD zWbK{h=~;3b!lSMjH{sd^4E;;(Kgz>TycPL`=OnVq zbJFxXQ4Uac_#GTDo&F=kzJRsxXX8GUw@Z!b@Npl(v^$UvjQ2>?;nMI^---Lh_|v$w zJp5LK=eqjVcUG&v8@J&4@5g(hj~PqT!*xr}JNEpou{8X&*CIXWBaNHO(|_2P;rAb4 z9RsZGst_K}{k7vT{y`lEOVhJ)GQy#6KXQt(G(7#u`bbu(k6aIJEg~XS^has43=Jh+IHOMu27z<_><4I*#q&p_KEWAGkoLiA$;f5^6(Rpmd+6v zzwtS&Li@SlVx;HluucV*-Y>_8+24ofquRaY;m3Xy=@^Rs7p$_6gY~6`y36_kmZpdK z)xh{+&PHQt_!XZ;zAi$4cbl;^{F=uR4rPby9$+!N-cJqtn5KvJYVwh|-`_#|4`y*E z+z@6(J?k?($9W8I7Kd(<#3D57NGdx)( z{G{O_JXt0D^bd#dWR>ubAFQPA*+Nx?6eS`Y>Y0iU(1oNygu3Jy{_A5{j&L@i^6kq z^E2r0K5i_%e#iW9zhssB?XN!<;<|j^B&)1D^|!nY!}DY9G5GV3jHUNGV@&vF>(BWj%E40jA8hs>5A<1{$7B4EJ&g9SX)L||xMjFcj5WqB zt5$zUVt$zGgkvr+mR`S(^wcoFG3+j5X?Ui`<~P7bh55kIgK=H7CuEiOVa_o4{hRRT zJ;u`X%$bVxAT4B-@jU(0u{rn$EWJL*RZNeu!a8UDM1&uTKk|#^*JruG{vs%^vg2dr>1lij*M*Oay~hK6j^Cz!2iN}{%>RJD zF@Eba{4CT(h9|3ppYfj|JXt0Dl#URdtTG;EI9xX)tBhB-e>hy9%zDqi^?ASjecqXP zcDO!S<$k;G2;s>_?|nCYribHs`rpR&z2_(U3~%#42v1f?&$icx>yuUd!}gr%A*)<} z-rGZXvP$?~&*SkeF>gL$+plB#!K^~~Uge=e_)SNK>yuT|zojFDC#!^C|Mn1`tP+0H zKgaZdRl@i8ei!3D>tDcn1n+laulA?U@-}{4NDo;hJ%giv$SUDCpBAo9RtZ1!*C9Mv zC4BAeAv{?n{HVi2c(O|Paq;?OmGGT0f5|H07kodYhpZBwzwgKTOI8U#VS5NqRte8_ zI9{Ku5`NmRLwK@E_ziy(!jo0PcODtSlU2em`gAD&WR>zi?e#JJV3q6lcm9xjyXa4{ z%JmnX9m11U!p|QU!jo0PqtCVUkX6Dj{X_^)RtcZIB!nlcgzsJ)!jo0{)74)?c+5qS z*|zfbt!GuZK3OF__2^HsO86tUhwGD7!moK(2v1fCzqBKSCmR*|yQ7AO@MM+iZ;1Cx zRtbOP_v8J7Rl@goePQ+C;re8i>-Tp)`G{AB>yuTk-{0}Tx}SvWlU1%iD&8+yCH&Zz zgzJ-4!p~xTLHk2i2|wtx5T2|Op7YuWXY?gzZ$tu_HZ+iN>UOzYbpRAIexi1OnC#!_-Z~NKb=cxmop3$!i_e)l}-~NsV#{W&Y zK3V1Z^J93jO8DW6!}ZB3;rrV@^tXNMZ+|!HYa#t)mGt-b`inl@zxj&(ribky=L5+q z^C3$+LU^*u{jQDe6#c-}c}6otN!= z#g?6~*zv06C%x!*@k?LWAW(d(ZZY5b9DWkKcs{zvfK=VUG)4n@nXa?6K^xHyoi_Jn07I~W!qQ!r+y!`X*hP{ zBmZ+Ah3Y}OI6Uot+YN*J~RyFbo^KC%Nl5oj0nHKM-K zZ(sR>Zt~SZPf|y_=;bJlaXhF+ul#kw&#d>I z@Vm%*L%ZndNA1CVquz|3*&^!=?V?wI>W_qY8}K3HCmAp8q8I=4QRqcZhQFZA`A;~e zT|7DM|3yf5{cem-zTRR3KBQg5`DFebH5)qAcfKto@~ecji?Ap4^<%<)*UxQ{<&JjI zyZ?pXMA$cCwHP~IbAA-NBWV}kn2z6iYX!am zyP0Vhi?wgBA*^W`}Kns`3sM>|f+RCG66!G>}S>T^SPrJA|oqm1=f?t>4w!FpK?HEYGF8;<> z=C$k7#xMNpMh#`fz5*M`{GeUL`K14{d!R>O!8h1Me4QwxU4%WYN6^kcg8%=#!2by& z?cyJ$^_@Eq&jN(Sj=G$8;FxxCL0UiYe6$m&s}pZ*v2!ktVHa^enf_s2(A|Lkn%9Zs zZco}peU+iF{Gaj<@Edl!O?|GwUt@O^?c%r7@!M{^&tdeu9N{A^-;nwNyN~Nv zv{*;mNxO*iNxl6_>W^6dup7{bbWFz||1+AssGpj3j>HHfv--2}vxxV@XfM$Q<@`Gw z(=L9vSNy&7)6sYE?ab-V6nGzwX&0|e>sMX|KR<}KvA*776M0Fyi1W$xkN+{^`4hZ- zhc-JW+I_Q&f8y&qu#=VgocLM=_55mu@$+f@+MS5^_waW4c?G@;$Fz&Tm)0+9ARK(R zY)Om!brRY|oKN2Ww2vd)XtclIC~!TFX&2*LKC%Ax%D=G}BHoW-_v!ToqTMmO7|Xw3xW+GoUhJ3^^^vfr)4xCTpNR2`82ebd#7~sOFP$iz zSfbDUCO^t=qUS&4Q-f^<@-HXb657SyzCv2wgPwK-?WRp=O22d zf6~J!_y2uG)GS!gUiiSn|mz;EH0cJY&G{i;RC=ST52%<(NY z5jX83&L`WS3Gg|-zL-sbuXC=!G3{dfD#BnS3vcE3YX3%!Lb&%~zGPj2H{qCe@qOv| zmwg<5dKtz`-zX5zVrCa#me!AcFvQ!1#+K^*p#j^;Hq=tbGMhgnijR1U-qjx3bk+TkIT^Wt(rt^&%AySj-Ql$DW6|E3AGso=4ta zAmTB*cy(I825G46LH~jK5xG7?y9j$R{$BH0V~#_(`SI=9NX{eEE_(CHBQC-HUyt>; z+gjv04DF&fpE`0L!gb;PF?JL=k59V@douk)_CkmIqlQHQ&?6!<|L(=NU~t+(`FhV(N1 z;@3m^YvN^T{TAd|6GN{pZzymfj%gQ>wO#ld443}qcxcQ0IPnO=Ki^^#Ws!Cf=aYIq z&o;gm=A&}{TbPe(h+j+V*PnuTzksh(U0UD|a7?@Sg|vPJ{d_m(Pw9X08649tI?wYq zo_{co=OZ-_D0=gO>((HhJ@~5m$pxY_be;#S-@PjK z$KqYFKNfqh$M!1!EGHdkZ&-eEqHi<1`02F&_lG{aF~pzq;SfK+s=@2So-BVo@Dp|| zWj*j;&LudeU5vY$`YQjN_w2X<{W`+tdTo=t)E|LGW^=IQ(`N)&sv$;4U1~E}oUv z&qLeAUnQJ}wkqd;;FxytTWS3?$&=FgFTIsXL5w2Rx*`i&={oDIRou8Rv?iDTNu zA!$AL!LZy;npxmw=znMzo$_n>kFsw4hlsjhcF~y+KMZBJV;k15QC4%Fj$_(I=Xqk| zXHia|-}pd*(3xFy^lbkdw}fHe>tBC`N#e2 z`eWh#MeYf*aYZETN&TpwBVN?i(bEcCjrla%#bLg_V`tFkJTK@w#GPsVk#9r3!PQ58 zra+8)%`U=~xRpM~zwP>O{1x!uTjA&13dDHI?BZL~@pC=I{!o5$7XKa2C)3aQl-gbR zJGwyl-t6LCPW;g4^GGO1HZO#7WAikkGoRFM{h|N!b#(N1wyyrsP;P6Yv!1@{c4TZD z^`HGD$M5Vvb7I_XcF`HXTl^@4*8Yeni)I&{^?;=p!T%WlExoZtj{9gAz44#b(`}*t z=JRrBH_R?N?MJ)mU;6~w>g9Oe8DHS5@%%@-xIA6{IPc%M2mU&~K#V=iF5Z*Yk7ND# z7`~>*IwRhJW75E1=`*+r-SSh5G{J_Y$Txxh=Yo@vXZa7k z$b6?=^zxtU9{g2Ku7~9OE{OK_j3VgK|k1%3p_w2RLC<%(aSp8qY)AQ~J*;Qf#c!t5&vuT_pKL#KUKZLvK7YpZ$@asZ^N`o}{3oI;m|b+{ zzgC@tcu{^H_bmHHFc8-4A zf_umbznNWhp5M7|uQ@vG=gYZ1?B{EW&VD|ov&Q(5{+x`LcF~ES?Nk%bxop33ekHVD zP4PqN^22tj(ZttOx(e(G?N>u=ruB!NfPS`)=dBA0T#RGdMQ1&k?M(B5(EjAy8`__y z_&_>-KEEUR`#gU?>*pA^V*Y9Nv;r~jWOmV+e`5UkyxBg?pDjNhe!m<3zplV%a7?@C z%)j^ianSXk58-u;(3xFy^z45+z8dQto($z*?3jRKmdi-klkNY?M^Jg*g7$hyfmfkFqg{MUT0j2B zsDJRE&7X+yo7qLjfA;+JAmV=t>5b&N5$&S4e#CKN$Gu_vnDevlY~f zcj1_J(HVbiYarZ(NbiyYug5X%;)QAdah%5ICyw88qAZwQbe^APBk%dO@7c)foFBw7 z?V_{(!gMyy2IO1^yn6X&0BJ{b&15zl`xG z#xEV>k8q51b%#dzVCAPofrLp}Lo$=qY5wF=rC;sIt;Wwmx`D+W@ zgk##p@#*`wb`o`n?Po`16LpDp5%#42W*igxy%}c~h{{iun@ zr}eC-e2>31W$UPta|dEJocP(bsReDC%w$gO1OSypMQ3nf^r&2fr_F z76`waUHou5|F(9Ac()!ahIqGji)K&8zxC#*dsK|Nn?+y0?YN-ZcCHw7+t4oa{^R*% z{M#N2y6s(J)IBDeJ*nS*e$-tj2Hkd)ea0WpC-v>l|F7MNc>XKw-x6!{!~QK1_GJ8n zu0gwb1KuCFr@)7BOuKkPTF-R?&Unq73_=R6}GS8V<w#@`#Rz>W2w zy}zx%6LCzt*pt40TjyGi^rHOc>tL@A<+sZE7sna3PlfSE&TohD2j6p#=ac@QI2L;N z%Jz?n@TJ+sHR<$op8?;a;r@f1xQ^LHXZ*-;wHt#z=fgo?6P@Qb&VNwH^{brFnO$`B zoTuZu2j}l{V%@^*qO*R%@oOD@7w1oMqCA*gbmk9joHQ|xpTsS3{3OO-@#(An*!xiL z#(3>`ls6IWso6zm{ekOL+;_|MtDOIa@=Lq;wRHYl`}aU7zjbkMY=1>({&Ecak0+CTR&WWH|jm=!18AbT#aMeMd$gI>wI}=o|b`kbu{CrMezsKi?oTyV~7oGl(>rDKP3fG@< zz6!^*i%Zk-^FBHM!28d6eYk(lKg9FN^e-HXc;L5%8w-Tr%q}|q<2niJ9oJ8CqTZQZ zbn4%zpNDeC{YNZ^B+DJ`qF4T`o-K&=Puv;npSU3HzwTclo@N#i%$RDZu`miejCVVz7L%9JRH+5I{VMs-M_GSH{$sO-Zy!?z;EK1 zcJUMG{9ii=^=2&E>*WP*#4+vS*tC8!;^BL0lM#PTlv}flPWfdx_Pf;Qd{5AG|3y5X zEPq_5=KXX1Iw$Vi?4oo3)7ej;z32B}a<0cQ?PAILW!~;6aX zb7#BX{ZN6|;FxyNY5zG-UE7B6A1Ls29Mdj3`@cBPTYEmt|BCf_Vg6TyJ(+(~yOD3m z`l-hji01~gi>TUN`0K0xUGO%X_*RxJ_)LMA_cOcb&HtSbKV60P>be5&!ZGcl(|+(f zO!b>FUrzsvkK&ki@#b{;ZJz$ZFn`5zv~3CQ<9ZNI$?24z`B#MJ{`t3waOU_dn}3C9 z_O$r$bC4b+avmVuJdL0V!iM30-p=(fBa5a zJfBSe(m4OPG|oSAA1veN{?|Z1{+{lT{+>90*mG>q^>mBAp3gsAC*t#a&Uc09AN!tG zIG;>^Pn>`3iSv)P4-eO}{dYz`{$C^k6Z`heRKWi|FZWk;C@u)z5o1fJNNAD z+;;Bw3n3x*5RwpbMdZDgp-;DORM25j7%5I7k&Er4*@JprVm_ zNs%H_v=lGp@K;MwDe_lN&iDJS^_}0uv)4Pb^GnXAk~~kI&z^VIciuJYK5MO+U!boa z_XD&upAGBRIsX|_+QrYN+pk6E;=VC|zi4NhoVTZ4^ycrE#`(`>asJcx5i{>yho z-NRzk?GnwN)UP-$=vJH~2HlF|L|@U6$ zo&D3)=lh1<7_L)8KV){%*+0a7!p8r|>zo+(nq73pzbns=_kFz>?wk7;ng8*8GXFTw z)fk3xI_iJURXCK4uJ`@bRfU*b1oza`#~j{mBgqTl<)==Ubk_s@NjwQIw^$(&yb`zQH5 z+IT)0|06d9zek=S2ESZ4V>-n1Nj>NJ*luzDFDKe9vx`pqb>u_A@9LTu{XQg`J?Ve) z1L3)U@^b~Q#r!YrqVxQ}`t0cUS~2)teYWWP=RSuff^z>uPCWOTUBoFAav?V_{)Yt8E5cg<;H@XPf( z#y_4<`d_mr>Yf#&ZjWg8q<-z`LAUlQG3faH5c-ejlX{MmJ9+}_mmiQyG64n^_-{S{yWa! z)eP7hY_CKCa`X3Y9 z?=i9c9&=jIk3reteZ=!=eQdwS#P)j(o~2C}+wU>qd{RI5^br5CSBXJC_H@zgNxhXn z_}cnf^!Fm-V0O{j|2-C$XZt-aw%_9xM8C29j^~s9$Hn%0Tx`Gj9RS`x-~SKv)YhIIqjmiem?I~L~b7TFW*w&%W+J*I4>Rl$?MQ>VeC5j4F#g?nO$6;*7u$o z?z=bkU;G^@=6i4KzvB61`t`>CYeMY5`2LLPpAr4^n{Z|DKOy#CoCl+x^Iw6!e&YO~ zpSVp7I)1;3`glGW|A}`+-4kNe-6fhmsh@OG&`r8T3_9Dt1OM@STK{CwO`a%5{ga~E zllt{|e(B`>lgXDwzqg9Puf2bc_{Z}}|5K(1-IU|Spy&D-(?6b1>+guV$Hb_+Lo|C* zZ{L}@4(a?2)E5zbh}lJF{mh=H?zi1aPOY8ZaC;I32pK`*l z*+s`c@1OgI*FRVwu4i`9Y5!(C8uESS5HaRE^3w7@oKNQe%=4q}dNJrY{-HjePwG4U z{>`lJ;CI#BIS?e*Wn}H~%Uz=;og;nmw(@>AgS3exC(m z)a@00J?Htl&P98N=f0dfIq!#K(RqJwCi+Ou`_BAnftdF-yXegS9=$vIeX2nErCoIV z_p<*%JKy_YfoS{9E;{@FIZw#@=J(rj!mruI690`?1phhT9{l6izAYZGC-ZOpGr=!^ ze~)~;-BBm;{A(_8PRW~_x&tuf6fl$hy8i~n){TSOEFHFTOj6b%`PrY-#_O` z?Y{BcnG^SIcG0>2Nl!vo$9Q#Ofs63`LAzK_`{#SgoOkB?%Q+Emvx`prIZw-YZ`f8K z;%#=(i9gFJ=UpxTM6^9-7oGN-?}?iKCkmur+C|5|?Gri$^PLxq8px?y&6RD$Jbo5Loez%V4mlOLg%q}|nFWAoWJ6H2gEAVc% z`#2Uqn7;o_m&JIa4YK%?h_~5AC;pqK2i@l53xv+>qNBHc?ihF2zIX8~91lZ%6rJ(M z<~yQaJU=r2n@RemU3C2OJy+_sp#0>7&g`P2=R6mGx50itJ(9oMK)dMue#4f>gWs(^ z1=26=qT~Mvq!Zu!K4MjYe}`k*Md$rvzGut(-gC%*^7aPASG*d>>u@YO`&Y6!e#zqag?re{?;g?jUyI|HS{%RBt_r%^>7uXa zb2`6U&FA-=n8z`@=^+FsH3KWzoHqcBhL+5*?&n0C=w|F7?e z{_hckU;dsV;}Op%`+q)vXFo@I{c@YNsW^sR#QCItD$02S(rGCFIWg~Ub`eR7(|ztA zXe0U&*$9wCox`LU*NZJOuP8Wboz0he{(z5i&5utUKsZOSNVQ;*IhB*Plz$z z$XDinJfBSeK_>;>pi9J{8+4Lr_O$-Vpc_0IF8cn5+!1w;iBWfl=$hzrgYr&nL^zu#2MZW-;i7T_l=4sUO}Obi+4^ zK{vctEYa_Zx`)N6+a>z?$q%6X-HPYG=L&oT$Fz&i^Z$tBg5MG6h{5lO<3!&--*adp zDBpj`iGJ7YB2K0H-w}@nzaxi;(eI<8?|j7gRZ+<^!2sd zaC2y@_xtzEsmHyVK9cJeysn7z$@DuQ{yU6+Ye$HGPKcO26$-s{Al;f6=Ocx2Rz!w;U`_BTHr_diG0r-yyd zV(sa)f7^e9c<=A;r*yi0KAQb6#;;@0*5^cim|b+nulAj3gtPBYi^w;#i%$M={fPO` z-%rR1o!Lc4-*_A9Kic}njRii8W7aDR%f z7SEkIZ@~T2E;_&8V(%XyoV~v$eiO$SS2x8Q(&dlu33C2{?+xZeUu1UCnSU7f66D*P z5dXIp_!l^)U3^p8Kf~3Ke$?kgx|v;c(vRQCUcicfAT#`rW@b8%*k}4U3Ai~Q~xW!gm^y`zF#8hyT>Bz$@njR0QxL^ zzcDAqU1k>%BsKoB^zV)7FK!Cy))ITudcLP=>2`C0NH?>KCF$3aUr~NV$3Nqr?FjMD zc~6LcmH8LG=gIi<_fK;o-ewn_`13mlrbAnulRDZ(N6+W<{Jpy~!gGA>>hS!Y)0w~I zd!qEa;+z8E*X*L>pWpeWekI17IjN&vbo6{r(sb7s2%XtQN6+`fs9&|FKb==T=lk`mZi;^IFOYs|7fbx-`=<8<|E*_(|D4YLX}%}M`{(x;bHcCL zMaTb<4+Y)oT7lHjE;@SdbK|~6+y5rshhy4BXa7#8-|yjjV!ZFw*A|F)n_YC`&vkaL zA9DRY=jyQjUKgG9L%t_Q|NQ<>PWUyu==eVhX=Xa4RZi+?7ahHgGl#|Tr?@JPKSk&L zVXpJkKZNpz20rKCqy3{@{7}06=02VLz3tDS-FXnl-vMbCo%KT-zi+{M+c!{N#Itbx z2^@>g`rE9Zqy0nP^Y=G$B2UdOI{Oc&HZXp``o+{E3S5h0+C^vmg6|3OyZzm$|2c2R zG40|N>Gb1!ddzoze={fY-Rz>1|7*}@m=0}5PU>hE9X;REqmIA-ofA5(jUUj4+3y#MXv@qlo}O-h2Ymwd4$rBB(EsE_eKx!J zv4f}Y^!;akXNv6>zdMx^?Uva^r~R_uxrCnIoub}I9oi+fpYeS1`Hvcwe}>J8cE{|Z z)BYR~{r2czyfFF~x2N;Z{7WZFCzk04`u+#lKkGm9KPTE9rYqZDqtpJx^Bw8)a;J1q zxf2g^`OEKH>7e@-5AyvFxPOizng3!OOSVL({k8m;PLxh`^n6d9<3_%>p7VAb(=J|- zuK)EPgm$YjqCk`zvx`poIUstpJLX?RyJOcCo%YAVNheAtmg!M%2K4?1*gwlH?>{HX z9n+QN*XWeLc)l||+70tBqTR6Ticb4s;iMC#6QAdLw%-F;{@8vFSo*&tv^({;7RYvo zcF}8p4uGEJp8j*P+~c}d{z<3&^E;tz!};CNoI7z$yLfK8{pEK;spEG;b3$i!(a~Ex zJBYvOhsFCBS#NmXqE~+yPC8LKu|&`E|6eu#J57JvfAT2i?!Jfe9;tmRV(I>sf!1Fd z<1gNVj@d=0{jqQucbHzh zFzQ8T{Bc0^9mK!;cddQPy5ChG+CQ_4PW#ts`VIXE(jDnG^veYz-OMgJ>32w9NH?XU z(oKB6ryt6%<-dq}XZb2R_0PgdCrT%l>6LDx(oH8E&$inmx6f9XW&#OJYo!R|2b zS@2YWJMrB<+QoCz?Ki*k&vDb?mlp_~*+oZxK+2EOT~xY@PWm7IOo;cwnFTW5w2Mys z`8xr;fBt?+PUy@oI(mNRnYu;jD|13;cG1({6?IP(NFD8>qqp@J)H_?h5ibnu7kqyr zo=?ud9teE{X-)rP6M13RjfCCxFP+$5J^R0by#E)nf0lXPKg()PlsmJF+tc}fAoMIZ z^e?jf*mWaePnJK1lTPffKEH3JgYH{A$oKz3_Rsk%-oMTNh)8#{i%$9<2tCUU{fjI= zcHKzWljV=$q!atAAL#oZQ2&@ep?{nI5Sj0^i(dX806p`K{zc0_UYGd~!k*6maK0n` zK;Qp>`XAu>-|_nYFq9LFdu{w8V%%%vC(#-IS~%%M>BKTU>dionpE`a2_kie;Zub7M zh;*~-icb1jIO#;`#NTE;=f6;fZT?Hdvw+QiiO&4Bg_BN{PJBM=e;(>@N970gZ-3u^ zAMo@$5cjWoBdXqrCH05vPE5a?oOeaMxc+2x=3nFa&h#j^=3ivFrGL>YzYHgxD4lqa z_3Xd*xBT%tg?xsZb8Ue;aZI~-ZhHJ<dZnAFbQ2GD`YGO`;w_fNUpi4b z@p-K0cT(AY&0SU?+AXt-PWv_Yx~RLaKPszhv`2W_2O5eUUYu{@<8bM{T=)Mhj?W0-x8hg@6i81>-oFojDOC5 z4)M3&FGu`fPtJcc{<%)q>978k(ZBeP=wEzgiGS%t>BJI!r|I96Ur~O=690`~hWi(r zv*P_n!tSQObYj2tsyCwQjd+mjPp9d}?4){Ui$~ohY4HrtjeUKm5)U z+dY2&DJR-Jvx`pqcR=*Wck?eI-|f1hlm8Y@I#D{YM9=zX-@g*kZt}WpKaEcN8P5-> zp6wswpA+pL+$@Y`sq!atCSGgBe?!}Vw&)@B~_>0nsCHhX&FaPcw+CRpF-yLMSpBSCzM>~)EH@%4aHoNHD|CmeB&Z7Mob4!6}x6Cd&?N_JzPQPE& zsekI(Zt?y_wqJJLNZ6C@-+|C~lKxiCQGTraizqi{7rpX>`MdfxxOdFo<-7~Ww2RlI z@4r+3o$6=Z6y_ag-Cy8Ne7}fx(V2goy&>pkWA2#qm`3Q#E_(Whf^JT&KYsY6cesC%_0O&w>D9kZ^_{jq zo!)<^>3<;NU;9tAcSwJ+J|m>R2)mpA(uvZE2VH+mjK7F+6!WDf9#ay3=|t(ogRTE? zjK7F+q{Ux!zMpL2q!XnR52Bv?7qa(;{R=t&2FJ9E?@g!wKkIX$GUi4I{(f8Ps03v7Fin~ z)*nRJlkHEZ`cB6moqm6v``>w=+&`alBhr#~aeVsz2U>6Ghv$y{eg5h+{kgw9`yA5i ztp$D`$Fz%|bJFhs=xbwx|D5PY=)We8_5J5MT}L|cAnN%$$!zy#ttk-gmf1z8{o?!g z*>$+r?-h6-j%gR2@7Ep>{jZ|`$lBcCU(9}$PJi=1!1~%rA^tfpiSZXt^5dWDbRFr$ zgQ=g4e7+s=J+?sfsr>zoOuXHR{{hg~dV>F)>w^E9*yH=pb-Ipp;=$IR72_}Ni18QC zO2?nS6WByM!QT(eiE*phMQ8kK;V^DAy@+w6*+pmkIPGij`)Y)Ly1@UAW7@@6JMm|@ zzm9tGU!q?8>$HCAM}mIpmkWf>>|&W7@i)DQc$;2y;@|1_C+DM`Gz|} z56X@C7n$$$FFN_p-|1rc{?2v{`OM$n&Uq1zX%|mS`?qk&f76S|ce9IL{?m_i zqI9C8AOE%x@9{Slhp(fR!> zOF!vE>BK{Nzx*lPM5UYPq~DC=LcN=DPJyU*W*43M$Mplw+w%MGIsXR7w2RLC?Lh0f z|AFfc+&>}KXN3I|qPPBVNRM>;a7;fD&wZ9IqVxP`;iMC#6A$T=^`H9(I8Vs^2RYFX zn_YCC-wx>u>85m4x{1&8^y54=^IxnDkNF=7yIX#w6aQc9+3s5Tjbyvaaw&T4ua(~y zx*qMOrJsm))6zwB+D{87ohY67Jl1pl)5`6*Hd%gcT{O}w{{yZ6ZAgFXcaZ)$(QaD$ zi%$D#;n?oJkoBy0ynhk(&eBD6>Ys&^PLxhO*m}0VjDIBCZN^LV+HZ@02l}y-!@jSv zSU=+aFVgmp!!COJzYc`n_J7mwE2IB+fb=iEGTr}nx_@iH^;k3HzRy8eE6jNpj%gQ5 z_J8L42DgWO0QtVb3vv7jq~rF3oPL;Vvi*x9=Az86=*)k1n*J;&Y&ThMbE4feyXdr^ zaew!K?fHQD1ej>^^=Pf5bQ6T0`%q}|fC!OxUXZhp4|NY&+&Gh5= ziRDk^_{q{W(i=ZLAM|;>Q9V+<5uN%o(B-F7|JMGf-4fMqiDm7VbfR?P^IflgLsY*Z zI{gRhAJ@J3{!32Wx7kJK{ySa&n1p_k?Z+hapE=QPm|b+*k2$l^Z!E$0!hWZ~lW|PD zxFp?w@^^0Om%o3TlYVIz9siRri#n_wnVy8s?4qNe^LfPcTEzE91%3&~w2RK~N6$r` z*Pg@of-Y>cJ|D-hi#VU0|KNAl8efAy#3AR?IHq0vnxjWLH{XcxNdKJg$1&~V8`FBm zv-$cE|D0G)H@o=yw4Uk2b$g~?POM{@U3Av(`JJZLL-4n(&8`}bVHa^enSN8IhkT!M ze1XV!vx`ptPq`!N9xISK+C@h{^@O0CdO?BEnO$`B{Qe#HKlA&2IsX>>&uJImpT2(! z_milPL0}SuAVLM?(qGqy6CK5O?^D%`?Q_{ zneViVCHdb;{O3;&^=>}KPpp4N)H}0_PW@vz+qVUM&esIJ?cWOLll8CD^tbZYLHXx* zzUt>;zso%ZehkO7i|3{555MzPN1rkGz5*Y?G3}zW|A6u5_;-K5A3E)#SZ=WQg#Fhv z66MD1qEmjR_XgecO$9<{cG1yKgwGoKj)@4H^L!lBF8)qB{VW{fYZ_lzGXmehOW7@^- z>G&TI{jTU=d^q|So$;snmrj&UJfu&ypT8CPH_+vGfc>-mXZ_8&D%2l-|A6@myPN;~ z?t|&YtD;VHzJFlXmrj&UEYo)y{};HP<96m>PK?``FC4!co$-4-Kd^c{H(2~5`P{&G ziQe-A_dnLY754Au{B_vBTNA&PF8{WF67|>i|BC4M%q}|p-ywY%H~g1QKa3mpcmKEL zf2VqtJ5l9MJlN&0)A%3K?|1nbjpsZ*ca6TUz@0dzU38wG#;gvyF{c#>o!LcCzbERR zEs#3eMMpmteLmwq7JYqA=*%v9`n^FnZb5<6(JngraXX?8&)@Vvj)czaqN5+bBSz}oeebD3*L!(^(3xHI^v?v{gqa0WN4x0gTU$au+d8YjjTkf1 zE{;$4pWG*%;XNhppU(O2uzxxePf6=-{Lw-GKjF%F-**;>`!>7i+<&L@e*?Y##Cpp4 z!!fA8Iqwejm-C15d~*EX>HSZfAJTo|wgQpvW*43G?==5A-G9*O`g5o2XI%fx_s#6b z{+WT+5C0Y7zbnf|%q{TYEHk_4%)bn@{?PdSw_yCPakF?~KcC-FeqX5L|K^y`?>E;M zxDjQ7cG2no2U_3h^H=jzq1|deSRmRhvx`pqbx2=GH`F6bN2Qxsl75_jq%J3(C77=K z{Su=ye`x11|7Us;^PXlGo%zpr{m%UV7mq)`U(EE&`Gb&t{C+Xh2lnLnF`geVeaH15 z?Ht#=*#6~2|G{>R>tDw0&ix+%J%9I^{zd-ov-yqme*c-_q!atA&+l95p!*gN^8LTy z{j=Rf{&O5{WV^|7C3@{A!$~JfCzk1z@1pWuJlOfKc#Dd+SQdZjMCrss`gHq^@?-rj z%3n_QyR?g5|9c?x?6>J(WWUYpieCTCaMFp=iHG#b{2x6i%-f7!R^U#|KhrKc^EXY* zU-G?|Cgv}5-i2e@Md$sOPW$h}KLuadKYI9s1!CW**+pmn=;7#l`TG|O(bwk0?_QW) zbbkMW;p%4weNN2BnO!_HJ$~r){yBff{*&vcIWG+Br~l9P?{wgQ@VXH1!EY!K@i)8Z z^gjngkAB1ai|9A(x}wv6SUBlK>BK|&WczbK@(<;&lk&rHGxZ|J&layp*xmR`C-zs* zdfQ3-59vEgKaL-m{y8yjVE%LbVRXh1@%$lu2kBSC_Y0Z+ITwX=uZhn03z`18PS=r6 zJc#;1C_D5&2xTQF{F+^K{B!*(!#;7oznByI=*=#E%xQlf2)e<~6$ss6+QsLwe$<9A z?i_VSfjhCEop#X~e~x-6=z3}eQb)V!=sR8i?o{7%c8vG61tQ*N7oGTX{fztGxc-(C z``*khI{V*-|3N6X!~eWMlv}flPWfdxe)p65oR0*3Lv-F>puRaG=yR?P`ldJ{UH%X0 ze+$wNb)V&@DPA4(Up%8E|D_Y96U+1iUH%krQSlbb;xC;jop?x}9KYNCgY9wuf_Ndy zAKFzuKgaWj^hl1u=%Pl{vng5ENQ`*0MWY&d`h@)-|5eiu&+P-ce?{pgs@#dr{Ko+) zzXN^$9r)+?i_gE@znBy0W_Hm@zXPI2{LR0Jc^|v3=*<6EIO#;`#6$XI`+Gq0ufy`g zc^~G#$oU_OS0wCi{G}87tIx~5?nC8XJlN%5ent5e53+x*TQU7}V%>`A&-E*#vwjuN zcc#Z2fcY0O7hu;Fo%tgRC!Hvrcu1eFKUaozr`DYXV%@1lyXdSxHBooDZq-6v%?X{^ zMMvMlGcNbdbhQfn0j@{8=6doV(glm*TobN`Xi8Gpv}LASqpj2q0acwzJ_ZcmqA^Dmt!op?x}tpCr8{8PCRRqn)t zUH;@(lwa{+`&YRYRc^)Sd-+wmiAp!IB>imN^*M~0F#csbVC$|A6zGh9S$;Y0H=TG@ zXg@gqkLTU;pZnck|I&#Vx0_$FEdJ7o(uoIKuXGcYZld%2WcR6fi;B1C#eZGwcd-6z z{SV1>gNheAtmgqTe#rzjJe`Vz=682>K%W%?({nc~+Ye38Y z3*0~ZPo{rPwEN6g_TxsU{g3Al>Gw1J*iSLt*?;9kx|>~e(%-_N-#5L8e&6h()Bhh3 z|DDEvp!G^OQRyZg4tIaq4%fJkD=XUxyb3XpB4`7|3JO}&Gj3Wf3E-J zM7cM+=#>9M`a-%X9hGikN&0dAhv}aa<%j8N>%XK^ek>fykLg8>o6Igc<0lK(ssB#( zinpkEiw86QTabTv{;~Zp;)OV-UEH4T|16wzqI6=J9_7aTi!3+vFM8#N;iMC#6CHi0 z->>?wt{;s&!}nRU(a0;2-)*H`^uFIZ(E6_VVcgTTt-zi5n|9F||8!xEj^n1TCkmvF zcG1yuos{doTtCf;bzifK7pLnF*GZ}4`e{z;Xcrwlf2WS|=kM3$M7+%|dhx$H?E4?_ z#RAdhj-Xw1_Wv^+_x)3!b9K;j|9?E6oIg6GFQl8&QRyZ+?@v50((jCz|02qS#Y=Sd zuUk0jMCrsbz0yrox`_un{SYyP-qdI}!iI`X+q;9(ED-q<;MALD##j zP3mYDJ^ifX(9TTFvf1~w*}5gmXcuul>3)Wiq8tYH&7b3m;pZE-PNUurg zKg9Z*ksh#%uowNeis`@fn+SJPmaV`%px79dWweX1C-u^;ih7bd+C@)4@t=a<$sa9{ z`ANIjxBoNw{_U}!!hNp@Wc)WW-6Cs0&azdTQH~;MANk&J-iS0Gif^aY#hdo--TMum z57c$R>|!5^ubRT#xA^%oS%<&@x*=f&0_v3{<_J}sS)`!1EoG32unQw35-yXffo-A0as`8~&+(3xFy^!#pQ{R+(g zFDvl&@IA@8cttw@`Q01pd#)`IIcv%2FFN}Po)`WVZ&C3U%i=GcD4po&S%0_> zm+gN}=*%vb>5+e?7m;tK7oGgGaMFp=iDmjuk{Un|I9x&RE z49|#cKXU#}sQ;Px-t_o|_n+%@9qGh_shTn#qq;F`Y~q*-B`@s@H!-Qw2Pj;=O&E%|3COcTP3!> zkMak*2z%21;X9E2m`_@GNt^Yp_#Qj#qBozkb`Zk7A3)W!7b`j@YJ?GP?7h71HpkDOm({R^W*BN+z|C0idc4im%-4C!&{w>1uU*q4i z>F!k*Mmx)M6Xd-4Tsavqd+(To51y$JUc{C?~cZMOaq^TDu-IG^;- zaGZyxUbJ~+UKeQ^&nNZs&PKVLnPu}&ZnHHG=>fZl^GW^WyHHNnX4zEu5}9tai?F+T z);sD&);sD&ul|kP1wUxNy02}M?H28#*M1$g8tI0*vH)oy*5RLa5%y&KSx?#ivHpr| z_h=Wr_HPsFD*La^J#E(Tei7{=&L{mJvjl$r3iH30wApwJ$FPeypVY6o2!3~CzO&Y5 z{c=oU!Y<-`QhyZd5AJ`>J#BIwg?7=q|D*T958D6rPqfK)pLWq}|0nSNe~EeDiEXyN zi}e`TMVwE@fBtodH}d~5+_T7hr(N{&e<9M2_rC~rP-MQ-E_(ORcD8}vp=J9kwoVD{ zuLyfG{tI!R<`?%bnqQ_1;}OogdiFbupcmQiP$$Bk)Q@95`Cn*fX13Wi7{3P&yNL5i zeQgkQsK2$R+Uy#NW7tKn{w_EjVbNY4zO7BRd$fxTb`kcZe#S*; zw{Y*XYHhYq7HAi7KB>2U8u!oso9RIEzG)Y|`)4_4yU+43^1iKJM8cl*&vu68j_r@g za!0%9l|QZ?7?G)mBVP>`DC*S0dhbV!X!mk7U0~y9m3hXSt(ZWcj0B^vYkBVSVf|j-N$bXFC4#pV90| z|Bch(2lb}8txfj(w2NN-;d2(pePfXSBF8PXi{AK;`vzEUxSv2|xuIS3$`7A&*lzRr zN35a$q+RsNZ}+3&zDM2HChwbe(Yt?+(;Fv-@w>=;r(J|Snf|M93h_Sbsx}#K+C?w^ z?B}z0g#KTw{blI?Mc9-6t)E8uXaCLePqw}t`ft%I|BNT|jqw+mZ?ubE{&AedbmRC* zWV+EVdg(XxGU(9m4LhezwtKXTUi-&(&v78= zjsK=zhH^R=P0jUfa^8S;(VIVDI6k*fFEZb07ro~frZaU+e~~)c#oy2mE$$zfj=ak@ z!~X+q*6+YE>>|z=^KV~0e4BnI}?V_h2d{@v7xw%d1Xcs;GAog>J|KJPSq>gsc(>HenUF(uI zsiR%=^c*MAKgUlZbvAyA^z>cN1l^#=+N7R#(bKb?sv|txFR}H{vHgmKy;%PCDL=y> z54sWew@E$iqNnFPCEGpDUy5vhY+f_cYkzvWgRbY$>Sd%C zf7UbVSpP)otzJfY`qmQMH_Ct4#5P%OX&1fn%W(8dy-5Fd-AK=W*QvqppyS)LdIP)Y z`R8*I^Nss4Mdq75KSg@^Hw<}Xx*=_v9(K{w4?iL3MyzR*I@(1~-`EQs(!cpco4jw@ zMeqLWdxDP79=r}o9qpp0=R6+t#M(VHZ6;%Q^kB{EO6Cy@>Smtbc4bhYx9!?Wfht zNU!}g|InNNNb1dhq^D;-TfQOxMawtXMKAx@e>48#xXo(=K}P=R8~XKg0Z+$ay>3Mc9+&x3B(( z_f5O#-9P7gsc({rAm_s#i#k@roz=-vO}JCN>J zf8hQBu?v@?U4%WEeq2B1yvx!}ZSuL9cF~)E;XVn@`;9%hP0st#E_(BSbGoyvIX$!W zXR(E{OS=erGX7ns!Y|q#_PZk6AKFDP|M~pFaTA|kM2?$i7rpTl*SY!ZJ@1}2x$aB5 zi1W$#bDfy!$Ms{8=|;Qgr60#Rtalv$h^%+^{2b}kKh6^{-FOco(~Wk~OFtXuA^kZ1 zWBQRyH`+xn{jC3of9wAv>DT)INYB5mGh^P8>(89OBwODO>(8P$f5~|Q-Z$qDMEbXR zg-H1yj$(!16P%uPjjtngS8pxDyIGTJ_>kb;ooq+vorTR z%)#%)E6ZK4+K#5d%0kJtzHa~i@&CTo*6-s2cjIxZYg@G|C1W+?zu^`xw^(i6TJ2iN zSoQuLQt(y0)|QuI^GcOFOvY>Wr~92+$!jhDeMDv<1X^|DtSyZx0XyrSCm zlJ|Mc%h4Kqm2rF3)~&}?id(W=4BLWo@k5r@?Z&5$)z*qkg^CSQ>u)-AI=oVf`4a$M*!i_G-+<2sbaJCs=y@aTo_S1|xruHI{~7 z_CZGxdG*qjO*-P{Ex)R#@Z}9@yt2F^HXDK_};^^EPE2`y_@_V zgQel;+=6f@Zhkc* zPQZ1aMSUS_<>A-A1nE8v`&)m{SQ`JO58%2epUd84EDgW%JBUB}QxffZY52uW#A7eo zL$GmUdHAs#5&lZdk348By*}HYCemio8e?gA+lTZo*gu5wQ2&?m_;kM+1>qm?y!+;A z{re`g{b*;i&FH_Xw6~i#;QBvA_?wNT@vnUq>39d~F4z_K-Fx+Y{U(I{H1-QUZY;h2 zbc~4`e~M??^~Tch%RhztT!{7oZ3I{ve)SUw_g?HDLLP(V;r|iog7#!JSo>ai_$?Sa za6Cg+8PCl49IlJHYkX^k>ujd|sV^{d_+`ggEAzfd-tThTuMiLo^Nrag#1#&4T>AG0f5 zALXZZZzw-t>Gdc7FT@{x5?R|(9)5Fo$X~Kb{?0_&HxVDQN`3780m30YXS~Z;8vo@V zLHxgt{)OX*ub0Pv+S?G3uVK83J|67r`du^YSv}k5DR|~55&ALIV)PuE&Gt&g%zHxk z>Fl*1>(k3V*GJ==HO@z=0IQU`WlK<((t1%MQWnu z8avWh8h+$ga9zwbW#2HChG*+s`vv}gwOX$+8@bgr8LJFn>#*|locSHZV=PJ?SbMfS zd=1l*{Q7na@dwM}j}egVx(4eb%fqkxCBmbZT=&ar_iMBIw_z3QDd^(cb6$B{dpzQC z7;62`jivD)c^=XQPlRMvWmaS}YB5GNi{Ec7z5ZghZW!5<^%>>qGm@iF)Jzg@4V7Mh z-W**2kMUFp*5lXKy!2u3$WaH`d`bEBXJGVGI~KD*sPkZHeAe&8b+;maQE$M~@Jrr@ z>;3^&ogOuohF|t#Tz4-A>Oh?uivPyj!^>J&>GqM@qF7(o$ zZv)jwpgdeq;R}VRAB9Ts|*Ps6$gu5K8JP#U6!}DuqjZt_C2J01`k~quM zd^vhmJm!I=*T-PkMsZ}7Q5oKLY&)XE_)iEDgUId6hkfQSPg&#UDHJ zv)Tu6Z7}^@kC>3{HOgZ%EKzW^$%8Q|EGR7geR+n zpT_ndZ4g;ymYbt_#)qtO{dpH5+&ZknvmaYmo<372#Q1|%u5aR$d=0&EKvoEL>LqakcPMK7n%iXSm;6jHTBf zgJ9^lGP3dM^7wGph2hC6{qN|DL;ME~suusD%aFg{fe-YV2M0HvAp#0wXQ59^aKkW0PEyw7nrH9rORZTIpDe($uJ9P7AEPt~@@= z2O}I>UJ`4Weck;&@wc@kJiV>_k7}*g*smhq=qkrneEMOjsBdKHsCNDA-wY9f$G; z)<>6zKL#WF>{-kKgV^U&n!lSbMm#WDA*+m5wtfNSc_H4#Mvn%TUY~2WT;p0&GnR&5 z^h3n^by-GYZKgE*))(V`zmNF5xLSU3&WZWUIj1V4g9#fDpJy<7y2Mx-pPAjb?q{&a z?bpWA@KZ2qs_#N;dYZ93{L={6gEe8W_7COh!(OTW)zCZDhnI)n@*;#s%f5B4u{1s$ zZE+5dqpd1)c)fQc+)uH_1y*@_n6?|`24&g4LF7HH9v${{9EJR9+>i2qm9aGbTT#xq zrb^Z-j9#bSi*Q@8X8}YTdp9n2{n%cX zt?Xw|FSoG1Rj8L-8{k|#*9NN0#dGbpj&$PF6X@Ny#b@ekai0$&oyd*l;U|3#@%|px za=_Zo^6*U0?7Wz+@f)^YdNO=-MF`(DrTqGnmLMLlz$g=K7g&0~>n9={Mo>pjHkO9x z8ggp^u78HHH2h5D73Yr0Dzk)K%c)}~hI7qe>Gh{>MZD3rvz~#a;d^%?J|i$Hz0Ft} ze%lxH4kA<~Stb1V?}qSXmGCo83E{~q;kkxy`3qJF&pliWPgV(Uv-}88RtdlP z>*4xjmGCR#{gPF}bGD4}C#!^?bY=)oV$VQneOZQb!0VHZPnCyXg8QsrhW@4E{W2bi zcShFteX8uUKCVA6#E1Ni`|WdY=t#u7{&9@!IgbALKJo7}e9u4P`ZwU+0f6jTQC|Ht!DM zjTOSTUXA!856CLBU_&23`lEjw_Bvx}{289VnK5#zu{8YTmm@AmqCE$je^#D8OaC0< zZ^T}Tj~Gj@-#jebFInY&`u-@ye~EXA`TX;j<>^27uTc)hApODCd&|R*djj!z1nptVSQ`JaOL3o9VqRfs zwfZ{}&)8hG8ja`3Dyvp?#HWUNj$!v1E5(QH598ISFiSXUFs_UCgsjp&%pHbw{|eIc z8^+T3%$*YIBUxp<%JgY&4Cw=wUZ3Nz`j5hRtX^SOw~pu2`cV8QD?Goj++Yumm7jWr z=aJDbL%PpF{BJjw#(x6aH;lE(`ik=Vo%o&*o~#mn-iMH$ug9t`zl-n}g!BhX~7s>f_bg2R^sdF?T^`cb8wk&UAk@G_S+;EA-bR zzk~3o?_`zw&h%${LN-5K9-qehab2X5u|oeo6ZIYQnb|zlfhzNw^YQH1d?m^!_#5vM z^f}&}@e9QNMR=YCe`CDYXZXp@5T2|uzGXO$KglZN&#fN_*C(@m=dt>XPk*0xdd~~j zC#&4=^m{{iva#>3jy~hVaXHh|#_2!Xr#$o--sUS1o~#ldwzs@KStb1Zw}@ zgeR+n9~-YvRtet~^Ovj=e!+J`e8?){`CEsqzhss0t-FXQ#eD&e>MI)o>y zw6{}Zc(Tg%*L^x%pR5wT>*x@ktP+0V4WYh}RqD&s*N5wqRj%LP`NQmo;re8i>mPnz z2v1fCf7sX%o~#lceXf-UvP$^H9}VHjD&e!2hVW#S@Y5HC@MM+#bk!FS{@5%dvn}QA z;gXf%`ec>()MI**Rl*;=HC&&p5`OhNLwK@E_{Gf-o@`X;ACDOx!jo05zb@V{Stb0@ z-;MVRRtZ1x=n$T)5`NX35T2|OzQ6OyN4_##pR98I{*E8k{4iXftaAPCc)w(o@MB&Y zu1{78KkEx2JXt0Dpff{wvPyW)QzM+sSJ(I571ZbW_Nc!O*C(r7f5HtRJXt0D=y-jy zO8EZvUwo&Q{V7@H`u#2cD}E8KPgc49=qVvQStWeWJ41M~O88Mf3E{~q;YXYj!jqN4 z$NL>o@qUNj8?H}Qx&DxqAv{?r{MTc6uuAyB9}VHjD&bqhLwK@M_#0w)uuAymc_BPm zC47I|pZ<>L`#XN-Z+)|VkyYZ~-}LYA^G<)S-{17R=NJ% zDIq*rC47IcKl>-)`ec>s_cuQM-48G?raxIFKJ#80;!joy-{1DLzt3w2J3gac8Sa;? za=-l@4~+YCxIS6s`iI5vWR>v47lrGSRl@hTedurd*5CfF`wJodWR>{$_xcNO=-+%u zf8)b;kn@vdmHC#%%@Cffa=%B#_KK_${utaZ#}8zc@Jt_uC#$sQOJ5(tlZ{6C{KJ}; zhVW#S_$)d)geR-Sr@!;sYxn-V}D7)x}%GF3GwG zJ9jDayb<sRhI`SaAw1djQ>=($l~&|=j>2;)9&s0HjIVY%En<8} zpMcfI!{Tm)NW7bAb`j@o6}T_`2d znoPTh^U3(P9tt|Xm&xmp)X^@wdaR@~{afd>$@^}>E_(4Fya#@fenV<)Qb)V!rQhJy z!SCQR+GM)XE_(ilpBi+-uWFO!hIY}_KZ9^RC~pheWVsm*yXcjlVY`EF*t2a?N4x0h zJI()QcewB7rZ$=Gw2R*T_e@7v)Z3nIZL;3dE_(I1)B7KE9sHvF47$Hf#+!E0D?hdy z3i0PRGI$>(<4wEh#(zmjw_&HX$#OFccF{|}-pk=C}M7-G<=l&g?NPOfPG*_9Z+Rz%Jr^ zGX43MU=3x(zSJDa{IItNBXK^d&%Ocuck%!DHt{X0jCK*{(|QE$`a?Vyj4JSd!brRL zhiQG+Huzb9u-LJh^Ija&E-pyxdoMyeF%#|mt!;MA!!hh4&L`tPtOvSX=&yO5$S&-* zrCo$Qsh|8!q#N3gDHy-y#50xIMW_9+-LYsdmo9@o(((`aFT$SmziAH=dMCX(l}J%0+jyK(Pg6J?5a5%#417^J_z>Q_`Gb-pNlU;zN4L4d~2Is(9tg9d{V#q^GLTX z_$Cxc0BSb zC;Be4i~H8!ed6DPvYw%@==q&C?d>zzMVwETKl-WvXYemJW<>vyuqXASuE2c{!}#s? z0$1Ufc5zr*fB2^m&ucN>c(6c}U9*eVruEal2Hks5Ri7>p<0-R??@8;eoIDiEPh<;i zA?;%Gp|pP758(HYkzOMT{1A5I(=Pt8uW#aw3+i*?8%xylTT8~zru9c{ho9G=zFt`1 zJ8?|A_?onSX#?Sqc1w?FlfO7dyNL72{Ga*}=um#9e!0y$$_?!z&L{O_E`<*Df6Off zqTZWbbn1U?GIVF*|FH$`z~8isXQlm*{8FelBY)bay?p_@=+&RvZOEtj2#fYB=QbSE zF3wN;XFU0~1mmCc9XO_4#9VC;4*P2V7_RZlpck97qCOJ#gRB2&^e^Js!Qv%;w8X!3 zqI6=3K2JBLqtZ?E(hvF6U>kz`%gMHccJXUY{5#D5PU|nnJ$yU1eoujS;cwc-edllY z=|3hsf^z?D%$Ky@AUw;WI#&+E6 zTMI;6Xm&Avb)>KQ)2W_gRHlCoV}+bZce9I5`kVhxlwkGrQ=-pY^3IBi67Ks9jMpwH@M;{>E}l{1 z|7~&nAl?|qpQ1DVK4uHr8Pt! z{3@;v<5zrD&BoFCmhE6f3+tWx)SvEdi5KV!1rXNtLXH9Lmx+?ApdQ?LPWlsU3Bul z)A7?#rqdhYkLf4k4OO#?`_4b^lYcDdEWa%OIT3HOi%$GIO+V9P{BGkn5#x5Vi_ZA{ zK;zHw&}%xk2*2_f@W!7hj*& zTl}w%@fW`s;$IW5PU~6ES$?vYo;6<3zSU;?+2&U38uw7wkqo`$d*5c&bgV8_+I#>j#VoU1~- zIsYEdC*yzQ2Bh2EEISf$&v^olX&2|F)4$X9V>;5_+Fzt~&iA4HrCoH|Un{>a4&|pQ zz9p2KrugD?{I{+^x}A&u`n3h_#4+un)BeuC4dv#m_}UHHs+<^inO$_oUsI8PwXK*h zNB-sfV;s{iZcWF3{i!HtWAK|BmlwDm$Fz%M(t7S2W4WC$v%ss-f6*>F<=65bW!?G@ z5p}`rqBH+(SFJ==ed``G^H{ArlK;r_9B zK3RV{z5iLii*keKUe2H9d_Rt97oF!{mjBv_Q2ukS4&}cl#;>#Y)&4R5>^GTzIni&L zU3B`-PRG9uv~!$)Y@q$ic^8gp7oF!Hj?=mRYwO?Q@nQVl6rJ^7_Mcoou2$NGXK}!hW_cj7_WS5NA5Py{4N#7{&EF9A=I^~z^gM9Ai`eDu^ z!@41#|Ks^&{CR)%$HV=L+(T#Mib&X#`tF~@FZ!QRQwv;$`7_$ZVZOe(J?L{@81zkX zds=_=+mOz`#@D=WY!hFr&uABMJ{kW`({J1kq~D(+o$o0S;~=w(f138s^$+`x@{_ap zcQ~JnKj%Mc_u>Ds1tRUuF5c((hd!S-LOHT|Ae0-MR}r20qfYA&)4!AT^Oaxftp2l~ zqO$-qYzAzvcWy7{ArU_?5@L`k(24fc&3>@PA(5%WzD)I42$d*;gRkbNE{K z?QL@2gmw|UP>5F5x3+C|ut`US{)j{6p%{mF@OpV>uc{I~2P`28T} z+iouKZXDAtelYEy`|hY;&h!_dGrQ>MCtsFj8P?w>-&)|iaZJ1DtiP@M0m8ip?JfGB zoPU*NW*6U+j=!xlFURvz3;8D^K4uq}r}dntv2wSmK$bh&MW_7nIg$6z=f|A5Z?lWe z{Vzq|N!>E^l{uj^yXfdSe&W0@=MQt<73L2!(HZ~PIPL5>eiN^a<2Ui_bo$M`4(Wuv zpNl>u=OZ|#U38wmr(J}2WBzR#%5P4TL$iy{{F}|cpbpvmi-@{pcF|csU2`ew=~0+( zL0gye_i;?S7{6lJSNpT@O57*nzwpii5pT1LPW+eu3gs5%e8t=Xzl-`uySVTE{e9+N zj@bggUkU5KIsYT9|JKE?r1Ova4Eg-V{fjxT#{56+qVxP_&q>H@dwvp87R)X>^G7Ss zho29j|GU1xzsE7{;)l}lpMd*syaM(8tODPTW7@@6r1dQSHgAMJAm<~Y{M-CdIG=34 zH=&(lx@|%o$cc0_yXd4J_qnm(=Ki;w=(o)-mh|5>`bYe8(l6p&6CM9-r&{k1?N`pf z4eeJ;e1AIq*iO~||ImJk{5m%6BJ9cZn{-lGx0!TFfs63`Lc8d!->{u&{VcRUB7XJ9 z+8+`2q<@<~-xKH0#b@LExwt2-=ks$5MQ4BK&u9HS^!u}?7Kr;XyXf@)^qGxn{d1ha=XQ=Ca-uIWyXZW>FZrXOTY^4_dLwjZ7acwOujc1N|CRGcq5o=% zpHHVh+ZnF=v;E15@@#g|ng5t|Q;7Gh`wK+8%`Q6e=l&74Keqo`M7v{l(P@7=UH|QP z{pp!d?>K+QeH=#AJI>#+{u!P6$MV(5`ss>CQF%^5dq1Q=jC;&3I^!RE{=PTPUx@!6 z=Pks0)8)sWzaEOuUy)q5p2}t)#f!L zVNcdyn}4|?&cBGahxr%oKZ@s*`pth7+ON&m6o_>{vx~SzM5WL5zx886zqx*Wfk+dx zi_ZAZ=E>3SZhbBMi)eSvE;{WmpY!9j>d}WRr(V1d$Fz&i_=W4_ zd~W9YdCpsKOuOhjKXaVN`7e(Da-uAlU3BKZ_?*gLf8g_L&i94q*QV&Ke=wenvqSuI zUK`@y5S{VAJwJR6{S3w*Z2yfePw%J9e{a$(k@(umW(hCa2xXJ9IGk#+Gna{hAK)SsF$E!iw zMQ8qf?vHW*DF5@u6^L?gcF`&SYxdy%G+blNvm)v-uC)erG0^PE`oH${pj&&D7<62J zr#_xf>mj56bqmC(+bfzqsb9Av>h2MPZru*i*B`wk=#D;F47#J2h`!$DxwnM&cYof$ zJ9>BY`;-{{?iPLj+&_{1@34PDtQ{8iPl&K5({KH$!SDLZ#o(9om(0I-KB*ssddhY0 zL8!kuAI355;x5PkGr{jMGsWol8PV)X|9sD|j(w_p|1jq#asRZ7?@0USIyLu?aQ!;x z_rm%$_m9N$N&m-O8RLDY7~*}*mEysTzvch`i1{ymJ>-8T{*UziZMVJ$3N6(NH?zE^^fg5*Im}#Q{c%srd?c;F8`grzr1;R zi1+5>#Srh!(?zo<j@0c)7u>Ft7b0f-v*~R$l@Pkn# ze)Ii>&3D9jKPJX_-yxR7AMHHekFfRUoQMb4pZWfT(HXyNIU)Gna)B89ZaG0L_g}j; z_|N%B@LLm|=MUQ_eGSIDUxq&sZI{_aXa1be`Si=@|D5n^cG2!R%ryUH&=$(&`QKFF7}b`InX$e+8|t@?-DcybI6EC!)TIyKuY^ z$Kt!v{L1DMuzo25%y&KZJruwZ}Zn8+6}XdPWv%?1LBG2 zoY@$^iJbSPUG(OE`JMpR|M>nuPPAQS7oGJ#t~1pa38@T_(8fIzP>=Do7qJt{kTrTc7y9DIni#IU3A(HuK%_?`^?^Zl8e@N0I_@!#qCjm3{BZQFoshb=QezPwH*`us4h!IexPDa4>E%yXcIc7>?^+)aCq9&~yDOo=^Jc zJbktY^Yzyh_-vTJ=XbH<`J{f{>TuulP7}j@&s#0}_s{VQ_q}rblJlKm{KEaO@qF6< zp6K^kG5W5e-vuX&!S8}4qVIpf?x=f8jJn;TuRr|Mpga6>G3X9IRrK|p-v8mx1iuSs ziqY>gqVJ#U?Ad3+`g_jrg!T7KbiO}EeZFr0#&De)`T?_x&iXyy)3p8@d7Tsemf1z8 z|5|uuyze{3aNq3z*#5-x$?{{*+5d@t7WJRsKeKhD86fI^Bhvf+8QVwB+q3-?ZQdSs z(VPF{Iz{bUcz!*(z+dB-cJW*3`p0!z?i=FzZBEpCvx_D5pX+|Ce>tBH^^WU*ET6C^ z)6d3_kHztSB%eoV7rpOybo%_ja@NWFKb$||ywU#dzqI_v{Ili1`1R0l+Wh^GaeZh0 zneneb9_o$A_b=?eB4JOaf2aM&B6eVi|H z75zRTM!y^vGali5(m(ep@x68KTgv$*9MdjdoA!UiNx|w^GW@( zi=yskG3dDekNS8%spmMkllO0y_XfYqH;KV7-@m8-cs}WW`L3vYSd6+|qS=%B6~_hL zigU!ETXCFNqJK2#Rt^!P?orX#^F5E+s?6U1$ayM`X&0USFDuWFeyeY(7<62BXZ+*&r2lm+==aPnI{n|8)xqzY)5PGH>(7jTJfHMGG_+6nept}+ zJ7UxgjqO)FpVad^X&iU*`)N6!#xd=pGyWWQQS=+zzhO5A|NI_1;}Op%{SS}r*YMbW z4ab}hb;D!(70;*jyMq7WvHcqUaMZ{4Yj`-H)Q^bm*NE7DjW{RhN5u9ko=@u^4gN>Q z_G{#jsDD(n^GW^4*#3-+?a#>TgKlJOf8zP1zPmg4?~d&czoWyGVDJfGCt`sJRu zeknd1*Dpn9|7dq?zq(`l)qQ{TAKR~ZKIwndh7kWzvHco_XDP;iRBXTE`J|rxXY1bm z-@iO6wqHH5{o?ok%ztdZ;`yY1j{i~hZT=`H#$9F?F_cM-zk1FN_umuSzn*J@|DLl& zJD=3gL^rNEctn09eqI{uT_q21#+_YDPNJZE-s zZCXD%w%?;;`#pMDxbM-i{f_68_doi&kba|M`#t);sE_S;JfGB$iS6&0*#3?=E$GLr z7X9=evnTi;^Q;(ks7owI@qE(%*wce<>{VjWjXhm7ds>gve106aK#aP*qOa#X1>f7+ z-~H#~c0|ATh`}%4zvunO^U3&+UlMfVPZoo2{1VaZY5ne~drFMD-J-9z?>wNaO!@}O zyNEJmcF|eCv-yK7;{1Vldze4q`vdWOGX5hmM#wNvXWu^;{}#uzi_ZE@@2TOwdoLHm zefOR!`u9KM(a`VD98%!ZSP!OMbo&3^XM*1eGsWol8PWGY`J{fv z)o3^8Aicj>;LC7KyErF(|1%dN9QurzCl-jZV|LLQe|EZlHu0`_-%p6~zV8yvp1gmX ze@9uf^@m7)_l|ba`~F?0@4v8q)`o`qn{#ETxBRYpJfDm|zca(%&0G7u0)HO9KT{X) zOXnZoe`>uMvS&_BPwk`sQ-E;{~sf82M<_y2O@dS(}$_HWWj zA>SumB8Ggo{dXw;@q9Ay5dU~S>3_=f zpqp~M81z%7i)K&j?})m`#HeHY2me#T`J{g82|+jY0x{^Oo*ee@B4AlzyE0$McvI}(AoDB zkpA&}GX19a2Ho^cV$k!wQs!SgpVY6NjCzZ@yY|=u_u!az@y2xhpS~;leOQcscZp_C z`k!%J(9JkU3_9-LWBlX!wEoecn>j>`I<)1c59gEmnde8{^3<oF zh_{6PgZlvE`J{eUcknxFjTrpS>K4tO*54F$_lr??lj!SbZwR{CXNW<^{g=G|cs}WW z_CrB8rzS?-L!#M}dd@R-or`%UJon_>$$2Lni|3}xUoZPlJU{e4SRnc?vy0CDZN4XG zelgdOlYVIzOZ@Zwar)2s_TZo2uV6f2Pu@S@v!h>r|28N5nq4gM&vk417rAb2ej~m0 zYimEx4&`@$-XG;Y&E`_n)42t{ChXsAic6jQ-y80G-GTyn-?WR){ZD!l;p*roCl{ zPXEJw0`$xM13BT>?4sk})~WFP!}nkL9Aw0EkJ&}%`RC}}(eG0Q(l70zSFJ?9Dd-CE8cn(eXcR1=0!q-?Z1ZSx3J| zyNL72_M7ji(eDvgT?4sj;EBs_X$9e_)i?yjZhFyd`>3{0ui08i{zCCU7yMwfg z-uDN$ARObp75bcvH|=6s{CC9oi}!^1XX1`@{P~`i`MtS7_%*xe_~$%-9sM`w|8t_> zHoNHb-&6hw~?NycM-p};Dndga+8Aw9NJQ0!*GE4a!Fa|_gC{nc%DeijNRc8Az9qz4zg!IQ*0+d${Cv*ld;WaxPQ-plvx}e2$Itf+(szS5$L|Pl4euXR`F=1T zTXsH+xMzvc@13IWf8(mCyITx8{{AA%F`m!1|K|LlYn~_uU30!@_PqYSsCz+-y8A?5 ze+1H=_WfhLZtnqHRDJ({>y!|0>k=`<+d4(`<8QqbbY0WLsC!BD^}J7W{}%7xi60I3 zZ|>iU=d<}|&*x8u=O4`T+B}lxeMQ)_`mW2O-*<|^@2<;4-~aBJLD#)W47%=_Vu^lx z)IB3c-FDH}_d6lz`duIfUB45=68-Z**MGbibya}`bTF&wYQ zvFLn%itBGI|Iw_!BFf$DqEr6O51{=c-sTMjB3`qLPW+wfxzC65PTcpCco&Xo7oGX1 z(K`|D{TOP_D)4%wpLX&6`TAq;k06}Ae<=PFj#2+w;`Mnw-(Tl*6ThFHhaku7oGBBIQ#A|^oc(Vdi(xwIG>H5^USO_oOez{yk-~oV*E?)f!~i}pZ#-f z>btQb&S(9f_b_y|`29)|<3_WK2$CB=T0W!RSpJKsH)a=|`or&ZGvE081BuKx+QpLm zvvJqSq5hg5idS^vr=PW}g8zwk2mhu(4B z1pUv|*k>`RK;*sIMQ8nKQWNcl>jiTQT!CZS#o_t;&#)VjZCWp)eEGKcG1yyI(}k3Vg9k+BqHC;E;{+g z_sp2?WvBy*@Z0R7<3HasqmJJ%N`%hrqNC?NU4DO>`*#!n3&*sJ&iALe&w~2~xc?#% z`v%M|I{OEXK;LP8qpwV)-?WRK|7ate_htW;_|edRasHS680^{cSHD3xe+#~MytKf_ za7?@CJpcVB==q%nrauw?XS%w?L4N)vovtIDSfcOi{O{ENUuV6IKek}q>~@s5csY(Q z#j&`>DgW!x?mvV2@?e4JPx{d=erE6KJAHqh-+5ra!|&H6qTeyQ==4AQoh|D4`&)_B z(JnfAYFPgnHWB@f*+r-S=@tFv7{9nR#xHKpm!HKiohY4HrtjJ^CGsUqruS*A<=q$HGY`N+*`- z(Qf)Q{e2ui#|=z>BI+IUmE#AaQ~%=m&h+RvEPfIFhFw>5`VR{yohY5SkL%fg_htQK z|Ji5x|Ju;+G~QPr`yJXvum9-U&uG*qw-DM*Ygkc*UDc+yR&i?o%Uzpq!XnR%k;`OQTZnB?fjG9qWl)i z{FhFYPTYs}v+fJyo>?yxxDDT5q+L8K-+%Kv-5ft1c1eNInO$`By;6Ua@1pWubn^eO zmxAB3rxi%QX%`*;`JHU0pT8fG2%XtQN6+sBQ#S|q%0%eQE_(XyQTI%N)X^?FdRu=% zyR-Ehacfw=Xo;Ki<=-3nCi0r`i!GFeT{jYTcl}8^v8Q_8|N1ihujcq!=b3)i)kM@g zvx`ps>kU2Y4dWMCf9$%EuxINZ!$~LhRG-qVd{DZ@y`276bNrmYV)||VM?}7xU3Bul zH}tGGj9+B^vFk>{o~?fjC!N?+eP5@)Pvgh@3FEi<50T|gyXcjFFX&lrj9;|!<8@j7 zAnf__59d45_jUUFH2ywr|F+KG$@>2w)Dw(*ZTuo)+-u_}(HZ|*IO#;`#4h+SMxxePSvcuL>BQe;J?Fnrhi(2##Iu0Se~HfgwS|*Tluq22 z^*<@pn>{Umv_GqNBJNvOuSDnm)#v%w8|hcRiE1}uN&Dft6Z0>T^RDoV>rX~!{xzQO zOpkhN@r$gtj9>KXFT+VEN+<4RJ@4OpTL1VvnS6#i%2@;kVgE$Nw2SqVDAasiR$V^fS@-Gya*lS0_SecG1)C z47x*S6-XWJqNC^Uv@+g9?=BEJvx|?g_BN{PTa@!Y2V5%As@JJ zj_FEtoN_`5{s`#X%kul4-hdHSFDZ^8eT_ys@zlTO!>PAt z*8apFhy3IBv#fnN-%pC?JJWaY{U3hkiTxhG|CES+&+MYp|MiL<c5D3 zV|LN2KbXI3T#2+}{w{Glj%gRK%%{K8_&e1fbYqxzJm{eUx8eIqw2RLCr~%q|I=yuJI(*z@Sne*V&CtLY)lRLFM7Y9!u0pK{;24` zh;fwpB_5UUzbu?|qIBZk)_*ekFJc^Neu>WalP#QdqIBY3)N}tr?ZaXJLgJTjOuP8u zeE#>fp1(8AexJWTorr$h?4r|uPdg{-t}PHcvx|<&)$DJ)pt7n==A&R-2cvWa{qkd8ssJI;@Eup z`&w`Lhv$wxeg5h+|GB@s_GRSP`wILXj%gRa?BriB=*`d`HOBkR*c{9^4F`TV!| z`&eH;A^4woLG)id!S_GubRFr$y{Vsoa=sn@ZY&V@RQ~=(O}yRlzZdlN5h4D>l_CDR zIKq!V>2w|G#J#OQJ^C+R75x`a&->5cIc%Yy;O`$MV%%zW(HXy5IE))jFJjzicF`F> zPJRIKz6If5EbvcoOuP6N$A5A_2NlUFFL;;c__w7{N2w(-&f!q9Mdj3zyHbjyGDoj6ORhKdhz9Df8gaO?ywz-}$Z|kNkS1!1HiS zyLfy)ehY{4H@%2*H@oPSKjV>3lumT?V?Pl59(zN9@Z0R7etUk! zeZqb}O#C?N1Ns&F{Uy>_f1HB4$LEJBsB4Maa7?@CJU{eC{jH;)v)_M;Y)r)QYtes1 z;(WIMWw=+p{?B9niFbwktBcO>XIcJ9CrT&o*ZcKP`6epgL?{2I9v#}<)H4f2yED7! zv_GyNaNd^Re^2}pj%gR2`P;tMbN>U^AGm))Y)lRNCq!@kV!s~whUY%ZKM~J;mM^07 z{Ab~$6QvXP>$B~j`v*8r$o&V2xDT6Mbe`Y#>kIj&d{n-P`*{9wo|@$^)(6M(kA&T= zKhlZ+xAnIE%leCcnCW1@%X%q#{jb&EsC(7w(QjJ&7twE8zKBl$Y2l<3r4#pIJ=Z_2 z-i~RL_1D%#Bfa|H*ZSXt{I~lK@;?#%rscor^q&@v{qCz-&vwW3i)eS2FQU`_ESz+r zbmHFDv;U?4k?gnWm+1B1=6?tJ(G$YHuhCdP;{Gqv_K(9Zdi%e6LvQ=P8Sim1{yzp8 zzj$2!{@dyPtv=Ud&5-*(`(dpxaXXG_7q8sM(~r3(+rKDcF3R#%bml)h&41Pt_M5D? ziRd@YE;{{Z+~3`2`@e1fAog$U>F>XFn*P4lk4GKnyyf_33dFpL*+pmmq|^QPtbg41 zzo+}RnSUHVvHpo1KUuy;dgG^kL7&=<+L79g=(L}{u0Nf|Z~c$@Em8fJSk`|@CrT&o z>-x>H{}#8#{#)FfzyGlPas7+$za%2vW*43Gce?&@AnudwKMutGGZFoU*+r-SIOJg5 zHgg!+`YZTe(AG8^GjR;Ni1XR`4}M>*`2gaB4~Z}0n0E02M~{4N{XW7Y z{}VrkW7@^v&+F+Ye|MPvCt^L_?4tAg!^|hH+cWSz}o{iI`qZqm60LT7f-(ewLv-2cq) z_a**q`2Ju`{8&EyrvFjYM{*x7?V`8;xUcn{?mwdcoqRu#-??i1Ilg!Ga)Eb+?_V`U zXZ>o@)1llak0_AkPPqHLi_ZQ7`p@z2o_;@c z@_Dh|VDAa9$^#D!7=UP>+|_%;o!6B z#fPI_boS5mhQ84i;!m6(;%|sudH=c3hdS>6NrcYqVu_ylX8VUk) zT5SZhiCb|@ySO>;f3N6oiSdh%#rQ>M{Auw^CrT&o*Ju0B--z<->-yWr@w5MD`%PRL z+7G{f!19INEq{LZ!Sv$gQ71azKd|ddCrT%l={xoRRj%i_o#mH^aXZU}<9DMoevjw- zR*&Zf^FNZ$4fIR&o*%gXvHs6t|8C+h!~Wg6_|N(JZ~G_Fer^A+i2I(|Md$vvUmwN| zzt;JOal@YO|F-h)RIhp`s@{ovyZ&|R|9<@**PoGi&f{~}$omW2hGW`A=lN;WvY;Dv zYJt$1UG(%jqVDAasiR$V^rLalr~jjIuTO-|?4qaN8FXW26-XWJqN5*kRn+16oAHk! zp)NUkbVdrWHsX?V_Xa zS|9GSU8fhg24hCr#j*MOC-+I$PQrfaGYkCFuz$KHo|MU$Z@~Cn<7RPd51-#q ze_yTR|JJB*-*2rda1H7N?V@x4?`wUh&tI+2g?_8`NP+0L%q}|p*M5B=-_VXMAC+%n zN&a#Ek-9`YOE6#g`z1za{?N{2{?GIx<~_|WI`g0L`klr9Ywkb4U(EbV{C>zke!rOc z1ABJ-7|-{azT@_feva#2?EeyR|6sqy^)KUQC;h#k=kGoioOGgeVwqm$E~?zcy z(uw=^`TiUA$L_nRe~G;B(k^=U-`>#kzRmbW-nV&O(Yt>$oOGge;(mR${73c+^EM+F z7Pt-b&$Nrq{7nnHoF;I~~N| ze`WBy|2qnV|7I7R`%kavao@1`Mcg;+x}tOcuyE3e(uw=^+5V?j$`AFgllsGPGxZ|J z&*oPo?5_XPi9OY`-FD*tetl>8$MFO6KM~^wmOsZIMrZsG&+pfFkbiZ2zmWN#I49(L zU39)*$ox+_T}L``FY5cD?lAs-s4Izx*X*JbKi98n*eA~S7Zb6M-t6LMoc`zGpzHri zfzb7*UEGKD!&irK=kU`C+=l(^w2RL8bNHh{H=J`<3ZmPo%a{0Zw(3h z#AQL>5{KmL|9<^%K>nfav;MTiD`NSJrsyy9MtpX*l4|3s`? zG5@)KWpviB;`z?>m;r z7X0V@7t;ZIw*4?3(;@yu>Sz}o{g9)BZpfJhLT7f-(?1z>LkAQ{9qpo{A9_yIU0Wb@ zW)~g(uwg+rYf4FA*hd6)CeMCmo1;(mR$ z{qGayr+Oo*-idp={wZEj@rrvpe$`u1^;X>1>#y=nRKAHN`Dg2{uVBoC@h|%UTX%i9 zKxh2R`pa>@>BP%J|H1KpJnz>36z`tKFP(^SyTvP(`7fO)ow&F4$~RH@COXegmQMLC z%5Ty0e`UPyVExzbKP2l7?V?wII@AwrhIj|gEfDdVU3B6f@CQLR;K~A_GrPFA_2@S& zei8kK#VeKrFDvzJ{Pv(Cj`hAuw@8d?N|BvVQ>-RAKc%Ndv^Zu2Hd^fx3(H}Kp7p)7Ncd&VO?L&PW~Y zqNC?LrRgw#nFyWPMMuy1TYLZPkpeO9HM{7HfA{MP`G#@q{`aSGA49*%dXeb#pB4`N ze_y@-&Gj4Bf3E)|qTZWbbn5?peIehJkIFZ(B>y=7!~9P~{b9b^`Y-9!9}9>2V|o$e zCbNsq_{qX`8h@vH`7O$Cac}y+9_5GUAKU*TZpAU};^zGQ&%#M3N+*`-QEx1Mk@bf0 zi(dUr}S|U^KiaP^iPDK>dgYs_d=vL}{>g7q zev4)POD9Sv?$>AgAKt$>@5%8`BIZ5KE;{p{tbcs(ll3E>eo^E#W*_?jo&Ych9K8LZx zYcLO*_?2iEkKe8S(|rGzy4vEv#*MYw8s=Lh{aLy$_(k$}E*D@-l8A5}8M5I&cRz4_Sluybx<)h^<^vY-DyUIcJ zMfJn#4eE*NPfTAi{gc}HhrD`i_}lokHoI4a|BDDy)bH}Xcx_o2XVp$Ea2xcri)T6d z9Z~mkfz;71I{NySpsQbAAarIIJ^jw0Ys@N;I@(1?-?%F3?k*5Ivx|0 z>H?uNyXffoULXDD`+kY^n|9Ihzw5H7!~N3qBy?sM9X;1OIN!wel0^L9?BeEp`uFRR zZ@0w!6CaEDCpz;DmVeTT(uw=^+5Wp%>YwsmRKAOQJO5wB?Qg_a&{yFeIO2x|;$CQW z(b+Fy;RZ#$xHRg;LHYh`pNL<6i}G76^ItkqI?>Uy{cxWy`~O7f%r2JcQGTWuQEsLe zo$|AA(uvZEW%^G2e--O{rTwVhiK=&^Q-5r~NhiM-jQ*pBXEOF5iC+rszb1azZ~sZB z>qsZ=P5r^}yOZ>HsAv0Q{I*|4#P2klU37l0xexTa%r}jE6weCp#qSE<)n*s=nbR)f zd~y7+i+pscF8Mc~@l((EBTWap=;;p`2HjJ&+H}-^v4K8?b`kch|I;6Z?)qA7 z2GT4xejnF^U4%WWKkzc>;P-@c+vGea?V{)Z*qsRXBm6$?Gi`Q#3-i6Oi#VT+pW!&q zOucCH#Jn!@G@j4uXPkk0H?3Bid19MgV~`)Pi#VUvPuPxng8Al2h)ZO?(JsR7>e+6o z7ujy97rpj7^cKW}{%_dTZL;5^UG)0DLzf}n&{k(555xxIr(J|S>p$Bm`%kuCk^Ls^ zqSt?}MO)?lZ{3JC>v(^Ob`j^Z@gFrG@%#Fzu8Eq z#f$Wd7BBOKeuVR`p7)(O(2Kn9P$$Bk)sJC2`Jd=#rnTAKAHO#ayNL5yeZ3!aXutIr z+Uy>PW7tKn{m$Bgu;{N2+teoeJ=#T_&&JPi%|8lyu?zmwF2bJGPd%?@>um?s+vGYM z?V`8dX7_2NpZ9O(1IcvLE_&%_J!ikq`Y$rw)-EDp&-%}PhV_p9kH~sQyXe(Ft_wC_ z7uE~KF5FLP7h%uF-=FbAKY;N^Qb)V!>1~{aeB=0w`A0I}XcxWw8-7k`HzQ7Mlk1$c zi(dO>KgWKH{h!Ewi+0iLzt~S$ySuebYj?1VUi)J^seK%E|AjUihvOJ_5$Ch{Kbrj< z+V2?jekaoCh^#lXi(dWV za~Au3K7WaI+<$2oz4|-s$&l{h_qWM((=K}H=QzK4d>H?WEO**P*t7Y+?8e~t5tp|~ zziAge|2a-zx;cIjsiR%=^md;|{pbCk^`Gqe*Kq$Az4}i-S#I=SWVz8UdgaG)7W0kc zFOm61yXfWLz>A?nzd7j4Hra2|E_(eZ%bEGc@)zsh4dpL-`L}Qibbk$hm$%t?PuOoG z;(WIJcNahVU8|RoUi`K%=0|w`*@XO$wCAQRNI##WKyUnK`*NV;ejVyb8#mt9rl;q= zq&hlk?pG2yZ$Y~Vdole3i~9$r+>U%(2mQlsHtxVN>>|$R^>}tN{mM2?2fO$y`dyyC z?LLS8kM}?JgJc)lKkcH|{u}JSpyM;7NFD8>ryp=a&<$MMCUvxnp1%L~pc`;go7B-R zdis96&%pow=e9{5?V_h|T@`d)7qm$o?V_jWIEV3b{3B9lS-4} zJ^P6U!n6MnyZ$ZqACa&Z>)$T*XYkWOH{_ugsc(=(m)o9P#+vwVs4^cD~DpYb#QN#+~vqL+W>|J^}X zi)>7Z{zt-|EkCw1`pxzy(tm50k)Ho-XVkI%iPT%WjP&$f^O0`U|L*Z^vfk1zdi9s# z7%%lACjk`JBUY<9yXsZE_!;-)3Mw*eo3QFB*%TM*P=K6V>vNimYc}bFGQrZ!CvyXfgz z&lxZ4zet_6i%3t;_Q!s6@PIbie_FeY^!iVWA9{;Fl6s3j($lk?t=v%lqLmx$qE~*r zf75^7zeUDx_w`6Ge)`FH>A%Q$X&1ft$4CFU-5IP4z~yKyPnMcA|X$Ms*%dn{Pnrp+6{E_(AH+$X_# zx6voI$$2;0MQ{G?kYP2Ozvucf-#OD{0 z<0jfgZ~Vk{YCe0L5SDL%uycu1C)rFF4ebfGZ>;Jsg#`og_cj0lX zdsDS5WxbmE-;jh$%&QF>s$DDV)wsV$4!)V!TK_t1KB6>I*)oIdV{aiw>NLtaC9Z#vh`vRdx0OYwr)PAvnw@D zK#qkgRZCyir|yf9U#HL~)p`tF*sce~dEx%U&}M6kYNOe9*P*0puaKyfKL}~?!p}b+ zSI2rF*<4s2zPZ20r_T5a{6 z#?tGLorCL6!+062Qa|T!LHGkuzAqX}uRjXo{^r3L&;FsYH2l1kHEUlBQRmRkO2f~6 z3h8_T_5TgV((v<;kFB58YGnQV^6T@trG>HJj8(?c>o0)YtuLZ|oop-(zv?dJ%MY>M z3pV0=gU@No5%Lo(z5W>7F`E5Rz8j6D;TL`t;l7LYPP8$wH2hk=-+evmCmGkp zJ^v5K$tIpl4&Q1lz5Z-myNU0%ky>~5u74ofR`WIJANm^a8L^eztfx!RIRNOy(yxbh14|9b2nLb}1y>mPD6!lAw$c#W|%Jlj=sIENF) z((sGWueDA?c=mI5l>0OPeDt@!9mX52W#!=)9*gU~jP?RHeqJ7a)oT$Bb?2zxHJ19n z;9*>U7_R?LV`=y$--iEqUMA76mxiC$f*(84AA-#r%EOOdgYcJOKIIW(>Gj$Fa312o z<;K$Rwr}X)vEK*vq4DqK{tSCBD#ADLeEi;O_xH8v`_a$V*5UqDrN3Rb8rS~;!rx>p z^}qggfG~a|tK{eUH3)Yk_IrZ$PnBPP*=OPR z4e$r7f37_In75*wP$9|2^X1`J9SVPH7@vSu+6%)WUo5=7E9BLG_79D5VSECX=Rew3 zYXj~te`G8Tzjg=wKN#(o={UH;^-&*KKUp8Z((6z7pYR{|RI+|mdH8k1Liv(a%6A&_ zz6F2CD(!3B_Yn^HIrT%vQvVly3jU+bEaLd5Lj6HEUTdC%{0FO)@51@0|5qaXW5!Z{ z79-zne2C`&ur&P03xofohgS1{=s)7Rn8m6+Xe_-x`|6->g6qEr&yQf^Ps+pdzQXYdiSNgh`p@Un`u~IZqNj|d;aA~a z&Hi&G+6`D5e*Q;s-M3*q>q%p2_=UfP>+ZyO73`|eA9B1`9}%7xz|!k8AK6~WD(z+X zUs}IeBU|ykL$7}FJ)y?PP=CNuf97E>koVK&?=zN$Uw#+z<%0+hR_V{Dp)Pc-4fAPW z>Gc=<8}jG7@PCJ~H2he!ljd`{|AO@j^9fv+IKhaov{aLsdu|3Y4Yb*^v7xxR!hcG-?8or5k zQbXPwE6m4EL)~G2G6Q8?r9YW@Ez0RQtY3pw=3_DEP^*6e*XDium*xKRJAzG=mwiw0 zo(kb{pJ<+o`PJi%rTH`YyQptV@x21D%Kc^17eaWlO8CjVzo3sJtIW4^Tuy(;D%YQJ z9>QVlIg|IvmF4*}>44}zSmpZWKb{xN{~wlL|Ih^}Ta4#tVg9Ph^O3D*p)VQR4Sy=E zU-0@(jQ4nbu(W);FAd=v&sGaR@jBE?+#@Gryi{fWa1?^!zE~rh@jV;w{=)emh9|4s z-$$Mw{O{MVn*ReAqI|!NIFJUgG=CQV6po{=8Q)SKe)ZqO@29X{12*D&xn6p09}DWk z%#T#74=Y%{4a^sT^^?l|S=1ll(3g|VS>@qv9Sid_Oa8N3e?I!_@EbSZ(G{PkSPvR& zSRblgzxE&S8{>1b{+)9F=@07<*{m?$IO3hSF797PytA4=BiY{~y=49Ta)0K&8{xl! z@jSV@JpAI%qF#I((rSB0Kd_Hl2{ii4Zq>LNbmPJKUuB3IA6r_<$MuXdi?`d!yjCK`~}9+ z@Y9Cjx}V2>yk8ni!%xIG4E;iF@~Ou1@aTUUBd{I~*1u8iKkq{gJmZn||0)l^{xt}X zzI?-1jivr@{Kok=j^C=xzm2;K;hw|#8d&9dWb%EeH>k_@y`;F4Ww-t3kzxPI5h$S|Fy~22S(!B_`9{VLg^g-VBCvrc`2Il+d$Q6j^LpZK5?=|s! zD-2V zBG;7rbKsZZ_Y+u00--DQpZQulJLYSJd6e~EKzOv{^z`&U4L=QK%Xvz&$~-04Vc1V_UKuRC{-h1? z8|BM(S*5&~|6E5Re`Q`o?-z%CWRpWX9)Bdlp)Vq9aVKJI_qz?x@fgF~xU53>MQFba zPgc1;pQjj}tP+0Rk`SJ(5`Gr)lh-G!gy;9+8J?^X{(!+DJXt0Ds-{apx8 zRtZ1$J0Uz-CH&NrLU^)Dcs-zB_~`vEQQD-gn!+7NQ>T`ebw0b)?;fpO17lF2?<$VtVNZ{H~FWU7rhg zd;L{s2Y<+4N$+m=r4EJPjlaY=9b*ZwnBLunAMq_*|9ZUF09JYKWH|0q81b!Y_m>ez zpx+#e@L=tO<^GI(X9!PL3D5V*TCaq48?ZFJUH^&eV&2PGVcx5CR|s#c5Wedz@E>JB zR++~d_%QMx&%uMoPoCC&rQLwQx12kQP?loOuA z2E1r2z5etsq8=Z#8?`BKISdz_aQv` zbg(o$-+Qm)el!&K0kAau@V|r~=%0uGWwri<`|%o>yC7?Km0!Q{R`~H2Xx@tJSGd0q z{Wij*e;}*$4=gYCKV<8ZyZE!)@z_CVD;yt^zcL=%ZTP7_4dKZu;U~00c(TfPb;Bn@ zc(S(ZywPs`;dMFwB&&=+H_i#yC#zh)r_Vd%&JNcntK`p=dqa4#x$C}<-KLl0a+a5k z(|4U0+--Q9Pe6FGia+dcd3~}<_?aIJ;mIoD*S|f4Cu{MoJ8yoVr{Q-g-wM}XePr;5 ztm6OLRtQg43BMxd4_PJr>VJv;fK|e8yf=g=tK{b#q_c4w=INQmLl^$tvN89TLKmRl<*s*C(rl?~dh5RtZ1rJHa2a zN_hTWA=@umCH&Y8Av{?nJlDT?eX>gU4W9_%$twNrq+f>cWR>f${Ob^&tP;Na$Pk{a z5`Omep}mk*+RLQ3NB_Yp*YD~4VeJRu`ec>sA9i*KPgV(k=;#ohtP&pgT&oXcmGJXE z9m11U!q;9G!jo0PPni?KlU44gOaBhxF}Fn4)|dB(^OuC{lU4j_#QY?yggk|4&v4-|yWaJXs|?=cy6S z=BpdK?(5m@`1Xh|hU=46u7AMwAv{?n{K$BHvP$@#-oN;MF7uPDa{ZALLwK@E_z@R{ z@MM+n!+#XQlU2eGIVprED~11Q3=dWbKlt7do~#mnz>*N2tQ7v6F+5l$eE&~}@MM+n zU4uh-vQqf#V|cJi_}1AWJXs}tPy2_Sj<{wv_RknoS$UCFuHVz=$DZcrpicyU$SVHybi6t{wqLTj>w4dA??yuTkKYd~dPgV)v)9WAnqi}t)%JqBdPfyqDXT}ld94thtdia%Vt++e34avQ%kcwQB|P(o z;mIof`GU8H@MN=DK0mPhbs;=i#h*DxhVWz+e|kC}e)x~V^~p;9{7du)tm4naB_TXn z<@(G=?k^&%gkS&5aDB4M{NWtnzhA93v8z#gFTSidxi+M> zyfy*+#*u2N? zhk4u$6N%mQW*1?%Rm|P#`L!ObO4laV3w#H5XVEUkRqoyC58x`}KVbLo69xVhtK+nb z|B#P=<6}tJCHR%BHx`H$ShI`q?d;vfKk)?kIS>A0he_gFaZI}ycUA9Jk6Mmh%e6_^ z(UOR_)F;v|uF3n)UGe<7@%n{r*6%?_&cUed|kl4svzQgHhzSxb-xSc_t^sfJFPet z-<8*QZ$dfELRjoDNW2%vw2SfWrQMa^xbv_}Wg6ZxxV6ph890Vr#QCiMgGNAyyc@*p zL~{2L?IP@1{e*u)zG3x$;>!i1=P|qZjlAA=Cwv~g+d}9gt^84cMA)Pa7 zvvF{!3nI>E_4X@!-(&s5?g%6Nn2bOEGn&1qpBTSpYVD7|OBkYx^E6)@No7u%H^ZNP(r2BOIVMQU4c|p5)dR{;8Vfc9x`u|r7{8Jp$E}oRv zFTMidreHVyR|`a6V0LjzUO$)p>}gotyP!Z^)9m7DdA-H+ff&DdLyTYiKwf{;deqzB zMSVjaCjJ?YX%~MtuOGA+;ci8HKe@oiaZI~-YhK@P5Xz~6uZk}%a1M@X7aMtf_gSc? zpFw>=8&3Q>j%gP^lh-eqjdFVmyPJ-0vkP(4F5-N){*OhTMhWjLl?jBn@l zhg@GkX#*f4~<6mRV=FYtC8(=J|`kAK0ZkWX(w|M#^5{|Lvli*Ly5hd&zP9Z@e3 z@tR$9;%7VKTl#E&iGPe^+QnUe<#?C$4}2P#(u(&BaUNzTX%}00|A(TFu3@Y(^tm=| z_ZI9T&S%SSAoJ<>5g+qU{1}dD7k@vmXFX^AW&KY?y*0b&)Zb3?&-7nF+{}LwUj;C` z_=UXxy`kq!JL6A0JjBmkg&b(=RnlC#&u!$NaBsCf3%C&?GnHAf5+#S z1wRXVBksdX*Woy_yI-xg<_@%@NM7$~l!w^;9PaHO!TCtqN8T0A8$X9#-e=-igrDQT zg<}!%OnN(xMcAi*F`PHzdfcVP>l$%B`dh3IN{@{vmJLVVKacy*vu*M#6ts&tU)1mN zJTUTDggZ3urjEqdXKJ*IIG@!IxeWE_X8dZ>-EFr12FI|AIG@!Ior!QGP@X5Y$+yyJ z7jZtTAFvZTq?cb?5W8N$?tj=t*z@`=!S4ZAx7mgMc>wI9=YQ))#Cs+FFm@BWugCvk z7h%uF--Y`Lb^P*-NFD8>t6v@b?n1p|Igm_u7wn?vfBzkb7x^~;_cM_?+C?w_`Y#Ld z_Q#lv@srFq+C?w^!KVb>;LF=&y`f!n^)DgZ2-LS(ZL;1BhF!$@Z2k|rFX#rn+$MFj zi=MvI@^1|b>29rUlle}&=%s(e6of^)9kHoRwp-doul;tK{(jdWUeup{54B0ZX&1fv zV{dc7e|`m!=^*Jh?V{`d{E%;hPHmI*W)SS6mw)3fK|Is&{LcC!UWQ}ZMdx{b;^XLd z{|o&(+P~O11kdNNi~2f3e>CaBuUlCEZEwpUz5GgTBeMB%91lWzB4N+gU;B#0{h0qc z6Z%Mg1%Y-E_N?B%G7jDNYlEJoj&{-0j~j-3Mp=(r+h*6XIEG!s`E30BA}IU4=1py~ z-=kgh`oDo!K{o>ZKhhww-O?_?o{fLSm>kH9hPBF<;a@2G>J`x}gZE^m{+ia@)F^I3i4 z=#cKlxoxuE(Jp%FKkOe77GuvjuN3$fczcp|(Rm(Xzr*>A1DFru6=*-Si_Uz;+J{l@ zGco^$_!Bqbn09gIF6rOpdGbK?Gp+TQe_vYQ={Tlcbe;!ReGd5s{i;U_gwE`uqvw1~ z^PVstllWYik7554&lk&Y7ymm=|3SZlbfWwY`cQ!%!!hlmQ-13|g>YZNeEio6MEx_n z_?3MAuU(0LX9&vc9R)7KG3}x=AHwuE9|+~2_+lvkruaZU{*7-#J3kBa_a7;68;)rg z&&umL=g;}bCi@I=JC11=cOC!kQhrNN_M8t~g0fCL2FJ9E&U_%BTkF3ao@Wy;3eU53 z@wfB-uec5U)Q9nvvnLAt5{_vX<6FV;{=JL;2VMt1*Q32WSm5b6rd@RE|CsM1pD`aW zc1VGk2Qa(n%m*<2ji*EUMfTzLJRb>rw*3tI3F1XtA3mwTrMQ34E)Meb_}Z}P6SoF^ zON?L9*?{@?84`}6VF zQBUj-^(V3T|8PF*Kj%~G_v3G4fyjHai@T2Bc1gd@$8<2C)M@)+{@33V>Te?MduA8k zlh3~;_n=@qX#cBDfgWS8RhJa_HXPF~E-#56b6?^^m5x>+|0(?SI6<=sbUf=l$2W z$$5O*MQ=Xe)?I!U;%`JYr^fgrVb9jz;g=%agV6uqULfupW*43N$6=p?pI2kN{78ZK z;Fxyt>U{i@A3!*i)8rQm{0fd~7cbB2t^Pb3>rZ4CZb-C?tw-~E>*qS?|ET9W1n>We z{}%dBeyup3&*uLTn~~1nMtjA*Ht`}H(=IyWp9M{XL*6Zz+a`Z)oOTiCv++;*6m+OJ zlfKqw1NDY>5$Ch|Q5Qmoc0cOo0@3cxE;{Ys?vtlOyAgllRrvn|{E4UMT^q-FLi+J|6 z>x!Q)iC;QVI_wv~e8PB%kH}I}wF92FK$>vuDeX z^Gw`N!1<@dd13yE`w8OttbXGyG2X|-7%$RdeuVQ`J?A-^7{74-Lu`!=^B*GYS^eTC z5fAQvO9m8(`<~fF=l;k0YZvY__WUjWINW!;L}xvH$vvodk0So(+T^%_cF`L@u${HO z9NJ&v_d@$?iC@mApYwFhr^Ec6$jq|&yGYox`N!uB?x*2?fW+Iw^9T3S#PeDGx<5cV zk@f4)1`_eyZgvq#ge$v!eqS{T;#={&wyHqniP^A9DUJ zp3mmr`X=JN5aH$)cr%V^7cX@D{{`Y5g!VGMz@_k?cF`HXAABj|c{{#VdV7H%#WC&T z+w<|$&*mAy|HP|<|4s3XyuQ=<&$-v3uSPoOK3L!>IHp}(nvdW1J)-^Een=7R*6gCw ze#fKin{PtIs=BXE)Tj1Z~n0E1Fd3~qz&(ohpIivl|7*inH zjoC$~{a8A0iRl*~i|H4g``?Dei1#aK?A|9?Y zE=2r^t8q-bI3}O})=#6Y+4@Ul7uq82V(S-q{nVqweS7Me1yXee+jJ*%_UJ)a+&cZd0(MD)pK7w^vNS8PGNw_&~O@&cd0G40}QdHo`m z-vbygu>8aqaZJ1DJU?@ujpJvVzm4R$nRd||KQCVf{c_A-pIYGCa7?@C%>S>u1N9Wo zsq3C9@Xv5eyXfraw|V+&!uYu<-XF%zP0<jM6 z|A?qNW*43P6SM9^J;VCVtQXqkyglurH-Aq*Iew=9iA#h396!hN+59_vHS%eCt#&wk zPdpaKw2Ra8`PXUxF$H;V{V(!5k^L_1qSOCc{rjy@e_G-nhI-Qye=G05J*S<8einU& z^}i(hUGyc1XXW)XZ$rKLI`&JWuS&$Y&FrExew&2yt8c)3HOepXJvgRa+>nod{nKb4 z=zBJdDDYB@A7~eM-T$=9{nN$?h>Q21mIzzs{IcwWAwz*RV=T^yD7-^QQU z#PO$ie;9wZM5q6<_kliy@!s+9Uqsz8yZE7e{Csb#xf$c_3kuwdW7@^dd3~qrPjj{- z9?Uz>d8Rlz-`-x61Z^|vlD{t8Hcbg94kK9}`h7oxv- z1@%X~8OIOfSajwuhW!Nb58CtnE9~dE_iqaNzr^c8|Ht<>;`wa<&3U5wAqe-80^fjR z+Qmci<;QuFI?~Sh6Oqp?w2QE39?B;Y|hJg=5;qKhMX{_kbIp#CVbUFW!q|+C^vmZ^Ff)-cPu-z>Dxc zH0`2O|5tt=;XaK0MnekxQmtlo(b@lH>m-ZN-nvkJ;z@D+L|l|lKc91~-mNW=^^SJ& z+`OLSEVg@&zY>vdvx`pp7d#zw3wi&-b&SxNU3BzE&JXkcN1iC+I%ANZ^F^~~>mQ&0 zYgb~u0_`VpJC11=o%NF=?~C!iAjWv_6aDzv&v4$6{ZHc6q5t9hV?3YrfBH2jC)B;^ z_ZNt9v)M&w{JiRv;Pe)644&bE;`TO_WXrDz@EQE z^aW-Yo&7`0FGRi_f#)ss)rr4{W7@?d^7WVZ8O|T@{*ws5%`Q6rbDp~XH}H3Qf!{&@ zLA$u?{_kDJUq`J+ytvQteeT5n#4+vSKj!`CJ|8}JaQ{%^6&SzJE;`R2t3HQ(LLIXG z(<17U*+p;sbq?Y|J}tSnz`JlvyXf@42OyoCcRm2=Py8T`X&0UOXSNS}ZbbV@d_1%p zdwvY(v-Nk?OQGH$HBH2ISnppF&7Re-ML*AcUyC-FhX|{edXpG*t7nR4&+E5G-7{j;Z5Mq#_t|jV#r-#lD{xG^STg>qGyhP2iOe^Y zTU~VWkM|j_Kk)vO__yKy!}W)FKAV2tXBz)2+;>F&Iu7k3>{&#(2^GS%21q^I8AbUL19|ib2QvOMN__)$=~n z^$*E) zyibWSUeqh5Kc3IVzy8>uTYs(?bnA~5&7ReB{-O&(_jLaDpr3?s^TCq}M0uE9bjHu? zpALR+7$HW#QU96#c;59t&AaTu{0qnFoVVrpJ@Lgbe&_scJfDq!{vQP0{3{ED&g`Ny z|GVM5nC_dzknRoei|LQ&v+;AB$n`&t9}|BV#*bY8i|4a?-e>t83*LVd(Vv-Jbl(3t z=tiXbL6rAH1-^u1+C^voGxy)}zH9q`McjAIE;{$$PS;O6U4NM!@1L{d{gdN3mK(=! zfmW{B{Ga_e^8ISex1bRw-UB`DqO<-rC*FVO#QQJTp%^dMp91~(=WGx4Zce=aavsQZ z+eKeLH{O5d#`_QFv8d<#RbYue-aqEW`v=F7ri=HFxh40HdGY=+FWx`+9s>1z{~*wh zfBqCZUz;EM|M|xR-Tc`9$Mf0pv-J;*75DUeBJ*SaKR@>W_8bfU?fKQ{`_FZ*+W!vg zUt;~xu>K{&p7no0ynif+_Ydx)V7_txM4%skzw6NN+=BOg(FY_xhGW`AXZ>P9?Ee?W z{@Ua6YT=bpIXoHKd#EFA?cByXd5!&*^nY`TU-Ub!)SWs2U^i*MB$jw-yiD zpT!@^c1OGDwLh-Ya{Z0#w<6cwXcxWpx5YG7e5)|T{1w7@jfZ~@vpoJ8M_?wjdvILHXPF~I_uZ=p4J-V7wT$Ld{=1ymEPYv zC;EM@82sk^0qgIQa6X%Ut{>I^GOQmZej}_O@jI3Ad{#eh6!a)-+kYaWE|^_hme2pC z!-C&Smy5ygrNczCXXEF4y8I5^f#|;z;fL8p=l$!YH^z7$5@WnKiskXwanEM_i5UAZ z{<`SQAM*LDll^ZTXEI)nKNAtJ*+nOQJ|}bDn9t9N7vY$8(V0Ky__cwtANM~d&c`wB zVpqQWIlk{2hjIQ#3S1k;{as@GRn^_~U!AUB9=k*m z^I89oxF+iE7o!e+vFXG4tbX~jpj&>b7<9aUP#@3d^*f^OWije@h-S~~S8NHo6_<-a z$Nf``Kc3I(ZT$0t(0?}~n?qy&9SM6@KXNnT`4PU?wzW;Z_es0xz5luJ0kj+R;R|0Z za4C*y7oGjzTxX--Tz?bkKkcIDzs)nE?%MpLh_W-g==8q_qn&Zy>tKvuMb3NCE_(A{ z+$YQRH}0QJMBi(6(OG}vI!Ocbgj_#Kd<%|g7w6^6kLgV7-WP^^Xx$vrpXjWAA2tf{ zAm0vKRUq=s?4px@oabl1%lZFA^t)yko&I+Ps{Ky(Gqc24?{|u3&(>eA-?RSm`x7GT zE$yOLf35$Xg>lO9$VYKAj%VXooR!c2l~+Z-?-qmK9DlL>#`9VKr~CqbUXJ=Qy}++v z{g!s|@_hWh zz<7U1f&T_6?cx{m@y|I6{=b6x)@^Na-xBR2&S&GFct`a6DKYwehiLY!p7n(5AFMx# ze;Dcy_n*e|S^WW*!Ozohzq_-*D{xG^=&XP8{WaFp^=NBi{a_r!F2bITf6}ob-ILB0 zL%Js&EBfhYJpAqe<4@ca;^+4V;`x01PltFXj}T+LPm6y1lh2E~o5Y~A{U@k@@q9M^ zj{Bbu(?4Zg@O#QyG5F7Q5w@RrKI=c%iE5t@>qm*-4(mrX(fNK$r|VB#=dk^&DC?Qx99Yq#pn0P=G5@~E_&aOVL!=vd-k8A&D*nI#XMp> zpN*gU%s`7faed*G<{}5?>7Mj_apvf3Roc zw{hxIar_#|=P}yF)>9?=Sy3-;4*DwNU)H})zTas3^sWr^FMG0o&&v0&V)={TjO8vm z^Vjshfxe3Cw<5oPXX%QBJ)8fX-an=u9oo&*GeuOsG2!_!&~HB$|Ml_yCH_;qZ;99E z{h#_|hdak>2{XX#?9Mdj3>#v=TU-+ELev8kqiRib?E;{|!v~yy* zuN6bOr(x{G{Ez3ewMVvxa-tGE}jZb%=pZOa66*(T^_*Hbq&j;NY{eDP{e%~mT`2RQ@dpqto zm_tbXXjs4J`~O_Whdt~6!K*{O2cIT}csYN=@{8y5`bUHAkh&OkkBVl`>JK?1>aG@p z?vOJ?U(a!J`tIR-!Z^DA7jT_+(fR)2^nM}U=?ldW@AQ76AOG}gqV9e%>aG!e{fuQn zH{(<>=w>VvOY}RU?qxCRP#0N#Gs5|7{_{P9`qG-ce~@?zj%gR2?=Q^U65^eCxftS| zxkWU4HvXA7z4O^x?a*0b)a?{~{h?Py-Q8l)9eS1M>u1dmx>+ZRK{so@=<8R$7U4dO zd8+pn_$3_EE`B)Q{$|}5<9$Jl@!lu;@gH_d&>eP(7<7lB>{$Nsd^Z0NdnxEPdZ&c>$)uDU)P;tNPpL5qS>?Q=kIQD+|1wON_-K= zw2RL8xtk66SMPJ|VgrMtpff#iCP7r-P@6+|qh5L8n zBjNsC7eAM8zx|#M@%A4t#(19>{rLM|9Cf#fLD&Cc(bo@{5_AKO5rb~P6w%jP{lmS& z)^A0OTg)yxKHtgr!v;Pb;vFQFn{z z>xUd2bVJS*gKo&tVu}9Apc^_sjJhX9UvJL|Nb8=?e-Aw;#(S+8;vI_eXZ?xiv-vk{ zSkMhyE(YDOVWQcy`c-&->soFi%aADg?M|Izu@=x;`wa)hu#A}KZbmMuE4*=G3}zWel>h`NcZs5#E|act3^Nk zQ&G>j|9#qk0$;-X2kqj6`Sv&b(Gc&5x)|eqRP^JYcn8A$Hr6>Xcb0e&j%gR2^%wRN z_`a6)A0ofIMZ4&Ie`~}U(eJCp;P;3#MBo3ZSD@cI1o`#V0^fjR+Qmci`8RDg!d;F0 zKfXYez1c-){$^yq;P=RdV(@!pKhgK!))!FLw*C;w?-tQ6ww}!UZ{PXYf%UEz5njZ7 z-|V7u|7ZKC4-D-m5#OUVyErf(KY!ujlVvFn<32MIz!gyXeHvbawLo=*Vkgx!*6wa=%9O%YW3epc{3n7<8kSiN3zm z@Bfe55#xPXjPar_@%|IfXZugiU)J!l5PoYb4HR^`p0hct>9@hImJB5zU^} zcRGJ(<-b1E|1^((dZ_leKL)`uXzRRyB#%`Q66ucMJYJD){S%@Sk2 z?G*j|8*^3E-7N+kf4_z0AJ1p=f9(9A8+)P{bYtg>X3y$ZppG=qc2{gH5OvS&;tl!y zAA4Vn_XRP=d!OjXKkk&E8+VBqbmLADOY|=V-2v0YsC!BD_16Di82f+m=FtCh|5`ks z&A$UKi}BtmhIqOD&hm@rv-Y?eO=x0x|DDjdszQ|3CcE5bv^jfsB`S(TRT??tgWR|HnO2;Nv)^U3B)Z zbDuNQz3l1&5wF?BlKA=lCgV@Udz*}(-%l_)?{9LSG~?&@qY@FX*~OCh`MWKQUu;f| z@kV;<@7Dh#4{ZHfL|&L(JR{$Kai3#r0miG-3q*Z1ySN~)KLXo>s{QOQ4 z{pR-v6QMJ^=;%34>H1$NFX+YYLvYONM#7$Lzx+-N<2`CxfsB`S(TRU9@`=ySbCG|E zxObUdbe^C2ofwP%&H@py*+nP*4U3WPapCzRacy}1;QrHiKAV1izrw!T@{R(p!2WOA zMQ8sKzf(j1S8pm1ew$r%{I~UsV{yOu8sZbr#qpAI)Smys#(SPyo;D1fL zD)0Z=i(|aE7KnJwE;{jZouGk@eq29DoP%T9#YR5FDUw*$jk@3bZ*ytIo>{G4ZKd=~xvssjHR$Fz%|&BxF8 zJh)Gi?|&q24ex(c`Tg2X`#*l?#?pOLfk?O6MJN3m#|7QSwFN?FcG1({5_OLiNFD8> zqv!t3^xgx;kMZ@=dDIz+?041j%gR2`K!@85$^pcZ;Trf zuSfc67vG=HzfRv@;rrtp|MI(UiMW@WU3A8uV|f34C;aFASNu4RX&2v__n+bF$Uo{6 zk#A-fo&4iIYkv2d`>zv!5685N&iAkR{J`(`aQ-Cmhd8EPbbh~%>x}iYF<-i|z|Y{A zcJb_d`dNPb-BrFnEAn?&tz08v&ySxTKt7}VZ2yLca^w6(mEUh+IHsHWM5Np7qLcnk z^-JzSypQ62x#!yKs^b`T5$Ch%pZ73yweb6Gi5Pd9T||%(`0I}<{;R)#Vfi#J=AXDW z=AY=if6jS&wj0jhCnEpNE;{X})A*^cT^0OKygT?`W&VfXIi%nG?qMSRrd@RW=W~8~ zZ}YS;4^Y1%JpU&;^Pd|QqhOlw_v8ZS;+S@^nJ+(n=dk-M_xHE#_XcgemGCWXKv0PC0-ilkNEpV@qD)a+CJ?aA%5=PvVGhy zhxqyaSv;TBGoNkW*U1G!XLfN%KL0Ho@{i?b{Sb+KGrQ>I--MOOw`=gc`i=tc$1&}q zvwySG-yh=doOHANA1e@kn_YDL=Q=yr54rxHxGb!{H$-Rskl#sU{EN>l5b>H_bmC_| z^IaKhKjI}J|M@OWJfAIp`_9nM!uXBr*EWuu8pm&u-ugA?xf*!Sj`LrM|A1rK#lOhc zAMT$@@9lpc{l+6W#=4=|MQ8oc#=q;a-u58!K|CGD*Wp-n*53~L3Hq2&P-o7qJt{~F&%J=u)0 z*N_6Y;+nLJoAdeKslMNJ$Ty4=`#o6T*TeUVYvO0}@%I}9KhcNvTUsFcGP8@$^G~nn zk$)Dyh$fr@xQm=XWZY|B3iN^OfHZG!F9XPtxf+(upPdzOH|r z`v2>!xAD&wte@SE@)j@0@ufHxw>ahBY5&uqp7UItyuZ)y{PX_B@BSxl!!hlmbN}M+ zL{Z1@{wGpLyXfetVf|;=MD)967oGmMSM=yNEq-xpj9+y6Pm5nVQ97|q-`DByR&wHnI8Ry#V?}Yuz6QvXPaXtI* zzHEQ&Kl?2IUmM0v+`qwmFtXm#E_(I17xZc02-<<|BVoPAb*=uBPW|WaJh2bw?>;4B zEMRug89(xOo~YyRJ|#kDcG1yyy8p%WgJQZxwi~8f^x6-@NheAtmgrNt{~DG*-}9&c zeE&ZY_15g7Q-6Cy&-`cniO6?em*r=4@;{#MNT1TJd{DZ@y`26&k00X~D}Qlo$al^k zTKPK9Pw{+bdgZ&Qd>5VkAND(_C+PQveW*b6e`Xh*{?GhZyrSY2o%jc0o|yBm12KP` zhdgYs_d=vL}{>g7qev4)P zOD9Sv?!)?7_l0rKtQQL0hVM_(E}oTte&u%#Ic_=(Yn_SEnO$`BR?n1gqVi4Li}`oh zOTq8i(+Z^Dw2O}a{GC^(o4@;-2%XtQN6+t+Q^((JO@z+uqNm>;bctky!u*PaJ-dF+aMFoA)$_jBiU0fcd!B!+^UOci)x@o#E_3`A&u7cO zH}tGGj9+B^vFk>{?#3^j*i(H$ogaPN5Y=1e+(y`*i(I9r@v3*e{Cp#oA*Nb8(HqOi(dKnf}Z8ZcttBeUYF$$ z!k#bxaK0lw^S>|C-l@DLd7kIFn}&v- zX_}_zNiHg4LjZ#!2?j|-C140DB0+=vF#;kYkruf?2v-Rr5orU(B6!qIBYK zvYzW-gW~#^h`E5Re~HfeskxI*luq1}^*=|wQND}Hcd;!0QU7-J{rx^qzuggkrJJa9 z6HC&M>#t0|oLqNBxVZmhbk<+v{d#(oTk~IJxuyT2SAOYEI#D{Ym-TG-ceVWScV;-( zntgeJ+i^|1cv`yuwDODm+tu}RrgNR}4_XR6#_ogOTHp#?(=IyiAMDi^(oN~8bQAaV z^uyU7%YX5bnE#^l{*~pwbfR=(nO^B8D&551PCtcPRJg^m@JlC3C+@-eIsHPrHD_^w zXt&HRI_=k-E2Hkd0;!{2bo9HW{3zW;rMu{)|6G(Yw%>D4C=lT`yXb^}?t@YHQi0Ub zE;@St&K3QihrT)|bY>Sl{VPGY&-?=@1O7Wzt;H!j$7^giHN$ua>4Pd(HXzS`}On~clPD^m;Bs~!hvzG#Y=R? zzvfOlQ99Al?{gT|&YGz2?<;Ttu4xyY@9){~B#e%~pCGQm`=5^?|HRSh@h|;p-4y)K z39Z>h=lfIRM!}z9_}=L10$1Xic5ztRf4Xbm9P~LK5Bj!vb6P*>e&}z+c(_KAo!Dc&%Dt#^FP4;l{%*2`UzAQP(br8sem9B! z=froDm@fQ&meKisQoLVJukZed`tFbDeE)~vd1AZC??2^4yJ>dOX+L+19{Fbei^w;7 zuIS{Sxsy(mPAt*0{@M4hM6{cHF56F|(|*SLeX3{s$MEMwyT@>`{WLo5U%X#Wk9NoW z7twCob4929GtVNdS=(VcW+kM%0|qRPEkQvTU~ zTKGlj#1eho^vl0Hhjx_VV7tk5$?3G8<__(i=|r@9W*1A^KYllDSM~gUU3NS2@Y(`- zCWChI_H_RDwVwO5oIi5^HYetdW*43LW8Lrf?G8QXe@y?J%R~Be|AfznJz4+kKH_hB z5%D&==)`~2xoBt6evG=IK(t$C7oGO2uDDti)_E_xsk9Z(|>p9>!iPx zbCe$||02qb*+sAXVEwLnDdK)?fp_4VcJb15{OkH(S3mQ*uuAmV`dk(rSqTuH`buO zj42TPk=eyHy{!N9=)Z`5*!&lr@6Vb$=|t(o-q!yjhCi}7J%nHMe!s-R|614gb@{97 zzsjAcawqn7`IEn*{1qMld43`LcsRe1^RIDDyZG^R{qJi%f9IO*K7ao@C)#bZi%$DJ zE;{->IrT|M_1o2O&F9{Z0uKNt2No8sx|{5Suf4f`)yWMgdD z{}5qMj=$>a>yAI{JL_0?+12l_^ZYyG$@B9$*C8!w7ssaK-`9FeKg>IJb^cX1{dvAT zyAA2}z5;)MYud%zob=lb`o`$se@^rV^q=D*<7nUiT&L$qC-$O#Cic$Q?#*0TAlfan zi%$E+-+#!iL|h*)@IGAAE;`@e-7WfGM*kxlbAtb3_RDnooBw^RZyX)MpYxm;e(`8O z{JBogkxuMQ{Uqe`EeP-C0@0^7XcupB!oM5zjS<2BoU4NW4RM6;f3DMWq!W8ve@YC$ zcv%d;cuG3_{GG!#+6n&tVNT4u%q}|fFLQ@+pXo)6`^+vn?AN3;g%%&kVB4JOqe{?6ED4qBlum5yRKk?3xehtz2{VYpA=|t(oy?Vd=DcwY+ zo9Lw9^sS-ZO+U3j)H}0_PW|KlE7xuL{r8-IjceLPXZ^OX^*kqm{SiC=AU3Cm^An=C zf3jDP^uxT5=SOm4-e>6|I`cnsw^zT*>BsW}wtsp;S#;}*W&qF*Q5Qk^b^tUTDpi% z`)lr`6QvXPU_JLgt=x|3kmc9*MI*iP-`D!zg!J$8^E+&}k^gx(&~97)i%$DJViwBH zvspIc4IOeHm3GnFKelsD+v2$=@vQ3SpX#2!q@M4O?dtDOkDdhmkr=OSF7QLRrd>QT z-GA%}dY-#v_(eNE&hXC+@$k-HGW^ns(urmII_X!p{Pem0X!O&UqP%0TFy|e(rd_-= zU4HsJ{8yu#p#IqRyF{!(S-3>!{mZ)HXZd5h#qygI?Uva^r~R__V^FC7eR2NV&R^sF z#;*SUTiy8gwSEH1IM*#FJX0XnP0TJj>nC;Ff0jSy`>vkfX8Ljb#PTO{{AB4G>5ZTE z1btp_RF70|M5q4rb@{35zqLPVw?wsDVp;noohY5Sr|UKD5_>rQsxyA7yZAa}J-Vwt; ziGCoBme*YLtI|=c{=-&I#RB;OB5nyLfuK{_s0*P4pRa?kn&y zT+=Q(=MNZuj(>Oc`=L|Mj^zetPuPD=B~fn7E;{9B-!CBF(SGmy-2%~Wn_YC;Z~o2- z-#_Q?ujIsg=Vlk3_s{9B`L3YPiTY%A@m=ZkuX}!i>tw7yTtCZsR#-pddw}tNvi$DV zBi(L{=_fuK(@k{7-xTa|uIISj_HRUt+nFyMzZ;$Ld%WMbddwRv{E^ys z6218Y`|rj-;(LLZtLFR_u4xzlFV# zb33kS7oGXns1-ps>VyKJGrQ>NAB?(}3Z#y9(b11apU-fQMqi&3ISz}o{kY?UZru3=LT7f- z(_{Y2c#p^YIVW|ri;li)Bl=0K*L9sz;5uB>E{;w2e>^9hy#?o`Pc85x;rw(az9p@< z@kfpRfBc0p-nSQsc$-~x;$L_Dudnx?SWmfrI12SQ=bfSca{VygPmcfV#(%=RknR(X zC=lsxcF{@y!M_ai{~>bVwwKN=)b6V zi@hCx`76p_@i*B2z2kq>JCxhk^!x|q{rf_W7oGmUul053U+pi1 zey7d-3zP#R+C8(2PW!i4Ur4uIOh2waGTn1xF2Qu=@0S>z^+UTqDC$M5dzxKz)<5I( z>-qm{9)5nmnCYMMhavs={bHsM?8)(Cyx(W~+Vvmp9Q!}Ee>pL3W4p%vJEJpxi}!2k z`Mb~bU*zvT+jAqm-+!h%>BOGu^LQ&A6mPMYa%LO9e z%`Q6mzengXezfq5sDBnN(W!s6!@ml7h4!=mI|@X<)1P+H>3_^!UH!J`zj#*kUv&B( z^ItkqItFM)Q4V)%n`sZ8_(!C)%zn{wd$#r^; zbYd^+`yub?e?OF!obcD|qT@gJ4>Oz-=lhE}ah~4n;^&<9=i#8^_$fmp_GDRJg^m@JlC3C+^iJ$M3u4{KmFE zK0mV8|6M-6(}g~Y>z3VJ1!CRB?4q;&V(u^oG`)zipxH%d{!rWhjc7NK|2)5!^DJD` zE;{o^b5~c7^$+u3#JZ6^S9I2o%$;d@C7R$mfohY5SSD$RZcT4%L zng3k(Vfh!i{$t^agxw9lbYf5SdA(OWRPV*!uK)5^l)qvx`_J~9>7SGBHrhY#Um3mj zo9^oB(e9i7BHMjFSM=I{x|2?nPTZ?c*Pjc+zEjuj1!CW+i+0i3e`=%da^I>8bu}k+ zW)~ej`){6`VgH}=hjey6Vg1D{5|;XQ*vBMSVhEHk_Kk#zezcwEp8 zUSA+|W)~g(ptGaD*B1z#*+oY`7=1p&KNx*|PWnr`=;;xDzW2fK=iDB`&-E|H1NLP7 zp+BaB|2e6nU3BzAwg%mhQwxO7?4qZCBIt$=D3ChZMMppMjHtV+KzPDoBrac7oG9H=`rp$o!A5a2ev}H zIdK01(SDj;bms5B5qgwci@%6+Yw;4D@@wv-6QvV-TaWU)tNAzJ4??~Vz!-r2rxE#X zcG1cI-J(ajnZF{^&7Lbd>1Xbw6QvW&^oqBrc#FLqfB7rQU-38Hf90E~d=ty^PdZUL zu|&^xE0#Zz>sMB;B4JOCKj=<6v8Q^jfAwkke~tUk{+sEa6a6RimHoHT>A&Osz4~2D zKlYzYclKX7k?v*}o%A<%7&n<-#JI`qqBDNlE&kUHe_!jBZlcmn?B(?1xi98lPMrH< zzVQ5)(K-JW@AsLW^`GbF*nZ^1xg)cS&iSK0*WVh}T?RZ^;C6(ccJZ`y|2OdPpc{B* zfzX*<^z=^$9oIj(erKePcG1yuoziqzzsw1p*+oas^;?d6_r5<}NC%8NEnP%s{JA^Q zkMrKW?@x#R&(ZR|GxJ|Hu2u^`pJ|Lb@p(m2RT*{=^=UekaHL7f~iGT%vP+ z-P}nhN+*`-m2RTaP3-OTQ@BNiTPzE|bfR?PUVXCt7`h+o&o;E5M-_M$u4xyyrRVQ^ z^@Vg(Ix5}7Jw5#}@38zAG4HT^6`lEqxsy(mPAt~~djjg8 z*!2(i{yFR-?8Wf!pr3;J+vv`+X;*dFT!P;Zgk8jaSKpoz^kNt467?eNN&RBfFY1=A z?2tOzMNhv9WAyA#vh0xCJ8WRyOS_2sN&lHn8PbmFCpOoI^b=uE>c^fGbmNZbkUH8$ zPd{@j;(c(I&AP9{uD{DN+C|(?`acNmC*waD`6o7y!Tt@~)krV?6JCVwi}=0UCp&Du z8|eYNi2FtVyNcy+%_O)(`@IHX7F#dj8g>!(o#~I`@5gMx8bP)+uz6jUtz)<&8|a&s zZ$LgqHm^pzhTodadEG0oyz&6u2kJTC&iupg)dY9l=qu@O&aXwgcvMP{b*(#t|D#VW z5PJ<~7q3q17yTmW7%#@dcypGSU3Bzwz7llvK3E{q%j}|~pMbW3{!TfuK=fZ`7ajf3 zj|ZL7!3clNF7Bvr7t4#nt#mL#XLd2EXFi3z+VYsl@)Q0aX#X$fzx@9`%NxrV%ah6( z%K^(;UBE|AYrdKgh2tel8Qccs75+wuYFuKZB`DnFGz zDjzB@suwXnJBzRVK9lN;@=f_5IQDZ|$&qb@;!C`$hc@zYmqI z2;-{kgaWriPrK-hud)ZD4s8m@XBkNy?V_V^oD_78iwlI#?4qZCCFq*-3#5*A(a|?A zi@LiCgwE`uqi-z?y4JA;LT7f-)87+y&lgA??V_XScO04S{JvvO=*%uUdVWW;c>(Ih z;sS5MHSOXB>GH$x;7~u}@&chVyXffo9v{Qa_xo}(+_Z~M_`5EQy4wqc&g`P2=l%xQ zm$<)`6aP26xGf$3y?UhEjWPYiM`QYl&iaC-pLC*h;$D5S{oXC*Pw6fy-NoKc|JQK+ zw*NVy zGrL%(NB)^!M826`bn?&KNheAtmg(z;|23@NE%is`PE@%Qo$_PnOLVSB)VUtfIbXx@ z_wanu4(m(%V4UCl-&r;v<1ex6pR(MTH>wC!ctovllKmW2oq@CHt9rw3)C_f91M?8O;W&6WV5z)zL7hzAvf77jS zd~TL)zN*9Kyey+##Qmgx!_m;)oMju=ci6Zq%V-yIKdE2%9CTQpTZFNa*hE}t7hknL zw?q6F(tqmdf28SP7d`##VbDE^^(B;Fv57v7b`kbu_~$$V-8ES@7jYJwSi_@TggvRB zcp-EM_oOpBC_Ip2ckZ~E_(jcUG|Nj7nyGM+(_7y z{lcf*Jd8+c!bb`kfJ{;ydGf4+?M^m96FJ&9}BMchy7 zm!1uOQGb>n-h~SAXZ91h;4}_dTLRw!gHCxStF^-L?KW=*2FCpLP-Uq<;F@ zneETbME&7D9m#z;+C^`F&c+Fd|K_Vg{7J@}cF~JJ%Rl=amVc4)wt5li^*?N9Snk;V zh%9%si(dKTK4RSz}|{qQqFxgT*thaC6QE_(Hk z?G)P|wqGLK9oj{&{b4<~dV@R=t=_;cdi96pJo_Zd?(-cs55zU>BJL;CZ#3H})W0!k zzeLtM+C|ut`u#6NxNpaJk?9}FexG&`c301GN4?1MN4@BkzbwPv*pnQ;i+Ij7{PCaB z>`DJyC&3@opY{L=V7cM>0+Hp0cF`+8oM*A! z=KM=+p#P*@^vdtBCqlf3-`64IO}psDpYw#)QDOcdGT&(zVNa(2it9qS54yNRhMRWL z3qSk$>_egd7aRX8^#3C4N&l^%M)_y|&GJuj-GFw{EB_29^NrybnQyd%&3;8d4>A!dybYDbxS9Zv8AMK(y z{@a=VYwvGMcTaUB*dTdFz{95w}Hj|=V`Yf+#AqTJltXPR$Rj_ z;(oFG?4TccZP1PUW{2HdQ2${UJ^eJyoy`AL9h$$ei=KY;DM2^-f)42~?c%HSJIvp$ zpF{h{{*Ub-*@gN~yXe*bCfhIQI0qG}qh0j$1C9>5f$KY@j&{-0_rD|P23+4Eb+n6~ zz90J;gunlp9a2ZT=;>{p5PGg3@;M}Rw2Pje;}q&Rei5m&@k^wq?|w1p`aRhp^|Xtg zp6x{QN1^=?yS^XWk4V^y<$s6rGx+JCAM#L#)YC3{dahHl-QoJB$og;Vnvq`pA2BTG zM!eLa>0uW={gAVRZs^G!Qb)V!=^0OkoADQ^vvi5{^yUxJpZ+ubNv0d^qL+Ra{=0%M zi)>Dd;g5tpnSZQj3^(ha$naaejP%0KdPW`VpGdvc%Scb(wGi<}`R|_4A0UeqicG1%h zK0N4#tn83F+C@*_dIdV9fBTsZ8E@J}FaFI3gN|c=K8K`^cG1&wosap(^*@pMXX}EI zUj7Z+8g#=KcSt?$qNis&OMlt^iqu)V9O>!VPf*AHL!{pNl}Jy|cA7f2-y-$au1C81 zYtbA3F`wu!^G&4xmambX|12levHXbCTe*sK^(RH$5gnQycG1(b zoYP;He~~(?7m=Qx^^fi5-~k=7{j_=+>9wEcKlJ8*B=zQhq^D;-TfQOxMawtXMKAx@ ze>40X|BLkB`t?Z9e}s;XVs>+e1=Vf{v?8)-Gv;K$ird{;n&vjnzdve`Z+kGmk-ygOifn&q7rp%F{DtEt z&R;~1n`jrk@e}vCIrpA>SBKp9rCr4RWc;~L%=F{_vB-3zUG&nA;~dsIj(&U>e`_16 zrsYM+v%X{h{^9?9*5>yktuDfJr~8OE)_c;HkqFA2_M~cIO2%*cA0aW$xA<+^RP9;G z_>KEp#&PH7KKvRTi%GzqPqii8$iNADw#J5zqd=Woy58p$@&24W7{b#y4-?cI4r2uJ*iS zJlDJlW%XW$?YA~<+FB`W$#OAhBg(~3Sz5OpTJK5Cqmg1ivL{uGUouSH=OMk0=aW?G zF$iG`F(|Gu_aBDZpDoEovlUo00Wml0##wEOl=u!ti{a6sz)ljv%=MxbSjg>GNm&4Ec*VPsXqlEOkE-Vei67mu&4{ z?tcB75RU6mzur`>ye<1U+@mg%&3`I?{^SoMfByyH2k~XI((rSKt#JaLkMahVx?hjo z%`WUJk*x~l`M~`Uo_n)w?d`_W=Z{^0=VBB`RwGBlYbCkFE#-P{!jQTL#%?;@ZmOg*XRy@By@^`bb)cxYG!`;7O zCm3}MEOo#B3WVnxlut6A81TY>Aa1rWV>|FHW9jqv!?RmMFjED!Bkw(bBI;Ia3)+W% z#?t4HN88+-h?TFO7)#xc+b7Gi=dg1PHY>D06F4LLL45uX%F}b=>k#fG2tU|(Q@Q)4 zn^4Z~LU{w5FO<8V@D8{`e?9gLV`+SshJG$vHU;snP#;%ag7CiqC#w)|u=M$}Z-6_L zw~1F8OWm_xwML_SA`ie)_e;>OwNJ)yj_us7QuoWghwx)1fkeAr>i&Q>!tn~)L$Gyix%<)U z;Qm6aG=0}t`h2!OT%nn`(pc)=PE`FXPC}tPH2<|cJj33La`|^yIe2fi{(U{#ezddM z2J~N5+S?6l@%$gb{q@Gu@Hg&7I^K%93wFm9EU w;k@#zK!L$JAP5pbHD0*gyX7^ zK458hIIiUhce3$dx%*L{K{y6tB@V1I-q`%d$XCRHtPk*E-ankE)V`=!OVGh=M zKUQN_8%y0U`2zBFKeUHv6TwpVE1rS7kK^PQ$~0K&e$yWzJzvI2-9M^U-Zp<8;l_BF zM7&F%zv3SR{uu<>6WJ zmq^EJk)B}V3+3*|ydC8R0U(>tmAhZP55kjSyaZOMzjTLmH1|4D=*5@qRdZYzFM;Lx zg}UCx*mLC{8%y1aG)-(__?t({6kMix?nC#W>wa6HlV&>Ja)iE#?t2> zz;*<460$kHJbi}Vfc*LZ!UHzXFLyt8HlF`(%xA&opOm|2Kg978**>h?{dA1m8vg_9 zS5F#C8lD5NHp%{U<@=1K?pNN4bon6MgH_t| z87K=~>%;mUSo-`$|AO@SH-!H|W2yVGs3)zTp#KFM71lSngUs;>*{m>rp7$}hJ0EWh zeAieSo(ca1cYlxhJJ~3YFW1M~Z$kftIXqbUd<<)CyhK(RFL6DybqCg4!0taSfBv#{ zaE~%gcKwy>elqtvHhu}=_(defO`EU7b%k;0CY00cM;I4hXe^EQ=4%kHOX1ID#!~lF z(5E(5VjS@`W2t+t192UfCz`-g_f7OOjZYyj*x&rB-2Ei1ceO6YI16lkvx0lHZ>=-2 zPI;8EG(1!P4f(YkUqk@go64U*1^GtzWEJ;Q*)F1Pl2z8NIiBS6$tusEdp6u*%ruYf z`>G1zA0NXHR(ZaKALCpL|5N49-)9l>3FFZDSO=;yuD5-me?~imeTnuzS9^X7V>vz_ zEY08U3(Q^C{Bbq+ldnd(MB6?U{d|>mxKVJ1_BJ?0I@wdL-Y{w2!g z7?d}#$~bGyW~9waSjPpM6~;juK8*07-zKZ{+Z$Nl{|No*9AjyH+59ZZ|9*I*c7J23 zd+yV6Uu$8*Sn7Vkj}XsyWEqM5m{RwfUWa@_8%JjMm%HcsVfF*ABUUR9d~WOC!t<+) zTe5g%BEtRQl-6`jCQa& z0{hHhIrc7W2EOKW2t-YOLxu3^G`OGx}Sl( z;`$v~W&Mu(C9L;cZv{)AKV=ib{THF0fu-&_Kg_<1dJiJrmE5;J7~H=q{q;t6IBz#K z)ML)4_y#nY#S@z`e|PGB!?NI>tm1w?;>+igRopK^drtRc75C!@2lr$Z_ftO=+>=$@ z&-|O=fx-od_LLQvAx}?`-O;S6Lp=e8XmaI7@k+H z*YEWCt4|N%Az$_Uot~E+if}hSk8wKsZm_g{81WBy{xx`W0<1Fcq&w~hjQEFY&}A%r{%AbAaUs?T7FDaiLosLOe#}VB!>a7Z zG!dT02T-2xGgb^mtqSXW!~5g8Xivy0?ZccwNcV3eJs&WZhG)*?nEqgu@gCEs zy)L8=So-`WcOxA4qQ539>?bubziSS}ANiH?=i4vTJQvDOv%+|OR*{HDp zI_OJqhxvu^jPm%7`7gNtBA#DyzkKL#!~K~d{lU`kPetA0_)x`T*RMRl>9JSK;|&mGrUk0G>})c|L!!jo~M& zxZikU3=f#aw++4d{-#d__hc3KJCuhC&tH2;cs^Mr{OjAnJz2&5!7+WvD(=_*Qw$GS z#r>A!f_t(``YbpE_3CD<>x0?q9onCrmbWpZ!}G~1;prdKhpgg$9p0?z7j&_<~j3Pg@Y&lU4fD<$nYB zSc@XFjpgmz!e!z4WR>tVV|tQR+#j+jJfE!Me#J+Ed$NlA1KPno*{aartr;BLlU1I- zD#n+r;{K5D$M}L(+)p?pxF@T)Up_myC#$&c>H715M~3H(HZ+Ua=Xps$4IlU1HS{+i&P ztm3|>{Sn`DW&2E4d45mJ^V0te&nK%qf63j!Jz2&5$jQMyS;hT`j|BH*75BrR4erS* z?uQ%~+>@2u$MhLeF?|Nd^dYM}f55U39-!-+$tvmD z)Ak=@Hful0D$nofe6y$NIp|X%JY<#d^mIJDU#!0*&OsE{Gk4nGjrvgt4_PHVJ?-CT zeecp7wXc{w9Q44y?On%Hy^X)_}Bf`k=Ufe0fgdL3m5S1y{<=a<5Jwf7K+$? zO|Xlw+bCqGdhCq0Zh(87FcG_+$2IIC>`8t92jTB3oHl86NFD8>-Ui#5|NU14fBT=@ zVHYAxyXg5p`1qh3d~t{EFXKb6u#2w#MYtP*@2b!5kZ*+yhF!${Wc&wVr<*qiz0@If zw2Pj;eI0bjzxJaYGT&$yz5Htr3-NBR?~v(EyXeJ##5B0w2dCwZ=n!v>X0(gA-)r&j zcP0Eq`RVsihYUCEqE~+GGz`MexBnRrlHsOZbi=^-?;PP&kVff z!15wqh-=!#__o2$%KzlYu@Fbx#U@$`+C{z1u`|7$HbQ*)wVY;T>yx;~DI^j0 zWd7M(FZbbe&8g5w@~sWpMc9*i``Q_F6Rrw+k~-Q&Pd{!L(iwR@ZhePchvOP{5%-h+ zx6XhL^`~`xhpacWi(dU1co}pfa4-{b5Ls_&7hzBOKXqEjx2Z@!<{!y?qh0j!&)%j) z``ZtvHBiosZ1-pvz4F)1bcU{*=^n{?L%ZndhdqaQquvak(IM*%?V?wInok6OTS!Ct zPtsr7MbH1K!_X`K0n+Q61^y$hX&3(>o&NhF+|9e<*8(G33vms*i2KR>TQeQ{FNe2? z#MXb{8g>!(q`tW|#JhQBhb(uri(dTq{Wjdb1H19RDiCGQ?BYAp{@Z8=ZN&jRog0a_ z^)lK;*puPsSNL#BE}Psa5aBVq7*E~pto<3!Q%~PO`}KH%|B3d4cJUi&|F@!?x6jAG z^iK+W5!bYf@hc}g^MCTu2=@U9KTf>nd^@gb7vo!1JJn;9+gOM2pIYD_LQlK6E**Zp z6^Gw#$TlwSuz|8nyNLVA^ygbv4U`r8dTu21!`{A%#Qmgx%X^W}$Kq|X|5M;SxTak^ zHm%PdfdAje-=Q7iD?J(QBJL;shs&({_mN-7@0@=@E3U=gPwTsnfIsu$7AGEa-i>S8 z#rbLdxU0+dv*ggr@u#2!K{a-U3;YQl=El#lkJ?$dwNj=L9 zPnRQ%Ih(U^4ZDc@Nxl6p@ef#jaoW>}a7@J?{~66*)KAX3hcChN z5BF&QbH0FU+Qs{w@WWkuUeM<}BIw)VytMwHZE*V*d{yAA0zZOl+Qqk|^(;3{j2~In zbKZgf(=P5ff8HVfMw2Qc(EI%`U8}63jcYr=x;M;IbySOZ^ubckO z!;t<+tLFO(L|U3%jBnk>`oBZ`yXT>PpzZEHLPX@p;2L=vX!d0MYx{pk^!FJt`is0X z|HJ*H|7>HJ?+vs+IiWSX=;XhJpLLb#C$cUxUB&oS!=0sHU46f!L%jQ)BZheQJ6be* zGXC8x3O|6+^hUY!&_RPelGR|0W{;bHZP< zi;n+mHlm+IJHG~XDCfs;O}qHEbowv9F8I6rp#tHr*+s|yK}+G!O&IUKwZO-4O}ltg z+W&roP|qbJbW1-PbNY^L>0v+Q#SJHndt-Vm2e{9IbU>FnTk)Aa@7S!NfV_%GWJ z_54Y^t#nj}T?jkvBJL;K&&}h4znj+=2!G8kI{tH<$28#hFDG@hi;jLQ>MXv3n2kkU z&A9^Cw2SfUJ^k@W{OZvT{Wr@w{oQhEf$-PtqT~NC)ZgX>ST92T&3Oy1X%{a@r{AK_ zqMW=D^Pg`O_{X@WU3_C&Km3v4?}$c$@Yn33_iM&UE_kg1!;w?^kgDlyB8={A)yCYF~X7(SF$1 zK1JC1RhXvu4fL}ca2?s*4{tHuigFN1cdt7vxF@@Rg0lE$9r8X;G3Hb_b{uajytdx3 zVmQ*~#~2^rPvrg??IP^O{MJ`HOh58)xZ5YbJs!z*e%eKEy?@As$mbidUwT)E+$W=5 z^wztF&VxIQRfitiA@^Bm7jZus{sFH*hxqdAk|OsRXcu8m>rV>d9&mYw+-Dg8yXb{~ z7xrs+=x^5}?2REvtI{o$1*k3J+{gn~zjM+t}y;=V-;(b5-d%3_Da80}D ztk-P01nG1d+Sjia2!G8kI{tG_n)R)PJj;0pu4xx{EdM*C-!k-ToUbkWNr8vrns(8d zud!X?dJ)^JoLDC^yXdSJ9egwTmyhAAppO@b@^5zWV>^_;9l}5HYJ_tm`iBP!JO$UZ zi%xqv=7&gkwD)6&6o_`-?Bd|G|69)p{nXa03Piq{U3Bt~>C}8Wq@Tz=KWpzJVK3Id z9pXRi=Lq*8jL)VNxE$j}+QmV>p6gZA=R7Ou+v2vge)!rD@8KsGxE;@{bc;>=HHmhkpAySexv=(c@3^<7vG=upX-J8_jrND|A+g@@Uy>d z+=su-1tRavF79|HJ&vO_ci@?ccI9Lb#X3`fo(I%`Q6OUwu6MM?GDA zeu1!=U0hxge$*9fzeUs~i8ju8XuDKz;*^}vCd%gEL#PcNNH|BdeFUEQ=?cz!4_|u)0ALvDv8`?#$ z{BT{Th4DJqdvbm+toO9U9rufOXn#k{LOMN*_UjEDa-D#7(OWODb){bf|MBaGAso}A z|B?Dt#GU2e@Cy*{L1-^;DR4QiX&0UTf8Q@4oM^lD{ceHx4&cX3x zPOLMSU3AtPhFura?IAIy-*uv&ehu8_eqnRI7<7$SL|@;$Eb8tOgO2;P%)fX)S^tNx z4Z7hci$TZnANBEmQeSt!dH5s2-w{j*qyxth<}b=ZpxKlDw-yFn>sT@9c-D>jct5Sb zC+eOTqwXHj>`DEi7Ro)!{-XUmY)->9>>}4#147#ovV${7T z`ud5_1>K|xV$gBDk>QW`lm6Q{{S>6#X8eh$J7yQ1{o1YzW4Lb@gTFlMO#kEkr2lo- zt2uXR&qH`Ie#m(Qu4xyY^CFCAe$GYbUOp7!-xj^|F3`9B3+|DAVtZ!PN5YRB6gU?b{ZPSgdni|3~OU-HY)?=GEF;BuVTqFr?Q-;IZdeBXGc81kL_)y)5R zKN>gh3fHuYUrUFd<%IEO`N@fRn_YC`zZm{-oqsX>&xty1c5zJF|A~X( zFWRb!D8phG+A`Wj*pulueJkoo6JM`9wZH|qrd@RQD_MS8m_Kp-UTkCjM7s!k(tpn1 zTlnMpM^60T?BX}m`nv1y`)$NHs|)9QPbqLAu4xy$(*CoZYaA8Yzno`>_OBrxmDXE1 zJ3f{_@%&i+#N*R?wlkdnvHi(;e`tR=|BLsN=|A=!loRydW1sJ^8~rxzBJL;k<3_>X z=WxD!b%)IfxQ1QC{iJ@uJh(&OwE$z4oR{F5cF{R6HfkCA`X?D@+KP~+5 z$HFguJ%qm@UXl*~(yd6R(=cCU{Smk0ns(9Yf0+N=KVtin6FRetj-K@l#nk?5FN8oQ~>ksUI+fTs#%N=$Nz%}e5?kDw}|F!N4=Z$mzIGi_biFc*-2cHCgZ^nMn z#RWc&Yud$|)A}XMf0Xkj%zyC(T+=Q(`*&Pt!1_Ws?-;3d2hm%9m=))*v*Y}Ab|ds# zv+yjA|Kj~*`mJ06{}0Cc;|T?#JeyrSI30hEbDD3%c<0Fiu^wY~(K##SGC^;hw} zxc(|$nf9OYWVvU&bE4duT`VcT?Q25$&H4AC{I=%=7b{(M42|b=(L~n z??FAs`u+UpJLI}6?V`8-%5ZZ1lHt#}JcOU~r+7b^eh02aI?c(l1JMWMJRH}wi*wTH zSGWC|hP1c-18JR;{RZu#(|=g`dtE3$ZSfC7xoL~9ONW2+FOhDjKUr`bhk{5gf=mrYo2LjL7^H?CJbRbJZEzu1zBfya3}L+Qk#n zdiHZ|_+{g75n(aA=+qzXvo%MB{kNQ}!~Pq89W>rgmOs9y&T+^183kT~{-1WysXtc! z<{`gdMm$8+MYD^}`iqUO)>teMt^iEuiihM_Pc0jX2boT z75GM6(=N_Vho9?Y4b(lZpNUP>L)t~yll5ovG`RbBysvs_hb`m>?IP|c_47Y~a)$PI z{Nm|c&o|U`x1+v`HE;{9p z<22TPj^A=3-ewn__%C`o=oYj8!*h(#nO$`BThPvCmxl9$IqwMP2Q%@~bo}WL$KCWd z=l0+~=b!O@vi!3B=DIEWubh{M_M;^_>$h{RL^`AF%(<_?$8b%%=!~C-T^GVV?4be? zZnKNd_-E?bNWb4fdx`p!^W(UtUHqMN`q}&sb=cl_6H%AVE;{E&R-TJ=I|%bNw6!@A z9W!tSTcTTF#JfroD4V8 ztsy$$XFJXP54PVqzZ%+a?tjGl$@FLY*o5C~KgHI(&~A#bC-qEc<{#5PC-TkgqLY92 zUSt#F{d1rfvF>hm(OG|IJIi0vWcw?2PYms^2zxU8o0dZNV3>d9d@0Poy2J<5`VF_n za`U7Z%MbfimZNY#=|AVsU2w|%gPdBo6fv|y_Uv^1a^}y&xNFvw0)K}21MQ+S{;E5F zXFcV*Bgbz!UkLS=>yPn%GW-kwAm|oeS|D_07oGJ>jvqMx;rjs{Kx_tj7=PhCVoA2w!`$_!<)L#TW+i+=tXt&HRB5JAjYxO9IZ$f=r zT_DoL?4mP%wsk_Z-?n}zqTM#T=(OM5Ct<(E{ga&Nx6Cd&{TJt%rhBwN>Sz}oJ?HtH zKXd+{6YaLyMW_8!F0vx`pnvj;=Jm%St+AIIPi zc@b##Wd3uXi|NPxublAL?4slU!LJ0}s`&*{N4x0gS6vo$cNGYo*+oa+I4Q)taj_WU z-9Wq;|9C$cf7^fB80z1yod4%{MVM~<-bhY_+w7tfe(qmp|2OPkij953{-p?eGW>@u z4E`Q+Y=Q9C?4sj;zpGJhQCIpsP$24(*+pmn$Ii(k{+xd?{zk_8Ib4g5|9sD(c^>Ag z$g7-akIXKfmySR8X}EvO{hOSRhy9zjcyn5B?};$n@XNwaBHU&ddolc$|Nk@QzxbVy z|C#td)8Rklo)GRso-YvLHoNGApZgPxH{T!137y$RM_;%9qCP{s+5hE4yv;5;@#i`R z-~Z$KhsgK-Xcu8m)*tf+_0Rl|WWA$Z^y(k?$+>^Y{d1A~mb8oB{^i1HA>9`qS|HNh z>|#m!x2_D~&v{=6e@k@wpVco0f7i??koiu#==jfen(v>jLi?Q)Wy8zC=mXdT`cjxfi{!==ft>? z{x(Es{J3c;$_?t;rneS|w!rM7vwy~U8vW(`EhqdnyXg4Obq>y3xc-q7^A@v<&isY* zKc3&?`PrQ4lg%zV=l3{2?iz>j^VbVpk89e+_$%!FkwvfGzq0QoeH8PZqmX{$jkw+q z*WyRh<&WodS#NlLHz(p^cG1ay?z6P+3i~fP(WjeTbk1K58y5T>wz5F@Yj)A`f9)MX zxAvI=p)fviZYw`j6a(a`!C0_i_yp<(|`guOii#c5~d&(=v1 zPg_3~(e9XCblRU;YY}eDv-tji*gYB7u#2!K{pUGh?!WQ;a89&6W*43PH}11E55RiG z*9$xb*R+cVr2S_+`Q8WPpYw(g|F-DtfA2dA{vh4O&-A|@J_wQMLxqmOR+|n+3<=5J&`LX>Hx5f5LoS#nr zbM#|7jPU{bQ~(HC~VK{-nS^!Zq#U>(k+9 z`N{V^-xJDFzVCT?C`Y)TOn;u!r~f>^pA-I?U3C1fyMJNpTvwvML|eo45956x+N8GV zj6du4Uz}&RP)<1i&Usdtf49VK>GZ4n{_}!6;O`YUFaAt{-^MlV;uUHC59)_{Itu;8 z;sRIWns#wiTF-Mr%}-&x0snLUEv{)7Kb6)WJPGcu#d^)=0w2UR?c%j*{n+^a>e%@H z>e%N)yTSAOY(L`tWclU$3;BC<=VIM!e_Y=H(k?pfXWjL)amR=DZ(MwTl)n?haF07) ztkC{ppTgQd5&M4DE{e|kPkhgS;|{)mkaK%@|A6gRyq`?Jaq<1t@$vmtuK!#3UljfD zGyQn}(ax`l$OE&Bt#tmIJEWEAMWmtG#p6r#@>i6(klzZCXga{dd75bffZ(*7?%+2y!n0m^F5g}A0& zbjBadAD&xg{^k6`kZ(M{9PcO7kMZQW1I9b&CAg+tboTGr&aj-Y-4PqJa1FZ%d(wYv zr%;Ej-4aok%`Q6UZ`n^+y?>@a)_dATXZ*r_PU>eqR3LO_7ae`=`ipdGE8e2wEjsb% zK5zC{Sa14nf#1V5?V|Jjl)C%JY+tSaMp$#Q-=jb}u>j#mo>0$jq^uFK1_J{ihY=1=CH-KIA)-SnF(D+Bp-;XU2>#1fJ|1n+uxlhP* zGu%JSiF#*tv84X-+yd)Q&KE-c;rRvDBiNJm&&H2W#`!}e=fkv%-uI&G&OccG>YRV) zI!}J?^3t#ll%Kmq8xZd&<8S$o^>fRA@jIdavHgP|fMARM8p?$f$tv$`t^_JTpE{Sy%=eq^P9M)UA#Q) zf8G9@^L(~Dod4%UyJL3IX@3Tf3-KPjUJUUbjIj*kAMYpgZ_>l4C&RJc^KyX)`Y+Ni-cS0!-(v{aiRdpd2Fm#fgr9ct#B}%v-x&NI{HPfG zAAF-|_N4za&Ir00SBXJ417k;~f4ra6bDWod_we0e9N72_q_m69_Yap}7yMoRkQn^s z?;X(pct7d?fscf7%Zf&U7`K>RbjB|$-V=4G!yE^#AfYq6=;()R4dEVgsu;pOWUFZQ zWcc}>MPqqp?_cCR9@n&s-u_p=5N^)@8Ga+e&G|p$VRXVjGmbxI#_`9@l_A_Shlzgp zXU6=S8S`)ELs1v=FWyha|DY>lxbG{F;ig@5!oTV;_=okkRqrbh>uzQjo%J`{XGOYk z|CQ-yguiAN9sh?s5%PWL05QaW$P=QUek;-Dn7?Ria?)SgMaTc4vHlK?^>^r1!QY{= z{>J;s^k+N6?=G6$%`Q6Ye{)&R8UI-Rjf^+#q7(l^?g{yJ$n#>zH=bW%{>S^t{NwmHUw3&6>K!!s zy30q<4u$(k{p#a`zpLZ=AJ4(iU!H#nwEJoOi$T9;h8T4(ioSmTL1Emm|MCJcZZNy( zj2~>B0d1A7KSc7o8MKSu_cPX97{h(L7{bl-2aJEbpN#+7c|o`K2r=k*et`OTKdGO0 zQYb(3E-nz|#_Xa~emG8T+!V&IIngGXU3B*U=e-jA-DiG*^p|$g@t^Bl9Jg})D<{US zW*2d51pfNtk+0sr-?S9@G%l?FztDa*#YQ^+*Bu@5Z{0a!$TyxpU_QqC$^2Uq=MPKb z{DJS`QpfYpfqwp5`Xk>g{YB)P*+nP+md5e((l~zRcZldOzdsb{`_Fkse(wM7F#pKU z{r?n?2=|lmXF0>rXP3{v&tDkweg3fpBHzs}I{D9e7SAorxT-^*TcBO^&M(Y87Y)N) z^p`gj_$FM_F3wGtpGm9G{&AlEjsj1_HSMDF{hoEtg>tukf*A6R`{&HRct4r`HqRax z%74C(f_BU7qH}&}^Y0?v(1vdQvjUOsW*43GUp)%pMEk$1?=RSQX5c@+Kf`g1k@=4C z2iyO6KN)}foscWBPVfNoOS}))SL0fA_P=fY?E-}VyJ$zmTX6jaT#FZ^^MB|!5&w(u zdp$oX@T<6{U3B&zmp&2leOa9U*n2$4cYA-wX!n!xpZ-K>cV`SJ@CBTwpj~v@-+hk{ z>Avsz1tQ(eE;{)?`Bub}?$$n`27O5Bk_JR{Rf>L zbc3!JgKp5-qS=%BgOS$_)IXj-%87bscG0PS9RKmXRn9v^zPC!d=)J#c?HB6Ju73ZF zdVB8~eMZiwFn*z3bk48W)h|OI(S8K^g#KUbYTz1n5%y&IAMh}A$SV7Ow1|1D*+n=R zfxn&2UoHK{#q<*~?lZgSjsK8N95-6}i_(cD`s}ix&v{qyKNBxYhu`Yi$+7;4m&E!f zI_sBgzv_HHZuCi^-i*Fj4E1L8NupnW7RCC%IM#pr{txOczbnD|8}BFckMle$w_h(1 z<<{(?Q-1lKPkw)I!;=MGfNR=C=lgqg=budf{JRn82iT9~-;KchHQrCg-|n9lb>jA@ z6P^Cg#*gT~ZTu*r-!{AG^xt*QpUyx%=eo@d)c>3-a80}D^dB})MZ7tG?G_Plvx`pr znST7O4W@g}^F#WzMd$pVom0oT7dyWm$#XCE{hLVd{L7ZDh$r?bx13twH*ih6=zM>N z{ePYFM>fyf80UZDDRKTMI^&0#KS#fZ@&BwT1tRavE;{4?DJ_)u;oGr#B z|Ihr-=iNvLn};LbW*43Kk4E$S%8$|1&KFUh#^CqUUJ?EFoAW$=cYyQ1oLo<$U39)b zFp=vtnE&$ku5)7EYj)9@|1Lf|gnMxuzwtXV3_rgc6Ic>{lu@30VEdC3`xb0}c>clY z>|gNxZMHjne>*42joC$~{EYho%Fj(Wul?NuAHy~6qO<Ee!nZ;PwKf(&v{M|SDFS7kKeCXLgrhmMjOh1-$e)q>Lu$M@g)oOnMOe!geI-@o8{CnA6Mf_4%1q@Mf4Jomx<bCB7w;$2Zz|G{zn?ettsOR};~I9+`~5u5 zle3@4`Ddk+YRnEV6W&X^4swUc9?qB6Z zyK8pQX@7anrv2wwufMdwyKzms==^>l+gzUW=2~Y?)CIGPgVOP*JH97Rea=UNzAfI^ zyZSubiig52mW2P;UXQlk@=rt`VEHGWkqv!98a{SNVpU-&m}T7JEDX@>i60y@?YsDD&551OuxfI zyVHDMfh_m5i(dKP4SJS)`k#~K9?!M%Pdep)`qnV+ntrMn#$Eh=2ghIWescUW{fVHP zF+hyECq%O+^%l+=;Wz!D7=MxZ&UlMn{?nawqI6=3p5_17HUI0Tzx~cI^4flXIFjdH zX&1fouX}_ZV`vM%h_SSVOFTE-f15k$MCrsb{k-VEctrGHoLAz%bfR=(nZ9oR$zM_a zie>&wCrT%l=({j~V*cg4E2LkS=XSSM_zmKMCQ)Jgu?0 zz@OrpcJXc}{B$=s>cs=2UL4$edVA0Fg)F@P8QGkM>pwu78rgUu?Z2H*MY!$z)gr=e zcF_rc-SdMZe~h%k{CU)v0+AnP7oGXD?X#i(u-~5$F>Wxs=!_qD{)hQLn&nqSzMEZi z^1to?qZKVgIKozCT@l z?3@bR@%##Zf71B(Aj)4`ye6%m{hlyao_%?N+wpstw2RLA^O(bsZ|_9--&f!#aZS7U z&b0q@*FgGFpA+e3cF{>czURl^t>OEBIe!P&w2RK~*KnPX=NIhzGvZTW{jkdKuT2{l z(v830%QXih(#`CmlYadDG1H-}=A@2x(b4lgKkE42Ury-EE;{;tgAo61_+In!0?)!V z?c%m{{_PHZ>&4)|*q#ynkAyur{-*!Zi9OZ#b^Kq;{q5mSwk3BaM_GI~^ zJL$xp>iatWed<5P3H0CAKSbs`?V^|eyFt%{C<1R?cDbXWBPbM*?;mqQ|kEsX-?{C7f(y4A2lrhHh&T0 zT>ii7{0IBbzMMbxdHj{`;(v4cv;H&vbE4g0xnTQiblRV~-`^Ru6y*iM-&L1*+oY`Sz}oJ%6Xc!v9Qx z(3xFy^!!dC^+N{~2%XtQN6+tsaQwmVhvYm9*R+e<(&MMS`a-%X9hGk49-e-jcQF5R zUL5kD^AF~)cYZ70ucycO%lsEH?y~2K&iKpRNheAt?%{flzpeg>82>U}oPQXd^`CgZ zmY(C@zD)nVj(?x~uXaOJyCL>=`=N3ts@#cA`5X4zq1_nv(E`zKm|b+%FY1=xf!zN` zx()nRfk-#Ai%$CO)fduD>8NxQ_w@8bxwZTkQEn|?MW_6lJLyE}#4^3oO;oyxy`6pv zx2SN7W#N}jluq2MPp&^sJv)rMr(R#+cFY547f(x%pY5C+&JEi6IT7au%`Q6U2X}{_ zzxzc0bN);4pTGaa=fj?if4pB$e`NGu{802)JhH@p=|t(o5fBZdRNOXB-4ohY4HqOY5N`MJ}(Lp*ry znBmUpoIf>pIR9w*FXG&z*+u94V_iMZuV%L+jdA`p=MQmByLfv#{rg(aeQwShx&NIL z<36*C&iJqH`Q6>2=lqB1pA+*&K9}qNMrZzL_Yr^7i-@<`MJN8F&PDr*c4O2H1)|+B zyXdqZb@g?>KULR%>e+5F{vz8idu}A`$@XV== zV++KZV2gI~(scam`d?Si-&y4PBY%G}C&oQy7oG9Xv>U^Fd(-0g%co(?$@l(vemc;9 ze{a#@LBHrsG3f03CwNZ0pDaIoPr~vaZgZmEnO$`1UtK-ZpYvCipPXlf@?+<>!~I?j zztua$Uu6BWctv{kkM5)sd!nz~{`7VF*A0K&{o~!DM_uFZ7jqrVh&pJ`6`lE?xsy(m zPW(;Q|8^KR*!maRf8+AF{v|r?zpax=CrT&o$@-rc%FV9kKiWSlcOu$9D_5eke$waZ zw>#pmbQ4u?#FF~MaW~U1C&ryjKknZco$+V9UrYyOKYxAb51$}inXCrT&wvY!3! zu9m-kM~87wzjF%Qj{ci=(HZ~LT|c++TaEcw-SHpKw`aFutoptJe}HS+#oN;3*WICS zj1K%u3+Fn(Qlt7!J*_>b?2XIJ73=Hmt4hilqJ z=ljFEMgPm_e`I4$@L$Y+nNEN6zmN3|)K#WmPSjhH^dRX|G7@jkxuMw{V6g0;$<=X;wkCy^E-cSv=jXP zUrwwenq6E|qR0FDrWdh}X?oFle}C%z@b?(Ff1$uX!8PsTF^>Oq_m!v@|268xucY-; zJ{$B?zEvP}W*5u!2*2q?gxmC@6aKpA&*!n8W1KOM^QOm;GnPa`{m{j($D-Ck#1%eo%CZq@w?s3znnh{`N!{f$NS0t zo4+&E_(b^qp`5p2{fu_;6Y2VA?v_NocwE$r&hOXmgK-+a+quvC3S59|+C}I4o%TCP zql5ol;+o)pmpD2de)`k8DfpigaW}i@e7}3#DCGMvd@px(fh%!MyErWEKi#!&4*HyE z3(PLwoYoIw`-yqmAhy3E=51ydo%tKTlhIm-rB0-O&fmv1?c%z$|GPzxeLM4C#J-(9 zS9JF8%$;*!>5fS^|d~TQM?0=g(=|t(oUev?{{%I%mAS$=63z4G7J`rm}~w|)odpA+q-rN8L3 zpXQG3?rT}kddK*SsCSkwqEr9OophpfVsGo&{xbZLY_}OM(QCgg{I7NWuY37dxf50H z#NIA{@>i6F|EFDa&VSTxfB61nc2RhLH|JNwd&8M{QF{DZS3mC+v{R>Jy?%aypTqtc?c(Vr z{y!VTAK4fi!Y^je_MX1(`Ioxif9Ll<8BcycH0L^`9qr=Sbo~2TKY9|%ALdV^dHx`h z<3`#=Z~V9?=sE6U_;X_1W#Jc{@t3*#HP+ktbL?B$`EwEbR%RES{j1*y{k9l?@vIns z(K&z0_k6hT$M=77BHhd`I_YQa2g=!4)PH`5-}p&%L8$-s{e99|{~jMc@B{@%@c~@%@c~ zX9nHC!$n^|`)dg2na80{-zoSPw^LI;`{yEW~GyVDdrAFuXOBqi7?l!}p6XyxcE}rQ4k96X>1*Tul zpW&Kz@!qtazw_P&cXJkZ*gYB7u#32#Oh29z=D3CDZ*yYYVs_CPznD9W8%!U`ew236 z8$a~5zV7)YhQH4F<+%@{oPQd>*ZNX{cjB6M(fR$3y8h3b6w2K^^uH{BMwBPBi%$8Y zJ3F@pea_>9-p+4@`)>LB|Ji#Ja7(K4+<)(V_C7t&!=dMHdZvfd^FYr7wEPtbwggEq zEm4Cc8blz3C?Zh<{D~q#f;hEYAjoxSQMpKn0ip>KG#w-a2^z%VatRuOiiAfA_kF*$ z-rCiq*H?AA&bgF^Hi_ZQTroYPm`_|>*xuAUFH?xb5|ElWwJN5OGP+uO%@j@KaE}oS3pM^vF zF};X(V|LMLKUMV;-yYIE@rE3cZnKL{`YjyNZF&*uHoNGgzv}pN-Ci4?)z;l3;yym1 zR$I4M^v7?#v45_P`vmuohz*QCxGzP7J=y;=oOGge;;+0O_kZ)Bi2J_zMRe}}7EU@* zI&nbn*FX79l;1?hf1P+%;d}R;C+3KIq1i>}{#kYZPgVUGKIiPN)yCi+l<@)_(=IyC z--m;q<0qy+Pd!JaICJAZoAi&sRQ=)Aw#EBdPGe~Ih)+{*ILi04+83+LaB z&hu+L-@kf1H(UB6`P|HOiQe-w@89)rhW+mue;M|_*Trw9>%ZOq(f)1!yoh#hcF}47 z1NzW!zNr1TFP6XfP%MAZnLoAimrj&UEYhpqiK=&EU)MjSTU5HmqV!8AN+%BJllR|s zgW_|`auNMDpI0T^qa=5AnoGBbpOZSx2c_q->W$*$3MX_?c%9v zz1=@5^xreOLcV8g6A>@+&)>fd^z&bJ{<6QU_J>NfK`bECKWa*0Z-d|!k>BOGuGr!4?@|)=RuWJ42cm2s|=U1WrUzFn=IHp~^ zD&7C~d-|_Ky~lmO()xXc_Rsp4?VH#f>Tw%9N z;6Hvpoc@75`TQHt_nW?Q`$s>=bp!T)8PV^uU*r0L(dmEV`AT~J?mOcb`Md9S-AM2E z-x*Fiv8Vbh-|~ajY= zrCTgYzjUH>;($Kgf201`eHZmFBk#Mki{AaWH}t%3Gk%fxZC+RO?%xb2ohY3+pih@S zp3^vg(ZusxM$B6@X&0UOi>mkEqdtYWu>bg|2Xe%|W3!9S{^O%?@8tJy7U5o*5#K#A zyXbuXgy9-z1bxOUgT5g;zdu_w|D1p2{qM!Rf1%&gkAC0$C!*gszlu)(Z{eg9r4tAA z$^N5P>aYAR%I{)d`@cf_8@wTmzX!juO^&~57rpU&Z|K?n7=K2L+bn+38NXS$0evO^ zar{C5XT-RJe&zVZ=!`$&`2l?e|J578{}~qtzt=_Q`=j)Krqgw#6Z=p<2z7_?4?g>yNz>>AtE~JNC;tV%%zW z(HXy1)mPoWQB^|E;{X>;kfQgy~ued(?@#qPraef(ye?b-C{xdU+j9Wo6-Lnv2I4ca{bKcte?g6 z)%2J{u=qvHCD?UEXa32;NheAt4(OBpH@{QCbu)gyA|vJ<%q}|f4^6H=QIEEo5jwMr zj=qIwZ0_6XY~}cUT#t6q*}v1@`u^TOR5kvgkD=V&f&2HE9RH$LGrRZ>Xa1rq=!S30 z5xU{Di;jNSg)!cna)i$8qN5*f`yO z{+QRJt?jSXj(J~>&*GSNaeun}2VV?7qx}!Y99l-Sd$Ws9`ycdV)J@6}IBIs3;az_HUH|$! ze$`u1^;SIG>u*K>*}Cg<=x;H8Wj|o+uJ`BYj9=OQIc_(dh;h5wMQ8kO;S{g9I_kxu z_@xu26AyR2{3gn8Vqf}iL%i=`{n+k5B=0-4i{AaGH}q_Gj8|m4<8?)^{V|+$qI6=B zp6#bU^Z!zhU*#sM+{B{tlTMUQbo4`h5A_HBA97WWsP|?Uo%-J^dZgRp7m;qeu2__Q z=|t(o0zK!w7{AE*FN;6YoBv`s>BOGu*?#*o|1b6UdEcl1GvdC^h*4wKb6wo-+K8?l;6ZY_8<41(SI4S zZ;a(q6P^8I7Oo%lZ2#PM$NnQD_C1+hboM{>x1RGi&D+ELQ^p^L`KP9MdwTxG=6}wP z^H<`>;{26(c3MAff0#EL_pBJ^&G`Kr&Y#8e$@zn+GlFhv{C>^UEu3_sbYhWSeiP+4v9JB7bc;&2Sd@P0 zMCrrE$<3eiQrJe@eHgbc;plmrj&U9MC8GAKt$>Z_4{$M$DUqv!lNpC38@ zo)Pud?4nbDdqt0SZ}E$0_jX;;Y5x{ZI#D`tK%Z=Xhei4Icm3<{_*HL3)m!m!ufG-i z$MrAvJGTEpM89Kp(dmDBMGwFC>;4PnTU5TqzRthm6&0`8hw-m@Fs$FLt&3sZZq0+D zzkav&%&5CY47#;vioSkcTz{Mw*B|*i#9Vje?+*w1`uV4ac;{as2HkwjiBliXC;Q*| zkUjqdes6c774xDTpe|Hi9yHT?`8b)d{V!#JLndkA_m>UZqe*%{oPUblo)k) zi@yG-JwbQWrDD(>wMQ(_KNEC|W{FYvjOgnZT^@C}i9xsMa?#f>W&xu77M~~v-QxLT zf&PxDdt8hCxdS3Br)oq6n*{Di=*ylG3b_F zEc*JY^RLu5>S6vi z`x|pR*2+&ue~GTe^e8{mizqkKi%$7jIO#;`#3Fsw^uL7lz0!VE??lx*(WyWDesK1?$NC*) z{qC{o{r)lfiP|Nh{b&4qX#X|w5@-C@-}csEWUfX741-=^ryNL72_!+J_E$GD-)^cbU zVNdFp&j|XJ>)WJ`cG1&s;B(?TYqgEHwORk4wHoar&L`uipK9R5gvbylvCp8m*P$oJ8;+U$GUZ2f($M!Sgf$@queig=O#;V3_`f!`seUG(xl=^5xg zTdPfew9Up_;SbnFoKMDo%n1nhZ}{FY%1`VZiuFX;McDKDR;>RYW&M8{?wPfffsGq$ zwXIBdWc`U+ZS{7PV`SrRYPDrp6U~TlQ$8BP8*f3n{|Uz;&X4@laNc+o*5&Y98(eQR z-iWz{Z}5De(g7YUz1ChgPYL?XC?j5n?06c4U4%WM5Ak%K6!M$#_GlMRIzZoy{`xxo zMgPzr@)P|>f03Oh>H2||9;Hv|Rr)Qx3Kz)h1i!TXB)`dz=3j)9pXGOzgOv}?tDIPV zEH9N~On=@#${+m8bcD3LY?#RU5&jOezw`QO`Tn%_a)ihKUGHnNb5rU(qhso=WSv9$!Brtfyy$*6gCQpUc9Hh?#}o_fyXXN1n|qNC?~mli*Ko{{m= zE;@R?cS#-J!^{Ys*+ox(Yt%iOBXzWkj(+^V`bKO&jo5yS(3@R!^c}JOcEtK?gwE`ur;q;Yi2gHDN4x0g z`MZfMH~yYtM(E5gdirOAj^Bf&-bfwoqNAU4W*9flxke1*#yMw-{`hfj9KX(uQuBXpCE$HU075|(5 zfcd0hGZ2pR2}9bnc?8%+Z$4oG;%WS!T5Tc9Pi*}&_VK_j!k!=h9}s`@>JV@1qBa>X z?V=a|*auNpF@G`c&Nf^44hQWb&L`s^hk8Q&_}8>a9qpp0A9Ewp`EQ61{fF549_D9Z z7hflIhg{b>&%pEhw{nE<%q|}I{Ct4_7Vkkm|GidQf;dHF zr$)O7dousWJchvU#`FGtZ8qlP`5AT*=ac&FCqwszT5Si)OswBktI;mPp44|g2_5F+ zmOa#F1M?ZQi{5-(H{++C@kg2tcG1(%83o;=wc1?NU$L>fR-;{nJ(>Qw4?=f6_8%h8 zV&ivkJ=jIqllsY*Lx*%vIloQLL((pK>7V#K!f`(R@iuK99d^;152v2cP8rHEbN;+rOnm^_ycwk=ac#=cc7kNK6o1966rVEMc7?E+a2{H+a2|y*ZxNC zLpo^h;(Rjx&E1Fx>$aOOYO~`} z9K$Z+d{Vy>X=nRcU2l`^hIY|wKkL|jasOX`SDRc%p@CoV;u=-vM&p#5^(HgQ&) zorCfG4!h`$-|B;)L;I~i)h635?V{Iy7oLu==r4~tu}$Wib`j^3`DeI}KMZ=Yh4j-d z!k*O6MA>sa?#OzZT!*7w^w#5SoPhju{6IgD%s1_#mw(oO-gj94MdsVuMWlECVL!uq z$NooTy`x?9>L1q)JGNu|e{Gws4`coTb`j^3{u|8rUxE1=#vj?jcz|{h_N0FFdB`{V zpE0Mk$#FmJqSybhpJM;R{!3)PL%ZnpKWyjLZcqlIwHw$)ul=x|*ZvH3_o+4;Yj6y^ zi1W$(k7qxH_BR3jm&kTUy9j$yzvObH`!HkRH_h}blclE4y)QhZt)QevItJUy) z`6$QlBCaz7|M|~o_GJ7Wrz0M;o92maa@;|?=(Qg{=W*OQ4&^WM{!hE;jX$|>fc1v^ z2}IT#+C{Ja@Hvb1m(O1!@0+xXUi}^QNXYl-d)j2aX&1fx^Esj8r11P8vfODGVNd#h z?TsPb>#k^%>84%u($D)m^UeFeNFD8>r=PzU`9}Tc{afU`0qvq!|Cvsf8`Cec+-MiQ z^5Zy(e&hH_q~B;4J^u~87&`Qy!_I1x{U+_A*MG8{={J_YSpRk?f6?JYd;OPf9UuOCsIed=;?=?9CSmswMiZAqNg8xN6-zq zsZHu=7d`zT_R~oJ;Pcz0j&{-0+dLceoPXnWNa|=8Jw5O9)bai=QfK%7NKfDSOwbK_ zv`y-17d<`OS>sSz}|J?Gh2Zk&G;S$;Mz7wMJXs9ixfdU>1F(=K{?_S1}){kKS+_3M$Ip7$B* zc>fWpxBF70r)NJ;9s7Tgdb=+~y81ovIi5RNe?>lbvR;ec^C!!R@v_`R#&6{s>BY}_ zLLKXmNWImoNLPP))ScL->0uW=J?lB+W&Ibavvv{b>23WF{pN7AKlYy_`%i0^kzW64 z@k3|vM^bO`M|ygevz6PUZCbg(E_&t1`#jUl@wdqMZCnuP#m{td{Lb`?9JkXhdgJ#= zG5y?6&3urpf5ChX(;w-jpX(gdas5N2j&{-0bKkYCU$OkTjs@Df73`w7er5hc{W1SV zvi_L=BE9-^u=yK4x6m#Y>6vei+eMbUjo%}^{Ij2=j{T=dy{%tFdU`(RP{-#lk$T!i zSHCOj(EpkqG#%`sr$1Q#PruPFdj8{mmi6A=2Z*%x3%lsmf3|bhd$xO#^`3UotN)y* zs{KWnzY-g3YBql*!k!#|9qj(aeA6y^`R6<>*DX1JD{|eEcF|kEJQDFxHyhWWH$^z5E|_HT;hGd#?YBoOh&M^yVMA{>yobW!u`cc^}wCZ~lV&95`<_ z{**R3Z$`W5&7aK~RkQhXt{-zAo#ebZ?V>k-&igdV*Y4jU`ybjxul)J^!*Lg%e?*SE zXcxWl7uTuz>_6|WHo0y~yNL72`nz)m(*HN%`A?+ZXcxWpN3Ijo|6D&7siR%={LgU~ z`yGzIMD{!O{2%G{Kb+^F-{}L9ey3gZ{BPq-_@CoX`k$oVX&2@H;V9M-4a^F6PHZ5y z&aQe5?*>h-Np=*P=?8CO@AFK$5p)6t9Dnt z)@JPcs&BA#ZTt0OO8M)=C*C{#ODF#5zt^orZ$=w)RV~{}A7ds|TNY;k!>q3qXmk!0tM*Fg=@)?8XgPSpn;xDh|JmwdD@@3GDon;_$1s zBK&Q&8rh0(d?wOAmHyxHIi%+mkt^|}@gR;@7RR%*8yP@39K$=z4~oMd`%g&MRfy+m zW1*j>eG2|rj}af<9s&!)Pd*LdZV69lU}5-Wli;7@Fv0?xHx-8;i*oLG1T(s1962QX zYV~>x%D911(>A0DEKJX)JCT0e#WvlE-noQ7w?7}k?>NO+c>RT6K>b02m*VX?urT}* z+y@&NK`=a67=Al?+}d+!m#-<8{_c0-x*x=fCfKpO`1y~e`pFWidjFU5`&u>N1g=~?&-r2l5Dz`xg6c>QT;U#+=V zaYLfP!tl#Khj25)iXm7S-gXk=`rKhy=K6ecssAsO*EPn%>(BZL$`^T_+G#8dKN)Fn zt-%Zl*s-KI{p=6xH?m(WSKn6sDZ-;Il8t{ZzW&sAp?oo8L*f@y3e(S(**f~5Rj6-Z zVfbxW9dAuT`oWG8^?A)AqzCt~Ew>p9uRn1yu8Y2zEK@&uAL}>*<@>a;@cQE}M7Y^_ z!hD;tF#OUDHEUnXQRmRk3d1jX6#4uP>i;W^h2fXNkIkQ>zXI#;D!x8v5}N1Zi3~Sl zu<-iJknH9c(Y{`5EDXQtPWT0NfyDTxF#Nc8A>6#+Pp~ljgk8A)V3hAM#=`K+zl?C- z#SQ|rF|aWFwvQq`*Q0)tai@vbf3CsF4$Ks+xxiR>ecrD-jzxPPWGoDS1p3;>WZd6> zY%C1lwV+n3J&7IQV53BTGU-f&`(C{M_lo^9`DI8q#(ZSG#JF$ePSl&bP=CP2^TpSn z^hSik{deMd#=`ur4EL?ts%gk~iT1VON~HgI?65<=!NTj$`3%CL{!HF$EDXKtI(y1L4_k-C7*J`(5Zie;4rx;!q#766^I3quz>&@OPL&dTY7+_2e%h9p6EE!1~9E^Ly-QT>l(A zzkv1clndYF^A5syPAv{Uxf|C--QkK3Sm>WklMwDP?3kNkEDXQ|D zAuXLF&>!+SCVn}^t8W`FMSXyV^%E@2FP{@Q(@WOlmnFRGkNXhPF%&cEV43mTv7bbJ zMtaCH^AMZ1Ast^u{Xrc93)9c??f4_is%|nChF|e1loQHpCGJUJVfeL=BOL15TJ$Mk zVfbSP!=KM#eqeC9_I~U?BHf>be?Z*l3$MQx;W)ERmTB)hjzBw^hWZAUDX*Dd!gXh& z{*$jSPS1|5xb8+=AFO|*IQ&}F1I`1HW#)kzN=eEsdCLiv(q%6Arg-$Z)IGVQ(V`v?brvVR5({j=gDNI%A8D}HP&43FD- zt>azrKUk)Gmv^JRT!rut84It!5`MSw{{~}W__6Osy3q%XA89NMKN4ey4y-oRzF{m3 z&-vc^&+z|i<@%r5uZG{3VZ|6Mb3dK+Eu>>S$``CZTU=iC-N+~Am|93bSe$;O#oj;x z8zYOuZ}?AyhmSV=XSw{^3N7xXBcFmUzRB#h_oI(PI?xu^{?u5Q{*mXvFPIx3Yh~ug zFyGk3czEdtjD^=<%6Z#;7KfiV2iO07%nyK#KQ0c>`w-VL z$mW}h!_UOHr2hY5KJZavVSYD#4A({Y4QMxDVfgO%(4b7 zrhomN@C(MPWSRba7V1K4TbM5e3$MTIU*MnbBK`Y~h2bY6pB+EO{THm4m@nc^5ROmC zMv3wB{7Vq-QoOmm%;V}jR3&V3qVIB9!k?%7WhG)HD ze>nOR<@!U8;~QJTc)oULae5lBLpojq&FgUe=ZeFR{1(DbMEb#6{3UR&K2P{ht7kQ` z`QhU0WA{U?1|Jzq%qP#f18K*6#k}>#LVwP`7U_63cC>(H=93#pcm0F7Hdy;*ar*h? z(T*!H9s?U+DG?t1V8{8GA3n)gnEvVCMSEP0FPMPMoyFIm_PG$AEE9e@`%koCvdnq` z$DK?MS?2olE<`wtt>*JSu%SfykBI39%Us{mkMXdj|A)obU$6}2g!Z@)^L1s$|F$0Y z&*-l@k)9IkFT8#S+ApsU7M5@4Wg&dyiE`nmUWa;#d%*Os7z?jI4#Cjx*T{}f7pI5w zT?|i_xqpp)S4jV$LFLjvbUDiRTZjXB01MN<@@Ggm>YDL2#o@R7HPZbk)|cf2W&t>Yv(JWuwE6Dn(#pziw7~yWg^A1>Mz1Y^_Fdwq&Kg#w0)wRx;`w6To64nUEc;vJm#n|5 z`1(uUjPQSt@hZ8cIQ+^_qFzoweFJfyDa`NYW8j--G2afBnLphAE~EqZFtW_PnC)!u ze}EmFUp5w|XV+BJf6R~VdabcAJlDs$p4eSC7KUH^1LPC^E!k0GJht;?C@1uBWbNK! ze{!C&j^~$SKUuCm@VXt}4c9L-zUBN3>l^21z{30UjwStdNkC%nFmQ%a%Y@&)DugG?gkQKbgeS{{=PxWUJ!F~iM+^_)$ui-me=vk6%Y;AjuR?gTO!$f4 z4&li%;b)#2!jomfb3cHUFIXl#_jfToSth*A`yxD9Cj9oVhwGDN!mo_^CCh~8{$r+} zEE9h6n?rcAV{~!G$WFpu?=vGD%I z^5VKTS!P_>`VXW7_g-U(d93E0A-u6f_||KXUz8bHD={xM^nRop&$Yu|XDrMw!*k#F z$Z5vH@Kb&bX<38&5!n3yi|Y^lSo>c2`oHXbxc&{;7w{2dVS1Vif`7;|{^_azkHU5N z97&d02k2>i9){;I?zaAckf)7>*Pr`E)SIR7 zKiDdyKZCd|r-uf@S6Uhmm+@=DN*TJcpH8w`m|fbqI~D#%TF5f%y7W(TYw!rz&Zn2!awscvBrG?^T!(t(?8*L2#4||>m}A{hkXv=oQs!|INl@6T>nVa z9fl{%grE7d5S}a(eo8ZhC(Ddi84lO2k!8k{$6}n#^pmytcBS|Hdu&WUSvzoEiV z>GMw4IUzk{8UM`qY6wqu9Jo$((DZOz&ivXq{lIytgNC<#qXc+9q$mAj{-;G4g5sPs#jF7$2@rmPyax=pV97_^oda*C)$_ANtD>o-7l-{*Dly zEE9gzoDiNY6MlTWK3OJwXDnZ`O!$T04(TDwgy%1QvObVy!cW{8!jomfb3KUHC(DFC z_S6ubEYqL#bbfNfr^EHhGU@5u7{Zff!Y{f$v=_2W_-Stl*C)$_@9F$u?FZrdWSQ$9 zbxsIRmI=RLdKKPnHS4_B|myStk6_W(ZGqlo&5<9v;GzWv;&==9erJ ze&e@ee!()~d%C``dQP}LS?2mZoljo#>TrFs%=LRZepvs5aDB4O^+(10l4Zh=dqucD zStk6EY%l2l$ui*wy*Y#@%Y^4VHNx3^b>qN&E(aaouKQxRK3V4aM_eDmlV!pmFrF;& zyl~9oaDB4Ocx=ZnLwK@G__0$%c(P3T$Gj(mC(DE%{i6_`EE9gjsUbXBD*Vr4c(6?P z;a?5m$ui-GtP0`DQsKWI!-HkQ5B_KfPnHSa8Xm%vrNUny!-HkQH_r*-$ui-4+CTJk zyxr6BEq}S5{Rvqn{XO-6PoGD6di|dI=a{dC{E}tH!#%D4J*^KN-wx>^%lN;i{W->9 zR=#AJ>-Y3|v#0(a_Q8-IvP^nL+dGMM#lrc(aX$#@A3r$D=zp?Idgi?%q@OGkzNh_dPoKZ~Iz6Lb9r8<- z$!|}`4--Beu1}V^{(=~uEE9hC;&6SkO!%Jm4?XSQdU}5x^;aSNWSR8$^!kgg@7erD zPt(JGkn@dXne;DhhVW#W@axb|ar{7*3BMWnWq7hoc>0Io$uj-7;(33~kHYoIQtA1pm>#f9dZw-l;mI=Brysc=iB$MOwc6BH zqxM#OVRCwH1YU8Tfxm~>CgSf6wXwDFo%o{Y&SQ^#gsc)o%&uZk^R8 z^WB17^wK{Vd&=pzA@w$?qh0j;H+XG`ckmf)(r>hjUi`!N1l{l}+H8IvpD~7AboI|5 z+!*}oz`{0JZ-&Dz;(XHo!|o2cVb8Wn9qpp0uUh`iQ6b+=>}f_mYb5FgP))?ys6E`9ITzgF6!NdgXw4Q zKse-g_PK3#{27j67jZsWe)f*XJ=jZf7W9#PM}c+`_N3mv!3N!=YlEJoj&{-0ca4Id zQPy4C+H9SGW7tKUPsZPI9(3sUI^aK%{T}V2*Z&Q@8oDv)|B(lg?Ur^C_GJ9_?jPFS zp!J9|lKmF#qE~-9=_lwq>9Ib z8KHHr9kOO19B_GJ7BS?k2URlbXt@h@n_vG}`bedmdYXCcC3 ze_O_{;+S?Zep~Kf{_naF?G$al>*h8)=iwN35$BWXA2tR$?A;s2>qK@g#xd+7>`DF7 zweTDIo26&8$#SDz#QCIt3;H>J^KHwuZL;3bF6vuw2h0Dmm!q7Y!n4I=Z8lHGG3+AF zC*$96C*sA}js1^Uhn{v3_N1O=#=X4k`^3iVP?jR>Nxl7Q^Y>VPuou{fbWF#8{xh0A zub&#f$#4DNn#+*y)%b?%EjjMNG40~&1L8kGzvA@>hq}JveL14vFuVATw0=D11?nHh zUgq!QhJ}m>J`bggvPrjdE@c z!~GxSpAq%J?BcMre!=&VPPDy+BXYbK_ix(8Yt#B^9SHZwco%U=j-SRc?PA>Hd$97K zz<7Qi`DOg#hiWymi@%?apW&LgPf?%oUxJ?B_K4?`{@d{w+Se0ke`7?H;{@DK9uv*( z=uywkranjXXViC!@mtad%m3=1BHy@ot(lhNd$5;rbPhD2^`Zx8eHXEpSw2L^O)Q@{NbZGzMK9eKbz1c;l{nw{J_ZIv=CP((Aw2N;^ z$3OBbC?~YPkw0#e?T&WQYkzh0UrqEC{ElKq^d)8&=cnUmI{A$qra$95aZJ02xhqr; z&0ihRe=uCfe+IqSJTmGdVeec0M`Qfr--Y=3Ef-7QM+@SYPLxh8&}V*=ALTdE^B>Bu z1N{`sFC*Fm%dbPc*GYfH@~_%{Ic{j6?KSSo@ece=yLjOI-vRaKh=)<{{~6EQtv2iU zlXem3ll5ojl?b;A-x&CEj=zOt+Qn6Az4^I<{~Iqy!`O|yo}1$ZIHq0PosPe%o?|@v zzkWrI@VnVX$Nv`pzLQWo&QCbBi(p*Sae&CsC#A?&q(Vxd>`!`^>icd{~0gA_?>p~x6=Ay zD-rHyJny_VN3IDXtCUPyX^?JfGB$8U_7jm~UF2<1IL*UA!zE|I+*6 zr&nUU@?4I8h-2EtSElu&9}Mx1L1)Kw7!j}8MJIl{PwT!Tz7uhyU6i?h55+jI^G)aCS#MeYGos#_U3BViRsWeD z<98cBi5Rz=UHn`+{k@^*zCOmEaZQMy?|Ae2uqVsU&SRWwdhy?*PK@8Y8H_4{-_$$c z{=ND)(eLcQc>ldQz6Hm$i*Zl;!SojYn_~PT=Kswu#&6s9lD;12AKuLM*PRcb-Q5`2 z`C~jQy$_j;q@8>0Goqj6-r$Ca^DDj=&Xb*ku%>@CfA*47hf=ceL(%0ej)sY_B;Ki9MNvgE;{XZ^TUYuN0@KJ_)%=)`H6NB_I&;i zh=2LL(0v8v`gD#z#xd<;{5I&p>fa93p%(6SJC@{#@-(~XJU=gdJIWtvUwA`~-8iOQ zY^Bq`^*WUMNtmzxMvm~Q*~OF6`fa#RHTU4#O3&qZDUN9u_oVe4CvpCT*9Jnj4(-;ZP3#W$q&mi{Ya`o%AY^w-5J(|VQ@>mSQ6 zv7Mm$S^LR&RA@gf5mjLf{vWLVZ$aG6N1#VsVrvMFVHaUf>iPWHach`A%82ox*~MGa z`gMa4?-#K5YI%;|!7=UP7t;D=EGN{{Wh_4teSz6U=lOdL#&6Ay_y&7DM~r98E^bW6 zzZLh32FCweFUs)}9Mdj3#pH6>o z=xey2vj5JAI?3zun(eh2#CrD*dR(HEOtjC)C zzX!jajrG>6aztNdcG2lS=RSdY@-=*GWI~QV!QOS+#jmB~pK?F$TYrr8>gRI&G>&N( zo%M%p7o(k=hW;LHDC1=~rd>QO9lzb@(SGdyFQVO;U3A*d(Ws|&)ZY!LzhVRRmv#~M zWdF~7M{GYw%*qk%#_Xcgeysk@NBN_yIR9-#TQs}q%zsZF2Hg(qcR*Xo_!b<~E;`RI z8(xlj3jKz2bA-<9qNA^xe$I0?ai8J*XT}eN`Ol{4JU?$^KX*IIoBf~oLmbmC-kvT$ zwzK+((Ec*64ehTkj!5g7f8KXlZW*5r<;VH&cs^Nws*b-q?nb@43UNP`;~hArU3BIj z`JB+45uP719v7Y;n&OOf{(1lA`Wc^}GrlL>zis_2oKNO|-EOq=OEBKKAji++n0E1! zbo`UAL;6|&zmX&At=UDV{&Ibi^A23U%!qjhvy0CB1M}Z_EaYG09xfZ#M8clT|EQlL zUbNNG({fym`8(Q0=l;iVP56rXjPRw|#og)nkDdZQ{}TP(F>T`8$TiwUoKL2|s{bZj z4gdWS{C!uB7{{4i{G)XIT>r5D-^nrmcQ~I+Kj&%c_uxPBpAkMayLgWiKlItW73z`A zLuuYhET}(OyHPvpLHnWqvvvF55B0eIp-_J_I_vjU+duD<{0&szKQq1|+&}pZvv@w~ ze~bU^vHuZoi2aZF_O$;OqmQ$FCy%#j`%YjNz452DQ}iE)I{#NS{Z-pP$C=!F$?<2# z>%#c6A;#b89t@YfaQ<`JM^Jw--kJ8dIbs}Yc5!;z|FgaV-E;Uh){on4%*Qe8BF-nv ze=6=hjsK1P4!GBd_{Ld{b`kcZem>ea$FK9z<}+g4YIe~Xzjoh>c=uwS^wAvAADCTq zomBW)Q~&s!Tf_VTpMNtVKV}!5`GaoM z6Y9EAe=T zd>HQ!&@MXj2Ww}eovg$B&G9+@HjZf**QMiMcsJsC8|G`D%JHK(rd@RA50|e*yGK1+ z{@NVBjbqxy&!^+(I&tIg!uoN>|G@o(cJc4hdhRpi^B4CYX1p@&Kji#HJfG}8>^TSR z-=2R&w0pCQPWxZcg?PVItF73U{vw;Agg9u@mW`U4%VZ|7`wy zf0(~$iO+`li^PAqC4dI?^%n&eRI}HIsOFqZ`wuY{#kYYiuYMQH}n3R@o5~> zE;{qqORfvLCEv&qIKegxAug2$p@!#X~zv%2Au<`$cxZk0ynvpH^1+ zC)+>AUrpRUxPLGs?i*$oo%;vp`8pm$f3>-{vnai}M%aEn)tG`-kHB zq<$;nXaBPm@n^)ko7qKV4M{oZ`rFZ82=}d{zmp?;Vs_EFf7v`Y`c0ev7SV5-U3B_S zKIibcfzLk~(eIgEbo#$#)MMPRjCv8{2D6LK_<{43oWJM%Wk$3`vy0CBJ=e*b?}oq8 z|7H9Pj%gR)o%TP+X`FxJ_$?#K((Ix$|HS7!KIilKFC*4H%q}|XA53S*nIZiduLwp~DsRR;*#AhoxH|1W+h>XPYx^%n zv|F=_PW!cf7X7yMzasi=vx`puz4T&~8^=#K=ZJBW*+pmkRCWG)&TN!B+RvQhb40r_ zyXdr^s`npT=ea$MpLqYab)X-D_ai-`bN^;JGyN?8j7YcHMJN5;zX!ihzq=Yj)9#|EIWb{a4ukA=Ve* zz6rYsd$RmCc87R3o{}TtHM{7AC-f>-QPI6V~s!|0SMJ`ft;oknT;F=7@BgUF^g3vz%-H zBbLAT^-%sb@qeWAzv-Ef?#&$ko{e=!lIf;hbke{1@~FElN9fEhI{K>PKkAu(&Yx#w zzG)Yo{Bxd)>rb4&61na~yXdVySv+We7JsC*JH#(~?T`DMxPHL>Pa@Y1XcxWp1J0AM z+&FKN5q>wjSm6JTy&?S>F>YkOIz(suxaE!*@8dZ#UfM+`e(uv^y19QVBXnjL9X;TPj7uPq9GPy~MJN5d|JFYd?!OuTKHPut8@Kix1$(mp zvHg$mf$iTE;R~~iYt#B|7sqsC{6_z8Bav>ii(dNC&-48eu777lI=KGL_eYG*{)O!` zLcH6L%MtOKT`Y*djx;m=j1Px+>!S1gR(1Twc@D zF0+fy`U}Ue-2cq+d&cfCe&_z@c)mFX&0{cJ{KEA&+yBuO=AT;PwlM$H61&p%$KF3e z-)rw5iTiN;dPFF`H?7}(Yb^Iib7Z;GE;{AEPuvY&?|C|JdCq_aEVXfeYH?d&{(o-uuhT5Eq}Dmo3Q=&y!{s zo#$t+^EG}D*8jwgkzxH$ggse*Y@U4t`g{1=_AilZag2JI(P{s)&O^H4=ULab*@^tn zF5-ML{^=JY{V03B|B&%I9Mdj3>wlalYb*`(XBl4;=Fb}9(scaHC+9tw|BR?hW*43L zj|DGB{P5p`b903M%q}|q<2nu7J=bqCqTQQabk-lZ{?Gcy{W~J-9qpo5|E!%ajO}0C z9oxUSFzvtTD-q8hqr6_5A4g!nW5L5RODz9Jnz%Zc+oHg6+d6UvYC zKk zju(XYcRR%0Y5!OKe#ueKAl{E6zF9e9J=g4_^ZkL;Zc5z%f{gduM zIK0y~>G2%@8ppJYAN2LS?^B=gvY_Yw3tk8IWc}khIrGo;^Nh&1*+nP+(>l=aj>dfR zk{mIfHoF*qqxxXuhpPSGy#3+6J@45ZKZgC_w2RLD+xFQa58K{|`Ys|bW*43H8wcvZ=Ufh%Rf7ST8PEkW%xqgumzA(G!tRKujJ@{?@6*Ct3$ahxGP7b z+w7u~e%6!b%R>Fh__k1gn&QjS=|AFfr1K1n7tnuayb{N>i_ZQDuAlI`X$7nT zy9j&Ie+%IwmfONpazwt(E_(S#Jk9wb{){Jv_?x2h{sQ|+iy!@GM#f9K=)}+W9I4~` zj~SseyXfev_CNG84$D($9Scwaiz}#nfE>VKO^t^w2RLDkK-51H|l>z`(SM4ZH?)2;(wjfzI!XPTcwR*t%!v6+vy0zM`=9IF+&9Jb?~GT5_3ye^(EhpZ z$@ZV|>CpbT{>l0Yd$Rr8`18^D{1D0KS=z;>^ZaM}g;6i=4*I6(Jb$qMReAr2^C#K9 z&8xyZO15wFjxdjc^U3^M`E%Z$2Ew1+MmexKP+F7uqX9Z?;qUX z$acg1j~USx%q}|Z$Kt;p^<*{rG4UU8j5^R1uTQ6+`|mB@$8%)7w2Mys+^1E)2J7Xh zzZt)RW7@@Q((zXvzwkMq{T849Gos%zyXf>^OHK~?UUE^6$hX-=C;xm;lH-5AKbaBZ zezS|t_@B?O^gs7cW@Nmyi%$IfPD2w_pWkoDhw2HbBp<@kOa(=IyuPq=@S@pJ!pM#O7&(TSh?6itV| zGb44hi;kY}=~Kt|_cKCgcG1ySegB8=DKK8XzmSpf(k?pjFTXJAZpsllvx|)#ovqg{0LtImtMYjcFo?4qMzJu2u{ug?)Wvx|;?-4p0MF2Q(bLXMxu`ypRbo6V_jJj)bgwE`u zqh~)=N7=Fe%6M<+zxe&%cs^PG_?-}@o8J$~hqvtpwn>X1X z#u4@$O8c{69D(!6^jBT~;&?!Bhqbl(MkW(`)zz|-@oJdncu9<4P|2^^U81GX#GG5w6C;qNILC4?wq5q7~nO$`BGxj0P@8-oh;|X@ zll|A6+cD0ZhyAWU%<@azU}=9k@E`zfGBVw?i%$A&{{0c$e^_rL`Q1L+MeqB4Ro@?C z{jLuU^*`gPQ1AI&_jo>8|JUut{2|)ex(jmrDUN9u?@8Z3_?}qnt>`aXIer-ZH|^qE z)B2;Qgn0S=7pB9Ac+D<4@iTwiw|c1WPjjD;mHSOOqTJ0cI_1xHNl25(eqrk;BT!$zgLWvc#qk$#EIRwQ z_?|864d1`bNWal8I{veLa@1cN^(1s=7ajePqk`_p^*KUkcG1zVy&diL2Fy47Fh`W5 z*~J^u_2qqqM5-Ld}{KNI@@ zGVedmIWxw4O^%4y?4lF@+(AJ%cX^J`nO$`BHhx9@vH5cmIr^C&UjfEKXd<8JfG}8 zHr^e6H)`WkBCazbyuTFa|9;erUtrvT=d77?bHuuV*+pmlVAGxu@1{$|5I^5PV*K%Z zGX0yL3A)X*#Hf2lG<#Ct^(pjoH{*HlfgB&kG3}zW|9$i2G2Yw65bx&8#p3w+{SwBX z@f{(4e!qn2fIXT1E%QUXTTT>1ynKI#{)^|6dS0LF{)}Jby1&I6>8=0U{Re%3t)GkN z3(PK_nNI)om8dt%FkX3Wj=zCp+QnsQ{gyjoz8@E3zV8stp3J{JXJWp>oQ_oKF59OJ!N4DoK`brF9&pNxO|jG)_o zoEUW5XNYD`>aCuCHu#UfqiF3A^I2vWo&7(&&o%xW<&CAnYlwfIuD{!Fjp=?= zjOo5r^wYoNgrM7Tz8G|Te}w*v=ac!jebyLv9_sgRYp7$qfAQXv5%(>#i_ZOvYagb2 zHb?4c7acw4Df#;W_Iu@#{M`VXzl`*LKY+iJ#rW%2g?V=MupL1;A>vK77L%X3}bms5u`vn+#^84%DKV`fU@4w>S zR~McAQ;n-*`tQmS={CFQq@UlIqW?Qi$q_oUi;mvbUr^UM{;P}Uhw)!sJR$9W&eQO_ z$(+B*h;f|RMd$lN9e2lcKb0fXO}pr%zlr+G{PVXqGD2r|(bGQ@bgfxAQb)V!=sAB= z`)T<8i&&o)zW*Y^p6ow3PiNnqe6&sb?j-D@_x;J%Z0XK zygHr!&iNtU&J%M)yk-}j__B8Trv1m~Jnqvx)bB@E?Z1ZH8uN|zNB<2W znQz)fC;vmyXHqv5ePu@I%r1KR$AZp&Zynb$Qb)V!=+|6^ejDR_?jOzgp0IDU%=;I^ zE{yTtlq2FbyXeF}yesI2Z_5!nvx|Nk#^RbkwbE%j&{+}k328xuFVlTvx|>^H$!T-UC;)U;z+c*hzfb+-MI0|*a?4mP%;_pN<|D)FDh;*A>bkaZS#;Ch5 zN9t%79sTGnK{xu09HBG2=;-Zhq8@Cq}*KyuZ;adek*b ze{V%>0WR{QS416QvW2 z^i|S71?l`M(tAvf|BhqY#jiT)XSm@}FRqDt(fR!Vi$^+9I?>VFK6^Yn*#7&-#ta;z zUl;37r_*oW&qTb3`uiDG_aBUX0>1h%_KQu(5#?ca@x$r#+jo|6ud(khi?|n=UEG(} zbN!IzKmI#8qTJ0cI_2N-cJxz-x8sH!5wF=rC;qDXRp*6ymsQt_xEaBh+&>&>_GJIV zeSVxb;{LykcjB0K(V0KuJ~{jSkA*p2ANJ2RMCbQEs=mLndQ`~w>h)sCH}^j?|M7e> z{}W!0a(@$oo}1&J;h1*uP3ii>aCP{P`i$_K*+s{H+-J@2zjOa}#^1*N0ouiN>G(N* zZ{8l}A2R+h%s<%o6T|sr{<+Rre`{EO%!u}AcG1~?!1CjFd-?v6$nW-Axkh^5@3s9K zXNTu!em~W|1B-Ek*+pmkz;Mhrbs3R=vy0C6Bdh9{--~!34BtNy_1$0*_N4#qJEN$o z_We;2<3_WKh++)>A8h<+e(H*T6ESWyyXcG`SKk=h&3z&gfx5{3`+;Wfv-p|L+SMWb z88PlKyXcHR)@%vsUUP;R(#`h=nErS^nSR@6fU&FXKM=1B&);>?nSbOs-M*XfT#ie^ z_`NAQ-%nWcU`Y4cx){@qI%Vk(=acDQduG&KBL*GcU!XpoPwKf(n(c=BrZb}5m|b+* zkF67(hW36D;u9~!@yR$Ao$qf{-M?+mN&De%_}})ykk1DHXWXA|Kc+{&V|o$&j@d=0 z|FQjld$E4^M)*&>2gmGpMQ8tI)$g~g8x+cY-EuLMJKrB+`N#9g^5;4^zn{eQ^Nef5 z`Z>Qp7tbg4>-NTY?-66X@P)-6&L{QOe)a_abIzINkp1rSrJ)>PPwMSEO+OFgKdv9! zIB;eh|3!N1$NWxO<3s3g9?kJ@a7?@Sp>+J~*M@wrKTQnzUJu_f|M7e>{oG%bz4!mw zn(f2O-uwR+j>Gw+-p1cMuzvOp_)UBZjxqkX-;X4Bq|-lZ5$^xjV87EzIerDlw2RLE zPtFrGF2j7meL3C|<`1}kIG#_YfBpXGw`axZH?(Q>Kj{oaagV(9l)bcufdw_;z^JtRgQ>N4+N@qF_B!MT6df6mosM7=k==+ysS(WC#h z_(k-)c3siwe=VGJqI6=BzQ6O|&+)VWp#L+X-=tsJ{~DeCGoG)c&+480pn50vasA8k zEk7vVVjt(f-{VKWYvnJZ-?efTo&MLtNheAtI(n}2aooxEzl^tp^*@gPn1Thio8~ObrjvwRsWdFPJk)T^OM2xyeM6)OLmd*<4 zH~p}ff06Bm`4+wQ!*J4x(uoCn*8dl4`B(M7?LR?TSK7bQ-}-mQ^oyUt@fXm3MQ8sI zzf-{S=l2UTqTQQablQKf=rL}v_(hBx?7E^eez0)TiPDKh`U?3U^_$i|)keKHNAzE2 z7oGlV$&;bqSvpA!{SLo>$o?yyPxe1m{Xg_0D0ld6=-=iDznNWh{5PP_`AvS5-^9b+ zf2jXf{vz7Fm8>mw{)F+{ z{D;VLr(N{QzZdi@H^who`SH3ee-QR``G@nB^!=UxevQAM+rO>zS6TlbhI)c=Z-4Fo zsJef%>ifIBqKE(N{b3Q$4R&48d48~P(uvZEzsh>ff1wWA{Fk^o&VPx{{IkuINheAt z9?trohW1yf{@}iIsPC`$yZ?G4|7tg)+KpJyezijwVTqXU(Rzi=l z_psOIxE9B>i_Z7E2J|_<$&d1zc)0rydv~n-#Vcd^i_ZH`R{qk7(uqZS`AwAH#J={Q z(k&|8Vo~~~6QvUmWBtOoe}7@zzt7(hW&g$B4-NGDuZ4GqdDn$ciBWgA=<9o>{>bm5 z{4P5FKWa}%_feOMA>I7_OXfeGPd|Vm zFPi&1xnX!fLj$;m;tD%;uRIISP*{)zPoGnH?nzT%zq@#C&wRFf2-S?_>OY{$czX z@!V*3(RqHfaLB*uMdaJ;qLcq|??(R7e~kM~j_9|{E;{{JReja<^Q!Sv&wh*f7ukQ= zbt7R z<{jrfE27_;fZwm$FPc4Be)CTcy7^a#K{p?5iPwqe(|XAG{h$R4#i)B;G<#Cd@0?os zBd&~ScV-uz_E%L;|8xD2^(W&6q5jzSbHe#PO~17}d_vwTH*?T_K46MLes+W#C5 z{;&TW{T2KtHf9F@iLkrnC!Hvr_$#m99Q`L^9K~|2i<=AlC!Hvr*w^|G$MlPM4!87+ z&i9iooOGgeVjt?c|DkpX_KV>C!Hl2BG40|dY5(`P{>V|G-#>D_h;*YZ^7lUj{r>;R z8>8+%G3ssysEp#L&n6w@!B?597|={nMh zeW{;+iPU}Ftuc_6hEy?kZaZJ1TnsoXt z+!v!>{MV=#znIp~elYY~bLt}M9s92bMZf==b7s_CBL*FRmzCFv=ab`)s^6cS`vl5* zHO5PbE8`ygemL!-^ZUQ^rv%-6v_I;N(3xFy^m7M=e9v7jhJ5q))0zKxKAHcyd!z0i zG3xe;W>4zptqr<)r-?zwaV6uA=ac#=_oJSn-b{HeN7Ng$i%$JvxYp>P&vb==Tr~b2?_}yfdTgIP+^5gfD*l+$N&T#RGdMd$Y`8Gj4o9;QFz<`92NbjCl7r{m@je@0x> z?4tAg>s{jzFP`8% zO)sL{%`ST7&v>L0r4t?f#J7iZPrM;Vq}%MGlYR?_cug-NUbBl%{Pz5b`@H@Bm-uJs zI=_$lD?00kGk1l4cjj4Q=yzxC63w3Mf2!7B?mwyj1nE7u&Bjz5!!F`{GX4QQ{PyS3 zf8w3Ne|6FM{VMaHbfR?PfZnfv@|!5XiH`qfJ`&p9tRZ3zg@@mBc|`Qv->mbZ?piVE zW})xrb>jJC`ni6>d0T$}J>%zbOuOjJ-}bkj`z*Nb!TlFvV`kWYA$sc{1A6!k&wb`U z5zl?*7twkCvvAUh(uo85Wc%a(1I`n2|3XIG$IUJ}&+h~JoZsX}`As~`{l|G~mcLjZ z9?L%xcDMdWC;q?Iv){G)8_9l`^-}cuU#q__bv^n`^Ph-*)BGYj{ilVKPLxhOjP+dq zw0euNipctF>!OjcC+mNI>wgveZ}%PeKO_20^S|iypB9e&?n_zEcE|jSXm{op(P@7c zPC8LKu@Cj*r-c1i<1zop{a2*z|At-k_HXrup8Mu3Uh$ncX8hu-)9ruIbqKfn(D%Rf zyZ&U{C$B>Ku~wJy4jj`iUUit~AM=;C|4_udrTJBK<}a)IpY??O7VA$&^jl^Zo&L-A zSr0?q$NXJ??BBNii`YMLsK38fHUIsspL9oTj zAL{;V`j6ul)<2Qs7xQbRH-0%B^jW)6J5sw5o%Yk;^`~n5*8iyA64h^sMg5m_qIBZn zuCH+aWc#b~{!P{Oi^=i(C6g}-{l{e7N7-*o#{DzU?>~7K3ie#iFl2@~24WHQ4VkEyu4Q{j`hD??2DRJa7Fu z=x=GWF(1dUi#VU`e~-Ko_s)9}-+ehgjbqxydmTOe-25GchyOEv0LQe8zmwK8oy|9d z^k>9+xY@-wr1kU@*WKyAj98B{yZE)Vp8Moln0uamMw^|3a16VM^GW|roe|1?>TzNy z_o*{Pzx=1(8g-9~QFp88>!+O%bkoikgKpXhqOa%o>$v}z-`~slm*M+?HSq)K{G0wq zQ6I^Du(XTb{@?!ASKa?J?XlqZ>0`v`_s7Iy|5y2bAouw+{v7*!mgjgU_x<2lbk?t? zUl`MUlNi!H{X(&i)4w~k+qoCyh;}=dcF}3S3|C)`@X%-66ZE$KDx6QYU)H}W{^qoK&+%dOU$l$P{sX3;i-z{knO;Twq$nE`Tl&b==a5VMU1=c zx}r1wws6vk(uo85WdHXoQGTkoqUx>K*Y#KNii%h4`%z_s{M8 z=}|9U5p|;T{eoW6S55y*T+eYk%ReK=?JO6L-;K`rJ)ZAhJ)RpZ{gHfbV7f%_`GNZv z>)#CfZ!`Wf?7yvx-%Q^>ZT}nC;x-NaMG zpqtn&7U=Jex~IgbyIb`2U3-GA>ryf3x-f@9|Hbpk{C7PQbVtk*qwX2e>`8rVN4U?n z@chX8t#K+kiaacHNU{~Pygj(6gicF~#ttD65w^Ml_fohSysPns|K{;yj8Rreoo{fpnN zJJj#DQD0^KjO(9O_RsXUe)um!{zuHs5%Vr)7oGW+{?-qS-%)NFcZwQ!ihVu)RJ@|% z75h5=eJ|bde{)>8?>9H)xD|DRcG0>2_qV?4^H=jzq2FpgkR$pnvx`puHK5P=4eiMM zD8GpX{^R|Zx{R22q+j{_BSvTb(asNxdU18siO&3IyneO#U*zfM_lN2KjNcFbZ z=|t(o0e!mvM*Xq-F6v)K-gjviz58!(=y~5}{37q$ysqfozZp(CQ95xzpDh2egTlPc z*ySSb_Y?5`(;(5GziDEglJC7VFU|1|9Mdj3@4r;Ne=pz|1^Y)Aj>r-FM$Ild`$zdZ zoBaI>{_bW*{BDKWMd$Y`7_RZQpwGzb(JsC%J$|a1f6kxr{%QMmMD*Kc7oGmQzx9JR zg!B)7V~$9-*+nP)y`sl`!{Qfl->~b7&i%u}NheAt4(OBpPp_08>V1{^!*Mh9BFE2` zu1MJ3^h+o9RL}NXCH({XYX0N+f&R~kaRbYr;}4@Veu(D>^cDP9$M*;6|BQ=+-|M3D z{XzOa)9E_WiG8Ragu27{2cfQHM7(Afo%p$aRl`1SzQ33e@3WX){J7Kq+#hsoz!Q1IOsb>=luifn;5%O zpK)!_H^mX@`ahu0`AvS5-^9b+e`mz<7q5)vFP>3Q{?dukiA8$(O_blnzV@HeEh^n& zQTnA5r4tAA$??1GKiD1mPmZH)9|N8{%`Q66p9A{v+-`n*vHB0s?ftrcMSc@i@5H{Y zfBl{R3h{IN#dgbmiy7fJvx|=ZdPR@)Tl^yCeeAlTGyh}Zq!XnR2lUDQyI0DuV*TN~ z56fTV{Eww85_UKJ(uqCQXZ2qBP`wxXy8bI(QSpj>96#5s=>LpZx1#^Ker0sluj2V? zddvY>{37N8?7E^ee`MjL6QvUe^y&6=MnM);|(-BZr7l_lW50N1hjT*NQjz(qazg(x7;|75(QlYtbo!4$Pe$FO9HBG2=;%k?7}I^97}I^D zSdjkv(bu7@Ie(lHb&>PO+<#LsE@r0Aj43nEqEP(?%< zuqbkXQi_@)aw6rlfVW7|Mrti0T8zq}98iji$fK11`+j4*bIt9^_~zVM$$!VPedPIN zeRI5XjPZ@@9AnJ6Eu7*NG4D3JSQfu@qIBY+u9x3L`As~S{#zTz9qj+w_(O8spS1wjTY4#V?}Yu=qu%|FCedbIz3 z&Hqb1ewCZ3audtSPdZULu|&^xE0({=^((7ak+3J{9}Fj**jGK*zxuWQzr^F`xK01( z#JIW#%OvfeO$(W^fUC!Hvr=;+)1 ze${Wfel*G)-)GH6qpU@KM~-&U`+jSG>pSO%c~9plVwm@I&KJ#|od0y*6Ln9BQHQ-d z&Y$A-q@Mev-1i-RZh_eMHM{8S{|?ZK6g0nqI3SA;dt(!`kbqRp6CDLbvOQ8r~61JI_I|s;xG8Uzx;=D>sJ0E z>V%bx=$v1-aMFp=iDi1_TU5Of4|e@gx<#d1EK9$1qIBYbKG}baI0E&5OO}l|tw4-r zW*43L%YeS%H~CS16AyL&VcuuuFJj(jSobu$=&XOT{_(v}*590X@6+s}^Zw_cr^CE&@FX$L`<@of zo=pGXOQP;}G3a>yjq}HNJ*nq9DbLLf*;^py&Sn>#^KV0DMBRx6Qb)V!>O;DRoG6BL zQ_u7dnPK*1`ni72=g0j$KX5?w=r=5W5&eeUS9JOh3n!f@oj9OBxaBXui}Jfz=Kub# zfBhZ5{3gn8VwwM>6QvUmtiF+TjzEu}EgFTsW8!Y~Q&>NlbbE`&5!lOW(5< z{m-;4TZ;7qv5CHrb`kcZ{^*C0l6Pm>n$ugX{Y{q9F5-Gp&-7S-4}{mcuI?}b@5yVfG#1)*KU^Pv>bN{WzIG#Q~9Ppm_M@fG~GXt>0vrWrd#O`=~cKu-bdx5 z^00D3xv0G8Uxt&PV|t4DReG(x%imTG@Vm;x?6^<7p3pO0A#I!AA+r3#zXR>xMg6p5 zealWlc>K5Ng%&%H4gVH#y{MnsOTP;DN4?6<6!GtI_-~bH_OyOa)IBdo-5$}`*R}^; z?MgA|YTLyUJuWl-^@U>8y(s$n`i`i(PYk;H4$;>)dV;QTx)^kg9pQjuUB_i&(D8eXEXQ~~S$_O3Wc_li?=LOz&hWj+x_EiI{_wjt)OTN7AarII9eu}h zA>GYcVodjQqS=$_Z(b2~cZosQyh8N#+^^tz5%)WC;@@T$o%zCm9)8;y{U>6b!2BXQ z>jf50I#D`tK%cDt2c-VV@1p!J9&G==gxg;?=2blB(EV6}SK>GAqI2HC!gWQxxFYIB z=e)on5x>$cD&1mP`lS=46CFL<56{`M|IZ1X*~KzF%Fpy7%FXnmQ+^gsI#D{YOy6$$ zU&8tW(tcF$MAbXdsXumJq@BO_i++NCmyi8N&d-GQpNW?`^SA!i&q2D|$$y)Awm-&i z=Uqhnon^C&&fi<^2Ys*gqN!LH;5yN?CtBn>5$&S4UNrh4JU_n`e_!z47MnO{PP>Tf z#ra3C_{W?RbYoxDB6YNjp1%7QJU9Gb#D~64Y~mT5b`kbu{0sJ={IE8(@U9lQ&P2P2 z>q-48#{YV(k1_s8t|!ng!k*OA&$Ukceav%PtS<}x7jfOyv;3$RRc@kJe#mv!c^1}3 zUREH|Zg#Qvd4XQ#clZwE^T%0s#6>M4I~naFt|!yK5&6$9#`*(%F4pH~8SNtMN&UL# zpt~c>)^oirvUXpV(JsQC)Gt9?+23Mar@O`aE3%As5!aLY#a9H~k_%d-j&{-0&prV< zqy=ZO{z$G<(k}kmdS$Qj zACGksu2)Y${~>anns(7!uVy%|6H_nRIxp{wIuWlY(?9nX)Vo<(Ht)(7o8ypw*hO4V z>PPHEJm^10UfUx34cbMo|2XU%r2CRAn}2$X^%Jv{WG5I^C4c5^~TZ_341dAZ0GDh+5SbA8||Xke;&6RI*gm^ zPzS^s-e00!ggqJm+C7K|^OR#y2gJr+tmDEi!k*MG-Gy+cVZHW-7U?(IMO;tnSDue_ zW4~n8nJsdkgm%%}FIjUv(v5Lr?PV=;+@M|b#*c|T&~d+LQj4}v1iR?9zj+TK-It)g z-q#}QE$yOLe;2+89m@akCt75=(=K}D&wjdbYUsbkCiYus7hzBOf8q8R?ys(R2 z{M2*&IvjeD;}-3rH-535VSLV}{&kBSw`mt~J(>P-s}Szr(a+6lv2!q%USSt;J*n6H zf%7+}gVel1^y04#LU{B$wP#vnzeBs|^*{5kM_BZ?3odJsey3f;^9FE){X z+C|ut`susS@6F7znX6lDVmzl^#Py_}?VRH$+rLYM^!iWsv+O_F|BCE4X&1fzll_#nd$b$T+CA)|*Z$c~ zvJa!~qy32WmG}+22z%0hV^Po9{>P#Hh-~+?i?Ap4N9;zr@4|fZ#1=Vj(Jtb;t7pBV zUS$2FUi9i;mSKNnFP|So+-C;<E}F!`R4pZq>gsc)7v})_5U!GAL~Ea{MXFp zAEHZYC7qUm54e@)-(`P0S;^dB5Q*pHA+v>)0

    ?yhHCq(W9Q-D>>|Mx^O~|Mq^>LnN9gvVApqhZy}!(b{hl5TTQx5EL1TP~Kxk zON61|zxe9qjeQC5PU7LWiLZtGGE2UR$9lLd>=LNqD}(*_ZBgU;<*;tO{zl1)?OsN$i zhDdiDeKhEkh~z_`Z<~v>BKDoP?ZKZP5ShuzyI#T>VF&Ks7H>~LU}L_A`XUQ_IU*Mv zX=C6iy2D~=Y8Twr2ERN^!wbg;%q+$Ea0+c)Cf&Mj&xWKXpWKOSq+h*zqH^KW7etoI?NxQUOJ=(UDK_lk2s$w3 zwj)UszF}%Tvag-sSw}e>`pgk-5%=vsha|wMUY6hSx$5uQ|3}aNR~F|5iobVwe``O#YqyK#iBp^-nqK;h^C7~>-}|9WdjAC!aLrRxF6W?N!I(ZvldwA~`^|3!m;)IR4HIMWOxW9=gwnkI&4cYhWGW%S|0SxxSCEEl%e}FI*)YxJml0+jI&_Vb3}8iu!ZX75}DD3?dRLnza6Q~IS)5}HzXOH=5Jli-Vz;0 z_v)eTB=2Z*eCQJ=!aSE)5Es1Of+7K`-GOG_C>~&uKE3xOO8Me2^oHmZ9w`+q(N+=` zNZCowoKTd#3b5;nQA7E;Fs;}?!q~{?O>hl4f%4_`wGo#zP;qW(p@fzl6@l!0oQDV# z<+zz3ZveSF-B98ZOC~=j0{PYYZ!1tz`%Q9@hurrUzdh~nzlO2}KWnO)c$B|V@4RSV zjfzb1mcSKesFdr}b}6}m%1=V|6=o%$HeIC)7XmX8Ve7&2J>Br-b4qt??df=+8*!_ z==>U<;|tF-JzQdI-@!}J>VR)$3A`!gEes6%;9YH>dhgt6_$W9@ZPo69&uhVus)#=L zUVSxmRQnNpn-d=zrt!mXtFEB1qa)0gz4X=C)nGkx=HTv!LU7%b0Ql8Ncb88w>?&))no(bvb|)%E-`XXr6_r#>tg+_N4&*VC03 z`$Ee)#p=wEcRZ9~M`Aejecuh3%13 zD{SLL;pjF{(|1JzuH0{2fAPPC+vAeY?VP&s5O1+O$5IT>5TYzr`wFiT>o39kNiIym zs<@12bMW!`?!Bq30KSrxZe=bcgY@=+6ZR9LV&0ue%A!{rC8qSY7wr{$T47V$kb4PaGhX>>Q%L^Nx!!y|S<)oh# zyx#s4Z%bVbAEr{=IfiC;}+p-!+CGd5hM7O^&F+{{{jED56RRKatOHH*&IBRiokH& zYvP>DKi(VFP_R_@o2+$Dez=lw@V){MgKg#vea$Q|;CLHD9^N*WXH^E=0p>8OZ@CKOf(XrM*AX>ToAvD^VO)nh zE3U^NsMp5%%c}>3$sIYs#=e7OCS85SFLDE(`@?rv&tHIB@5qJIl>BJx%FtnzJV^8f zGb>rRh~A6xqFRVVF!B9QQq5f<=U&ORsvjH$$nfsceZ5TdZ%Xk;w=lPpsxacHSdjs$JNq93XAt~pFOWldp%jkUr&vuC_3_zq4+kIxR=$*goPZ%G_~? z2tUqkdAJ{8EFYUpSAHb9wZ4rUZ?xdAWF8f6p9UX^Lwp^lB;c8RS5o&@B|5e<_guAz z!yWoQJ98(JS@ERkmt-^X$*5uS|nOu#-r0rS(G7EHI;D`wRhT^@RofnT1uh0JP zvR8M1CAmNkZcG0njPNs&=3^UIBgKDv^I~@n5{%QsGWjMEv&i>^&fW!4YyHMW1Gq^3 z?vWfh(ZdKexh|5GmxQ3*5%SvV#_;=kVXeoC$=|jAkDmX}R4!8eYyUIL`(M}{e?A+Y z{M%=J(IS-@TSoYiSkN%}RpS)WnBvwupA<%h_9wOm&VFPWH8o4JJ@{j;zb*7!BXTQe zO{oP^kx%<+VYNaH3ij@_(S1aAFno5e_j$y6)5akTh&+yc~QFX~lgs-#^(QbG3+@umkbY zZEX#7N_0cc`^*vsjb-FnuZ;Kc4nY3nc(Lsp?a3YESB^SIG76Wsxu46vfTCgRPc_QA zD9&zoJNSwcCGvF_!;>gbGEqFJ=6V37!l_S(f(lS-PMN^y-HSL`{qbW*osm*Auzhi7 z7t+%=G*7cHBa1O}bqXE1Z|_Vg_+q&gxr^)xJxx8x4`=Ey*;9prnI9X?n+PL>H^pD$ z)(R9KROQPaBA?%u!@60^M^WQZ4RbA{rHHHO6;#L|4#+0BI+}vR28~ z@+W=(QwxpYPsfpFn9-m^)k<^?tQxD$Op#sobk$GkBBHI`6n>DJ7Wubbt-08IP^h*| z`?UOC6g^xPSgaW*{=~kq$8jWUb6o(BFY6FW1DL*_<{~Wa+3Y>5+E`I0H#X!;)q%3C zor zA5(r%WSrFCZTh*qB9y$n&KxM&OZa&+&tJFaW=18y{B!1`XO|9}Ht~V3YR-}- zyXDQ;R;Y3UrHW=dvgfyuJ;8=^?kg;b9)UfLk}d-kTEi~`&CZ}w&_du1F666>wVHrL^zv0$NSl?xR;pjOI+k)kzPY>n7{>rB7&(FETp(9}5 zI*D;OF?m%)+?RthZ%Io)N;6!xyw+rAorKG`pBF|3SHM-V;pRIVl9gF-QA#xI09AD_a{|qChTO z<9nn&zPkyxRWWCV*VMz!?CR%BOd@dWaJ)Y^{1EPJhZ@)q{e-*Hdk2+k)i7>Je|J}& zFewf;RIr?T2diDRv+tw~Vbk9G4X+Qvo}G22jNef>a?NP1FVBM0=Tp0SxjEtDG4|_8 z@eEweUq-W~E5eP*FE-uhGTfp{t~cx$fjiSCz-TR;JTT*v!L|PU7W-om239zx!HV+DUkoUZr>IB-!rj_678e z9q|6uA+Ia_2tNL$4U5+G@TFr`+L}@eU#p2JiIs%U+&6sBOwAvDf_WNRUH-po|98*- zpHu%I_xb5?9&Rl}Er+b)MR|J1^T2f$#DBjl`}Hy(a+IR^8(eoGYNH z&gxg;`_;Pp{sr>AIia@G;!qGgMwV$B4n0O&&$q*FnHzCC*-jv|PL1$e?XK=IO-IqK zTW7-bCy`4Rp!H6T+elzb1N{2d^-8Tc1fNyWqN!h4;F&H)dsBKF+I8~UbEpka zXI{5WE9wXF5muZ$KS*YhoopOr`$YE6R(*oCzmQqfe~U%sDbmcZUK4wE2T2d_EIqm@ zOLAxiOg%Q%BKlgi>l+!us0h}vyni$jVZ!$v6Qv3eY<<9|=vo{CSX_1l9~FV`-aW6y zzzDD0V`&dWy>XvMyO?d)e$|fq-?l2EJ1V>|y7nN}55R`U2k4803AdPo}3d+R4 z&Q6AtyuZDVcjj{|A;m;xgd@X{RLd>#!fO}e=FP3op1*+T z=QM7{YpoF}=r^sY@f~4b)vcdgSc6dNr{i=_uOhJW>AI~(FX8vtN~$D(A3E*IZK4er z(Zn_Pq(og0HC;8!fr{5r-m~SK9zE#;rmt!kP;VlA$jvfk3X;)s=;fwwJzU7xL$$B_ z^i`x*E&FbEq(qW(M~drKUBrD0eZS=}A7a+-@^)1uIZ@G>?=M+IA>5MXOkbBhLgSP& zpZJ|a(2R!C3kvJswf~Qv|Ibt|GSu5n4)i~?J%rPH_MEu*z6*ya&Qtt1aeAY>H?D56 z8bOlE(FM6v1;iVwe%f0_2O0g%Izu1Fko7T-vu=(VIn~zlHF6{uS2RlQ#b7=16G~6g z=*gp?O)$y&^c6C9-uV7Z)CkeAaEGoP(L=Gx?x06M_n?Hm2cj35iMAx^ip^jTO4&p1 z7QI@JQhASRpF2^AUDKi~>$M5V396|l2s0vmlkmze3gK zEZ>?48L%Xrytcr_YlbKdH7cN$Fd#X%l%xB;UqjsI0d4M6V@N$Qv03B;;nA!+8}(%6 zT9Qw5=dA6USmfk4g@umvBhR-o$?F&K)bhOiM*D?miG!!4{r#3u)c)>fjdl`>`$VYx zR2@;$Cg_*Gw~Ng48@*eHiQg^jPVaCEdB0ixQKJcxQ*+$Gt$qA8%Ip+MjoqIkX{+58 ztMki9d&YD8L+=A*mWEul(iuR`fj8bZK9b1OqH$mDAnfW3tqZKNt5CS$YjLJRn|yse ze(vWbpI>>FR8c6&`O&GiA3Ap!rEf*v7M9zg>|*^({+r<_D@yV2zH=7klm0PpfMZQqjx$lP)A{la3|%j%^mo$_^Co92G{n_<6RO&-N(qFrnMw{}2@?f;u=a zJEJ0PET{D*A1W60>BL+(P$^Y!IX|+9$}sj#29tWob@x#%eW#0p)}soPW0UYnT7h>#LOrFsP*nFqp3AXCRLRinUoIf4(m8pV!H6{+&I)#^x{E+Fs{E z7h?udTGcSjnYT(A#YwzUwSuPei9MM;j0(^pPnMVv&K_2i8yBgt26yx%#6C zFUkEW;-5ZM_YGE$61CzxLSfzUf+@B-4K`UU%cqQ0VXKu?*DFHaXVrmw6P|OhOL@1N z#hM=W+uxgotB1ortUR^xmI2WjY`*16I6Yb>YRpo6H=yrxoK+AvJ{Ojbz8m%)5@c8rO~b69-3EldyX{5~6COP^r#;=*Cr zu{?44DKrDSGvQf7GkeHIQLKAsLlU+>7p-(p+-3yx*@;mCFtPW;vR_Qa#) zl(_E3M*rpE9>>Q64NK1kK)BZX;~%THnc_udrFx@^WiU zgq@+o4)(}l*cUpBE7S(Vq5a72En*39Y*Jp?5%QA!e_wSUQM7=w>gMBp5@B#z85kTy z6A71e^GVU}M7Xk09(Mhy1y>oS7~SJ0Fb~Wg47Kxz)ygKS=)SwKQMgxcAoCS=db5-o z5^W@R=Umd;{x5L+7Pz68L+z3sk?!_B&u zH9kQYZg1?q%?qc({Zz;+mEFHx6uAeq-%HfzwZ_W{wrRR5(bD={8|2-PARwQ1PO;PLfNH+6s zRY%1pdt|O*uB%HVOtH_Vrs^l(BXMr~vncsTh@)kSZ%x{ds0VI?<8vPfn|s`4rxzna zhll5Sz7S@}?53@hEhpe#>v8wy$Oia)_Um8KEC(;&XHG%aH=y01{8}LkJ?fl7I(^oV zS=4hOnvTv7#P`4ZQ>PC-(e55^+Z?+OnJ>mt1`>3UmU7hgyXxa*oir-Y0DC6G=A`?;xyTPh7OHCPFk`nXY@(k3gDF+?_iY;KwO#?Dg>z*-38N z#1id+`+Fp!7ehKwpF+!M^m+!B4IAlWf5nl^iyWHlRXdQc{4`f}FUbg*^IsuaFOBqs zy%OV5c}SV++4$wG31OEwu^B&FM(qCQC%-pnBT6z^rib+l!e3D8QdugK49;BELX|EA z%`?~Cu1$ykgmBw41uOWTbF|oWc{r2;W2Td|VRAXBnD;nTQ5qE4Onu1VMo6Fz9R9h^~es|we^D;8!~+Ooa1(6A&ph* zgeWTylDVH9k0W7Hd$Kwbo ztGUHfd*yfS|D)&so5%ORX?p)S_y67l$o$*q{4x8Zo`|hDhNSKf5ob=2T$;Kg{xA5R zB9ph@qx5+(vT?h+FPaUxYbH(`Ir}0nJ)M?C^B(e-88eNf?x2wW^c=${Sri?-c8tw+ z5XEe}3m%nZmZ7l#j%l8Qi{Jv+n`Xy!uOf ze%pZ*mO+unCVfa(8}ZIeBRzjlM0+O<3$nlO{%Fxd{DY6|-tV_2{5U2D>p?+Gna0rNh3;+R$kwvM3@;qVNY*|5Y5X2 zY8vsW)5IU9yf|sciFn0E)phJ;NOiPk%cmbj#`S#OT~#ZP)u+*7d^{4lR61|hQH>&R zTrTq~IsXg%Lpy#5@}h8#%DGvjfz0=BkA!>Xp+w@^x*Wp1DBa8~zBA|r(e8X_H)%~q z>F^r8BJz5fV5TGG{3(>#Myfimu|iqRp?k$`LP!c#v*G^8gmgBUiCeCuwtijIG5^_* z9N*E42X&nZmyp6{ERFaUOUqL#)?#kh`#s@c&ZHVyb!P z$o|0K(JSjxlm%?^cUkFxvXAV;^HcpO$6)=XgPth&SD}*6CpkF}Bp5eGkvkT1?-!@J z7{bB%&>;6^2D!2|S$nDUkpJ-t|NP7ViiB3OuUmfrB|iCto3`P?`y!MMon&~xD}eHqdrUPV&Z1)d zRSuz56sTA-PvN^WM)dj>AB&kdQRR8JRkQINs&{go?GgQf>hf{p$D@X*5pW#b-5rXW zlov+($=9WJMc2wT9)#s0bIq$8}J1R811?uA;2?Aco7P0%WJ6mC*J2R*5lBVAlIFtp51 ztd^>Q$qBRmz4aV0y>f_EmwFUty1RQkDdJ&%`8%cLy*scVI{N$_@vs;e$yHoQ?gmaO zLL16RHYUR^zPhwISb2t3?t0bW_!*f5BL<>Oc@XFJX%-#$^4JAh|zX*RPCig1LR_r4PFjU}2X0c`BC) zmgknHTlZ?iimq74!@CGp#avc1N~>TkvSvx?UZ>ATD1F2Y94 zS`|aE3oHKUZOQ?=UwR!~YlxOf_3*dpl_$yjJvyDbE|0LwMOmeSqDT%8<>$@>Jy-@% zS6@!DB#dp5%Xc~lVWV^K6K{$)Y~$QG%U(&rE`Pc`!GsF-$N@i+moCng9lHni+IbQ+;T-UuN5p%yupZ!5a6x3oNn_u}6?6NLDF6 z_^K`u@fYe@4TRnzdg0)0neN+&JQQcI@zV=oBc6rV_{hGa@8i3|6Gsr}+%p+VK|#Dq z(aY=-rtsOK6zbJ^8Ta{fhos^dQJ;0xlW%+vDqAWFIv8dNpEiCSO`1FM&4iyEdU65T ztB)rum6HCT;`3z=t5&2kGt61F@E~D~zW;Q~al{&mNuFg=LzH*8=?a~vh+y4vU}y3} zgwZ7LJs=iGJk%Q+o!)XHz@k?|F+mi*kKbQZI>v~O&QQK8U2i6ZpgJLJ6jn$jWm9+~n-R=eNjK$_QsP3jXONcLM}yU=EV`0g== zS1dA!sl6y>Xm5e2O}|vFoIWFbIG~};j+*H0(#Gs6We}Y4m_zn}E&^Iu)@jY`MrWw% z+H9E!GzmX`Bb&;Anjhj)sfM$tpwnu)(L`p%s=ZpxgC59tkXgmLjS<|3}aNS1Q;4==Dw6$Zmht!k@ZcJ@P#GQ_ug89U%Fmf9I?( z#!PhXo+KrbclI0;m)S~uPWGqzM7JUHhM}g+o0G_PP>b!0i$m_EQM3O?-h01u{rCU> zA)`Su(jaMQP)bE4S4pTuB4lLmosqKl-h1!8_ZAHy5>iQ4D3O*(`+I-A&a=+*_#EGV z;PpQJQgR%g$CGZ4`|W3VD6Mj3is)w6T6eo&n*)OB9SV#i2}>zg&;_4a>^gt~Nw0ll;1e z6;p+&C_h|nRJ6#6g!HU^vO}pz%U8b>N;0}KLsEJ#b=^e{lS??J)7 z{*9I8k5Sk+=pU`RjN+5NLgNJvC~;p!a{qn;Uk=LG zRGoQKYJ+n1pZXTx%}|~dQgVf!WKvAt+&xgLi{x={i~O$3$dF3$UE^Pb?4wZ}?hcE{ zZRd7;Mp2IfD!pAUFI7?a*){F<#7h(x^JK=aFGuN~o^ekHZ*r%(vtx;8CGpO#v~F%C zd3@3gW+ll)(^FGoZ?rIm3O3hR;rAqm$L!ZL{fKE))a`iG#(WzUU(=;Ih$bdOoJEQH zU^cR2yS=~A^dm3S;#1X+XDB={-T&$?$z62H$yvP?j07<*q-uTbOdJ z+wj8&6&pP_gzOB$X$wAb4Lgr1Myg^?x+`-L8&%3!v@nkD5t&|yR=O7eMi>hONEo~ zhtr$szp@&_+I#Wv=7zQCVNK@grY|2$KGyxHNqF@9gZq1LapAVXO7_- zsD0%9?quya>W)eGZ8`i5b>RYc1O~~xf4m$taxs5u|98*-yYPvgzwF=l`M-j>`Tzd+ z^Z)tlf9d`Iv9+&KlRt1}(+Ox+rZHvRNP^B_bFlTiDD*83Gl^_G55v+o8goZkNG9|E z{l!oLm}+?!BrmUr+343=-V?I0;MMEh`qB%Qve{CTyuVy7b{A}6e(f+FXddSSJ= zklH8ri*Qxm8@D%8z&g6|GpBJsVP)Rz>~`13<>k;1$-OktayX)*#w`Nf>yi@Fc|0&+ zi#wdA919~I`f092!r{@W7;z{2WwY%^u2qTcgn5CLeaZI_Sp4kf;w9NrR?HKJ(n9yc zDmrq&fVK+OwDbpTxLy)o43od`w_;e|vb{3lF^a3}tTQ(~c!jG9*M^$Lzd-GIFURLm zN@(kA&wai40eWV%jc3_KVaURUpG=G}Hcn=GNW4s@c5*Fp4fQaGMfK%2!X0|Zbu_sA zE3DWUf(=LIU{yxDVmD_VtOc?njB205y6|OIx-u88GMo9G*))W!7SsiMRBLgyd3k5? zi6^jGS>vDC#{x~dPwOAKorTV##<{SWY8X(hUtu3i1*2LWw>7>)Fx`B`=3rwZ%#ZBa z;9&6rmh@{Q-c28d)ul?~XZ7TD)*N8Hm>BsNlk>gEMSom9I%2nxFfp%|vruj@(|`@f z+T5VNldy5-J$=154>o;T_qMFGfGzvFc;VLlj1%Nxo6Au2Y+3_$L7VMo zyaQmbyf8DoZU?TdJ@ZjHd9xWzwwE3WfU*#@5X#q}`0 zMj0PbT%UdX$WZy=pW6S^^Z%>J|MKbmuKmA#eE{#qW8nWn?YA2a`9~$lp~?l*ZoOGN8|&VrzB@4GukPVt&Ggs11GOb(&!>dapp{JqX^>M zk5oBLRw7z!$#%GB10p(qriF#DBP=B)rQNRz!69$v&I`{Xz#`z$6IE*X-aNHoSCuim z&Bgb}F$AG~_}HcS<0LnzG@B=xpX`GLIc3hzy+!f7>w1M;(r=tP;9LK38?ty;^6t+k znqNl2yt!j6NIrg(@f@u+;^p>k+-X2^0yc$|A9&Y{NGsh$uWc*{KdR)uqTdW5>CDy< z@rMy;)X(gGljys$Zp;n1Si%QA!SqBoc+dRqvFk5ZqS5<-`43Z~=O1&b$o3?;x0DIS zt854hl<&;pB~C$PEuE@A=6D6^ZL!Lwo`ievV5m|(r-}rV!&fY+S0i@gQL{IvNYAgh zFcOr$fCy^(Qyk7Y2xFjfT5B4Lpxadj&Bu?!|HYRdS~fKB)u&y~JFo{G2Y&6A6W@rY zyy~{^&x26a@zp*~i;T7@)7kt*q;WAM+6in! z67_PpS??jl1x>Ag`!k&6PQL~rfNw;JN$}QhL(!QUMBEN5o4!Tc~JJ)k* zdWPr^^vvGK4U=q_I6;?BlMYBJV^Mjm{ul}0o@K=9St9nu=Tq*d%!t2X7hgAj7$VoL z(ER31n5er2siOq>5lZ=bTh(3~1nqpJ(KXwTu1NFSXB^njdfZ-W^^sWAQA8h$e=>?n z#=-6h!Z9edEy~yBl|e!HM?ajBgjY<*oj)RE&Zc44qPCPx_i&e$O-Utg4)QnlZ`cLiuSI_^O z#rgi<=l*|ZcUX`^o{R$i*7Jw`!!KQWVWWJw4p(ATVSm`o~O125;xu&DIPRIUnelFwA*^t=z2jyajn_19|Yc)q|fz;%| zZf0cEt?iZ*6hO9sRJLE?4diN#Ni4KoN50r(dBoj86in=kU{{wx(b-4E-1mvD-Ahiv z=O)=JL^&)Jn-bQh`?;JgHgzc5{W43w{|?GLtgbotUO-u&&*K;+;$>x{kKj)wId+O_ z%Zj|&NOtsT>yG5yE&j|y{`sUM%(eF{9VKp$o*N7t8 z%hBc)hA6%sz*8=@fRe@|r~A$rp>$x=&+R?cdf0Db0=b{)JReP5 zLc!6aM|5+DuAaAgTL`(c6i;8+-{ntsU%JIwkdi!SIT-+ z^U85(%yp69YYW+Gu%IYjZu_gdT_}y~`}|gl3gtUm!!-O_P@yoB>bm(fDz#c)mp`aR zmF&9SOoLI9&$rDq*6bCkpJnMs5Y11G^FE6j>T{@FJ0Kzxw}9H94IPtf2T=R{-BvX_ zS=4Duvkz8pLR~{sS3=)y)UPgksnxagr}lsM{J&Y8|8<|Y+Clug#K-%$wwKiY?~i}H zp8wLt_-FC&3!rxSlH+`62(+NG`|_Rp&~e&NPA(0iec@4Lq;`VAHYd~luJ2)(Bzs0g zI2lIMuZ{I}&cTFQAmAef$v*ydSz%7R9i~HpZeqKtVHPLgxzf%L=3<+YgoX%@raz|o z*BLTLR`6CF+7JYby9x)@WyGOmw0WERnS)R_Q67kEY=Krcz5u``xC=wKG#ekV$Z8s-{G zul*E-V-}e(Kut-yEvMPyz<*rqlHO+LeOgLvhNPOKYt9&LVf4;yKDvq`TP?>`zkM|m}t;Mjsmm_vsLFYTo{kJcapnswISA#?b3^j$7FQl@<_(0;4-p4?gv@^De zkeq%qp0(-Tm$t)Pw>F{e&3aha^&Q_+pbbj{rN%~26IgLv_-y=+{CVS2Z3?ddta^4| zI#4bIYu2hHLB&c~D=|o~QB8mrx2Pmb1r>BRr0CuxKYaa`$s7i+i!j=@^V^wEt6?Ha z$EEW^3}zf}zM2b+z`UJBcZUVJ;~jtA%}-12cH$1F`7RLt&VZ%)9y3;0D=gy6HyK#p zX<>PNb~~=_aWWTm7sFMz37(r24!GK@yX&F!XV|dHEb-N;L;ohFs;bFZ809DgHjEyC z>BSqqi&o_4*!<BZ6vI?K zH_`v8{Xaebzfb-Dh1zd7GH7`&UWx{K_7%~ezoVjlgtuA$Fp4={Ys!DI5azbvRItll zWF|&D{TwNaw1}8h#>C^Aq-zjle^nQ8Va564D=r|~`n=!xXCFiiZ}P~v&w;Qq{mn;1 zG!R^w#c;y!BLbo%dk;FR!FPK6`FtapvqxpzWmSGgdj9^0H;JCSq5NFOWZe`hg^p0j z8Tp|22@!cFQ>)H;~RIGxI&v0LkZ49>v6xj7&|}a1P~9h}qY( zV1Du#B0a2hZZPgZxHMY{?=~TX-0O`kRGC6xSlSEvp0n`l5o}viv=u(a%HKC@8{(dY zt?+{l2hix7nIk03hRTV%7kWJop_Fcw{|={H$Uo}ygl=sXvVOqrg`fe_JH^iZbbd(Y z${!NA#|ekV@zKCnHFm`Ad$7ztunkd0h7BBZ!id;(@}B;hqX^qRHYC88kD#}a@)^Zc z2w-?Bj}yG`4ZpovpiUAUeEoIy%+JwO@Vsj^O)#qNr)18=5k0?Tv)6X>4J7xlgW()2 zKXSIeaxaZ2Mn;zpd;J<$r0r9^%DEtnq)ly-GWOK*Iu%*TT0o3=Fr$I0DCp8Xe9 zWmk_w%#Kd!R5&rUTBEE)qpi}g7n%6UTv|JjB7Cp2IHM|b% zrPwc_+-73yVXaOSg^$#%N_0c+Vv?s)ULCS5nJimYe?)p_>iOyPXGrM~Z@OAQheTHK z!&3KL5c|Ew;*CxjhJ7c<)e>El8NZ!By@LF%IHr4Of(GiJyCaW9$k zkLtbVAR;Aeh`JLjE=AbNubD%HmpaY z2E}CuJ0E>;L`mC&oAIYl5#PX+WaJe+l;Nhjjvu*eB;4swpRYvOtYk>Gz!J(2@(kS& zBR#8m&qpTXzgSjjoEDB3kTw-n8`gXanFA`jHTR_;NAu{6g`_X?Vy?KqK23PMUbVg5 z9D7l8aCXAxVJnKWSzoR_I*V!~#J^p;u(< zPV)UZMzMbw6>oY?6A8b$(suJ&_UZ4aoGsXNK8Oxg3cEB0#d%Rxdev+tbtS4P4zDvY zZ$kB%el}_8IO10j(m9bPgre5PX4Q^xl-|+o5!@0^d=JMK_FOqd_7h_aihhL4>qzaK zWUhcJV~2$~hG0~S29%@>5gq^Mcb`vryhTmoaTDrqDyZd&poneRiP}O-!^c&jsAKOP zX&xU$oqGmDt8y>uhCOjES{(H}PwQ>DXaCgx@1Fnf!YB86a_;}W@Bjb$_sQ3P>;A|c z;GenUyV!Qujqk%6sH~mcRHW7e^@w-3yH(SmCAL(tGqe!eOPPG72PTERA z$Jt`slCHg0ZzhJ!6&Nfo| z7>pmDl~Irrg^6LCV})%9Oy00~Ra5$sJw?d_%VkxV-m6X6f9Du3?X2kDeXP;OLZ{id{bKYx=mo9sv>`cv20H{M^#sZ5 z`i;Dk5DbISt^PP!lA&rm=q|mHaVxnCoZaW+EDqE7h_s2^P?#w)#a6s{3bW6y%cJ|& z!`z>Wk}~5hEa;rB?%VW)+)09?U)(o?y1~7pM=g#(tAj`Wh&c$?=fiutU%Z3?dXS^q zWG4*QUwZKxyfCVHop$2l6ij$rgE=UfVQSO&u;9HD%%a9PziNJid8DgHkQ>>5*qvgI zC7B|Y$F&mZ?RsE28*81z)kW?GZ>9b1*2Btoa>K-}6jsP|EK5wyZHY%YQNp+&aRbvYtg{KndV=(2NjLneNWx*p?G`T+AYRT$W7{2 z9^SbgnW^!vP4_2}7Q0gQ*S#Vnnf=_Kzpx!~32#me&fi3|(>Ax=L2D54(z5V=`+9^m z9hg(6r$KNx#fc}wR}oNr$=vMoX88UJD47)91n-i8WbIe$(Ej|`vx{L>XsFQL^{kfo zy@aC<&7LEB?8Q4NA>(7nlc{sndG#Dw0`**0v7eB><-6Y_T{9$KYLf`A_eZ?Rg;@m} zO2h~Vk5w@pAs$*onsb?w2v_vICmZR6kO?p8J72vKSjd>De_aNCGiMhpVzl7n^`%|y z=~L44zj~l?ZWkK;hVEAVa3&g5={-#(OR98D`f(XK3*-ysO6w?XMmELBEa%vEq(6|) z5|ICil*q~9idtbL__|-aa)t`Af?Vc;tHlvzC*x$D^^44t^VEMxRU+(Qo%qAY^ax(F zHYMb=3<7v5_Acl+!MD^~vbpmjIu2g(kkR~trlK>f9d>T0>e0xQyfA_?>HC4qJfzq4 zb2!DbiEsh;Y-?#6>qW-Hs59ojtdVxmJ-KNACnRw{n`aehAU$rNDx;?%qI;&*1XubY zQj7hN{!e{`%YXV(FPw?cE%(nox!Z^!7Ur(!?&;(@XUTaSU=|XH0DoZTrCMO8_+@MOg;gl@}4N91z}|{vM(FE!?JE#LMd++Q~iH zgszx)#S7CBBmw!T?xJxM>Q*0qtg%}Pm7D!UH0;b!8n9v8TN*PIT#qy^I0>plD*3}iSYZ2MC+6`KWDNg0&yJ*&V{l+5u?(~l*+4zC{fLI z*|hG6Fp+cnyc>nWXK0c%2gR6`dh>!0Z@2bCed_>#x zS6lE$_xF!I_v3b%PK_G$BX#xFJzvU(kYV*Db|2aEW-ScVg{m1Nm+O|==80kCQ@#(p zXj6-VtTQ*F+@GL`UiiYL)KU~niuxZ;--eP)`F(5?5hy(w!nz@KEy`%!4TYbQY)b7T zCzWyuSGJ5Ya2s<4;ma->y`v*N-Jy!}%D1Tye>JmMx11AcJV`IDU6PQ=aq@t3%rLU^ z{poJ*sK8vttHj z%$oWKgvg&x3Hj}JL{auMM#;*IFe3NfXwR12i*l<=QZHYvMtMoEZ7k99CYnra8f)uE z`mVz7`4x-E;^d$=sVGEF)#2l5D!s^C*#DSclMV$l9_`YKB`8X0J`=CuixTFS(bB2& zC{=q|7N07MGLcBm_pJFSs~ek8{YzuBt1fbAKiMaE(@wEmA)h}rHk;h!iwdqjiDaob zROn=+9-t#x)h&fPrnR_{(KB^wATu16<&|N3g^$t z8>$lR(%CLG#>;_(RTBG@*^_vDHcW&!zSSq%B&&+Cd0|vU@4H|z=!wdMFOBywXP~k^ z;=AmNGpORgZ|h(xE`)x0K#WeexK^ z+!>0z^`$7=yfSnlb|=cOg~t85PI~@gUX_K8KH}Ydp!{)m8>-4KJUd&#h-$wz<4z9N zs5$jyhQojrHLnF$SQ^NY%rC8d`veroe1CZ51;1g`S>_*dOn8I3!PcEuqKr|0@K)1? z^=hd1*gU%CeaD~L|K0QdE__nZ7ye!Q|Fz@$yY~Oe=Kt&Cx6hCK^`F`EOOG>Ld_GqT zxvBYp<%VKh{3s;ee8mfwg4Wne3wA=e|NJG#qiwj{oj60kd=P5BrUl%~a?qf??XZnS z1Xq;CXNIZSpn0RxWktXwv_#4R-OlSmtB6YI?EVaB(+92MS6K~h-I@b7_amTPx^-6W z^*3mLXsl^-i^kan;??lxr;C=83rQMV#(_|C5(^eLwjrPx(Q!XXnWkh zDbU#s?P0Yp_UbFp*|W4hZY!W;9(~GL#1b;ACe8M<3qju1sYI@;4T}DqJVGdh@{!k) zLMghq98^%bq0bp=3GY|m*xL>b!+lh1&sF2f7xM%Lx0lf3&7SbRo&v3Qt-e5cPiV_5 zJ{q2lg!b+H5r2B}Iy^(x4vQC|#)y*pf?dE{4W`Q936ncR}KC~$-} z7q#+AT6Ji*4vGXEIRKsWTf;qW5PwF8-5$ZdIKm!HSW2`>B7O#O1Z!4j`^}w>j;#ypZz7cRv_#m`&ge`j< zrlEbA_s!$pVCWpCNf2a`gU-kHb7Erk&`oU7;-iXy-oXyG!;_rQtKO#{8K?;Tz2WDZ zw&+7YtbOII;}7V+aiIoCKX;8g{ah|<>Tzn5qs8ZHy$?o}6`+s`={}liK z`Py$cw)-LyegzGT^z&cnGEvc-;4)u$j__pKX7Wd0BR8|qjz3ilnYj|~6sbx`OSOyM z_2LMUTqX4mN)zvBiQT$QZDEKGyC=-k=YWXsEY#}BMhNS#{PAp;HQm_XOQ$DYA!dfBx;P0^Kkgs;+Ht-R_IZ6J}qhxJ*&}ebwsf{m+o6 zxZvkA(hz>p{)0O+Om!!a3p7Bbv-X(fpKpg?tccK`i~>ETt>KOd1r?-hY%VA_Xq|D zUqR)|y({vIUZ9l8VKdjt7UZ8Cx%IL~4%w^Qhvrw%BmK!2%bpSuq~xDGT-3D=3CVYC zKXgLSBDJ{Zsq@)${)*@?H41c1P^{7do-$U+ARSztSm_L;iO@f7w4>+Y>h#(IrK0eW~Af z?LSIIv^BpTZ&+eJitOn4>5y^4qU?JgKM)o{yrkhnW5pw+=ME7k1{M@eDs**zBMgaM zu{N6~O;CE`SmZ{+MJwCLeT_qy^rRjqR?Vsso%v|K!HF-rDCdha*>-}G+#{!7ETpNU zyxQ~d-u5XZ2;F$lA-WxDd2d&{Ue7^hsgCL8tPjXJA7vYLrL#Hp%9hB|-F;cxR6=k)fkNH%mP%ikk zHex0K<+)71gN7_;Gmfe%?@EFlF8Ahx5E+SK7 z)s<(O*~qawGu)!F1^N4`O14Cj3`;+jGop8GQ5?5d-Q(I?lNcLDo69wb$q(`XSemcD`afWzm722ANE)mXg3&qZ@ z#8b;e+i<*nBVm4|JkNXc7CBF@xiW_l9pm!*CNLVHs3~t*m2nQGJVqi{l?lT%Cp3IZ zMi0uP-89!;O+|%4a=QNJ1)>qU?UbHWh{~J1DwiI2qUzifw@AYyRNaen;)_UgEv-r}qDx`@bvy zKVF{yv$fxDLgVGj%1XF6X{&3=%&(&LX##!FcH+l(TS_}f*a@Y4QS=@R$gDKZaIGR4 z*+qJ}1LX&hlpxF4OZ5P8T|W;=i^mft){(@{0K&lBd}wXvhdhM6cMH)Uc1MVCmG`>V z9t3WcU6<*;3w~D4WfG&Z@Zl<_sNWuj_K{yUPj>{Op;oBHYGx%WPi7x6UE)Rw^|UTm zD!KP+Id6D7aSd5ARApAq%Sh+Xus4dEL9(~K{RXNj#3wC2_*wE8F~*~Jx!oTj^7edH z;D8sx10V4`Azs>0C53@I>Bb1+>Tx*|u>=0vyqjk1Md9lVvAMRPTxP^B`qcOPf zaRbkORKEW3mXGQVO1GFCVeX+oz6@u)r~40NZxnbg&7$S636=yst5oW0q``wip!2w@44TdBlphRFgQ-kE` zA8rtdq=`TWe`kp(w*i_eLZ{wR-5|d9)w$^uF9T7gD+-c#(q~$GAO~!0PfEv- zF_!_$;xeS2H>*%t@sj8)E^KM#lSJIyH}NX%XNXxFx-xgwbwp<5UBx^n!eeA-x!%zr zw4kFJeX57H-g(W)0UmD!Lc@ZsIE17r105!*=bsq8)E{=y2rKu{> z6P7!UgSrWM8)fP?-d96bG*y}IpfX{x^SD!s=pl7`mZ)MCId3g)y_(DqLY(^9)&s{M zA^I-QXpOuiVS$E=oV6yroWfVmW%~mVM*C3LdYTo%0`cWdvn$b+SgX#G^%SkA^OdOm z!cez~(bGSu1(iHUA4am+qO|03=$h;n6!dYP)77|%T$cS0eL2&RwZVe=NzSln6g< zxi}&D;!o}W=iER1H;a?p>3^U5QzAAePi^xQRbw-kcs0cRPO~xiwo? z^F>J^^$w$<%Ia%Ki>ti0qPGj_B7@v~CIch~PVntjmq=tNoV=~?;ERlVkK4tJzasO{ zSFugq4~Sn*=-n2ha0GJjvQQO0AU#;cOeBRGq9~69Sln+wO#a96-9DO#UpMud=3WRA zacrxK2;sa7?@GI>po~=Nt{1gs{z$txdypmZ1kxE!9Ij;khV+{7q{CQ5#`y;CT}1nn z@rZAs^4Wf5o_j%C)aHTAy2o+#yj;j)3p#m9asok%sUl`7q$hntJM*SU6j2`$#Obyl zv3V{>xA=@AVXLjv(P0rJsjtg?X8#;128O|($kr>3OLTH8$;L=qX7G&`iXc6(%d1x; zFDS!MkJ>Kh1v05(?5(@%$omX$Ix$CPh^vOQlf?{?<;ZMb`FH_YBV0K-GQ|j^JJXu` zLlcqqH@In6tw)UiVu2fj1>zMuhC`42LgK`GReOC}qzL%`*j?aGW}@;d&zr3#S(&#> zX*a4MgR1%A)P6E6e6VJ|oBs_mJaS+yHUcP}2!TEUA{I|o^Tb}^(a zsXe^hM6^Y0ob@*)?gRDt*g>k0>WapgG-Xo??*ri-5$6kLX zOf&Xcz4meBUVC^)B7p(9OWc*~sE3iK`@!NXWi=93$8WC5pCG)xihEa>>XBxz2)I52f3icSmA1Qt`Hs8Q$&x3%e?+W+^g z?_Y&aYMV^*8UNkW|Lx@=U;pdhy1PGtG3j5mf8n2=(e1J3q=V-d!lHapRdLPL6M+;V zOK-2{AtXn9$mB42PA|6~;d{b~2z$%qGWNHK>^l}eL9{HgAnLt>Lb-UI*sYk?@oriR8S0YLyu(QBb z7tv`~n7{0JNwTsSM;Pc#5!<7#O&wc`xW?T|HhF}-9L{c9L%cr;a=no-{)~h#&ySs- z3`AnsGe4SyuSnW(RYdU?C%m|)^?q#hhTr_DXr?+cpWyu1{d4vq!ffKip9J1O1kdg* z9^&+fZ0cTha*I2n_YHQvIpm8N2lIyy-RuyXTQT1K@hjr$nlC-!phtX(O|F(Y$&Lz4 zx1QZlh{Own1`;dDc|_r+{>JnSl9IBMirTf1yjybem-i@qq{MGbKBFQ0x+(9jz*dCH zsBUjeuS9t4vsXz^3AQNM;^ah@IMU^3@Qqk%*RVLzwPmFGkdV+-E zhc_oANM1xWYl2R&22u=N-}VOWM(RRWXd+t<(n>P#ZnGzx0eSbS$nB>HQ;k2};b=TE zjO*TQBEHg$kqJ-LrxM6KV>>x9?M~)a=Y6i9I*rUlrx$yY7ys1$@1Fl(#s9xi`|Ty0 zd(2YKp`khT@;3ppt8qnLP56=CzVX=gAu{W_ z9AmF-!HxumLB|Do!qu~XVq7Q3j7ZZ@anGH&5Y|`KImzllAT4WA05*}1Ac@NCQHlK(t}d$$78yP9LrbYc9QsW92E*Joco zMdr+9s_YDXdyb*tZg$NF)ga_(+32pzB>$H_%)eoZ<0t3uUY1*Z?nrFw709Q0h1mHr z>FBOO((}Ksc-NwX@Y$2B5`$|I%65=OFz6}*DWmk`qJ`k=&1B_lwHICvneml&ZRiMJ z+Z^}K1)%xdTXB z)cq=aeGbX&cq(bBPo4pHs!}OQZei)Wg)xJNi0EK*X_V+fn2fNo+FUe(!9iaQ z!YAbRV%#Er$0Cy^z`N5M6@1zAt~OLmrNBU|MXC%d^9vb%1$X3mu$R8E}6 z*}@W$ws+ctU#KFcFm2F_kKEUsTSoVM%OoDv6{SoOvPh9X{yMVgB+?G2m^)LJB7Jvr zIgPIaGH61crD(GvqwkFxmsKXo7YZL|`%yzOB|n-ftd2lduXgrznG|HJCps1@`XPHP zIJDAxEpim^$51<#64v#wY^hTaqO`L-o``fIHrcyS>1hkm>2F&9&AJE4jC`zkU5Hd; zPtk3S98$uliZY00#X+ONgP-6HG4opqMTXt~@Qz{rfuV%fvG!^Cgkw{W)n zr88l7z0e!^rGXsUtiHWp$@?scn^Vm*L9W#Eo`9`Q$Q}Gu@W#3td6!gA71DMhI{4jr z3YYtcKlCd+J>?aW+zQ1%M;9Q~M>Elic8cg8UVXE=Pu{2LlF%yO6UdC)9~UD14q1h7 zHkC--M|M7kO-y)0R7fT!ZUZZEqhZ8LO!`h6R2gZ)C{htNb?PyUna=yva>` zpz1>c7b#JY!DhSfR|S#`ohf~Py+Ybb-i6C@WYTy}ZA5;CP#I6_VcA*@9Iw}X6NKmE&t%lpYJnl35*a6O8|O{rTS zv!QsUi~&#J81b2^v0TVgK*^Omql)+DQSvDwpMzTcPwoHi`Ts8bfA{qN?)iV;_m3Oo zWlBch6lJWIAl?7@6%YUEbADTyQ-<{ir*PdmhW0GI2%KBy#qBQahg%!{?O2l2>S5vD ze2g*|o}b*QP0Wnot#n7jQ*RPJ31QoIsFcF@Hf?4f%{%z@GmFtn#KFI7Md7)X!3Zb{ zVNY**i$F)0G-XW|1PO^h`QBBHpsAaduUS_j*gd^@a}M!Y?SAjgGpLRmVg|IYiEh9p zEM_WaGvUna&t1dbH$-?ZMOk?zM)0!O!NzGpn9@&rC!}w1!*?gwCn=k9_$jy;8S@mt z-*{D?O0@t24B0h?cSR#m&h$3hZX*QkXxS+$B95S!{>fBXx(JS`kXRitj1a+hiVY75 zgVfTV&uZ*A9Bq`(K2XtxYsU&6dC?5GKfH7K%gX2Q41T8UcT5f5J2Gt+9~ZzUmU(Qn z(-OXOOGDx_wD8~Q*OPfP9RcFwxSf6*foJ{iSo0CqrEsW6q~s|CZ`fidxUh41rN#1we&9+8acoiB6 ze7v9nAK5A?ha$rKe0b=>FGFki^YdTRI46gIYo*8a)=D8TqY|u3R|xx}aE>9G=m<-v zE36DQAS8PH%w}7{z%&U^FM8*WFqWT3a-R}DomumX_~sHg4NxS@O4q|}AhFqP%@RCm zOK*Sb{t0jT(^tyW#NqS$OFye4JN(kScU>6mK)^AEu5}A!XIgU4Xi}BvHfeuylpIzj z=lXq0jz=@(b*OCwHoQjIaqXyX+Rq59-AHFQ5>EX4KksEak03l`K7Q@+Cxp*iB^z@{ z!sEe%to_~L@II{9`1}kfd~GD>Ps*0UKRD2?!R|f+J-+Na`}`<^FAY9aZ~2Z;TI&Pj zANP=c#+yC4$^hXswmeA;6@u_(f=TjoL>klZ>|@!8$fP=C1!!`+s`=zl;CxS>FFU>-#@f`|Tq)Llw8)LL)TF&+urW ziu2|DBVAij#?~aTkL2JLbgJ-dV<9ZYe&ZODYmLknr30J3mLpxGQ)4={je7y1)V{j3n>7&lwU_Z- zT_*0SF|{w5TtwsIONLrI!dxg*AMT_xLs@7U4VSipQg&qe z>g9;^4wmrs6m&>cqjN9z$3`jN1MJe}pi1@WD$D9fNs|jHYkVa^#Kshd2t< zxKdt9;Uo;}^_<}cxy(?)&G&WfRU70tMi>5gJ%*e!Wm)(838%{gJB>N{||2ns|7oBJrIokn60s{^Ps&2ao8`VnO(ZlC|OkDRA>SGuVFFhCwFHUERp zTgl$z(_9%;TD>>(b>NOth0=vqufg2s2TPX|bTUsi;=O!GEGpO%-v zad|}Y2XMyolbTf?(Ah9Dhx_5~PCK?|qD}5oFU2NqG#oJS3Xotz_0i?{tZ!r|+*6wF z{!Shx-oupvg}vl_&!CXk;6(1Z!{ygjbs{T{-E93+c4WvEPz+X&A?-5LvmPf4B+FDe zngtFZ;gb4pMz%7-Y+ZGg<;b$wxUdySdONS-<~f5E=uNW@w?7#`ep3kjFSdVXACK+?j<9f$E# zNIe_slTo-DX;ndrU(RkIdhWP=+vLt7BbeKoMTM|CRVVT)TiZqp*`*5Mzo z*7B1qip6$4`ATHZzfim;k-{pI(zh^l>iT`r&maT~Os zjwqHQk&dPNU1=GT^X&%jx?3QPdTu|B^CP5hPLkI#?;-Q%OA@uwWRCyi+4&FehmiSD zdd+T2vV#iqJ16>q9ob^4%4%vP_iwy6Ex}BfysojrlSFf(4TBQG6TjV?hcp6r3YjPSgfg>Gn`$>? zRE4onULbdy^jxkFbL9QrP%LhcT!rkD98&wo$(?3NGLELs5jlx-wuwg5BzI7o?Rook ze zo4DQj@w~MO8971wLr$iXovXo$grBdGmH*M|h;<#ZgPb?m?}f{Nd08iwyjb2y0 zw*$F%CL%a~NRYYuy`wq3lE_;Yd$5n_HS?WAT?2-x5%buL-rW8&$t_%3eROgGDU2=M zt*vLs-o~Ze<{QZdrP2M#;$T7eyDOb7yFMW+AVyZczaQDzuUDp(Ng=0z!pM4D1i5iN zz86RzmuI``>FIZtL>sJBZbow$`JeB)M$0}!LE5X_#@tk*nAD0W=(j@QgY#iK>NAi$ zvRmq?NhyU$J8rQ&)|$HCt2GjN=gI8Y@T z2Imf%Eq7Oaf~$wqho|of;1+mC@>p6f+$COdKB6&z`&-2r_FDn)@Yn7Pzw-#58{9X# z<`uv*PG_an@Mn0fJ7;j|<~Y0@$EdFnPOSOk&Zm#(l5y2xi^3L34%pe!cF>-lgac#A z(#9!P+%P<^H$Bu0Cm-tfj$6gxV(avBrkeD|96R+N1S!Dn&DJ+Ih2n6}cbh(N{1!Zv zK2=nH(}c(3ir(P3)$sIjQt^3K4zD#eT&3q|;pN?SGm`Y=UW=zApN&(&GM=W*d6W@0 zQlYBl))KInOs(wJQ-H%8nSxeUb~ws{Osm=8e4R3h>RljQZC)C25pA$r#+f)v^ZjtY zc08a@p8+1iN?%*^&%(!i_B5%3I`a2UGP1+U#c8QR7(@G2L5$7W~;Z$5XITux?q zXMDRmQfWYP>Dq6|g)+l7Z|szn3p1|e6CH(XCYSY1IFoF|W1 zAlewNop$2Is}I0^9dBqhs~0@BUluICI8C@VM||$lt$^36h2*^T74Vu6HQ(Ff0PkEk zGauFn_*@Yvr;zvpUz*S!?G>%?O&ee3{-z&(2M!F`5>K{YnU_-AZNf)l+Ldf3aShHY z71QAyg>d7yd3*HgJGiH;@~TbNhsXO>yR}jX%X6QA3>y=fQJzoVcCxt*K59#w+Mg}J zS4m{aN`o7IC(@6)9wK)k7T!Kfi)6wbY@CyN966Nj%D-d`r)9GmDDFhC*CIw#q zf*_qQE&`7K;qm?H`Tuq5|4-C@`)ij+IX*#Xyz=mi58G2zNp_}$^^rVVNy|BJ|92?l zKPYJn82(N@zZs;uqJZZAT{_SD{t9%B<*@%qHQICc#)e@EGx(k ztZu2oUiT=X{HR%U%swI_vE$R_V$yTZ88<{uk09jHRDDwYUEDKlWs%R|MiZOX3!xsu zR336})|)wivR1laM#9r6TFEjW;!k@1??2o87qrM;{5|6y^L@y;ylz~}iWzAVChvb- zC0QP{S+3M28c3jfxG{n^7O_{QqpS+af8T>6+bCg(NP#VfembWk+~J$F`7{&B&i*i) zS9%K_t6h3_$I793t=#A}gGZ=NF4GWQet>da`_>oT#J|49DeQ^VLF9dq*4Qe;itK7e z#qEjfkhyU!MP3q_J-!Q=RIOJs zH`eK5O@#>I#M24lzzqUFlWCYQHcP*ZMs_;UIjD$Ye%4Lw^# zNr7O(3Zpy}oUh5zovlO8%>PH;d;eqoxBue}qC|v*LX?WkiW1$M5@{kT+1Y#V6(W1@ z?Xxi`X0CWkHBB+BnD%mVl^(^p{pl97V*% zwZ>HS;t0#E^6A--hK{XiH>20+p;4H+@3Q{`+`c%$|Et~>Wo7zre|{zTg+cEPY;Am2h8(V{{k?$vxS+5|&)*zeHk{N0Gh2kc~N7b;A}{J!Ul7zweqSS)MgFUdx3C zOrs+H*^gB7hbWciqGp_LCcb@2jg)i8k?*HU-%zEFoQs1G1Qxy{tK?i!Pd(v_Sl8ne z*J-5rLSdY|{wbAbcOLpcjl>S^Ge2G!Azo7Tk>KW6h?$7ECUl-~pU1rKtJ?jq+@3#E zx&AMBcZ5>dimaK*-n`ds{p)k55i5ToqK#(<5>DQKOv4|Kq&Y6ZgiW1D6|O3HxKSNx z$KKbr9FHR2&aAR^SGAF`lbflF`!F(ov|aLRZANC@dU?|;*~l`^9C+yy3<}-CY^L&c zP?F92RRsx8aJ%X}btcgXq;I*RvT-ZI)Oz=@_>rBAW5@qU{f! z8zcEWannrB>gAqDqDnK1+6koGtFOIdq(Hbd(pp28HTzaW<9rq?W;XK)BJ758!=^G=~+$}tB}j@1u_i&P-HAeTq$Xc*~PqVqZ~s31>pz3SaYPUOq7 zwRk%atS@gMUENOn1*Q5faOc~Qe5h*5d^HPhji}DiT+&5Ra`!6!6KN>kk>}UGdKrox z^y#`+y8Qnz|DT0_{LeZ5zt8%XJlB=1eA#)BQSmtG2>!>%_dmAZzjnZ2k@?vqj9U_2 z`c{5}g;4M^&wfo<2`D|h61N}Lll`D#V})&iqp8_`G8g~pae(nrCmhbatk$)%f}@XR zlFY4iIHjIrrE{c%bH-*xjlO)igiP!=@pgi%LE))(83VY9FzaQO$ieOHv+@f9Kj0ql zO5yvG7WDb=uTHw4d(QIznd$=4nWeD;;4%gkE1$16W!EODrO~r?) z;5KBmiQxhd++#)-vNo@P#}>hGt4r-LaFsgPN@iN7gX8J(JWpUL<)mCG$_ncvTk^A> zalw}LF&*#S_pobFmfINF1Bb)?{5uo&z%jFZO-*MDoIbp5+!)>g7v?Pg!wqZU%D-A| z^z0Jcc-TZaLwey(eL7wzRT}P3t&C<9g5hzBtFgh(3?7;1eD0gi!l;syv;Nv1n9oJO z%qs4N)k$q9$880$Q9J4JM%Ed2`&J0**bl(|3oYvz85=md-v7F5`93&(IeY%Buozqp zdZ`@X;)Sb?jj&8=6WNobO1|!T3HK;Bmx>bJDnVq2WnuX^sA+>wNd)>33p1We} zZFp^o)s~bw3$GyY_!oh71j}DnE1Y(R4NG*xkO3F$qG-6Yj`zZ0Y?4`0{41Q9lAhm| zCz+wFS8uI(xCd@i^)jiFQSeX+SRoc*4o|-9UAx6q;Q87#jkA3`rs=zJFqL&*m&#Ki{`y(%>_$KfaSO^vEARa>;yJkRvQ}LDQG;>RI@9DsnzTdPE&HRm%yadP~sT$5SCGu*ohel?CyUb!}GN z5{RXeTNiNYGNRh$?0I(>A>y%I+9z5A;-h!OCylBbp`phh_x>8%83h$!{}}ZgKRP~T zzeGjRjZMmpi70UhNENBNfoZVf~ z-v1zy?@vAQTCsqHvV)sx`0Nn3W@SQ7K_KaU4sShBNJseOKOe5wdy4SUwU^DWJC*msYiVRp5Pko|Xj44#MR>{lY4auG+jm3br1`aD z$lmeV%IYBDxLQ195^o`Mb}_8|60b$}4Rs|Kq|PHr)x}7^U4rlm-&Wf(6TJn;e9!xt z3Bn&x;O&D9+@6A3+vZq5lEKcsWKzpU4T{7me%3vr$apY8saRfsw7c^?6=~i`UA5L# zZ`U}IXcbt7Ke-}aGwEYM(J{m_#%&m9TtoOH&*@5oa}l|ZJ$zo8VE#5uv%D7*X!5)J zSaaN%HGb#b+M<8I(axJepW;Rp7|(2yQSv)Vi7 zm=&@&uRPKnGe@wu_}eedF37o7RyZgphMa|M6@I)Yk?R&Bwk%jbp>TP*e6 zgXHrh9{O(h^DW`andgXH4I{k$9ZctrP$wYWZqW1W<#=S|WE{FkatAUSk5Ai5Did$* zwI=+o`#{M*(3!gSGqOD`tp}<}mf7);sfQ$IDCaw0H*4Nnpft>+A2~(Nga@kU7&eC^=WZ13v=-rkQk;?=lig;XUE#u~Gs?)9eA@S3 zpXd?CXd-C|hpgZxyZlAA5aI=^8MgOM0MQFPx+cbFO*A}hf1uXLAWM)zZBih@~uU#l`?%jXFu|C9;x)EIU~QV_n1F#B?`Jjbvv$?qwp?I zBSX0$Zl#Z?f48}cB8%@OGno{!v$j^7C;mvqmcz?r8A#S=^Q{jJPD{UQ|DQSkpN0Py zrf2+r@%g>}OZfcS!k*mvKk0F;^mJx{k}QnO88s+p1z?&l%5vZi@kTx&vEzu8Dl8*; zPmD`_fK`+IgWN47=cP|%`5sRv*mN6J)GTv?ZTSa|fLck|`940^C(!Z0 zOxyTQi*^LbguJZJ1mgWX&+hQ^1TCxu1;hLONbbwA=0Y7>8rU9JGMeXKf}P0w=Pe}5 z!+urfJxX6Z>^q6Ij26jdaX+zte8Zr%0iz=JwC)~W{&7A%L;@!+@g+NIE?@)kXo z>Og$=-sO8RorVb?=ZQ;%hh}bJ>`QMJ3Clfan3M%c=4TL5r*n`zown4(L8&^}jHS>u zIE=$~Lech$@=@5ms?_}$JO=wa{KxZU)ZpOXB^kp18jeSU81)+ocj`;OSYulsoB}p1 zyMJsAoZ0CX;zRkM*VoxlWN;5g9CxGUvd3XMFxp~7c8M18!n-2%zQc-}T3kio6RbU3 zDqU8*hE416Ws$vJB-``tkKC&zuwNmOwd=Gd?0>3T-nO5C!$|n4ry7%RtQ<1?5oQP{ zhXuRi%U>Xy}q97*-ivsKHboEhK zX+N;Fp{#=SjLk*&tFy4xi%)AN9vODk#){5#hhabE`=Z_15{~O{stqYJ!ih6qd3IS8 zoYxh+Iu+;$=Sfl7jLaIiH12YxLRl`F4@V*~s zC17>!%w{#c&#;lJSerdV2fH;I>%DZ0V4s(eYZbT!j=TD#$9^rqDLlff*Tn|TLyzY& z*Y1KV<4ZB6bCTri9-(Z|VTQZ-67NvP8F;L>{?@*r0S_;so*Ndd@c3W{rodWw%4J=C z8sq@a)RZV+X^Y>r{~PoF6~z0;!Tw)g`>m6ihRUn%qSo@I+R=y2D8DMF6U0bnBHG+N zk9+8lZ|O$eSxJZN;JxD`_U6b8RC>!FUxxH8)QyqZyNRCdn6Som2PBRLW_&&zg7{UM zK|FDTh`E_&?s{02cs18fNVv@-!Zo|A*oJr}^j;sjSY?RNiwn8FuR**stlV`z0d>1# zKdX_wZTU36$%Pn_Yd0jse7=kW1+o#Ql?Ta;=fuY8jBONTiCC(H1vMePMCpKq$|anzjUDWeTM8niE2ZtH)euHW7Nl~|K>H+8Hw%12g9GoPe1|bAyL+3cYTQt2RQ;Yb&QCzL=$fjH-VGVnSqo z9hXt+W^{ImI2pS)p(&)ywDiX^)ZC#?^I_*e#nTI%i?gRt>X!5P;9Gs-QKrSJkyk^q zx}&Tw{@9M3pFWvy^xVkzFG^5#7RlP@WYT|; z*JYr|YFk$>;u3aN^-kp?CV|sP>W0Jb+W!~K|5qg6|9Em~rVy{bbwYo7_PLP39wYN8 z`Fq(i(O-Z0`H_GAXL5VOF8wT8ZxWBFk`r;|>)s(wPMLG+P7o5c!y{+2$lP~3bvFm$ zLZtTGymv&jC{>D444jU2cA-XT+}2xobH(;@mYqObVv$qG{<(+w`&VJ)1W}Iq#d45r&-3QHcgZ0_ zU=3gD$_t3mT6mMB8jJX!$A+HVyouzUP6~_0>yYX(xYPAJ*&TIg(F-m95Iq)a^Vo117xnkg5r zo4*3m8&B&cyOW=LmWq%1t~#=qgx2?t6Aw=oE{WG;+mXFOP|$g$3bLQihJVr-Lr$9M z7Y^B3yJk#s&(BZY#UC-f8^S`fd9R;vxhZ*gnq-~#;#;&DRvUeiRJs*5jYt%>csP)op?hVG)ioD-~bI@m8Q z>x7MT=d$e@4`I`CWIjl22DXQ;UCMq-a_LLj+~Td7p}Eqb+a}}^bXxX0Ci2DL+83_x zLNewsEcT{9R4omYZS($FmnUGRaka{xcR4J?BUZTErNEMVV8fYVN74%{Q?-4gL^3X; zQqqQh!rID|Z@9n#Hf-OA;!SH|Qxx2kooEYNDV_0L<=e2WE()%hor9e)tse8ISZGcL z*UFR(LpSYSdJ=UT^gALbTYDs76j8nQ)lwczS=v`Ml%0q9rS;OWiQ%xQWE;5Jtq;qb z@>K5&&tT>IP`a-08?4W>J^Ys84I6r$sS3tru&Mf`wT9&V+A3Xg8<1&-?MSh}&!>y9 z)0b#8kNpL^mw~)T8(X36AV@nWc>&kf=UmBOn1kVgIj{A*mSD1O&Mo`(Pne|yZ7><= zA^AF$?>{YUgR#9Ok)vrB)lZE8aA|<$FbXurdon z9c_|TIa0$TCIq{2mBE2^F|fCnIY%ieBE6VFtdiCW=y|QAl2~^ehAW)1nvK+9BE)9p z>v|vN>wcOa{tQ?=*JMet5QP=fq5WTFs9`OV@F4s21=vUoG&^MG!*=IxuH4r*U`H!m zdqtRF^(RicR1?c#AFiNx@5L9g7a=xnHFa=!^(!I2a~_V?I&-^INe{N**WNR_9mbi; zvlP!7n6Gy=edll!mOMIPf`PfPil_hiKw%Nq^C!94UkkxjW~=zgp>41;oJ&x%4HE{5i=J;{nja(z6zS1sI?7w{Bz|D%C#T+TJSNVSl?cE z|CvnWyOv&@%O|t4gh9cD_G8FQP_AT-CpHOUr+zkaW+PR+_DfvANhGZ)Wg68d`h1}< z4ZdHagg;&Sf#x)sAqM$$o|bY#MBa){t+0B8FF#qfeC-{C`q3zh72PJjIg{n|F1@G| z9Wi2fTZrrrq)NA=oQV`(<)IAErx!>$uq}4+j2IFH+xdsh0_%oD`SrUIo149!UBMI4e&Zg)6|w|t&lV^P6R+xDA*;hKZAQl)MaJc- z@6mX8Q~is@3EaNk%=+}2Kk+ThGLz=CM{%2iq*8hj3Z~Cxe<*)|+$*O)cr$AI3?tMTyeZ|VfF;}EsaDDiuIf!tvf9OmSw(Jh@9Yiw@!N&osZXbEMD1$rpUwV!XrPS=Dv31yPw-o@#dpIM#2r0-Zb05MwNkL z#uL}vcuu3>klzjCv@^)v?8Yx&t_6zcBawBtb&+}6`TF!u@_iVcndq07A$rSvkzRr__sOj+1o6rTKD`~QOZ|HT<)g-SAT zmz|s|wjrHA_(b@Z&Bzc|+H-lWF*3PEsspCxk-5NG8)9OOtY*G-!<%(Lak%|psL2%B z+-6TA&N(2vs{G)KLtn}Kd14K1);F@FiMn}f-6@2h$#S@*M2F~1hQ$^g4#c1E-Aq$M zw6iw1XWYM&{Q_U$>IY$@pEMGp(D~?Rxj; zY`Z`?oHY65d@Kivw?!U#J9#rLG>Es=zR=Kx6T*nQW~o><;)Emy9j>4TH>B=}_|f^23TbIsx)w!|gfm*-I3%M=?15O_yY|3iQZ!5-uoR;+lnG)8ih z*l+SwI1~P1!Kz#Jgb&Kg-JMxO9FNELeRO&6Lb5ieyY38yqVTYEdE7k7UwG!0%_|&( zRQ_bq7o8bM-`2b%Mw|;7zgYLB)qFx$xykkEVX_}O(d%+%ha7V1bSq_ybdks0dVc)) zBJ$6wN$C+kq5_*y>T}b~DD=!&=b}D|TaK-b9NL>vq+T42V{1QC!W1m2BVH|(oQI{4n}jlbC@dGkGLQTsIVpOB5jXoZ zVAb~2GVRkmF5eX^=U~<$UeiH(9?8tm8M4jz3Z}x{v>c}b$7(PeQ6Er2i#+q?+Ze6xuc=5a9A@gS%gRQW2=NAL(LglyeKM=_G)T#riGPPbNWRIN0%NGB_>lor?k6KoBIUuGhb_veZX-%pJZ8V47XuwMrvcV%!(=*B(HONX(Bd2 z`1GNI`;#&e8=1n;$4ch>S3{o_X^SG#^jrGSBLRe0x0T5}e}=HV+tPS!$I#CDeoM5< zMbz(9=C3?5fQrV+J^iXXP!c$-nQOX-WNq^^wzKRbGrEl1E9J>vd%JTZ?V9(%6%dz^?XWt4`2ML1f7+lrPWTgP$G`ZVM?^^P zdg@lHE7H=|l8L*mL*m)$^Lf zOEo7|bUYr>dE0}3&X*#xTk-qn3_nEheV|tL--nL9Z!37b&}YKm+Mqh2J{| zeVQ(NaTve zbXkfV0wsn!jgI8%X6k-EbZ(FX>7LzkM?du-^%Ym2lEz~skGj83cR7qiEdkyag!dQE zfAyg0GjYW3?D?{0-Ok^&|1X&T|4bHV*qx!{Be7=@Eo5(9cyTAf7+_A_=Ft)AJPQH=6=**>~3U>F?v7LuR-<@V+ju@;RQM!`q>d_gk1U^!OQot zBR6cl!o5~8M8rJtrhc#nF{ASltW@eqh$!HyFF20m?!2H115#7ZU$1z{s7f+Q0~ZZh zo{^uscQrrjdt_SkX{xLs+8#@z;hi_{f}$32X>)HZvV|}Fil`@?p2>sNOu_PG-X5qK z^&|?pN2^v{ry;$@piyY>bS3h1$`!n)ONgG_y5X&=F}YXVUSqP6=*}H6*plH?mpZ2I;47TroL&hiH_%BjxOfF5<1Ac+W+0<~W57eInW5IbR1& zDzB5g0)_IFXDwThAK)W)^=cvU5#D6W{EQWacPb|E_C9V^2L?ZJvqw?Nij~XanNhrd z`?ynQ7|CS4F16vUDT?Q|ZHt>xLCMLbU3|ewzia;=%>QTM|L=VMSL^OdzFGPFAmdHa z6A)lf{GZP2yBK_N_T2trsB8XO7cQ#{Eq2-ZO%le?5f1q17k>wOYj#dW-zmbi$^*Lv zjjq68zj>ST8#0fMm+W@A^9V*SN?)r6^}%H2PLFpn!7ybs%D-}sVAE9_3x%Y)$kgUa^)6u zGRD{^f067>@Ar&uQa7N#_bZo!XAL?nqJX$XIl-@8b_B_z5$>oDZRN-C4BnruMmo)WDyA8EZdVQML z4?rVi-L3mWG0+z6^_kA!=x3ALfFo%WQ-u|F`VOUfgo%7pO0ZVq3 zxrc!yN8aY8r?N){t{e(&-~RRxG+#N31T{K9=j7Pdv2(-Fvz%r+AKL_dy?7aY>v0$g zZRE8#k%!U4r%%@l^ONVb*latp5vF;KK?~0vVfOikr;dy)ELIn`l`RlF-@Iy=f-mA@qDZ%gsJD zL%+ILnCCz*>GfpeOZEo9I69-|+ii20%AYsOojCxrLC)+YGS|1*Hsb7{M>tsBt{e}J zTEo)VKQNzgoGpKx(^T8=3sxRXFA{bM!RotH$?ACySR2%o>F?VO>u%FT&cS`qkY{+_y)61eTvd z+drIYhE-8q&;1rJ(hHc*Wz}=QW-T56ShpQ)DCe(x-He6po=$%gS2x(+b~*gPwjOq3 zPv>JL`e2v7vfnFsV9&kgk zDO*FE{#|l^4H&yQN$$US65(pJ>cppw>*%VIJw(T6^6A98D@Z-Q*`i9b3rS)RTIFn; z5U=v=u5wBnVqPx(%DH$OQ8jrief(mh6?f$S=rDkZex_+m= zT?uu2sX2PiTcdn&FCX%w)|3JqRdAG;dDQ90Q5aPBq9 z%y#jya%W#c(Z-oDzbxW8-JbEhqGBVm&4V4;nQ{rAqFdiSiu7JyQT{n@7wgqJqrz!StAEUTusa^fFH415~rY}5MhFt5mxuFe;poBJc zMxXXYrjVJ+%T0>}7cnk8j~_s)r4r9++Wkm+8>5rG<^j=zYQ3Ap4a6-!+Z?3)6fw&m z2=*vHLzKkLh)pA<=y3XW1T3y#dcZ2FCGz)6-!KnPN3 zQfa_OsnOIo%a!HAbH(G}$(e$r>SE-9?~pB>-7VE%tnxp>Iw{>Og*|K;6LY}UBu zkL%=N|C!vL@Lipks{;2E%}L~U7POh{!0eu6Iewl(ws_Hobvy+74hwHx zm+Ve3cbAtQ@rcY_tF@k{;45-NkKJ7LE*ard+dui#k{ny3EpyHWWH%)kNHHHvN3wbM zRB#QM0~bn-N`&|#ZL#Bp^R-Q6zraNiTA6^%qr#UTr-zU^yEe<9m;~v=nNQpkCES|t zUPH0|MDtR8iz0A@aBB?r3mMO)B6oFqdHshOf=!>N9M51y9zPQae4Rt&`sN=t$K?_0 zB*nX>;4>1X^P|2AwRd9SeZ~cGC$v9d9O5% ztVvF%$+|jFhOqPbQ^KdO=$XWa`-{YL9TF7SC0hi5en(FOS!o97|+GbF3b_ z--yhogFBx|5bx2SZ(j|De?d0gMv0}^qsUp#s=lc%A2}mp?;lmPkUXKypNC|L$CYU^ zN5~dwLU%s==2|U8Lq|Nv&Y7 zM*3~z7eR$l$V_4GHDg&Ix`?_g-E~B}`|F_fk78TmGxYt&Q#x+s4SOm*pxhz7gk4Jb z_Kzq$lc9CEaUQpH^wLgI>7Yn!!n{AE48_~}SyS9Tp*YM+GuMLn^vr~23mcfB^I??HP-gV>d-CX)R~l~g!y1sxY5Qx>gu=<+Q6T>gCwx>cR0V}3+J z?{GKA6}A1)yA!=*&WVC+ySt{&rds2y)%PmPmG__|KY2u)) zn{eetssaDuE6~_t_vV<7D>UOcmh~m|K`VeEtmT9?wDk{r%9pf3N3<(BCw>ETJ}}D2 z{j7s-SjF)hQ6|va#gTl?on%2)dn{*Sd5LRM)_X((qH(Pxd+B|@JI?8eHZeU=hw`q^ zp`un=P&J^pX7A_2*K}vzb;P;g+Q*^gB1*3a zmcMdhPWT?qe`8>^_<9d2nQw39@M=Qs75ldz#eukTSK7dT6%#ZxwfYbD%|r9`CVI6} zdT7lpvD6OIKzlB99dFnublxbJJU((3y4CVgqH#~4=hkZ3?`lkbzZI0Yyw|ukP`{kk z`xx}CxX0Sw{eb=wBg^w~aTvI%t{JZ14wZFnF?B+_p_cA%+Sqj$SB5!U&MotYMt8{* ziTOZi9eR@Zk@(wdyLwC8ar}Z#YL&Lr*;UXjn0+Er9|64#hmY}7w{gvPf5}m21L&(f zcizmg3Q_$zoqx{n%0H=Ye0*POeJ+B zdKW`M5X8Lf1uC+iR~M5yPEE2YC%*dX63ibhvF(Ga1R}GWx~fDB5k4pTdb{5llKD{| zrZp0XcDAYbg0&8)7mism%(M>`ZRzqm`+86kdHU=6%Ue+xV0!gK+9l+gB>pmT76wIH z#AUW)8X0-=A@Uk=NDDL!@Nu+5$_qf%b20p5n)i(cpXH^>m9cDqp#|tehd)*#I#D7T9en|C1L5 z4#zj`AX#v^YD~3#`NW&0i6g_hSPhwBtSooG${@X%;{tOF4bm75sdn`eukn))SIunc zL*o6t5gCu`y-cs-IMT*Z#j?{=X9Wh#%kIvpfs#8QMc@i9i43cUsdw z@PN->`+vs&^jTj7=VEoI7=2C0Ile@v=;`*Tm=`8z|&eF>v!^yr_Y;_I!xi9l99V+t!phLX8H?TA-O7IGe6{5iTJm~eRZ36^#3N8VMF&0(oA$fq}D8Eoc5enF09 zn;V&XA9Pi{`8*#nt0HB5&nXk%oPm_ip&dwZ^r0$iE=HOgt*D*nPm*__vsIjAT4j1y z^ctL8hpgVx1-&9`WUs2U%F$en9PWZ;X9`GOFi-H-eRm!pk1i+Z!u1T~z3JJ{$a50; z*$-TM&Cj9Ws=RK?H4zl9oVSSI&w|2)g>T>5NRRg=GU-i@1X9jv*B=>GM_PJ)(Vjl;H8XUUv<$uW48?lxgyx8P(ns9hL3R%*lacjL(FKe_dZuR*kZ}oVHA|Gm}kL({&%$!D4HPJ}3P zzD|0Y?OXw;h!1i&HN({>Z;8+U^?J@9jmX_lbS$QQnrNBw)0WL7qJUN6865{B3Js)R z6^WC%eyZB-lqzQwH7a*JjATNwu3x50)K`)LYvQz`wGt(#i)Q-Bj<2NBV77%OC^Z+dp00iIyY_#d`~PHd{vW9Q)^F7mwZ?6z^}PIf1@l*wTN*7lSWCP+97>kA zwhSXbhmBoPF%#LHw`7C4pOCBzK}xS3*{>VCdXf2=a5&AF-Lk)vd>FsZz!h>Q5MP{B zCi!tIVx@O9I1iU2nq$|+_oqS-c~Ejr^^P3EV`iSyuf2<~Ey_RMy&-;mw#6GuKYc}= zjJaWa^KMkoJP!BTLo^rP`j@}{I!k<44GMW5+(C|SRx{^^Ey%LD!MEWedH%(_Jm(LR zb7tBhrH?ik$xeJ$BW3T95Y#bDlgWrUS`}ku+F0U4tM4Gdv8ZEJdL(xw%K-jv#Wq#k5bd z5`Dgs!Lh=vWcPJ1wD9OKE7EcqHB+U&lU%}QA9|8xkXZLhEZKSl@!WfM4OI3a=IOlG z)(u>U8uYhfAM-@yhH3dI`qK#ak#9J+Q2_1pTwku6hoK>`Kuq(x6Dr@^p)ygPa9J<; zj=vz96sbv(8Y=SMAD8LAT5V7KaLr-+!xB>aPU;m`KSi>m zZ0S~KUL;hTl`?!!Cb@olOV89UM~sYiDB~#c?AGS3S-qO@8Eao@e>$It4(W4BE%SwF zRG?oL9ZvFV6In!lv$ujZh5^y1#{KYCEOm;d<5chck@-l$zIG7ic;wTT*r zR%Gh!V(7U`aG>t}>eJc;huylQ9(}KxWM@@PejM#ZLa)^|V;dU8MQ{lSIS~KAaLE#? zD#H8dpc+$C{fW-uyqhbQy3v&06vVpkHEKS3F=Qe!quZGiQ{VsA(<_dkMxP2;r{${r~s={`k=+AFkWy zKI5Oq|NHd^e?R`8$36M`^9DBf39eWxj~9Pmf5p?1kTs%&*MEP#k;>y~9gW@?{rmA_ z3a!}_Nu~Jk_vd|lec~32(`$VG`}2L+td5WM3?RVw?2oui8-y_VT(u_IS7Cl@lWUS$ z5pm&}m*#Gw{rpxwnOZ)MXq#0#O*JDC^QdH_9J46m80UDXP2v#0`_{Fr(Y;8JzU`-^ z>w!eU>I-M{=aIBBmi_u`;@jT3Y@)IAA(Cz4q-Qn`B89QS@h9QX`cgY5jc2UIO@sID znSm=2@^hs~uKQ|)Q&Y($l{7?IYUMn(QUhABd}W z*Veo*g!uRDL*DN~kua}(a*yq#jt+9-_VnslmI0X-UraNFp{AcQ=)@cqTp4lI2q_rAJQP*Ga7+ytk{rXmy ztOBI8uh*2-kRv&Gag9}T#DnC%^d=$2A*2b6MYmXgLYmiwW9H`%BG^wdBu$VHVee1R zR`kRmQpuGq=AJjALk~*yjwvBF_0?|e9!|vj_cj?MCnE7w;|8xa+mZB{x=Y>v8&V8d z+{|~=B30|#2DLBzBxf(rE?LeNX(3B%c63}LUMnJoLa#_Rs*k1cZjBvCAE%%0slS1Y zQ<^m2bEpw{ZqlmH?g7y{?{*OxpGI6%L+gfsA4r&!vUImOgk+HyRG|-|kjfqGduT?2 z;869fLu4kMHl20EentoBP1V~Xw$UNOwc-3)X5!(pBe1{r49RW2+puQ%X*<~qCCYD3 zB7Tb98S@QOB&X<5(Y?cT1gBCWWzS03{I31KW_^DY{{LS4K97?k@6CIeZzWTRH>1s&?$x|C zr1m$dkI&zM?{e>{9I-mw)Xlr%tlfo>c|Gb6--xHwik$RUr??UE^iGuWQ))zc|14#i z7eNfY9s8I^1Y&ItI=?&Ygt)r>D<^sGBYtdu|Dp=fY0rf|@7EPZ z?mI^K^2NpJD;Q#RZBSb8H4d>S;`Fc}zJIx0T5z{b~Ulh9*aeA{GGhezR{^RvkKlLq; zczS1w?DaO1V@v6=Txdpe-I=>zDw&Yd7MS{hZ;{l#_NEuMeq1}oZ%Rx1ED+9BmE6}k z;?1VO@`-Zz8%dXmhofQPLymx#uS*+Pw00x`70cVv!iCivic3ypjw623(> zYk%!0dC#J3vcTd4FZN2_r~1s4%wQ1VtuTe4&>Wl;l-er*B#OuKm9;{~yKw zzn=SlU;hIT{MVfO_fD3IeC1I=wVQ0>uS3o#eb94hX`cAheUxTWE+IU;;<%QXt$UIA zXh?(e`A(#@i}%;G`ye^Z zAYrGFLxUfD%i^RY1Ky&gRY2!1gA{6Z-q5E$zKwE?Ev$kN#r19p+P=&g!e|=w8H!^d zy}pG<^jT@5E2QbTwQv(Qc*F^H{VgOfFmEP6;0fZJ&(a43KSNB#^}>f@5{TkS$6JKo6meykUMs>~u?l~HIllrelRmw{R#;pcNKOHi>w?ZJ-p zF%&Pnxa`|=2>I44mVUiNbbH5NNi*3HUhR^KmQRbXAVXiqSw43K;T$YFw|i+I5(@hj z2Ko39>seAZ6iC<@?bf_L((z4x)B+?Z{|pMOA*>R~siv_l zafk{I-;=pG9}%)$X+Mu1Mu@YO^v12#2&7{l?qu%9-Dl(J94p?TQQBCcIAKYo?%;vhao@s=nupUDznrTV=fJPD(FHk(dgMcRRu znLAHikfd?qOv8)2h-cY3-MM*+aFC0c{r8fZUMkxxx0=Fbj*U0^; z{Xadww#2_uxyUm>nAG|I-+q63*$nG{+3)}E;r}T;f8fsA{YkB#5PoR(4sFE3QWih)Z`eT-HnYGddo;dYWuVTv(G67F$Z@ z$Gi$ZKN2m?D}_}VF;9`U>AUpuHPJ}d;Ckm$>QBzsu(y1x%8_wP#+EHI4U|14fo$Zw zqU4GgZYJE^Ob&tOjYgXgobC9wXNx`}8s7|k7$F(s`_DN>1QNETi8IF~;`@r%Sr|Bb z{umOsJ?cC!TZ^PV_Mp+d>O>!YLRzZrKH&vr8W-OSM*4bQxA(*Eks+=gAistS8O;w? zyuNn=l;hjC&rKSEa+k;L_2GNSJaC;^Glqfi4g;27ic2NgTzjPB8OgKqZIY9C**V1M zDL(wZmIZOiiHy?+vXGD|$@n4S0Fpd;x31VjvPq@88XAH~%|GttHaT~XWRk5`=yD~w z^_p+AUK}FlIm2cf#;1LuBcC zc51Q|A?vOCO}e4CplNrUbyP@3oQ1!+sG2?!cW(F=m=ufTJG^bhA6SsK`Mcrbw|2gL>60hP@*3%vYv+?7!7R$E!8DQKW{&> zPkk{62}vhy6^wh^ONtj9{&msFaN#Y|EJZy)5XoS#a9SJdv|4SHuV#{+#-L8 z4Gq92NYd7<+#G(|zRJ}{RwICIn{M6tZMYUy@+J6Z8v=g}fA(l%L+}a9^hG;@5aQFk zZtC?QLbGZuS=W3(Sjo%rhM&C%&kuI6j8sH~l1=9kb;9Z@XNU=Be~QSJseuP$jp2M^ z*3Dq<7p}64b7`y#gV)4bkJOT#@cC(Zmz&ieeox1CpPgNafDpsAKMn*SP;hOJ$nkmv zMOsz8vJpk_xa{)IgKH7G$#ZZ$!&QWx;yCooULD~E#%G&|4=-Y;)wscpXhh_;C;HCr zCBA)Qoz`2Xh;)78vaFnN`^uhr39fzu&n@l=mBk704qv5{vaAli`K!~CnXkb=BE7w2 z^fRuhsND~`J&V8zcHK6XbTXfic)y6`&V=0SVu&;){S9vb?OI0#!jB#6=VZK$2uUjA zDR)VtUv%`^`tuheCB+uB&Wn&tjAu7OWUeA=`KqyVW%6*R-;=z?RuEot6je(mDfrOd zHL0zp!LQ?~Q)m8i1T=V$EaulI*_7MDFFG3_c;DEafav20Y2PgSEO{xy_R|HJ&&D7; zCNAxUn-(I>v`i>Gq=%=Q>U)vsj>r(LLm4Tz$m{Lixs+&*qWqe7r8G<+>T{squF>!C zyf3YHaVQi%j^B726}#X!bo=NvHBDUObr)+E^GA?~&+2-oT!gTG;m6}d}gecYdr3_q7=l8q|8xF)=9Ye>y{1jVy)F8iuLvQwAER;+X+^C$UZ zET}<5sKoa1^Uo0J^(Jm$^94j1cWp0w;)!VN5*^&Q12KzFJc#nvMoi0-w)=x*mS{gT zs;uOMxK%@5`wc@8R~FhN{$UvLa&8N(8HB5FC;lnxM(IDb|9j5=ck%!4sQnhd7CK|g zY1FK-V;CXN+46{=Mm2$~C@x=Ie&C}K^2-wxE|UD19LCpLH)t)yx7Bumi9wBcOvaAQ z5N&08!I$%6USz(l7RWutO0p>JH@P`nc!Ic(+rt|6I3cEb-!aW7bwo8y+l9WOM@D3b-f2g)vasGTyDo&90kx$skM*EJj9L^t*hv`SvH2O=Pf-v$HAwMVg`DQA z1xqSbk=0&Y`;pA8GcV@XCR)EB=g&(&E6;OC#r~3pMh@a5G&A47hun{ge(K(Nbse$# ztWp~mr6T%b=MOslIz)zpu`f3o;cq@jyiB)6Yf-%auV;>^eVTP{ko_tuK0kiGXLT4# z8SE?>wa=iiq`%6dVG6koh7WTEnn24vA^wo9jCgC9{b?YXpsc1UCpkUHeBHCwZR27j z>&kU*;t@tdksAG%``L&)CfZ%}Y7#NqO+LR*3rCc4wW)+n9>^o5fP-Y5w}n%hPf3cR zelOhvU%hHnaVtu8xo$=2=QX)!8JFU^YNWTtFwyji1)Qy4`Uu%0bu>g0R+;R#18;x5 zK_;`F{z3zVa1)zaWvp|M@_o}qX|J_p&rlaHdR_wYiQU_Fq%J}1CH{$0!tIaN`C30v z?1{)E+e+cFk7$4T*}`D$Ni-aNYB%HZ4L9x)O=U|V%1viVd-YXOVqR8a5ON5GdXeWE zKcyjekh?|Bh-7asNHkq-_Xaio;IoIs7e@)&qr$U&p70*RHM4HyBE@_iqkQcg5=#|j zIT@!Be~1!NN%2PP>R+3S28f4hn?>21bA%n@*X|$^GLFU{&b190F{t5W*w{Wij7puQ zF_*&DqfCUoLnz5?Hf9_8sfK1yt+&sr~=x`Tv#5 z^_Pd2{qLS$j*0B{f6TF3^M7VFCQpUmU;h`o?xoOTd|)BCG*~MgqA#2O=dJzOHazs5MqAO zVAUKsFAOZ=(jI3ahHmieI>H5xI~15LL?wIw!v*F$nO-7kmDYEKY6T>>D-^jsCVKI6 z91q^Ec|<;^>aAOpjw554!jF7D8)Ou8_N;0rxiZ-G>+)G^GM_k=K#d}72&Elw$NR~? z{#MM*7eR!4Kh?y}Ao}o*fUdr7#17RR zbZsP=Uc0tGkS6TPq?5`Kxg(^eWv{6nc+QA4RujSaSV^Rpe07Us$VNu$v`IU^Cn)Eh zC`8a1B9md!2+bu3nH2Zo7c}xdrF^@hOHDy-?3hl-=^!~mACoe__aN)$jR8vd8nVw| zv+9{FAX%gz0*`4FA>o9O#BF;rODxKkJgGyEl)Q^)``Bz2)TeKCUUcn%kt3nZWDlv~2? zBc8}v2=ub%$wqGGwpUl5-$tJF`U~ft>LIUvh0WtLJO0%E@1Fl(h5ui*JO91sH?jPy z`&Xum`0v`De_HL^xN5J8Ep~@}+$}wZ%^Yx=nopYfdKj+lVIe&y>foXJXsOJ{T9PMo zE05Z|0bUyEIl@zt@IL+3ddUq6eD=Otx5Bg^zRNWpZSmHCZ*OZ@$irCpg{s$|Fb#$O zUfbGgo?YEu30J9}X97Udut12XI%~MeXBM#MQT%++82L;U#J<+?5v(Z`n0$$GVl_BQJOI zur|rqloA{sH{K3E-rI>bU4!s@w^&=VGY6GOQm-#^xsajZMNu@aN`E_%SX8{|_4nUkcwsK<#{4zy=Fk zbESQV{yKudZHWQOp07IaJ~^_|$CM5}Nsi}vlD5FN%eLc5+Zg;Ndx{D&1K~gKdb{|wC<4BuFUmVc z;C!#n@mmc@ll?`}&yrDb1O@8Szf`kAuu@FXvo%{0{M}ZrcEJ-Nl!44H$v$`p6t=je zx5D#z%icOUlBF78{@uDB+;s5T!=TAHLh*6#a4L%#<+LP1QWQCRK6E2An0CJL@m++Oy?hZVTZr%jQO8Ht#*z&7 z{>*(W%Mfuf{Q0J)+ka~RPtX6a;{U6-{|}@4ul@di-1q;-)P75BoH|*+q2}lgE$56N zl;56VlvJ!o$;u_KUWgF(l5|q;$xFn4pY%iWAOrDjwH^~4e@@t4E-#+l=GaJb@>qqWhfKz+~+b&KzKiQkGw<@VcBV-+(fJsidRvFbL8 zl#bbKdP4MNM$d=1@<)-aFaU?UyFR2{s8-a;!$o;q6v??c5QS$`fyAB#Jg#(BX)vYpAzu=={1* z1XX9Xwl%X>p-gsaswa6Tu77iJdnpt^bb9u*_(vC!Q>=ks^^ZVX`IJ)cCy&et-cNDM ziplvnAj$IHHKNbyPMRlh~-lCenj_ke>V5n-YYiUTg_&KhuGgGK);~n(qmy61Ff=1- zAAR!Rs2pOZe7Ne4E z)UhVRFT(W*H)U^Rt=xmC>Fc-L-4qc^^U&k$nneP`RcY0!dL#+U>28yjLCUg_h{<32 zNPWbg!S3yXbcdp=#ixXj;gNaW@FUUpNhev)GYQ8>2207$nt$O<6B*gNXGUmmxua@+3+CBepw0Po_TCkF;GXM+>+JX zX(SWX>}U&yW-&iQJTx^_8g}9LQEA(bx6=AKo@Hc2nCnGx1N>V_T_#V<1 zFWY*bWQm>n@n&!%;q9~>e5u#uNqmKTD*LD-WS7^TL7m@1xQ)w0$H=UbGI2PRKb&}X zo1{36gGnxNV2CjT$q36*aAsLTbbnbhKEc`Fo`4n?DBdm}L%h$`uTx)~Lw0@bpt2d! zCm#IHx`|E+3B5ue$^(3m+%$a1_ULk?IrU3NhOb4&RcXb|GovJXH6^>bHUgO~ud9o> z!$9riQ*1~l`qJCAC;QvXLCa69mpFe3**+l(p)Q04ul%dYl9LU&Y@_NK_m&~IUg79Q zMJ43vE8V`!xC?o|0)=@s2v;z?dH=vz|DW3b-Shve@c;Jlss6k6^$g9e9RIF;BO{|( z{B`dC_4V85M}GUyob#KRcwgoVWQ6so6|c7Hb6jyP?=`tTM;O{gVO+=B;7Ch}A4?-_ zWfNgPwIT<&vT|gwT35r(&op)W;tIHrY;HYmkb$e){NKsjlB~q-&%~{YHj-XdQL}@= z9$t9NFZhXMCf2kyZ%Eh*@2yMrAD0n;ckEY*g`*WPH!b*DDq{#6O%2OYMv^}>mD&`4 z?hYI_9vZsXaSl#vbL6?yNuJO9`CUx!&cHRAQ&jSd2i*B6T5_p2@bD3N|E!4*S0C>9 zabd+2Jbj1a&YJa+%*np4Jv+(XBBlAttgQ&VSC7elFNz~`{N36QwC}hQILWJD`rz`F6Y!>f+@j|C zSI_?L*@(Fqd_q$f>R3L&dUM_e4Ku>I6V0`8cq;|_%2o|No~3a7vEfNjj|E)V9v9v? z@c}=t?k-4l8S3g{Rb@O zj^SF;IlZ0pYZ17CbHBHO`k&hW)ARp6^~tR7e|qh=r0m|Od3GymG-8C33Lm2U8J%Oq z3r3V|Yf-GPVkJD+O3&V&^T>Is7U1&42(%>u4jQa9WZp@tYE4T*#>-zj0*GjFPMOwmN}U%WIvW*bA!O z{pF{_$$Z(O{$(W966t|T%d%ZnkW!$+yX*2DByE;|!ge|p@m~&UGMW02yuTZx(pDje zInbaNylx&*1)+ITM_tj{zIWdaHv!ZM*6%p6_6I5zS8%00TY^$uO(m3^AQ=)%12nDN zkymJH!|Pa#?0h#rca{Cf+Lv!Qb%hC;J1Ra#eEf+Fdq&OX!YZV`^Zs&S#d0L8GI^$FZZzO19gh zi5q2?o;=Q)utD*G&+B-GNY?ZYNrt1u=aN|+`T-7kk^Z90g*K|Jf_a0ya{w-!5bkvmX3>s-I{ z6QY4S$N5x<192S}3>F>MKu5jdrBY#MG|3Ca$G0v)O^JS6D!5R^L0iP#Wsb7pNBr-c z3A@9)uQaOqK8h3rQayPuBY$t#wNP1-xsvBKwM_jk$?~8zBusmde8>c8`{tvdyx-c) z_4F+HxilZx*TR4_)#kOyNeLv|oo-N4Zvu(085=ey7yYUI|LFPuPUZSPIlTTIKjy50 zJ7vG`?Of5_(Id+d{`daB@|Fs&R3N#trPnr#SCZY5@^N!!q8ESgedtJ62q?Bf=c*P` zkjdH*J4AHgnK9L^FZwT#&xUp3M(rh_MwoT-ofk(I-Sp~7f;d=@>237`Suki8yvqu+@;V3$m z7;6EF*xNh~chZwSnBM%zpO0|bmH4FBB_nh0w&%`!PeHx<=!osf+n|2`K6u5LupOE^>xhz{r+>J}ziA{E=QrhD>8sM$6kv2#6=-ncZZ)|5obi|Q2=iC;)7 zl9h>?qptCXHyfl%$y$pjVxBuHK{;Cl-Dwa3gz7b@(F1A(E4HiYM5K_$`lh(esTNBd6!m z!o<$gNc5%Wwmh^RDPGS#oh`?S=K3sMs8k|(f81XVe|Gnqu5c*r&^M84G|7ml6 zqd2v?2M~c-ugv)1%`LEIe&gC%kqev0_eGZNvA`8e33oZ!&#)UT-Xbsd2oB;4o>6ul za5U~SG~LSrr+|&g-g!Sb2 z{7U&g*xnxD93WW_c9)~Vjz}iLel#W5I${EjN=vyl$Y<0kw8!}KDsDK}B{YL;fxY%1kMO<;I85kinvD3sNqzV0Uw72u zoVRY#tm*-{yw(@)|HT0}RyMg`*BRixN`qB;2|qk|b8nQJ58>*f+A1&dOuah%*x6FE z5uWFLlvte@;d%2)!^h!3So+&k%@iz#O?+A8Y%+P>>)XsG%KBg*<+{E=uL+JPg#~U4 zjKOK5a7nPhFkDRJ9{Kkh!L_sf*oDJ~;lA3;vgBj}JWjC)G||!H>XmaHuSnnEdGOZm zt)~m%*_a>tiAFSAdzi1Z3y?b)?akUb)3fkiwuNK-E*q|FK5gWZp96c-fX_QO(2=|y zwO*mtZrwbI`qi8GP(2Jj<2MxT>k} z(mXq3T|s{RCMKO>t^)61C5fYQ#_(Cmv#V%b2YljGPfj>3fiL^f%`EbF;Om+CuF9?Z zPwoHd`G24K{|jorC7oj6ZAkJ^^h4)Qv@b#Vn~C>#uj!yf`l>;tzcLEKV;uSkb2Deo zrt9ljUC_4WD$%8r+1*5L=$+UGqHSqvo>DJD+Mt!zhZ7x0;V;#&oXtRDSz+S1dkyhy zPW_Vp@C>onZ5FTpz8297A>P*S=@8|1lW%;Q1+60Yb;q=NQM-O**NbbjsPNjPPKY`r z1JcUKbuICo+g;0x3O$J2X8EYsD#4(=>_42_M`mY1A>1FhiMA#|Mdn_3FVbHZKA891 zgVZGnUOBBq+Y+`iTE*E7377WS#?dh%&csb@i1jC83O|Jut|hE&!DsH(RYPdKf5_yp ztt9F;F`tRxt48G+GwZ$ID^Y5dwRHdfmnh0P8Mn-CKk~Z8+h%SRA-hLa`|e*FQvJ#0 z-s*%|adC2aX9{79(dfRMjp`!3WwufeyBqP)PA$EyL1vXU?xZ%+RBfrirW~WKJws>17Q=Wa$j1$xLOru78S3ItBIyXm%^GKkA5w$xf(S`gIb? z#Tg9rx}=DNH&3}DIL#6FeW$EU@*Bh+4Dc>{zZG{`r8yI=`O$DQRjK1_H>#BbrTOz<_NGfG8Hiq=(n;`5`O`1RQ~eFdoJf`s}c zT!{bw`c9W<;;mj^E?3HKht$I2)(qEvBwx@7x-3wP#6xtHC;qPxum7#LNoplJS{)eG z76DDli5KZ?UZbX6Aeb%x1gf^MM%VHg6x_eo9w{}0 zpT(pKk(8~{e|E{_pW6SAp8t0iXUV@ky8qhm|NZB5V-I>|IY!?6wF3O3_y5Pv`oeV9 zGWlnBtt7pPH$EX@$*;TtcoDa>@{&LCp&_W!e({Zh+h%Mv1q?|b961{LDj^-$0Dn@CsZgmGO-DLzDUgnGXoG4L})M6~3Z7O_)^p6xQY6;GZK9rNBp z_mz-%aN%;-fo^iwVNl+wI)GG%yKfhVzCgP2JMq&DqsZ_yboI_AeEwsN=NKuMkh!SQ zDyED0)GF2|Yh}`tTIlE66VE{A?8mD5MUs%^^p<;Kh|CGT?=r2=)g#^{|GugW;+6hj z`a1kpFv;g(Qk|0ML&B1y4C33b6VI%+?vxD;DIE>w6MMOl_T2nKkwyaX%enLSDHFDb zJeTRkXPksB5`4a=TNRmono;ZDlJ^-}Iw3|+?iNy>LqD^KzrOe6CsU$tpc%EUd!b7B zh93^(HL@Ba+w$|SVvW0qNgG)9PVF7yTblOVu+Aepuz-v2i*_S*ts$R&Od)T9E6U@^HvnJD)+u?G=WyD0OD3kskOCMC-W zuprx?`m^F5VR5We=KR*R4LMb{(X*_=$OW&Pjp`F5G5^XtnMHJ&oP}|@62yNvboR1+ z4)FoXdaKV_5lxhR&A#K!=0rc(LBFiw2+1O2f1b220ko{DU0Kyy$c|cf|C(A5a;(Q% zKAP1c_n_U$kOPa6$F#h$fVCHSHQNQ*50M?ILE+NA+5;$H5*^6!BYM$9o!u#hum05j z@1Fl(h5uh``~Su2oc^c#_x1O9{dl##2FCft(PFzl!wkI|y=0zmse1G@i_RigdwufX z+;$u`H`@h$^bBCz_oc0FjR&p_-YtnFHE-8LRVa>I1^cpRFH5gW!@=k4qAhRV!tsdi z(2vPvIDX%8D>J71sa*Kbcnh}Nx2v}je%=+SMxkHi*_9*VKp@6k!leJo3lUpdeceHO~Ra!R>4VVjHs=E#^uWn-%7J_JJ~(eb^3n3=Za5EV zS5Noyz$HN2Ii9rvt|E(@N>+uzC?n~a!hkJI8>bg9A^AO)$~pTR5((4$rt0}`vO8hB z{`~N&Pt_!2bXfQEIaAo>h|gHvJ_!3espgSkop9*PKj2zlmMecY``JQtr zBk^!9anL(mw+${d8JgI97+ix2St6o8lD!DE_Lsgo+&68I&uFNF`)lU-0WNEJL<+>b z{B;4=3Tb84`sZM~Wo_qziUHa4FeV-xSql4zqtXX>$=-qIBQy-S;pFqfT|>+c&QC}0 zYO8I4>uSxw&iXTOJM#XZW-L40b!{Jau4RUY$=urQ>rHS~_ev$F)HZmE7OOcZq`@=N z{P=@|N8tH&cO|``5WLi)?q4*F|5N*adj7vp{r^1I|HsyT3#In(8#X`GT<%);MRymO z@1EG57(8OSW1gzB7_usg;Jw-5hpS8p#abdowGqKo_ai8yLkU2&?;KXF_4oG!nPFG&A)~Azo26`nB~D#DRN9frFo%ZvEIyU;@d10nA|KqY>uKgtJ<7Dh9Und z{U8?;BXa6g`qr*5C;JBWx2I>yL3K`*GJa3a>-MZHg{g5W2P?4Av9oi7`3-Jv?O6e&i7wBHSvvl5WG@U6ER<}8Un#bcMI$K_$O4{HYM~f!P zIcN&Ug5^+B5pDM-G73dy!alpw6p^3b>)a;MgIp28StrADpnV;-@w&oFw7%^_a-O8$ zbgVAPJy(5`52^b-QZs3vk%Fu)98;m(*3FZ|LFPumCE(M!NVK& z_S&JdN8TWs~1CoOJCHGiN5eB6ZUnDE>=qg5zFFm0| zczQRZERJU&tsOxsn@^o$4_f+Np? zr-$4zvIJ!MnMt0_w%(kpqKe2Wy3j1=yc4u_3t}guk`eLjB~?cM1Y(Yt6n=Sg8}Xa1 z8{QpZB6>Itwo^Y&A$f#@J?_yxqGigyb*VTV=^Ysr-zKFl0!l-q}^}K7|AeGbiqSaY*{2Uy*!BqoJF} z)DZc9ezhoK4`Fd|-FXsYtq&?=^Y<;QZ-M%Hlupd@Ey)P`G|w%cXUm#1Ro;u-SjS6plVwOUA64|03P7rt{>7`34anHG z)?dkoaCtm_G54o6AoJ#vnmaRxL4CRXR5xLlWPP+gAwgobX&)bKzBW!gx>lv*XJL5-`A;K9#z?-34=rp^+J-vYg{GtGe+z=Otp`! zAEiXY{6;`mk>da?dsCT4Q*&Xh=i6{P@gQuDXn77Uy8>Ij$-I^CQegXHZS*f$ZCr^| zx1j5nhTXo74;wp5;qS8Rmil30;ok)v>o@SHCfI|u!e+QjCjD=(OT%$;rB~nORvs(qss>XFbZzY)8z2> zN7Fo+*4P#blYCBad|b=R9I6*!JFEB5Qr!zpu9c2SBZoKZ;=SS0(b6{JeOwpn6k(`|THSje9-QiTIOT=@)c&8I|KC}h{~Kz*h01Vz>4q1mF<)QR z<)=mRSTxm4eDPHcR|cU5;Enp;uNC&k6tRhy_mo@vyQph_D17AilMZ zxHTKAkS^iCVCj^Jlq)B8GY}qn((+7YgU^m6=X~K)Z%hW_xMbHPKX*lp(cPr|Z#E!m zbe$8gy9!!2s4fXr?m+GK?>~!Ylu;2PvVL|-4JG$>w2T|IqA=39=FxqUr#F1Qb`|eq zWHWqKr^N_^S`wbhwTEb0n|a(8+1DaNP;4x0%`{Stc-gmCrXp#I=T(ipIue>3Hhq!I zL0nJT{UEE&h~+DpUv_ajqC+P3Y`v0&)^6eD*_q2wC-FS1;w~pDwRf+fe)dGE`;m8+ zFAY)Dx_V~vd=&CNotJ-^?ttvsnV3FPK4gWQ*~yNtwO&2aSg`z%1 zi`45nR0Uml^1jBJI^y|zN!BE_ zfNwfam1uegWW#Jd+(@r&sFq4wO0qWmV|)V|knFzFl;v735-$Z7@BBfycuvd)?tW5; zZ5HjdwGPMKW#78YNcLDmo3*4E6BX5$QdQEGxlzI4EOo3d1Er#m!Y012LeUq;1EYIC zlKuEg|9kVp$mveb`tBbBnr}{jirO8b+lW39H1iCUSH0Z+@?OX=x*fl0oen?I=fAKjo_y{~8h4#$yQ_|(dt!zU^U3V4B{J+xD-UvIUA*WMCXl_d{gq>UHR-cN z`u4GtnQ#2ouK_G1$8jV3Wx1Yyq~Ds^Xzsi~_8+0W`GVOkKY-NA_YzK9AlAqC>iAwS2QDerx*Ht32Y5L33t|H)<7{h*dw`^9gs}Ket1sjNI!5Shn*Lukd*C#XASr zf>IR~;#2k+nRe5cM+S(;XT30W*Bn4?@6AG6R&ragm{k0?EV_g!`c?;Lg zIebHg_l4lN?PRBBIOq9xTo;-90<4C8kCR^i>vb%A2em9@)4GRB$kNpE79>8qtZyUN zr<_Tz9~?jL&K8Yq?yH)a%e~2bn(nPn=QN_`IPckh=+5B+F94r|Hy@RM5t*Y~ItyjBKy6grHa|a@b!7o^>-tPRu3S2XjPk*-qn4^>~Ox zzCiUkl2eev7T3WTxB_WaYKt4+64qyQN{g2Y+1-^7PUdAiKxPA9ou#BAs5fjr%%Ay* zth8Zq4G}fa98T+Qk)cO6c6lk>ltA{pQ^C(;K16qSo0pM861gYd2Nc?TMDCmUFNwiK zS8umM*k79q$tLUFuST6mT1qHq`3>@OZA~d(!J&!Fb+V^+T|NV<@#xx=K9bQHw=-G% zHktR7cv2cJZANx=)b1k%@x8;fb0fACkLKIBM^5$=v5p?f>ri|DDD8*AnlycIUr)csWe}*7ZgF z({+94ZhF@AKNf^W(-kwT&u5`^S2nx#Ry}n28&;P-?}A>sN7$qMN$4-o7ZiS#grTgt zyx_*AFfz=X(%TacWBaHqWtuWB+c>81_^84}QzbBm_ybILC3IvLNx}42M&QZ|-K2;1 z`^noYO!WKm*V*q2k=}Ax^m(5h)co2O1&)hA(`rHAeMLL89ds(Q#Y~~=u+aE0D<66b z*Nq#_lAIrXk)cB}DkLYK?(G##X&60bUdcG{1jZBZw+kI38KIMX0XsL(!DK*q(d93I zX>ID4B5RV>8I-`pcys~g$0Zu{Y9GUV!FV9(v=1z@6=;zvEl@AgXyFc(!$sF+OCoj* zk=!ApyoiTap!=gO>TMDQ`XPt(l|$!Y@KxbntA8zw6cXKn#|B{RRJStI|0FKQ6*G$) zFNaC`i?Q!CKA6V2-sySF4Kvq;fUf)TFhBj}_}kZXuvq={@f`gkSoCuD#^&3=(vx~` zMkx#zB02pYlzoTR?(GcKuHDe(tJYVfu|n^~iH&_>^f0hn-*Q9vISj{L>e!o(!ua5= zFaMcnwF2c2hj2faijq1T(!@0nu*gY-RC@gYhu zlFtzCn{|M3+uZs^Jhm_qr}b^x)D2Tlqh1r{IGEkE65Dn~1LgxxT@)^NSWJnUEWavA zvO#n2i;13s)$`*F53NX+=EYYpI=j7KeJ7kh=m9ru)|V=WvKB$_)a}rM*)K4-qG|tg zl>A&xS7bBSKgZ<*ET&>DBnx!9e9>#INSFnfd_VJD85WESYpk9z!SZr|n!{8N$$0gv z$?kduYbS-a&<%~SVdI!xZ*B~m7_ZP<+&r*dxqa|-`ghodR!bZpIs@BZ)tozM^duA1 z^TV-cw(bLH$Trp%&7Bujj}GjBpP$*h+XgiR_CFL+^}O-M3g4G(NJ(XK=E^PdI= zooZ-(_|#4>g>WbKwvJL(c%stSL-E3j$0!ZpQBzFXiK6EN;+coKkiX>o#-PJ0$l=`e zZr&;$S-EvPyHBViGds7MU5@Z+XN;5U)O!fePF-8^?jxj_l)an@N_&tEyIx@4Ai z>6`H35yWY&))G3yN;JHwM?WeaLR-y{nDsZzyoKSB1q^lY3a?y9(voAqI&$?ps z-9@Or{CXt4b|oqVbq=!-A3&+(UX8IglDNK7SUTwZ1>{$VmW3_VCz_fEg@yWEpcPCc z7JMaI;+ma~fhYZtxwLq2y{85;VoUb7_^d}-%!8i%)k#P>T9p+1dNYy^GzM&2`4|ZX zqQ_?ze?`Z$YA?zSA2ewNY4WOZpk~TW_7H;%s?JpomMcb~e0#2lHUDaqJeD|9;OK** zZ#S%ikC3>SFK^y+w6Ku8rq|{VMMMZob@I9tS0S=&G8M#hh>!ANBqdXT%z_PGO1`*k zj|`!z8CQ3*|Cl@2xc!a<;VO3tmv}M!sr~=x`G0$Kr!D@@9XB#lo0dEoln2#d-QwZnqlBNz@$zR9(ayB?hdHxt1I_U2 ziqa&KC-ZG)dD(4pU-xFSrQ9h+4tmMWe zZr-$`q#@GwxTi#WE+Au9GmjGIb5M5IZ@NHNMe^aDbgJXSi8ptDTDH$+(&N*`G5By0 zZ>)q>kPa9fRucLF)>*>sLa0e23lpEk3M74%aRvM!6Q`P>p1S zw-5%{=IEK$=nIH3U_R^Xmrw2*VjpA+7LZK#mKwBINMjcm$Zpp`#xaV&xk)d{uj%97 zRJ;wDUd_xJd0d1?e=>LBsSdJ?Dsx^Ill&Y|FZz%3A$zgeC<~_o$P2-0bP1GWrF=oqD3z_Hd81}g{{u=)udFSCz zb^rJALQ*PwC54beh%|XCDV2~Jl{Ad9viIJ59`oSXd#}tyHibf^iHyofMhN%&x_;Ny z@4Ekl>%MzDO3}l4oa21H-}iXEp06yqu#-#T9r*o)1j=?>$+&E0GEcBzmvRo%;v{Lr-1?7OMO{`@iq|e;sl>(0`x( zf7S(?kk$Er=Il3TWtWn(d0ykuj!wD1KDh=dhPcx2Ua>zcQg<61#=e5UsN0*W$Ub2Fbyq`^a}-e559*) zGAh;C3KobD^*b7V60N%iUdM;+@)Kydm!?B%p)~vH)t8i?XSdZ zQSI4hyv8*)P;R;B+Hso~P)rEvyKkcp`M>CIF>=R1j?fLupoG_uDe?5FO9is1dWJs{ zCav-QqJ8w3-&;uLViw`jY=tBZZh@B{F9NY^^M!_I!l*6obj=jBfS7Pg%A^y%I8Ui6 zm*zJE!qI5)RR3Gh`uMi3{E$7=72ea|AO(s9H(z!|D4J2*1-da zAby?xo)5(FmIzOwJ~HO~Y31C7D2R%#cx5}h7us1GRn^4y!{hhai$x!f!z0_+69Gg{ zC=+H7ZN$2%`25q?w!}0jfL;OP@*~I)xq3(X5?(_NaJmJB^+5VZHBG^FS4jPSyy5di zB&3|*e9IYi8>HT=k|C_9gQVv=Lw4i}5Iao*ye{2^xW*Nl6w4Hdnc)=~b2|hb?;d24 zXAHv={h#3qej-pqo}3agq5>7hhf6QotU;;VF`kCO04SQRlbkO23I$@38%#0FkSm_Z z_UL&9WR(`{=G-%djIf`Xal)8WSl!@NWGVouduMZ;B?0vxPZiUYW`Sh-^RseeIo&;8r08PxCJZOArKnbTTl_xemcC2Ent} zRUx!`>HTuz0)(xsQ{Ynh+Cq5FQn!K@vn{fZ1e8|F-LrLsRsS0hF)!5=awLmgX6TTQx9^5 zz75~LHUInU|26CT&%rq>(|MlBHc`_b@wqb~cL3U{YPHY8r@e=Sq42}x(2BP$PM%}f`6)@ED-NVcPUSGSh| zX)w05gYpLWdg$tgD`?|;Tw?rrJTjP_Yet>aX3*zfO>+|2Rs^d+n%p+z%^u!ym+fsH z#55hvsgWduxa02&^lLOAKD?5GVe$?T`$*@@JN7`rs_gj22h57vL7l`ij(Y*}_@A5D zFqg*WOzF~^5|BPQ?(A^mh2*ne{K{Kb!EaVWBQaKYjNyX!)z^~sMv zMu&az@y&e799a;(sw2*>B@eMgr_Rr7nCr&5WyL_I8i?Kpy%Im2goIxH$&&hhNTN8c zRFdlsBpT}n372w_X_hWA6odZyZ9ZKWm!#1Hn|^KD>KG)yt?|1utpkBq0xE@4+aYw~ z>Xqr~Sx|r zKBQ)j7MWBaUzq2#wZ7vqoZDM%jJ;e2f`cnr&uJ5gIr^}9=7|c#HF&QFF85&`fQ8d; zaz^Ar^-`YNiakh;pvg$m3?!FN3+fTE*GuZHFTSmdd|tytQcb9xlJ#!Tk`Bf_67}0O zE*(fOloWkzg_$afmfGAC8^|}_ar-%FL8i&Wp!7YPA@j?os5b|LexLonYyRJN{r?AN zzu8jRAY>;yRLzR$TV9ob(rel=!Zqwrc(^)Bd}0Z5IG)xyy*5Tp-DTl#ghog;d8a_x znGVU+r=Q0@x5cdGqgTGHqUWcCCZ2NWC`3Quv7LW~HLch{-zI`7gfCVcB{cwquF*{l z-9Uc6z2-sH>2e6rra#)X=?*l{OLEr}gP=N3pn6}*MJSzmZB5*dH6Hz{gNV5|YIfAz48pvOSQ(8OAjD+l{_tEf1fFQy?Edl%w8Yf3NN(JLnlzgIWY3wQ ztaMtdm|h-=Dsx}(TbM!~m92x6jXz{j9*KFUM1-^tWApbpP|JHIBP(#<1t1-;Vm!{N z2*fO>mtchVHCHL7vk7M)s7*ytX2B48y=$J@XA=bJ{A|B_ zXaHJm_(YBeMM3RKRC?WZFDUOYb@6uAhhmbS51my5us%CiA8(5(Jm(v@Lb~UIpE94R!UVzdq9zjA}tx&k^ z)bS&%9&$}h=2l-Efy|*jGCB35kT%t0D6%aDxdDz_ojIX6&?{Esd>9lQ=x%m+Uk5pobYn$QICG_vpZTST*AjI@ft{I$ zAcdMEX4)CE!RR*8-EYYSV!=!f52X<5GtH!%S?)sAa`qcaw?>FeP+=1~h8gJItBh&d z|MlFc@ICY+jY%^FA*`|{zvh5E>H!b&P{BzSLk-xrhPeg7PUi)qmE=?x%X zeZ0AVUj-srt5hhq-v1-3Q$aJ~C=gn%#;D5UYaQS@%Q%Gk@$)psT7*Q1UeZxqB~oI( z+vTws)aJ&X=CxnnSOky6GV3QrpTVcod$-bXCKfUWgpBQx{1`H1 zjMv^)I~%wHF=iQ&$z=Kv%dx7sJO2#CHk@Xxdt?uuku1^@G+1k=(8xFhE+9v?Mu_Uv z83-Phb2lxjhtRsR=m+h)A#5uANcAU4)IQ(NvMT-tk-MHs&bVj+fzqB*_v-;5e7E}| zC5$;n&uJP}Z}UJjN%O$bOjn4}BPeY#JrA+m+6SIB?t$2sv!7T!k-hCCax-M=2WE49 ztD-w21^(MFYThZ`4na3=UzbkrflyvE&i)ZO>@Ci^<@5$ac*&dh4f2@NH}KBj^ES-U znHaUsu^RuwCqZH$-GQhN^{;h(r6Iai`GRUTvPV)r&hqwJU|xdovk9xu5O<35X)e0~ zYK5n$WV1d%e8v~L$7eLaPuRfpV$*d994^+K>-hnp%oN47^l=at5wh&R5&_{qE_$b` zYh#U{y2gC$84yet`>(mLKvV=jb0_}XqcdibY0qO1k6E+Y<);@asu^2?(X1FX9gV|^K%@&gf1ko>Ykv>2RXVNTlT%+mCKsux^wmTkogEC$!gfqA{QE2s${>eKL?Vp#z(FF$UvDhFr3Q~`hDO3 zyXOD%;N#iG;+_)^^&c$GD@P71Davaez9PO!cheQ+bMl+yH(BD>|MfmT$A^WS5rs?y_N zLNL!k4xwi`5b}_&K;?1^gsN6^e15Y7YuNW{5!^+1W~l|mpOIs~D1Vl)jPn4SMvWk^ zG6= zvEU4ZtA#(v`N9g}gKV5>`&c02D#NCGBx`VfrM4`o#R=|KFEuMoS;2F;Eyn05vc&m( z+ebvS!H-q<@%6m3;Q#Ubqeb3c2=o#3)frQOV0y2w&layhh<9l6SS3EL#VM;p?QIaY zTZGQ$EncfC@2ocOx&Yzw*HW*upNH_*=El5CcOl|dwwyN!wN&qU%%faL;2PC3R8*=0 z9`62)Vl5irwNbde#zPr=j~wxKi@AWAWsf&FYXcyVlKo1YDnL-JxS%@SdkE2ZC`A}w zfKcON`Icx-2;-Ht{-Ayl`NRBI!|gGL$CkD#?!p6zV6xr*FxMI)a=MiR4{Si>v2!1& zFDK*tMR{jRX(_nB4W`_lbQ-*p@0)y7d5U>FrGB0^=fPh^lhNAdCj{PcIy^Y?6@nF7 z7C8GaL+Gxkw&*Tz2%V%Trna`n=Q%e|{Em<3NU)houz-kTsgJg0T0z8Q&}rFAsSp`X zv2f7$Fc3r+lRNQ@657i~y2+y#@;Th|m>H3~#ywkr#|)UJM!mVc(vc8%#|= znA*ZFCoTw4MLE)5-#8%pf%~B&b0QGKe)o3%x+nIGo(fdvm}h)fZm*I1x8G;~cg_FT zUH|{X*>A4aSPidRg6hncBg5(IP$pshJ~HX$T+AYOe7Qkniv8Rl{*|7=P?Tt%onN(S)j%w`!GBGB`6yVPVl-_0LA+X=;E%8 zA)oD6_ZkTxJ9)gB{UrL%+fD@LH(}nowb9S4PB}<%H{?&=xr+0H&$?G6ry;Q|@E}XW zEg&9$;{4t+6k=yhw8tY2AbO-}oJZv&L~WhE)wPick(y<66K~H#%h!IP{!e*OYr}l@ zwyPYJ7c$RH)X}fuEUU1ZS$2n&Kx}>I@+c}ug1SkR18nN*nb1FFyBpndlzj8Mf^{x2U3F|Pw9wQ+1(G2 zEyVMHFoL_vMEVtBbx}yOS$?#*{0&k_%>6|VFqej+ndTvALz0+J*{+U#*o&N~?qbAV z;I@zC0nH?cQPX2N6(|f*b}0%yhdiKN!s^MQng%q`J2I6f+rp!#i-Qa%$WU=E`NX$t z28yE@2A^@@>oD}}i*MUg$h9iHVk~+V^X#hR1l;E!Lp}NyQ#WR0sAR~jRB=N}xKaA> zR%EDc;S}JI`3y;NbVqF5Re;#NB1f}e2616$hI~~p1H@0SP3y;Y=%k+8CN`)EPa@a{ zALnd_8m+1nr90(N(MU(j?eQ5(V~z%e20eu06J$nY_eY?hXb-h})F$-b+i(exg+tal zy;s~l+~GbZ?p5+a4sjdd>ea97$b&xog=mQ$B)85z$1w+xw6}XE4fzoXHHzoQ6cixd z(kcYxp8r1k|ET%@B=rA(@bP(ZH%z^;>;u1MJ`D}qS_sl}cscb1=lI74~uA#}M|$Pb=9f4I=2(KQ{3lfk;s;=UHEzC!F3t^lSJ&L>;>(P^0t~ zqW4Q%v0nUzZ0!hw>d91y>5o5ie2>tQK>^KBSJZ7!CDGtG%_YdEHvIi#c?=nOx%9x3S;*Qe!ZlW_tKvbMWV0tefM5iY?Id4p0jUQIcPv#1-;r0+QjgM=6 zr}bAe9mHQHXJO}(1tKS%WG&wS5I-|*PUcaDgrte4MVj{zkeL^&;9n0RQM+iPLzQqY z;vRZT?;b=j9?GPoMW3IW+wL8Ia2)%oi}F+}Aew$7cCF?b#K7U@b-eb*Uf-<#vep&i z3}%g@lJWW7SM~kngRB*8`w2Si-xDryNaXC>0f{`XA2)a%LG9BCIeKeXWQu0;SE&B{318Yh`xiWlB-E}zt8^PHUFOn|35ptzju5OSy0Q*zd|L)x|p0b;dSfNIymhqxcHfV6kKWAC4HM(!0oo9XHV~5a1Seqv<#L7 zk8HE_p(xym5OM>Bt>Q;IH_uC9>QR{1+K)x=uHORs3#^v|X2xwZE? z{sOl=o1jENWP5*NZ#s7EI%afUTzlhy`8Qka4j4%t1h2Jn$4bFCJ3#)x*;QHj_FZNImaAz+qaa;cm9_q(zY55Ys)An;d`A8;s`ONB?`}1RO z@-fuE;~Mx_E5%UR-v(c;(1iJSs^E7dTC?YB82E2yJZZ#H3I0vjNaSVLak#*W7kqD8U+X-&9sDkH@iWnyg1-=Bo(8)E1du<9 zkb8r>yWSHlrq@j%kPyP|Vr>jT3M1-zbLYU}Dyc6rV-Q@9@SRDsMCP`l(o5kVh2Z{b zZHHy92zZ|Pa!S@c3%tU#9S-z-1@F%1JN3IU=jex=Y(~o-@Y}&h!(*BZ{w#vDH8Qaf zz_g!c@23XL?ld5@%qT(N3}x#|1POvVzS#}cVHP;iH}v4GeVCOh%4$w=0bC1g`wqq9 z&%-npvqQM^tkE!#;Vc55BLdNH+xLQRy zPSsrymR^x+6zTf=?EkL$|2+J7od3Ju|37>7o1evfKbRB@)k9N#A5AVJBb$l%%0&Q* zK777zA6o!<>rHMat1*wo%RyPvMH(`C3VU@HIUy~HdP|}D8%XJp|LUwC2gxVY9IQ|i zl=SGynZ*eLBwXF?Q{IvVUMOcf6kM`h8;T7XvzTmlK>qiw^M0Eya#(NM>!h(R3sql44_~MIMvz zzW1p#TaXrW4A+#^++rYB+1cU#$ux+Lv%M%&y$4$7YGn?^mqES2^AI%(dZ-j}>AOFG ztaOPzhq}l~P~`tX^Ctrx;Zi~}u{Y&UoNVBQ6V12f#i?n990aXzsMuj$!c z)sNiR>mk!CeoulNH>8I#Zd=#Sfz)@rvLE(-LaxA&2eY9mB$H>oaOYixq(lbh8|2B5 zV5+9%!Nv{o=KZ@aFV91#Wb|ocmNr>zN*;bV zw{RI!MV31mTP7iSU~f$8S^$uUtn=a2Jdn70UB+bjzucaG)ck)E`tiR0_kRBmP4AJv zKKVxm_utwd+JC#Y$2<7LQV`B!0!&HYGO}Mnh>U3@Njwn3j?YsYFX%xy(Ui>ArxNw= zg*uz~se!P0e}dLwLx`ezw#ND75k&9QT49kwPv$DG=cvFAh#89QSxO0q*dn^gU-77G zvm;DbY9a&U_`(f|s}~@CddQ4<73Z?VZdS+&2A>P$s@maaA#lg_=nG z2=lN>X79ty=r7K)Kl5TR$Mys{=LOUrYxp$`UpfL&hI+>d6Ug#5>He10{1aks_uZ{e zI0CV9TwP&>kvlzV}A1>$D6=Du2>g!nRk#&`UvFEhzc=MRs81WvxZob@j7RTDdZ zAvP5PXBRqjOEe+$TI%GixCw;K4X9Py+<^$~s~77I;@mlN!eXx>a`gsl+iDGwD>&y+ zEo!(IpLgw^6yZ^bS?H%^_*IVF_bR&Wr38q3y8ZgDb_s}&{UCTqlLd(PY^fX)aBs~k zW4Cx&2ol~tuk62l66gDzy515=5N!IGvU7YNgwe7)>en5>{D4m{&Z#UwB*$)sel<>< zugeCX?O%qdEQQwl%{ag48tePyNd++%2J%`xQJBMO7N+IseMsmzuz+4^ayfd3x zfS4YY6V3S+66}Z+v+R!{@tg&tCuVylZ7D6ebzT~RR17KxuQEYs<%K1yI6h`rF6)~F9IQ6y+PCja7X5JkI-=uH9=*p_sFOq*0N-GLDD2Ps@9 zYV>4~s~F+I^UMC;@B9AWHUEF2IsR8o|8V06l^i2x@y;&)sqMj{;Ll(GdJpfzyfOAh zPIa(6KfAw1`V`pgj@qEri~>8$gGj|c01j?Ow@5x)z)AB=|AVU6;Idt~L+4xrxL!Fr z%as`pZrf-Lw}K$JJ^D($P~;Ep+B118ia0<1#kDO3`(=+X>1Wh;wt(l}F&Acz&ET0H z7R2*054`vclYg1)0yA;;^e17j!Llmm8U*)(?fExxrsSb8{pOvx z9e9jq#S6_-V6EOG6#BLryvRQ95a7B3Ufzn)&*G%PY~|ee+qTPKrIYfEnK27&c~xIH z&Tj*I_wfW*QzLMUPgajK;{j*)yT1Cg55ScxS&WW81zhJmU-0<8#B9*uhIikpz}?ii z)q4*2Bzp&pR(*`X<7w+MgD0yLQ}L$9DxW`qOWL7X-%Tp0Lli3?v0wwY zunX)q4A$U&BW-RPVlnS$)Ntpv67YB-%xo)m2pQD(QfsHIz>Bxs@A4H%@MhOYL<|S3q&EzmO9#O=bG!K~{~zF>uzi8;-VbmpgxhS7dcox_B^~>t z?ch4g!7mR@ixR`W_a zpmb+H~rEq|Hxk94Gd26UtKK}J|&0Q$Had*EK<{TH5TRO8Y zRztq0jpo5^Zdl`nAN@9b4)b|_r7Nc)!(%Q-sVznu(hm23o~osWl=P0W1w9e;S6<|$ zmLmd*=}N-*M#mk`$RhO z$WL>p)HoUlx!fgbSr^M7YlW$CEU5xA*~)l03eihtrkGp*t{eFgVVf7Xpzk6%%HzQ? zK1ep|xl;U)14t_3d?9oPf1mw-)cpS;^#3=L>(841&rklx$45(h^w0Y~C;s`b&f@e5 zYa|_zCqQ6|-@LiUJkCaX9-6v`p})>yXMN5qh|t-~Bax3ex>@fZ=-k7aY#=9IX4(&; zzGX8J&_^FV`>Rr0s1RaC-?z`z@k4CKtbpia9f(UyjuRy>MQz8n$MFjcsJ+&!iA*5aT74I}$$@+0=x0KNZ4lj8b${?~5yT8?rPc%s1nFL}3<9@T%bx26RrJzK;9TJ_lg25Zy z{av}r_q7l?N83!P^>D(-lY>`8pwNvBgfx3RZcj1aK8 zfY0~inoJLBvbZU`eHV5?+)b`o4_8)*_kLe};YcbFlZmr(k|vOl|Ec)(t0G7&*mugA zyA6_(lT2prxd7>0b4%L`8_Z1A`NaGgGdA~p4T=+d58+pMC>zt66r1B2RIDu#2*A(`oD}ZmQj=4TZ#+BNG?yqjsB? z^ixD`9Y`tcqF%U+~^V3{@raFfaD!3y zOSXfDPkwOv>QR3p4My!OBIU|1JYN*oc{Q8%pSbZSDR&`62X}*{Bt60x6R@e>$Idk~ z0Ctx>M}zo^!M^6IjpacbaHPAO-udYf_^)%`ZIJ?sC=%u>|E{VjUv9(liRc|q( z_=t??K}%M~cMjmDoU#16*AE|;)j9CwB)A`4KR$|c1=BT?cUqiFV4l0gq@nt+bBmmCZ473SfF}pH) z&h1rqGPvrLNW2Ou2G^-M9WlEL;AUAfU%ZNYgZb1;To!8Bo6y-yN&15OsHB6fa|W0# z-eS9uw;e1k_pv8jK<-|O7J1zEez1*__+_Kp0ruM0Vk^@_z>&gRD*eqda0>o;T=X3k zxNM$~7uY=ot|Bt}LP41GGmxM0We_t+En9LQJ3E6r^#@-n@@8<4Ps}7gF904qR(E=^ zXY}^_6MXUj~MiV;~r(V&!QyEJXPjk zJ$n;evT71f$UFwuw8TW|0S0h;pcC%d+YasrY^-E8`@nrDICD=*GLh*;C1t`V*WH{f`0P~=h3GJZ>ww$5Ay%c`hM5^|GDe`q1*dEfA*W- zUT52n`~8|thp%}ZP(TkYlUjX`73Q&AdLEQo#l|l#(u(XSPQ+QvK38r$i~_d*6G-G4pL07N*qppfIL|Re)St6kW@5L z-x9?I3BGd)8()9m%#EM?T9YxvzKVG9%di}0R!U+OqNdQwaOrb@4?ont{u-;z^$jX` zw1SMg9ze+rBRgxCI4CS?TA4d(19@a=PhF9Bm(8aUuDV?eGWr!y&traG+8EaxKu=F9 zT)1>ljR&(U9=|;0cLPWPC)M8V8imAxYcE_R-GKOpF-vZ zXrq|Bsr7yz)L)a2JnEhdmG=ZV>8Ia9>BDNpxA)LX-9=}!J5U<(E2uW<(lVnhQ~xAN%tYDJ)2Q2XLU!Z|2W z4-{4G#+)RnpfNSc5XhGZmG6vMft*063J!{|kahJ;2mjnf$k1Qu_EhawoYU z^?U~RW-mKP{&|ym3)5T7P*Dkyo1KFsyNb+b38=9N@HN*yPz;^gJKaltPQ#Pgj^)`H zHe{ve)CZ+DLS+tZ^wP#FWVz6_`f!Os$pzz!NuokfWVvI-irjvJJ=uF}s=bpRfYi1# z=)?@i@B98gYW}|o{h@!&@&By(|NP`%HNWD2m|g$+Ilr$;&h}+|&jUE!0-_lC9C}XerG!SA}4r?+{K~$eI@4dIk zcmI)aB<80w#FCL41`se$X0r~**?80reU|}`u_1`>-|aOR(FMdjvp&f#S4gm3z5Fm; z7!pretzFu_6B6fLZ7;^nfWKI9f^X&mgzU)<2)cF-`F({=FU?HR*On5Fh3p$})-Bp$-pe zO!ne?T+`+D>#|Bn6f#U>r8)|Ui^q;T35!EgxsJ4>hB*XmtZgY(c?qHFI`M^4F%W(! zVecc{wMDGSPDd9O0>SMQ{e^JM&mAoz&s%YT7{Q729aJe0t395fWpNMUobQO*HyS{E zP{maC9b}FKr}wCspr6v+ZLC_h3la^d4(A-Xhx$6BPJeAXAnh#7wBO_iB=e)+Wa|z> zi1|qAhXH`FF$Ei8cM*u_YTK{s5A^JBZ3Yn^>vygofx=*m; z2iE#4@4pmcE$%CMCGd$iL~IYq*iC;L2sDm1JHCH{sLy)3sW9s0IP2}#AISn?rcrdys-8&JSq^VWsh@D%iEMy^ae zdyI^4_KN%TbjVaC+PTZ5D`O^X17+a@am+6&B@|NK`hE8QuKE8wy7!$w~(1`%*Z&r8B`IgmjMk zZ8BKCHa?xig<6=cMkNJg6=1V>+s)&sIkDZZli0h~44t)73i zf&2OH2GS&TFmY%Ul!|HuGm&endOKpk{Iy>sKOi;eN40bfWx8K(kf;~;o-DomSa3FiiqoOPg4ikDDF&v@b*l2z8q_!3~MM%_0 ze~$p?YxX-LPtRh$yt3%owhAybYERkeM+DRLH(T?nu?C+Q=NuH!1&eSw*(ubvSnVo* zt2DI_tndGFiDyFwc5dO9H$B(`4SF;xE{%ZQcg3;bbCF=bdZV}M+blRN-M0L6A{HFS zGBzGWCW2GP)M0r66L3y-6e>`y0vGe9ue@3M;JPRLm70Mbm^@8S>)*u6w-U>A^i;_B%&aM%=mXBqt?j<>1r zdHdt*S$Kw`PJbsj&+Okaa>Ng{XysorP~YK7(r;D^umHD1r03U$@MA$E-S>~3;4Z{2 zEwh~x+%qIeA2;DXhHds<>kt3mXa9H2|My-0|H0XB{&0qA;9ersY>o04-mw+Rvc`MG zULS(u-F6Qe&8Z>Z?Ps&u@;%65ru2EAzZWu%hl1<6jUoLaAMu75YHOUIt3@+(Vr>^? zkcfRIDZL@!(Hs%)ZzFHHlu=JUGB9(mpakM6=hfDVA49B0bd0r`2Sh*L$#Tf87+Ul) zkEGZQK~0}={U*Hsl`GtjOTjF#;w<`D%|t;cu=9;bko^cbb4Rpzw}(R3QK=(OHkKfL zJ!nYAA|29r#}g9^%^)Q#f!?)r9g@ZD#ophwg`{77{Zz=88MkAzw=pi2HCK zeOSPpUCRsaD{UGj>X)FEIaRQI<|dCNdWR+?wK9Q+X6W~#(an4j3MimSUI%SL5Awj^Mc&#=!ai; z-0Fe+90nW7mtAKex&E~Dka7l)f=*3Gs@p;0@(J6|iK3WQs&M+4;!B9XkZ;Ot#tZEh z)9=|ih|qA|@hazv8&nw?ei0QXLix$8tvZzLP@<<8t>$0}MT*%wA6!m@{OftQh4|%= z+b0=cdG|16IRp|~8~Pw4vSKd4Pz}AkHT(jrUm(?H$)~dUIOZev9=vc|1ClpC&y;CS zgQN*xcNO#%CakSRXL@Ku=e?@-UCLkJ$xp*ePLC9z7AhMVq6?w2c4#`dJ__HDL9ZhO z=AqX~KtMq+ZlM&(>o`+U) zB}4pzdLDfh&h}gAxq}AT&+3JT$*#9U(#~HJA-rwiS7bM;-n5thEhz)!& z%qf%%aUqMgeIJPs?@`|6{X__ehKF}14t;|J*-wuy6y-u9Q}{y`%FCE(x#daBl~G8F zdapQ3;sXB{EUSKeh7dCF{K@Xbb_n}HnXn`+3laI+j?6qc$RQ}3un)!j+DLC#FAgc> z>`&>=E#^WjCF`XWG3=W;xhd-Tks)$0UeePLIWfH5iNvlINMO)xHnqpRnfacpJyPS4 z*txXS!Gao;=;j-@GLa=BIGmII?hXWIE`HWHQx2gOj|?vrX`#RUS(PhW1Voxtqxh@oPG6PSqylMDeLXh z9UDDGH0gnWbj-p?qIPe1vgJED6ZkZ#RATB^E)y1!X^VO9qSWjdhR5FmlRg(d!- zI2(;T9r3MP^Jf>)AMjF0 zrsrfZB>X(H231`6a}}zLsNM{u?ai0XY6Q?Tt0dL(q6tVFFTyhp$fBOU&E%#-H1^#t z-{rm;LxhFrC*O1S*xO9Co*}$}=vxElf{`U2yF1|I3aJd|LAOnUkTPXgpJdmD-H$UePf@G~@!#<7dkUY6F^g*vWq?kFr>CD-S znXqp(f=&1#^%l+5fxd|MTFZhZld%uKmZq|7XAd=jX5d_pg7P z{eOEF=e>zZ&7T8)aQ_zb#a-j8U^M7s6Pj29rghuGtJ<}|Y@dyJ(Pv~GOMUawQWgV? z^W-JIu2f)oJn_uc`x1>9wL1Szo59A~Ta+5})NBull3FY7 zg6+$v;eL#}z|MNu=7exB*wZl=#_!Dm-C*%cM)nzSf3jZbyVqtwvp=~EcRoDaca-Y9 zsydk6rL@nllK^vXUXMGk#K9u;)5nR+%wXyBPV7X3Gv?3~+eh^^fVG?ipGy5DuwmNl z!2Hk+Yz7zK2R%Fuwju4?$NP6;t*U1l8DIi-qZZGkgpQ$h$0n&;YZCNa*{*R2Gs1&X ztpdUf6_~KSyxn*Q^M721j_?{I>$#OveD4ruQ_hg5_T8ZZiw(X(GjcMpTzylJ^kFwx zO+@;0(ddD7t6g#H4lb~Xt61Nkjd}HVu9lPE(gnMHea0KFnZU09xMwqmF4((9N402a zfy4f$PfPEez+lT{cF^&9FrwJ*d_SlFOtlQR`t;-Da`KHWi7SG+VK(Qbl23MqBtaF#}XZUZ$@CpYJhWWr-QEr|^*Y zMP>>14bcpj)qa74Wpoq;?+`e0zLT#OYJ&$ehkf5#OM^)o=Si#Y!SHa_UcyC17R>Jq zn)dc-gGJeiZ%nh8bM$qcdWeb%taq)wUJy|M8_x5N{R?Yg%dIjN=+cWl-|ky&7p{Qa zhK;p+`eCqtXN-Fy8{A9S$}-0afTP{{0i!w_a60}nZs-XCoMzH${ET11LuvA_`4@$7 z-%z*1zC9N#JVn>j84AI2;m6Bc52G>fr%5E`9yi#8cT63f_zt#DKb{?kX$HHoby}rv;V(Xoha}9`+xlF&wleK9`lS|GpN~NaA~k07s?9K zc5687gkmo0rGvB%kRO^qns@3mRVOc#qs%nD~9{RT^xIQvdWjo6+lwD$z0 z?Amow9J4t|wfg&P*WN%9pVd)Y?h81Z342K)gxc1V^(kzf5)d1t5k5bLTw2O(=6)8` z_84UCcK0iQnn8#3^f5&!SJkz#JA*ZU!Q>@2h8!sH?YSiHYzDbx8+APA2QZ7$CE|N4 z74l)l%$pODm3?xA!kl;wQZhgE@A>YAnb=Dd4Jx>AV4=68XLg4~>KEPnLyiKGORqRN z9`k~9Cz>nnC_qe~rJ+t(FSN4uD6{45fZFjim$^}0s1SFyE8DgSN=%;lJ{TT=!q-h= z6@4EePdHbww1E<`HIAp1e9wiUTKPA_eo?&b-L5nCql|j52^1{Y(VN} zE1=mS4@o*(;wwuEAwm0LuIpwch!1)C?nlFCWKT?x^NbRqjavLoZ4eRa^?k$LHv2)P z)mT>rqXm?DKGSs&oQI;}TXm-2)gXUd?TWIPAmn^Lb4;Sj6|$@%Im!jUA%A#a_g&Ng zrxl&;h|@d)sfT%b)fcele{-7EdV4QwTb&d4)qH^@iG#k%FW8Z75pb-+6tgk?SbtCw zBB0%Roh;9#2^wy(+AnslLzQJgkr+`Q%4H_rJTu(|B@aCx+FJ=jkyhJ}!E>0&X;I>* zd07l{x>s^ORUnTy>bpRcIxPqac098D9&NXw1xBN|5>d4EaWg3b zdFNJvcpWG)e67S2;S5Ddd^etAMoYmJ6=_GqWYk+3vIfb6n2)-_X-koitu$6%ri zG9ev3P4X}xgf;RU>-qvwD>drTd>arWb8G2hKsv;l@1dn%VSu>6Pmg*fn=!xVd}y{c z1rJ~YAX-)R+Q{Y45JOrdIDG_&?cdwo zi=6hj`C#)j%y=e}lb#6<4nhJM$ES(UhLG^1^y!x-tbGT&eMP16aObB&cl+i8Ai)mi z3g#Igkv^Y$ef}l{KHz^|h89X5T7t>YxOUQ`q8jCB+X@V#{V*jnLEm-Tz zj~`+lfLMV{G4JlD5T`d#+OgDx^L6jZ5Y(U&Q>wD->{GEOwKOKypM%8Y#1dZ{c1Q|L z-}g!gcS=X+6+3>Q?vNDmt#bjrM_a;+Dc%-9uv+s8{tGh@mj7Kx^AiywT8_)yM2-?6 znoap!w+uud{Uk!{##+D7`E_+VUb}Xbz0hNyLT~O`AJ+_Orreh<3=ZQiGsQWo?xX}H zmbf#}drd)7nbq1bC%(?AweNd^je*p$^U6bC)L))3O4$3_2=#V9UEhtOe_%6<=>DWQ zWSp+;RP6l%1a0nZhI~7bkGR`STjDsxy!ctvv3(TcG|fM4jY2NNQ--P!n%g0P)$oA- zt29W|yuLX_aRrk6gzZ_I2JrEI3hysKzx?}6Np^f+AX(>s$b0LrtkLl7i#uL$f#vIM>%p&(w=-iVQglcV ztS55oXjQ&|P4|4(#;$a*)yRF8L;M_UTNP58mdmlHZJR&B7J)n*&5e>pMdZ;;CkM^g zfWfuRcO=Jb!03!TDQmP17=Lm)QIDBXrlyv9-CDC?_F(OVY?&EYko{QHNX9$LQKOWt zhB>fOKenTLYadu&*Qy!dQpMgmiR+e~F4*$!l_Nx51>3|4tHOm9up`<2b8t8p?9Arv zM#j&APSc*k3T0Z*&m!jt(PRRHarx|C9ZxXoP_OVR?Ew=jMY8)95@5P?b>nFsGDH8#Gpp<#uP?1VEd#xV{ekvx_rpzBpOf2kRlu;M)l(p`4veD*!^y_* zb<5_GT_Ui8*}y~7L+0neLRxHCMAQl_qxU{jYcU3^q0$lmnhmhtVn426JqNaw$8wKd zs{q^hD2W8}<6y^lI6nGV1K340uGl72(!_gIPze(88_E zXVv8;x54P9618_Va(@V_U{=WJ_0m8KEJCDOId@qhi?uoB*5FUDUh@0KuoVop za7nyh{V~{Xes9hpWdyrQ*-Y_5Ww5_?_0~z2QsnOJCrNrd54Td>y{^$OgTvuzQqEF2 zaA;|~n(O)=*{tWAaz3MXyvvN@aMr%xwg0>4|C`J6zoGWKW~8=k4FjQt(eiymZy(g% zx!&EaBn#C-jCt3`#-O~s{DAnQL}VYBrOmufhC)Iz-|3US=pDTs$f<&Q>8Ad$2a@vm zyxo`hn0^ch?<+(ti(f&Om*Um20B6+DdKfk^mOxrsVst2t7o;pNneONphh*#Li&H(B z&~0hz)Hl@%EpHEfTh?TR`Wsi9)s+;Wx}`=ix!wjU^3~r2KR$xG?JHwElx9$5Hv4%` z;S>}co0vE9{0wHDo(tDAceJ^6Oaj9tL(orX_Ev;8C2x1@v9b zY{FdOLGj@WMpw~O?6P&wdJB5k-mWF>F@x6Sc5*FtUuZa8b^ofqEV3|zJLhxhp>ln! z!|?cX%+0x9Vp4h+irsETKj=l)hKPO1PDk_=DBQkbjx4d<0@vkYS$rQ()O{e%MIYIg z7vb`KiDkhW!RI*vQk)a$N~22x+bL+as4GAD6pv;SiJI;{s9 z{b&Leb}mD0T>RK##5`23bS{$K$%l$vG1f!f$dKM`k#G3a1d6i2WP3#p6r?DX#yBGr zWy)yc=M7|H>P^yf@h0IN>{bEM7C8{!8b~JY)PStQ9~Tu8QCr;J^E}}vYJ7H7=(Vbr z!z1^a7YB|+K!?$j2BWrWxZ^K4aY|nc>MwJtFKDqrjbCGr$k!gzAte zzspdv^`eJBggyVG+4KiS%1|&zujt*$3HfK4rJEG`A@^zCah_YzkQ4U0K7&;Q`GszE z{8D%qy4El>I^hkOPgxiyOr4?6jxEtYu>tOp`jc-mL_(|H5xvv*M4@r2|JiqwS*RP- z;rPzR05yS5Z-d6mpsFQxPCDZzRD>$;E#sYqGA5GK>c{<|_}lpO1J(&B;)&URxEJ$z zgTCFgeUBWey{>Vy?&9eCFz1ajz`h{&jpexKAKE7u-s9>2Gwb`0p8p>@$3NPh|7Xtc zhCM$n_=o@P`~3(rhuiKdn9noxvf!y_D#T0BUSN@V35mycw>Ve6L%n{^{)G56NO9Zx zwf+?KdDF)>)XY%3B1$yftyqjY_2ncl z9U%D{?^j<>c`MUHcA41|;(laTGWxa&ob|^XIB!9}(PGTTo*r0yfO$Ys51;HMlZV78 zN_CQbcrRCx8>>zjfs|LF`wkP2K-&59&vC5)=^3?AOS;pL@xqJDW9|xMZTm%eT^93V zclW&fwyhEf(Odbc%#*mw(N(bhaUHS)R0E!<(LnZB?Gf+&7LcR=hKPzJ45BhFJ-$bU zIr~kn=M6|VA%Wg>SCfhbB+<(~HQj@3k#uC_Q(jaDZISM282b+TPK51V`6LNk?x9lx*s=hD{t$C9K9fZ zreI$rN%ya`FmWXUJV{UD{W@G-N@Ys^5) zUfMj0c{rm!WSmdJAyZ1Sn0B5QvVxy&&$)2k;LKSjueZDU#ihgOn&=nrST z6N2j95)9KJLQo-V({VuZHk2ylZ*~YGdwNnSRN^bd@6jEt38w+*Im6u*T<%gP5ptUVE z#@XkOALi@Fq2g62-}d9Xpv>w)Q02-9lw5sM4_u5;6cif!)apDGe2#jvn*}poe8bl` zTo@rwI;Pn`J{)oxB;7TY`yq#PYBAM4{&(&F&$%!DS2oB0==pEy8ySj=SzGCe+DcmK zov|{r7Bc%o@Bgp&@_1e6k!-)I2Yw;!xdMa$2&`+T%Md&RA-4(E@-j{kwlFra!%qMr zg)Q5T%3)^pzJgSeB2|cC_!VpRJq=>MHs4~7+JxAiz%w37eGnHyG0kFp6ge^d6wwir z5I?EK%wil12@WBm2OKgX;isdnrsZAa#XRhC`;~}#FV#Y6Kg`PJ$k`l=9fBa0ZE>0p zb0Cyy)p5p)8NyS30r|eS5cz)c)rUP35bYQ0oy2teu!c{Ox?q9ctYF(?{HU>;_RohBM@IRKoH%BS=P2Yyq^W4MwLU6NjJ?165FDsMo;aA zB=xoh&0jG%JIsIf>nt^9a%FqG_{j-@Udl!BZkUJMbWUA=|7!@(GN11>nujQbM=uQ0 zzCrZliGW8=m~Xr-o_8~h72>FSzbpy0K>W_`oW*^gAbxcye`ah2nPZezmu{|OhIWQl zh@llEoqNi=T@QVatA=xvYt@h(DOeVAbT_21v7RN$^@e~A)3jr9st^({RK0PE7{Xu5 zOzPD0Le%8izzne!#9Zc3QyjuP`y7%(+B!rKHzsi3bp8UwZ}4zlcf_o2Qb{K5cfycF zbY8d89cQw$P9b9j)R25PPg$N8nIit)Q9JqZStt3vDP#h(MP3IM>^(>YX)d-3i`Z9$ zd-K?>7&$;RO|Dw`)dBP|E-7Vu%|o1aY4G;jJecdB;o}j4jPldj$Cch+hoqQ2&qg=~ zA-V5Z#x`-x-(Q+ICVdV2qHV{=dWp30PGw(e&x`E#*-&L!CojC??A@q3dlE7OwZjng z4p}Fw_^#gwhOF7XTMq21zia>RS>IoU|CdLX#^x^;XS90P&gjcsJ8exmci#MCuitnC z|BdzFoT@`aQ3=#bf+#ugYj|;|qfLK9l&gITA>8 z)^#X);RngY<`=kLy@M31F>j{Lc1XS3=V4ml1!=2uL*DrXsA*y7zxW(=>nu+?ujP!O z)+7A5(8w9cnioENXxA?wT$g)xs$&qJ|HC06I${tkZ#m?s?FJF64+DJBn-QZW>gnUO z3~^R)BUc-_Jjz9xOlO@SiR8_iR89{hCrw!pJ|^P4TeCLD7WIOL8v~4L$c@jv{_c<* zAU8&@tJuyHGGE9e@?HtDo^;WtU+=|x=D2HLKq_iLmU|aaJDklcM79Gp#UVAcGTuxi z5T(ez>NTDVv1IU!Y|3Q(Lk38CT-6$+HwGzo4T9;gK*3nIn@|zv;T->unG_M1*%9r+|c~70W>QtdgP&Qp4dFZ{M@cpClwCdK)SSuiPa%b9Q+<<-I7;L&J<+wWLv~oC;!k_Q?~pXu z)p_Fqvb3fv_IuGVqd$TpcK)8Y$z{O?y<~E zZUay$HKR%w>n=mYtjN{9=IIbRuzUZ?>j3ClTA5<9R)*$W zFaO*wJE(nkK1>=J5LKiNo8>(EP%=s*lp+)i1#1F=W!83(`!VcJrFoWz=P4knT5c!PS_P~oX z5SGiU9giB|?gaYaDQBE@rX~`as%%k1>US?}+cZ>_xQVQZVHWhqC6=FOJfU#r>C9WB zX2`qCqsIQ31#%92*sOD|0K&EdjMvoKAYCh5j>ZUC*TPMkCkBuyVW)Snvg-rHQ*>SS zvLisuCxvmb0?a?&CEpNQEDYiItZAtWTcOAPX-NBfF=$K4js|Af$Ern zs_LmvQ0{PB0_m1eEV07Rks<~8)Bdyv=~p55u+FQ)qPXLlBT-a;(+3%gsplDY=0Iv9 z4cjZ(mylGI5_W8@7ZUiMuL-;AVfNX@4z5?V5WUy{4o?>#@;cGbo}6iTSO*UGmg=GH zbGMa3>M3Z{@9U#fih!EcqFa`{2~hdu{PmA2d!h8KG~JPzSSYM8dK&*q8S>hhZkrV& zd-L4+qI;2kKoHyR>MDjg36Juh-$Oq_O74+B*4J^6_Z zLow&y(&;;G8Y%RipSj?2iW54TC1(#)2f-cpqMt9y&7tn@wYG!HLr`s>`#$gzYRi}T z?S5{hLCJRZQF5Fi77V0t>h2eVJQkm#5njyS9rRQ#!i?6eB9N2076|D_eey3|!(Ff# zt%}LQElBjElrDIUpNF92g>(sgKWLAVDXeb&uKoY$`TybaME|YZ`%~NV*UyQ}@Q|l@ zH~pvAXCMCkU!C3I=XRgu-cl+Ay$e;7scV3+n{Cfey;guoEd!VFou47v?d~Prd&t%( z^}P9`9nZxFPq)cJM(4xZAR&92!{y}&B)aYjPqfBt3wa*O_B(c{ z;rU{uQg;J;z%>F}3g+dT{ixW=3&eBVRO5oa2KXOv_Zu;YhG6FhRpIAoA?!=tK5;W- zGc1K8?fnJ%3g4`DGrxmaqS8AyD=rYnK|4uXH3#trc0HWCg?EVkJ3j=yMg|t;>;{E5 z{`o2Lv8nMA@_078-rauy$-ax325aUx*QZixrO`qbWU(!UawpaZ;lhfw0tE1D9Ix#W zh7e8Vo~6@oAbfaBa@kiCqDC$Tj_l!p7}*!8az11b>lveLEm{e2xz?c(9}hu%J%xo^ zXg?%0oZ2MjS%$=toigzu^Nn&rZL+clSZ)uv)GMs|iHPbje1ecP6^Pcb~!Kqu7V!hdWOopHQWQ zQI~2M;yq5=ixV^;A(gV{PGUPG7I>(fR?mc_y!~D0zK|jJ_-IoRGBQ$}LM`_v&O@r& z7k!SFTu5U-DycAqdxlq27Y%%`KzjU+TKVcm2wCNz3)y@G5s&ixPlhc(bV~nZESEjR z@`ZkJv&XwkyvKR&8q{`wWcy^6R0@fQRu+>-%^^vvgY(#;FeE#xJmRrdgp^>*$4#7V zkQ#cmk2C=}$X@w0Z%Ak%-6YVt_Szt1oH09VZYh z{gK_0rsDhj&;a}VHyYCGC@FiCsd_+S^BE+78UjvoI zJ0;|^j-6>f$>jn;&0|A0gRBr@W0K+aV+pyuPkZu+FGIN7bE#PaR)~11w0=$W3Pkbt zBs(~%K(tU7m4nkXM0b9lr^dN@j0*GVid)Yh=JA0Wf!Wm%tD-i1UdI$-@5Kl@=wl{0 zv7D~9`5o{{v!_=d&c}Pd6;b^*2?&%^tUBCu34#SRCRWx8A>;#_0Ob@3g!!vC z9$QYSIPVvWJlO=^MT>Uuv}wFTdk(X(r7e1II`@Nr^Cy`B>3#^DQA+AdKMcXo8!G}3y>{JrM^h8T#AKW- z*rGx{v3msJR1d^fU&Hi_UgY(Ple}T&gScAJUB`_*z+1m4QBy+#{1gpx&AQKGuAcqd zO&4a&z}^wvKWhddiwdr9{P2B$P(aIf1v!2$6FUP6{UM5hpG2zxIY{R{Kd-<44l%^W zg9k3+JfhNtmD@xDVlSGrs>eq`>|%)mr#=P5`PD_qVop&!RR{N~4dwyGo_g;7)B$~M z9~85Uk&|F*rhe@1VF;S2=SpivpTi!3;&z1`2&5fXMkek77! z1_`-4L@J+GLZYa|n=71s5Om-c)ze!z=NKvpx^glI!p|&CTi9QL$Y_aEnU`fCTF1b% z0kcM940_(ACl^8N^`&Q?^r{edj`Qrp9Va1Ppq#byRth8#7gFYO;k;wWzkyJMyxy3v zLrU1xB%L$%Eq;3yk~Yd&b_$|Kia>JY(p(UvoP0tri|2p;m@bLa~uK(B8 zepiEjIM>`BXwL9rOWl14>JG6d3N7$KRY=J4!1xf9o%pDJ0zDT+RlN5DysIF8?&Ru+ zl11dIiZ!&XJb~={w(aMhA#avvnQfg8^KYHzRML>ko4P5?-2ZJ4BtHDG9yh?c(I6E*i#L`*xzGXF} zjkitShA|}h<(|+-on1ma%6ihjA)z1u17Kx$!oit9x$NcKy6G?1-*V3S-KcN1{xlE{HjMib(k$^J3*kYZ}Oi)Zz z%egJi1NR(9-zWL8L!JVog>ExyXRX2?eUrot>fse*0#77l_HHxYwg>(ECp`yOkKnHG ztAHw}&m~Cu@VUG}niLYL!r~9lMZzQDf@jUCe$cVgY;a>7=j%1T-%B5~L7h4ONa3%m zQ0-k@dN;ohDoUqo3CZP9D%-%5AFl^R&Z_THHkzS;Q)oy#dI5Xy!h~z0$OqDtX3GAM zh&n>%yH#ogny1@$^0&dVpOiy(%{0MXgt>_BV z)Jy_x}DrdwwH)Cj8fEdIJiJTWRL+La4R&;3x?@L<$AUtl(Zd zy2K%BkNFOW-A(m4XAb!==N>DJU$=&M+c?F5gzu2xUGSwq@(?6?2Je_Vhe-|CZzC4UOM2w0x6$)?U?9rHdY>=k!a_NvpL(BdD~EnN>lV9pzXwFl ziZF*T^3qQQy(tiRKkv!Uok|e>b(3rdsU|+>6LLsrUBAZ#r3o4kh^Emt-)hD4`E9_QWwkpAS#cQ=`QkNV$57>ZZ@()>{9{$71x^( z&mm6GykrOos>k;W*fL{|-Fw1EpCm|foaP)h$%JJ4a-+xH+acv9m6fuj1f^G}j}p*Zted5<*XAySaB1-$5vGL*hs!gY68OGHGRTf> zptkwIRhM7Iq7audeC3ER8^kZ{>v7n%6A}+x&9b_LjBM32s)Jwg_Zt4Nj_O#46brXQ zm*y)V)ll>arXE3>S^~RZq#UG+9etklstYp6wp5B{P-8U0v{2x%0hw_(uPjetHoEmf z;=>r^;+}5&M$(R%DZ915&9TcMzwh8FJv9-W7cfx%D#QIqkP>rXLo4R?c|SMlc?u~z zxdhl-@ck9tWzSKJSsqHV9wfd?kbZHWj}|v2WT^er8&KN|nKE%|$v-fwgfBFT{In_% zh$N-7@-Q#ZWM(!0&?Lmz&!?x{tH)f(Yx?qCIBVn;cuY-+zRgEp51Px;BSXyWOwtQ8 zNa>^Cd;ReyqzQ%;E#ujc?rtIMDTRKK*61{s#|@CV5J~2zQw0R_pgiNF&wvoeEjt>y z2-$n|##(#_Av+-?|6#l(YMyp|AN!VuyV#u9ch0~3UHkv^{Qq!y@ZkSPuYdaW2D#!9 zN-ZNP{BOq!Xe z4)|UP}rg1_ylc@EN22+*&VZY#koW;wwjW+E8~V##|yAwUE{Gm(jO zS5zT5f7q?$W;gP2xQXIIA3`XFfUbvTFoc$Ch#UJ~hTF2ot}f5r1DEPxA##Iw@Hk;8 zewtJsyg5n7sY#f?$G+oe9_qGz3r=Hv&OG>adfZs}hMC(B2NbRg^+G@gy8(O0R|qV8 z!BiMi2|@mGJ_!ZQ5PZpb?bo3w2w{#ry{vf=LPn}LweCKL(10_Vym~bdCe&!VjXDz? zPqTcum&5|DArS^#-;=2QRDO$6fm>!RN&GJuX)yz&Eu_ z^RZAX_)TOJj+0-50CG`<(}r3QxKH0FHeCRF=1Y`wU;QDNYo=99$R9$e9E2q&(;(#Y z0p=AoS_pk0lBPaF17T4MRSQ`^AY4Ns*6fY|L{K=mPx5ww>)M$gZ#bF3b7*o@bcq&x zST8faV*3HUd5Z^S^|HaA@}NBrHD;S%-#bK|)&zkGOnqP1k-yZs#VW8&2f?HK-q{a= zA!JIPFK!f>niK5Ki$sDDHt=g|M_Mz4*AiWrYr#EI1jUAjnHkO)Pm9}Dh(Q$B+snq9 z%;4=al|gk-8GJ8}@YqgefZwlvH{r{lAt3xt!#S%55JVzpD!q{b!Nw9~)6qAP39ELf zWe+`se%X1uVe1Hl?_WHh^D-DB&c+q9aVJ2e_Pw0=r8$VYne^Du8nsix0+)hzBtUeb zzR@7t8;D^cuML)rgP5Rm_8*01e%Jo*p8szy&;N$n@9LGHeOyou&BM7DIWrca&Low2 z$7Tyu4SUny2oZ#`iJMZHy}VGY_qE-bZ3h$-8OjPqu|l4MI*W#0GwQe8Z>G4HLH5or z-;tVh$kJ?XeBzID@6UrWhg=&W^&w>DuYG~!7n#{U`;#GQuZd&MyW{BB&dwO!KM&n% z3U@m<)S)G%ewE061L}8CO$OV|L$&s13b*w)C?AlukiJ|AB}aa~HGQ@X3Qc7)`Swyn zK6lQ(!L5UkD^0~W6ygrqsjIvs`%VBs_-9zfBB>7$xqu;(3)@ZZR*`osDICP^>&ml)G$8n?>wIfmGm|V zLO4k(y`o4QE=U4J7Wdz^NCbHikyd z8Mo@PPN>~){_gg7)YLd$HZIUJfwE0bHjM&lKD(Tx~!IFVEBb;)kB11N!pl$xb(`FS>v55_0n-E)m^Q#a*d!Ou_pE zc%*)mB_P-zI>fZ>e(mytJ5-j>jwV_|-DA_4L_$4OucU2Ita(Fa?o+ML>6l@na;5IV zF&`+7r@7IKx{bnfk8C2$njrs@w;|zUG2~_my=8lkcM#TBQ5CG19diH6qhp8rA&dRF zcZ-1lelJ$1^@7tOb;VD!Yl05?#958x3i+Y4{mW)I<6dZK*KX+dGlWL5spvNq$ONTJ zG^i2xM~%0C_mXoJRCYgECNew+WgQ))gS5x-dvWar<>({Wj}*?b8sx_hMF!@H2?s(d=A(${b=!KCZSrB|sc2J?ov!&k%1+ zvtz>u=h}%jB($MdA+hq~v6=cgNNPAprdy0!i?STjk+M-pNni+eH@1LO+qXkY)@hI? z!@}avv;)#fqXz@eZ$WzJ#*RB$n~>q~Mqh^%SqhJP+aqUcA-p9+sC74TaWn+F1+ww^ zys`UgA!9r4`Dx_!UU%U>Vc3{5c>*=B>h&rVlaNFmcklp*4kWYXM+PhIft0<8U!<~A zA(f1~g#S$nq|OOB%X8!Jzw7RNG7>$!L2tc^ub4oFBI7w4jfar&v&1pHRuFlCUmv|M zV26kjiiDTh2XN26!}2|MHpE&@zY{o)Ea~@cANMEkK=xk;QNwaaoQAS9MeM>UPX9wvv@6v8}9fk}UBGt*esgOxl zU6Yx30x};&ie5|fg3u~(P`sW8QIgil@6G3sg{e8ztZ)(HME0GkqTh$?Pr3D5mvCM} zC9S7Q>4y9Mlr-{)OE^F9Qg)#~1u5BTIk{8FyRVdB*Iz*9XT|VYw`-V}l&v-&!e|K@ zAxF*Ajrk$dXvYn=xg^Ls%J3vLZZw~=PDdrRAj{TCHlY*?&tZ!VM_)L_o{8D%W^#?T>dD27Vkj>8YW2;jIVsCH- z$Rr6tydL++2^A%r0m?o}_+wA=j`r)yQDnI~Kdc@lB7@Yg^X9jV@UE!8bC(l|9p*mn z>1HZkfXtsy7Bk9_1I=pE;v*Lbgi041a)koC=T9s*vmL^D)aQ8%WeUiV`w)6LMhJ4+ zUw4VK4ngiwhuyCmul%n4e|r8u3;+Mj>-+P*k3auI!~X}93vE7sa(n)n2jB(Z->L1f z)mxNvDqn$HpWnY_qHh7mmi9pIJ4)a}yNl-Aj$Po!D&{#ywGG^tdTWC?o4_;cmHMlr z`QW{mL$>c4W^X@{>1w+m1U^2ejy(|n3BG(9#phqqfbYHAk0OH?kzr{f!A6@3esAw` zHwiC*zsdSGDP4E)pOxCa#|(XT@2}V=Wu}3HzAHfr`v51t(%mzSW8mT`$gRJu4{i~a zl{_cnz{6}(Vq;tbyf_2hr83@vxAsfY?T)ygVAdZ$gwGV8ep%aBo2B6ENF_5E&j@~u zGm4HDzre5D=3SN{G5DW6OMGOXH28N-+h4eL7XlO-%a$+8z*e{IOFSl#w}cgBPsC<+j>@cVHvG zVqO^d=<>7Vk(Gh(foC^!QjuRXr^@LGLqMni zacA}u2;|@rmDfswz%q`7Nat+0eWCHFuEP*Gt9ccjdw&L8zwpXcvC@FY#lz3Z6Z*l+ zGbN_tfDw3ij5^{64?fj>V}ks+ABc~V1>E`hnSXPQ{)!x+W3G}f=sUrGz2&^ds|JC3IjoP%8X<^OUxK@?8iMlD$5%4RA@~HTq+oC@xGa$|Js~>}?(GelBW~>A zr7r2k67?Ls$0tjsnRbECh7B6Jse((K67-6(5)5Dc{Z>gTB^^6NHvc^ zJwN-~wLNd4+D&AFIKvYv7#-4VkJv+rf5`f5hYA$7b?QVEG@_5N-X{Bb7UX7LjNv?f z9dfqs)U}tN2SR3$>>JdBWj=g1a*@U!(o;NL*!?a*YOZit;JaZ+p7>~WluZ+opp9vZ zc?5dMbtIt;{bOylz9&vsL&Nqfp%o!RP$NO+Yq&KAm3p)5m5xzRT4FRC>goyP+3(4BCi*2*k?EMweeK0wJJj8T3fZXC*4EFj$fG{4Q%2Jl zGt(zCK3(Y^gFN9Mi|wZ|%cWK-@l{|v`uFSFigL_=ATqxw{wxhLPZ(U8AR&Qt#VFSO zyAq*K;b&;-@}Hn!F!~5zS3TtOUZ&*f{Rz3nV~hM;hal(D zv_AvgDrE2DSU%w#0tC{B5n{sBzia8U8DyTkYT8^9M8BD*rZl z4kDCh!uJaKL3D+rKHteHh`sr1{{Rc_qbF_3q&wv?w~lh@=HUgLZ%;2vXct0KxNzF1 zcGO&?eC3Fic7>G0Y*p9I%aH2dcjD<7>Z*-CY<}WTg>-3_;xy_ZWMiFvGAc&_8C}fp zif*IF#{IkoU6UOIzYvwBb8?1=Wt%7bH||07PswW0`=~9cDP(FoNdfVq@44Hz=|V!- znemAYYe;-}d{2}+`s^0AbTiuuu?L;8+%Y5qsoSYmJH(Lv`OR2C=4UyiP4-;n8U2WS zc6xz|ohLD;|M116<|fEg9!;%w#F`*0)nj)qfsl>aqZDhi5IOV0CsdvtVs3>y7WCvn z+`*27;5-7vmp8v5;tEIYkjlMdv+AhF=gzOkb3NJfV6PEx3Zx`U(I>58&z(KA6>uDR zJej+~x0xtHdRW-z-2=#^wCvjq6;y)EGq>NcJ)eLqs_bKyxr>lBbnnOU)&U6XUpM&` z77fwh|KzF?H^d%a@#iJG4{?hGuOM511ecN*h6BhjdrNo6Abu|-3lCR_5X(V|iO>;E zs|-jD>k_z!v%9pc*IFM}3L!mT?3IrRW?^QKn;arlMStan9eXD39?ioC=R(whz!`r_ zZ8v6l1c-(zS71iBYLia@7(&d8z;mBmF{8U{tlq}|2PAm$KO;o>LlT{s4dduBNDexb zqFaZo(|1mmx{p*L?a(;Y{(XFqeuH?B_Ie6FTQoHz=Hie8aO2lw)tiu&m%4s@JNDvg z2aef&LJv>RxaJpcS1l9;AwYM0N`# z*GI1S@}Y+3l6A*mcPj2|>sG}UG ze5~#N4gP%#**?SF;UB>^?s%6=DF@iUZhdg7(jFWJC#o_^3c%5w$Lr_LU~t;XCnlQc z0T(^GFOROffopzvBgb7maC=@|D?2C$?rYP@8%ez2Nzy7A!KMOU#1q#;oqNISO9;7P z zy@CLaFUYOj2oJzn?*YH|M{RKFwvfG%90YE3Yx(-Abl`rja9gt5Iq8-2e;1!Zmo0}Pi`E33|oM%?SyMDo``t@$`;k7Gy>KXw)Q5KrT&uPKRpx?UFVh!wE zdW>c;f+-ha{N7x&{ zeI&=kZ6XiI{}ZKhMuw*Uz7sbL z!RHs{cq*Ma*x2x;8?lLjz0MOAh1e6|kmRPuAR7se!F#3eNjZY^+4G+dk*9*ogvmRq zD^lQgdHEO(*&4Vv?9~-?mH^Lf?5+<6+rUdQneqVH6moK!1;y%X@J>Q!bjo@Ly-Gv2 z&q*V}=N{K(x^I)@{wbzPTh(_9I>J^A;}gj0i$oR-Ui zn)L*CC@Lc4x;K(6p4O*b9-yJodG7QVJTIHF_WF&Y zSLIWL*g!Y3IPXWzeRMz;r@-`5vIsOVNc}pT5e+rx1V2@UP(o$!ExnY5ZYX{B!=VAS zEk*0`Rs09bpdfeJmvpQJIqw$B;iguQOOe&D?#u_-NgnRwDd?rqD7$SqihYK`iDxQm z_aR+7LEge|1yTdj_c;B;z5Gj&;x`UQki{AKMf$=yWO4p%P>B;m7N>2^&6>~1;(YwH z>o_q~HHcp|Sj4+mkmPMoy<{lySX0cEPJ|+|{D@mGyCMJEfZAu(c=W~R`qQm(Lr$f& zzb-AFe^=%n@x^Qh!hUk5YW+dX?VXgrxSE5XN30{4+7f1tsl6-@(m)pHb>GN2S7dQk zDN|l&MHXj^u2>2K)H8}?E%=Z^&B^QEMP+oMYJU<(oo@@2ZTabx>R@KOS{t!GsUZ~6 zAJ;EDg`A=T6c@fPnM0n3z%$mpGbnP>HD%t|djU(^40oO!Wk2 ztC>fn8;~N4^XIyi03WhAbq*NP216^oR>A!kVQ35wr;+u!2Xzi<&SM^rp@vUx`24nZ zsJiT>k@b}v%B%IMyWZqOsTj-B{s46--rcKV9Hb6~!qMptb?Ds`iY2!|K{!er?#g~6xkf-{_^qB z{M&ha!B4w)bZ4JNP0ym!*$sDy5t1_-k}-nVg#o|TN23sL&u8`F1oqs6c9t?M$03P| z{&ILeW@I0+7ihbTx;cd|t&=bAL#mQTr%cERNIRjy#I*MqW@C1b*NLIuY;(I*TKs3o zc=&bh%^Upe!wuf_m>+{Ig>A(%hw>pLQ&`7hs1PDwEOavyj$lT2+m1q&d}KmCys?wc z65_k(-5E|_hD84FE`@uaL6SwYtw$ARY9wWB>t{pVdi5Sbxy*h@ZMu4W`x@rXS7k*n zQc**C`e8$BWJzVXM!XK~Re?+ucbggci;%_GadQ7SC1j2KQhVkb!yd-mE3N1HNuk z-iJKM{M6*&dQ`rMo*oX;o&7Y}o06JTphq`T#E>Gm@djpPuM=!o<00!s_bs_l)V8P! z*1KG2gz(%`e0!YPA-efgX_qK!p4-Xo*sJX!-uts;;agWoq_2}Gyxobq#QWTBX#@X*>>IsdK2qZF9S#c;) zLsHGbu_*~1a>PFw+ zMIKfk-`}=Y>?cAyxk?xE3d-7p?uVpGb+` zb6p+Wjb81zk#!9`jwDMjb3F!+^$O~3x&-j7KG;HO?+)hkul;!f7r@3|;9;2b0@!6* z2c~iQ!L73tEkzpo;Lz?X(mJaIj?Cv&?Gq)zNvl<^MH6{M{>EeTUAf?rL877S^Zmt^#frqX2T-t{;@RVQImr_R_5dD_-Qf?-AJ%2y4Z9@^P zW%VaUo7=$dgpzW9dMDgshzQi)^v8U=%#GB|H{f`(TK-J>8F0#(7prc=%=0C$fMHcK za6R}gxNmV7+|(bBNGZ`EcS$$cQ1JtJI0R3-;f&PNK3HP%04I1EJi1r?+8pmdAw_qN z@PT(sN6)6R7I<%r#%BtB1^Y`b*}dO!&vWi&Ov@V=aHL~=EPkmEoSJ2JUX=d+dNF$>5PY_a zi)CaQflrXKdp^q)_-=QV`GX7W>u#PbN2&q7pJOdaPfz`>{og(RKc_y<`TsuG|7&Z% zYur#IHc1ScH~AYIO;n&RmAjuuJsGMgvL9&*_CfjeIFE6a5GY=_5GHGs4u!J95mM-D z&u95i+tw8Yxfc{7l+WP|r+Z(#mv06T?8>j0($(Vm&dRtbzX|D%n~F-Rw;(k+bUNTe zEF{md8rllCLy``=SfMWF_367^(ItKdEnT_;a<%WE{?yi?-ot%RofyVf*&zxQ8Z}ne ztv*9Z&-dW_XSkt=oY`W#@e9ZwtW?#Oz5%%_rOx^H4nmHOH~aL$3g+asDEr>kfvm_% zS>|wY$S~dc;M6`l%!-(Ad^-9HQd%z8Ja!?0WZuiWx9gKY4=vFrTdryD*o$-i%cS}V^9E2!DEEx8>w(hc%x}A9@}Zc)zn{_K0~Fk+pc^?%19>Cm?(f6* zVK&wK$~7X)#%`@H+&yKCJ!PZqvDLefS@*c>yj4AMI3BacV78VjTmGPN0%W@R z+LWHdth2EEUt?=;QF9gclIQq)cx18iXj&oxIyBgootWL>&Q(FyZCUY9zn_j@=Jl@jNc{E!J+Vh~BU2n83XNxrc*LSE@5+k)NY zkbC4Zdo!;zWY5Y@WGr)Fc6*kHv5+ui>6pE|k!OWDj%mAZ@XbJQf*|5P4YDN^BP$T`bYhyegsw{gKa}NeV`SfjW^2#tMHO}V_ zzwir+<+#UPta+i(EQQ2NJRb6UD85cy#5_k0M#Est8_p#mU3JoSg6t7C+qa9&Kxnsp zIPLOB>5KeFPyYvdL;TPDeaz+Ahl~3^Ji5r>mQ#|K7gCWVQYKQ-kWbea{=g?TR+$rtAvvpFRQcGcj_nX;E9E$T<0guosddWE%s} zN0r=^W}e4PjBG~lS$elO*GTe?#$N?rp+LSCvZ{RKn$vz7iu`O@gXhZSd`?Ny& zwgO}wI=))5fj!&%gkN98njrMp6o<7ZF+@eiCz&27hnVS%v+)H|5Lb3U$LGgSNRW1! zy?W9S5_5VuZw$~v()=D0^ZiViJG13rySyDzrD>kBor;4r`JglIX6%qI+o0|jhZ-Ky z&*gOKX6PBM9Xdp^0+}C%M?5?&k%39ik^CHaG17FwKNEICm_g(EGv!|peRiG6=q~04 z>hxSFxzqshd~-p|Lkp15JX4b{cma|QSaIFv`GlU;GB*`^)G$@OkJNLghSX6(y~yxj z^a=2!1P+Nq`U0ESohy8h@wWOHklumJKF{uNwGxn3d|6S1*A56L6TT*sMFSyQ<-(!Q zY`9;URBy{lh8X5~wiHhxh&zxncJ$YGh+k=QA^DLDiQ$=nZ(2ezGi62GM7#%5ba)Hs zkZGQp^*~vLa1qi5bI%J%pM~^!@mFm3^&#W?x$T*G^_W$rD7iB#60$yjcsEX91cLc9 z{$13_#C$yRvR z;l;Ob1xTq`azAp98`4;V9T#~quhE)ia3dDE1Lgjs@>O1tIdL(!c%2TiHn}&ZX>qO} zsC=;h>NaF!{*=4(|B?6J|6ITC|38^URz|jrjEoYJ7 zl#I-fLZu-jGQa2RIeIQuQWCmH zpwm@k#X5wHgvMf@V}6i%I8HSE;0l`IV&iG7kAZ9zpYNTu$B~c6KY{-p@)3K;IVvgj zL+*)7b4#&LAUC?g<@slnMXP)B{D6^s`$ebu_Fz+Cwq)p|fDSn&p)-{;E%>+!*f zeVwadvte4X;v^2X+rRwsYFxnXlY(we*h8=%H0tPG3Ql9SUlZ@4?1+0np=Ox~xG=O*+MpWFrFP||wAdSX%s48iFDwEkrUaL7yB!1b z5pkl^*Hpo(JWc1;8wapCJ^F-L4!JqSE~plHiG!V#2Z5g30oY&W6{xPF0S9L32i!{; z;PCV3FTPFGr+)Ux#p?1saPph{j`>al&SFHAR5Y{Tyz+kFf(0LPJL2;gAa1Mc*bdmge<2?*Ah%Gx}Qw$O$Un@vY+0IH^5rd%lK?%3fOq$JQz0{0ECb*a-KnK>FV+_ zzYQy}FX#3Bx+4n?$yI5Qq2l1^q{SX|$OujfLT@b@=)jp&LrsE(ADjn;JEbvyEA{y|dMAU?Gut)ll?Q?747feuZ2fGL4engzEMqLl2ecgY#`#V*c%+as zov_9SYdmQg@60b?)A(YhacLW!b>3Iki;2}NN{Z|Xh_J91Gf$veC=p4aBsqPy>s{)Jc@`mMmrn9GwjOlt&te; zdc;`sfpY-7X}2Ozd@coV_i^bx(YfDi|98#*w{-`t@xRvg|Jk+Q{YE5g0P_->j$VbU zbzgzn%*vuS9O-C=7W;09)+Cgf&a4|VPD1g)aaW1HZYWe&QXmgAfqcF@N8XcDko#bF z=Cv|nLe8F`ImqOMtf2NAomz-Z+2rV2^@|qL3hl)B(+(hI5Rc#I#7DGWd%TmJm_`n7 zX36&?Gtl$UG~Tl34Kxp2w|e>II@C$`Cvb$7LsdH8)Y@7rls^b<(GsYHCsVhiUEXRz z5$&Aa2X!{cUmQ^+A#sO10*2d97f>J9PEc2?{T^gfX3OE|xI<=JPsf>mgbsJus%+qwy*$KG7BbXlj{8gm^(~Iw+2*fWfmT*FW z5QC?S$_>bK@Nd4nJpnoEO=HjP5vx4SMfEbbJ!F|x?_KMagp39vE1qNPkk)T;zE^e^ zQVDJS$=AuC-*R=UtMxW?Xk>n#7J31V`VwAeu24fAFV8QEA8Amn*%HcxhcY!FLs{~U z6v{5&Hl(UUPSEHXie2$2DAIDgkYd;m1=iOR1*Z!juV2V&XFVHo)eFmo&D;^UcZVWC z8`S~xg|z&~??Yzpk)H|jC#E-J&ap9=z~H?WhwCl5(D^+-vK=v1TKHeb;oic5h9~p) zh^QT)7E^wotIG)8yWkL$+^>X6x3RR&u@_K|%PsGfMh+#x2}WHI3dKgNUK;@uP#DG0 zwy%c+`QH?lO2}TJ?A6vr1{vZ7^EpJ2xxI$$Bix~5-vF|{bUtD?oBqA_|Iz3FgV6ua zSsvV7LTiE_gpydhg#X$1|Nix_pW_Ri`_{YmvJ&O!6EUKjP&V3Ny204X#GmIv##0r>d+hw^XDxuzij4-cuEE%+kEkC}Lfm;5_2{xm z{doed$RK>Hji;bv3SurKS&qDZ3vrLQWqCiJ0F2at0{PN)!~wb@h9{N>NhS@p66W_& zJ`wj(7&_Odl4>!3J%chllJ^H?%Tys5!Um8hU+iz5Tl{F*y86A-g|DQDqxGah7K zxXxmSGC;^XM9e~_*cGEJM zyO8Jpn3BBqC*)&4ET${G3;EtIQ%i)5zt{fXHUA$A|IgfB^k2EXKbio4J`n!#*Z_49BF9ZhpS?N+ZBk;(7>7=;14H$B~b0Hev1Ech_#6l&b zV7w9e-mZEMO!?k?S1XkRv)fyeE2|CYp5R`qznT?Tm_6COlpX_?_se8BEe^p-K8a#Q z4S9Jeg#FBIAAq&1*Rv5nVz8bIW=|OQ1l^en`KLIK!Tr{=Z|8n|1cP71$`~61cr<(W zOxN5;F!b75V%%o|qg@sOVf7_2xqbU+k-Gp)F*3IK!?a-5Q7NmTdJD{FoasMB%!9@I zfb3_;|7yA5$G@J11y)0?*Yxbr+<@krcZczmVBJ|X@%~E$*l;qQz5lci?or;W7^~lf z2iN!NxxNX)L#McTf#y5#SQ{5RB}NR4jwMHHy`}(TKSF$aQW7v(l51{0wE||mvAfsE zo`Jc3+(B1qEm(MKD(Ak^1Iw7g*eg$Pz$z|E{#~C4SWDwYusjh5>!&jZe&^8{hLcd} zMa(4fl~5FlWIye2bj{3q7?uvio*m8Ss7u`Le^9RSeeGgvQzN z+QE9#JT7Kf4QvdLyPB7ggUvT#5v^(D0kR4|y1{)OY*+0sem>d+JN;01rec10Onh-~ zj429?WN&iV=i`Hk;zwKc#TGC-cXhUfj|R*?D;G`PZ~@DxSA>xdkl%|Nu z7ncn8!Nz?xkeovZY&mzf1H#^cZGXx0(X~UcdpI6OZM_S2KUeH+mCu2_AN11+ARo_h zTMdKr?cfj+wo~g!(oZSN#c1EuI_%0^xIo!ia7Q|$6XF~|Jd))XnC!O)!7>A#6O%l(YJ^`2aTs!GFPBd-E<2# z#sJD5^OFQT4237{0V^ff2%#wC+}X!08c>k0+OqSK2=cMYGOw`SgWSmF(!ihnkR!t) z8lD;s*-Y4z@jEC>e5wamVqgYkoS(Zji44QwF7pfl-64LXP!6NYx3>17q@T?vG_)IvpGZz_4b4K~ z_~@gq-j9gSD{!HTX$$gtev0PkeSute+Xp%IQjl}Y<4d9}${Ad{)BKPm_xIZWN6r6- zodYfCSAXsMlH}wE9!(D)>O@sKz z!kGz_iAhL_A*nq?tW5*rLE}ioY58T5H0I3%spfMLOWIbD)7Q8kKjL3XBy)6iq|vq0vLi?@UPG;W78ZuOxM z*=gUzVm%D8PNfn=+r@|pNg0kH8j!FZM_FiZ0ZGq~IX<>*h7|n_+cOdTkUI4toI`RF z(nU^Y@t7Z>yQ?vk9pxIxEDH5 zG`b5MTH7y1UYx-NwP3`rjXtUHCh#6|U=jwb%}w71jGdz6q?-`-1K)J=RVg89@5%le31RE|AHcyR@YH2C^>nTL?dNM`xL_fOXM*$i6j6 zA6NPuv9W!eY7#@xEQwwRO<@;$-Sk25VoV!NzJ@7d# z#EG^rzJPSVyjk{X4@dI?JXV9HsOOj>YSI0IhXc~6H?cY{AvX7`Ynq|DJCJFne!+?2 z0c1HEv0Jr2fNWl(Yf2K8kUcq+=+J`RpKIbQa{@Z=Q(c;SR%V7=Aq?FI{gIG&ahmJ2 zYy#vxUBT`s)`$E{k%3gV!XQy(qEud15t55^M~IDbAoZ;~N>WKe`j;2wUg(*b`I_T& zbo4%C4L^EFvTzQv3ki)MzE(s1og3TSqE6^8aXkNlAj%Pbc_lCM!W{BaXP2!nghT%I zRHeJD?@?bU{7aLwEEKq?HP4L3BY*M-`q^#WS?S8fi#5 zVKijhe;krR*&3PcGm(!ko_em!7nm{sA?@`erb%nYsDh-bQJzj za`wuJOB{<(WQk2xklg?US1?6_Bjb=~blt5>*Z^{-Zqr0cA@06s;CR7{Ovq9RqLpz+ zcZ)t;xuvcB z&DCg)N$rbP#kWE>{?1s{C49)7X*_wu3e`W0yEvBTf*_6VP8c?S52U#JsO;|vKpz=) zo5WEywAoe1`fST1AKymINVgBv#3yOB1-n7zk2^n8j2obwWShO9c?L?z=030RoQ0yi zq>*FELQs&Y-xE@Q8}e4Hc^mhE?gVw3E zk02+7m6adm7PB46t{Ls}L6)T!2aY7lJsfnI=a85pAK#^MOV29g<4b=__e&F6?rFcV zi`+&&K1MoO-YDebO9|Wbb%pAn>;nH3N2qKa9vj1;`OfITtG%RsP|D;dnDPK+zVVxI zQ?rzyNNC6V7`Gb~q|Bc4{gDXy{J7z%Hjh9T1xkcOv(381l*VJNB5O{l-i2 zAo1BgxTg-?^NC0XpTvRNrs$dAuO7a|czOW>>ocZ_l+o+IVXY_bc81WAi8P5HBM_c- zG)jEyIr8`wZ(p+BfT&rl6Jysc%gXlzBRcw%h*_elZYY1n;oF;c14L_fK8~ z$zwrm(D@jaYHGw3_oUQIm_+=$@R3CiRM(tan)s4N0`a+=)%`ae!P|PmXbN%T{gQ@) z%&0FzppNThiaKiu9%mKk&Ug=DG$#%3J6J*l-vT>^P9LHeUmE;0y9Ln>Qs?Ix;vwcn zC)x9n8T2_vi4$EYfY_Ijj4k#Uh;vok^N(49cv@u%p%aMrR~poEZPgesLQ%m(3l)H= zrgVB)IRSojDR$9*N)X6@r;?9a8-jP zr4&v3maw83(pJ^r2zIFi!uv(c^%m#!=-{*Su zLmQ%K9HiorBO_+p*Y;&pD8y5W(XFeXKJcy}jbWe+B-RDfRqD-977w1sm0B`zd1e`VxO$kn6`mdFqddFyN_ztG-W!)((i}MsnOWWwc}wRZ>ps z^Et#Ps*vs@u|a+X?iwPgL32p563R6GjQBhuyte!A=OE34Dw?VTadK3Ob9K&ZKo(ZP z)U3}O;_*&r(F7Yqc98$Co6We$$85oo{0i|DW^g&kd>kOxT5UXXwia^t+6CrBM^L|= zx5xS`a%ya_OW<>%dxhm|Ia%>2LzK&4viSlL5Bbj|}i)L{f)0b&EjzeDH0YOlr5Ap!7q<+l21^L_eSGjft zpdhu`Yz+^w#YCJ6H_QZ~@L8#uQKLK*Nw+uoS^jTE_g}mIe{y^O=huF>2MhJ7n0#m= zh$ECcY=;{ALq=@mN2{=j*}G6A1y4*QSt*aB>}XX~x4Fz2$nE=($^CK?vdxIgF}_le zv8XLHtLO=-zU|0=R*hzCOqQo6_0YWg7ZA8_Mp)Giq|e3 z;^UcC`V#MZqkNdNtX=^wWWG83G0xf%(hLMEpPxsJCw)265l1v{-^qS_zI_Mc?YWgY z^Kc;6Oh&sY0?k+ncp&1)SlyVT7^Tm3GGl2|*bUG@xm{XA)yI zHhIZp(gW(&?r7i2KxeLjj!9pEmr%B;cV9HA5Q?Acw>#7!KaulvAGg*Jr$KP%{jC}yHZRdcr?+8g^tnkO&B=-mwMpy`KL{0`ic*FOpFBlP|=T zRVNRG0L1H^76=jU0t}uug#l+BVDj#0jrODadT}Pxp{8==TM15{7g+(HJ-Rrb%N7vO z9{kx|MgfAmE}3ZvBaW^{#*Nj&(-4u$nA8)z2T@PD52hdegy_D#h`?!Dh$%g;RS-V~ zvA&wIEq78NPU-C7E-$J%PpmNUO+JM9_Cd)cSHyfaGkx;ja2)aO3UbbvH9~k#j~$}L5S;Y<-2=R5KgA1t!m%}k$NGk343)AEgsQl zcLN(@MA+=4TXZ3o*-?ds=@i87aelt(LWA~etkYN|Hz7WTSOVwNEb<6O?_w6>k$d#) z_Jt`LNGRl2@9Q3gM7d9F9d4nJxcI2|ibpA8T_u-fT(yCqdN#G;UlI^n>Tnu|jSM2> z1hJfaYauH8#`@)2G;g;;`j#(L24X&5_f|srh}fCuxE;?nAg;ZxeS{j#TP9V!9L*U7 zjN!8sL>$-F-1Z#?jhnkhM%o@DC6n{QHq2el$Nd#L)~qm#(V&=*6b|{(#Y*vr;D9okGw#i z&cuJeB!%{rS5u2K(vZmhQuk^Sa*GOZIz}LeSTf(o-J3C}rf22J&6>tQ>Ithd)q5xt z{84Rrz7oxnx5(fOU-v`(C+A0_Hr|jS*Zd`>YUh8|{C_O`|9QXvk69j{vGHyRvWGHg z`72|Q{oDKe9xc6H0cqIa%R}HF$@mNWpWoO|(6xua4G!I}y6F7(#>0D@rwT%oqA%OH z{DAO_Pk)j5$UsCzPfOHSN#uu7&$nBVfoMUg*%w^65Iut9{Cy0q5u^TF#1X*|^K-{z z`A8f&tv=RoH`3z7&cqMVg(9#8TMme9;V-^}vlI;h-69#n-~>UtAse)HGZ3a0ETc7Rc03WGsl?{4h@V^#8bOvV?0{hGFbgAh<2+o7@L_tXi!<+9}Zs36Mh4tE+ zuzrY4=DSL9J_@4gtv)kTxas20Xjv1KU5eQ*D|wH&g1wH5uMo%YUPEto4)PGq+7L`kP(c{| ziudPZYmh^dkOCM2&TOjCY`{F3`l_XJ8xmxP@B5unhJ;x?bMrD+2qu`eX{AAa z#yaUu5_xNg;Eh~aJw6Okt{09XD;FVp^rolMK zA;jm)ZGGci0gUHNO7FWPNVpl{G&Y9ZXw+v9D3W9$acpP${=+kn6vCTxZXV5ii=24S zxpxtgXSHhs$@q_$c2?-Bp6`n+koz1OeW7= zriF~jLmdYlLr8nL%2>Iv4k;I1bbDVTPTv*!=%%aKkYMdBwV|~R@vmBppHrWLo*R=Y z6v(sE9C?>Z+(ip<;#6;y;%!5f;^$3k^#>Ejj#rGNY zEtsnG2|57dK4Sk)@Fn#8GBueII0G%o7pKlIBX-E73wDw}9aQ61zvnwI4CP-G=5Dta zLkaf^CA)wK6p67;QTN@4{0Zx4PD?1u`&vhE;9ef&Fb4Rh9OXk++H2CMy@b-yN7Lha_%G%>z;7wKKALz{uPUy&qgJngowS8|iGHdF&uGkfvt3 zmEM6GGN;-b8#YjRKUu+6jvq?<{cjbRtUz)3K0X!V%ocLGTo+M!2l)j3U3+q_h&!tw zNrdidvomzmS5-^V{CqD1_j?^k|71xW>;Du|^RQfae}+SHa`~NA)aOWSQ%LK@DT97R z39cP$cIe=q4lgkFg{QlI2HlKkp0mkt$y#Fvs-|vZW1)Je!dT*RpD`{7r(CdW!L|ToTCTOm5Zuk4} z^|R=1RWtN7pB`kbe^e5s(1Oed<5a4Kk&sTa(O^CDi@4UvjFL8+N%$4s@yMpHb zCg(fHqOBp(ODP~A7Twn~RTTwpe1)Wj;)M=gQsmA7z-5Hl>GJJV*3_MNQvACm2mZ_Y$v zHSsCLKPfZw9>WEUuML6fIXtxQ@IGTy-GjtaB+@fq(7FGGsqN1cPQ>?fC3|-EoM)Zq3nHS))Z2@Sl(Cf1wm??cb%~p%37DHtS;-IjCX8EAK{$^$gs5I3`O%6nb$Pl z*hC;FJKnJ6h+@L;wf}dY|Br?LKiBp@_Wi0q*8bt2U;lg72OR+Zota)o(kp(&BOBoQ zij4Sa6B&5=WOj&N!Upew8lt)W1Mp?N(Zc6hgIL>Bk)?%L;BOOidT~1v0$dCJ>M%_py$B&axoknV^B~mM(?ZX63c^J6?s1f| zLD>6~Iobk<2iW$-K=L6Kn)mYNx$fu=UPNz1L%b=#hnys3)8-cVn$1^=^}GbXyc7*@ z9WC(hBBcJ9qY44TbQ0dO+^9xQ=R1cO7D4rx1_3X82u^IB+Ba~55cBXAyx|LIMmSN5 z&jL2+Lf^)qA7_;d~vaQ7R|eH2^_-dAf}PK(DPCU&eae!C#}1^J5gC>ntOrkIa}YA^CGEtu!V z=RuJ7Yu|&!c?d2cyj-y53nAUp7#U0CbQ_~7J;Q?T2FC?)nF!87_`qq|Po2o`TSqC* zOn((3F*|RI@cALi!k}ht_yj~Vjx;b;(;)7ip^+?+1o9pk+c4^!0RMhVrvZj^bk~Xh zS+17_`5Vp`cUrAL$VHXI>vQPy^dI=y_f8zbx=-jnrDlTgZMy9nL21Y{FhKm&5f`F_ zTNjuwGb6vR-i!G)#GcLRS2*&Oj z!mWE_tDUakhx#*y<1bEhK(#h8IlH+qR1_Ii5 zM;VYe+NdUQGYxXNDBjt{A;)vAr-hIg^3j_agp%B>hKw*Ly<<0Jkw5N=@tpc=NJ-z* z>ODCNNuN?J`x*$*9N$S?)wn8X)95wh73hS92bVX`AK*ZZ`Sw>)q8+I0mG`m?mx8k5 ze8(>gwD2TbY=KMQ7qoPiITs+2Dw+cN75HI6G} zU3#vYF^ZU4SLw61UR5GDr*N{<2Aco33< zMXYpdr8{3Mp<4B}tbKwIx_2?wwqkLEGS)D@ZTA#-Vt2Uf^)nrcE)INtzPJblG6B?) zQp%8r*~5C9FafzVA!j$v+oGR~+u03?!;lsI+{C!Y4l=gn>vGM}eCMya)yH2EyW_6* zQD(h4bbb>ai{r?H7S7T8%AQovkj-WAj^Y$zcXSXQL(lVama~8X zvOOl!w1huEmVW#XM)H~8YyTfL{~v_@{}(vD{yPFwIL-6Ov906~5vl;;?=mF}*LxwV zorPW`yAxs<7qVP5kOy9DkY~Q<1jK>R_tS`D7k`0knZ>aiFsJZOeMP(#%m+fVr$&Ov z*AwK>W5@|!tIb}h%HU7)5v?{Ed->EIW1c3 zL&(;haH0chh74p6bgmKrGJP!fX-56%6K0C60U!$)anVgju?fyx1r* zEl>j~egx`NC=^yBfb6I3%q8oATD#Cj|H$i+c)3z7!E5MY7br9nrW_i|RY+p?zBnDGne7n{H zNe_FsT0byBvM|+%W=uRJ@0UB?S;dExmTeY8b3RD5zg>{j(E({}FH5pVk=JfVv0nYg z97Gn0s88(SL-bnuz@_>jh}A!d?n$fBz0G9D{S_^Ue{aQ$BZL_3xLF~3hMtf}xx++> z>jX*Evv-|Y0w9^#zAHKYEAst{dRgklAePG=`z@YPNG;i~U=K2ZG-rqOkd}2cPoTN= z@SO{!?@%9~c$Wat*T!kO=*~dQ*50eSR`fo7<;vMVqTb|s$}5sa5yXrMzBrps4T)g{ zL0jphD6_-eaxNITRu}f@o5p8R*81}cvUA9PgvDTu*NFQ0hrLHPwizIO!%f9Z^b}%> zh)FiBu|j4`JJB^0>7^AP$@4rZW28`!=o7aVMsN zpOFnlI-wf;iN!`fP9}hVks|eIUMd7!rD5KP>x6*8iv@mzMG&Y?$Qx?50k%ht=TCi1 z0mp!dq-O4AaM8Q!8Ejz*Zv9NV6!vo9vATz0uTuxFDZib$0xj?!)>f4rBLSbBBD{Wi zD)2SlNq_u;2mIJYesvij_Vnv#1l9pT;P1t(%DeR&7`PQ>YdGs^+L7sqW?jT~(N$MSW}PObOg(l4Z?cK1HGy9aw{Buj$l z@{3Jv^G@&%C&96CKLVfVi=SSFpwHWn4~KqvAN-7Q_(TPV!C$gj&fjnn0!R*rX1hZm zU@WAp2xYH7&@Yc(H+=?RuKC#b4oM=78icUAzwlzJfshYZYirNdLuek)t~)cD8P}nd z>6Q(Ja6*fze6fMwYyWr6|EHJd|B1EVy?m$r{V!{j=jV}o{A?8UcTHc(_MJj`{tJfO zw=JQpqK=B%K^C6K2}g8mo`J%gS?7A`ACT{LNnR-g)w=a+bow+OA?N(Z-bBqY#AuND zqHMAbnMS-gWNs3Wu4YacS=S4xwk`Ioo{0C_Ue3Nd!VXD-1-Gc%6`;q0bxULI2%6t@ z`dpEbMtS~F8^cv6l;^MIe%t5@?550EyQokFT$w@llb{QA3 zI}YY_Zt3ZwJb&GZrt@hi&)+ii8u{qzuM5?lO4&zwemye2h(46(_cKXzIt!)ha@$u| zrlHuKfcjnl6JkLNC5z3CLcWxXb{RYBvuDcSha;ar&V^11GG~+{{HA)w--;eG(>tb* zJ?@3{1oI6lK}JaJyb$y}C;|2TNn~Vu!cm@o;8egT2WYeJwvD^}7#aek-(|IhLk*^h zbMVYC%JX~5A0jSsIkDdt*N7KTLd?qBP|6NPX|=(}2LTG={9Xs&>w>)3qdAv&Vj%a{ zPuiv&UdY~Syh&Mum>C1^%pG6PL#Fu#Nojf;q&rXGn|i7up0SWrk`)#7du%!d1*JlV z(WwvnTAI)p7*8ZZMh10S7p^PgWTQNPZv^9U#LTerU2-EpKAiLlTG!*-@MPOd$F3iJ zKiaSG?S`Sbf+?c3=Z1)rC$P_XUnBzYaGmP59yCLaDVfQsSd?qLdVb+_%Qwj4RnZwY zzX%zIv6)^mTrgB+7NUFl#hP@%dt<1t z-=IC9ehp=9NusBbO9^xGBzb+U17Nlk_@l|IAYu4qPnvBNB&N-O{D2&aN%t8Q>J9iH znYQS%pT7kp4e|`ojL8205{-SW}3`AhP+JV&wTw zh@PRz6V%*;SdN!ZBl*c7?xuZ=>mj-u)Zc7DAyB~FRS_*k&Z-3YM-@tmXCRSt$oD_9 zD~Y=_BP3k>ko3&j@-r7evbT(CnPMZP2peMypGPe3ce;2xk&h4uE_XVKQw4&u?Hn^U zUPIXay~U6mWr*@jWA3$4f|x6#k7w@lLTqT)_qSaSA+C{Lh&La-|Cdd-e|4<`W`xev zXa{*@o}WvNUE+kqLcgP#3)Yb2HHW8uumQ;`H7UnR6(NP}g!(r!t;@?0{lI$$$95a#*azfa;D{q9XPfd_iX-Gg zrIb!`L;ONNg>>GV%!sF#6Y{tO`H_MJsAk~Ntlu#(@S%(Ye}GXXjB z6h-oxu1Fv!=`}U#W(!Dj`f7UH0vFPG?-88&Rt@2N#dHhLQz04)Cv!n|9b$?v87Z^X zK^!|_@=x*sh<6Wk8TZq@}`=3ia!CFIPKTskcTh&+bP1% zuFnu#(N$Ue1Tn(pWZ9muc>t#4mijk4barE|8`K#zh9pxQap|{@Avwou_48C0;y|&^ zNr(+W>dN_tUgoWk_LDwyPZJrFe|a}D(Ho#lc`6&t8m!W(&)Qf2Gu!k1FO>xE@$AWM-3+o3;JudKmz9IhT!C%|-dqKOg)6$3m``Ek-He{3t%^bVD1s z{J0n-gZkiZ5B9(Er&@x0ZF5qBrV4nhr@5yg)|D5-z$T?R^6=0{NshFv_wCI*IyxT?Za<1&Zb++v2fBYNM7|r(c+p1^l|`J zSxhn5)wo-TkJW-B_IWkZYp1~JNdIkC^d00Oq96tdS8#ibA0Jd>0PgQ2uN#VSgQxr` zp7>x1cqJ{%r45CEx7mH#UR{6iIi~RKm>jyNh{d8L)E@_5dVZ%$+%)jbsDIk-yNLSf zq%TfBB>+E6yRL`(UBuF`8}hz}m=-Qi#fB*B!Kubi%t~h)Tq>9@Tp@W2Ztm#>ITk0t zohcdctaW}FXo>tkRF^wGAufP-&l&#Q51Zg)sVgjR!2-S{p|@9_%7JeYgUQ#1 z1n?7UE!+0K4t_nu#ep&R!Cx6qaicO8{9jbRES`@8$FjXktT-y*LTIA!O`;CmIQ?$+ zO_YNN^MT~G!F}-jDRlRw(tGf}!?ro$)($@0(BE`d8GP2}aT-Q-!MDsM>yxq~_&vf7 z?7g!A{^Z5G6bxhF->_?KpMMzwwDRWY7_uPX`*+S4$A=)$U*j^lS`q|NkhG5cI`(_t z|6TL{x$FP2um4|P``xRBV$&T-(9E7Ke}+^DY6ooRpZ^Ges%t*yJO+-SY$_q4Q?Lo1 z*wgNJ$^L-CvB)zMzi=VHCV0+67uEdVXabo-6CuZJ$Li!32C^9(zs?JFLFOl~;r0>? zq|ZFKv-#;d>ct#WNj0{Hlv|tIbIEm()SnuR#V-y$w$FAcTTP+)`^vktC!|nkdwn@2 z!3(NhwdQh%w?X-sA@Q5oYfz$|*9pIZpeS7*Tm5PY6qtK(66i%kUee$$bEq2R5@uiZ z7AS=5vey&?VfB#Zrq%yMg8(unj!jc^YeL%RMgPyc=u!KWK)L^_3EF?B~Ft-b*#IZyjm4hQl5#!d5e7S{x?M)N;N`pl^GH1qB|5C z3kx_KZX@>7Mf$H4;b>+xd{aKj0dgG7+wV%)qgf@v@7)E6mv?&PL5of&WL%h<)yKv~ z{yG^SR$O$?-grfUiV88hX)3kbPH#b5fOm04-V`(>RbUM#Y(Y&)zBRr0S*RlJ{mCi) z6w0rqa@tz0Ly1hN`tns?D4GFFMoLmBc#X@UVs#Dj=^5ykk1s)P${V|2MN`O;C;gV| z#1GkY)WR>UaUknB$NCKxZOGtwEmItN3H?9%tz(Hrp+C@tKxe}PI&2sy#}cEVG2O-g z)?;p{v+l_@@l=88tZ$d`Th^d5lI8jeO+J(@j_{01qqZ#A99q0c>TI%Q;VCv*v47VusQrG}`B?HPR2Nsikhr z8FF4?Mza)6Ap2?e#7Y0i-)sLLHUHm){^-Bv^!`_`&rlfc{^$%D}nxV}5s6scV0`U#ypJe3C0aI_M@2MmS z3Aw^))Ob%I(buj$T6zQxL6^b3_*4r^aUrwA)KL~HD-erqPiFb1mH5t@_0&L!8-=AEzh|wl%ImQuk9sT z9;$%Bt!BLXQWz5ON6Y0G1|VUFHP_?UHYCnUYPL(hfutIW1cGqXclMn4Wc-cA82%8_)K-NYYz-jTHmanL%@@MIt%|5O2P0NDNSl8`HTU4h zyW-&u5XYuvy^p;O@pq1r#n*BG<3Ns0vic1YJg(|)2y8*3eZ&@%gRrj$^kf!)x`CuP$=yvo7#Zb;Z^d#%|J=q$F zRDOA6$AJ3Yt8OP66XH;wJ>B2z_S^sLgqgm!Ew?wAQ_j)r0kj2`x!wzEF6DeMoW z8R)f;@~VDn;>|S4J`Ja|Z1{l)S-BU#PMk#KAj>Ka!c&gcK0d^|ULP^-<2jEn(v!R6 zgD=bcg#U1{=af+mVXu1qYCl4uC)uN)i#5cFkMnK|<5l6aNN!#mk<}J}l!gsY_WdHg z{>9hdKaaj69Kl!Te!tBlKF%ZSS80)+KkM>Q`2)*;YX6^}|L_mPaqtB2z&dSIv0PPzE@9qf;WHm&^f6At3aY&o1e;K=fJe3InZISwZpL%R%4 zMT*fL6`pW5E{QzR%8XX8Oj1>BPUd16&(A<3jX{llw&8D`C^4kkuEgoX8vI;$O; zu$&W3-51IYYo#Xj;B#kS<18%@wlNa6$u~Jq(A&Z;zbF4AZ7s>exz3-l;tCw%NNP;F zARL{p7;o9=1gDEK*S1KXgEN2ho(CEZaGppqw7owMm#on}IX~)Qc%jBzZX}%K5zPzt z`h>t-j5x#Z^}ymo^Xr@^VX)E>a^Ev`6xMYwS5d`E!RE)f#HzPvVYjV0zqach?Duw` zFHHFi2WjTd_}C6dF}IIG_embkCd2YQ3{i0U;d^1X2Pd4n)xMrQ@B}UiT%GA0T5uJu zkAJyR4@O%wIRmj+=}Ar&LIsg>7PzCQ-HmQXPlW=U9>Z5`Si?*}XM>z=}| zO<^Oebz@gU9$}2rA8I67B=(NH5^X%)aQMQInCndgrwiK@RQ7L#b7o4zR?R%PjM|UK zER**YIHSDL`W4)^>FoaDNit6hM%|+N72qz>Xd*n94fl%OKj@N2;IW6oJMLTvkKCiS zLVU7+YX48q|L;@(|3vM#PA!hDsc}QC@GI+QicQ4BDw4D*^a4sxCQLadenH`9b*`Vb zLC6a^%EiUWjO@>J+|E{;koj!4OGQN`(N5IeIg}cYw2*4w0)rY*R~x4Doqi%2)P(K^%X=E29Bdv{{RN=E$Bz?GKmE*2oD|IO_g9 zUHAy4{Yv9+P6?xEYJ)`miVes&d9r7Vzz}k3NBvJ-C)}POrPE#;rI1nE5O%_g1L>la zsxgyQgpvKi)aNb9!pvmzpD8?u6d_~5Z!?6|tRK}Gca0hRvgdhLWk<~}eK)rbdz zUK+F6C8%GuQhxoq{ixK)DUvHDy>4=zd>-vIN_g@(E(-*sfHJqD%FP(LJ?{JMLZyj* zJj;F6n|;X47**LiFN6%bTj>!y^^lgVv6pVdf%x^eIn(SUvn9pMI11ffB!}?$eIoOT z#KzyuPCH3{-CC|kNEh{1EpLR>31Kh z5LS7PCUxy7^7VJu?RmkEoZq^$o_@OtH!#$3uWUF;m z8qT>QvtsvN8H+JwCGzhF*Tjn(y(Waxh8U5;P42_T5X&-^`lFNNrjI`TsnKYMxYDTbTguglHy5g4 z`gs|Sg zJ!9*MH&&v9VYOHVB5!s29Lg_3ln-k)b3`SXb1yLlbnPJ-mANM4OGHP0t0UouBtPPm zE^X=C@`>!w!e0jPH6gxFv2U~Q1QKG{sjd{}k)Hd!%ql4cBz}#~E!5iyKMwJS-;}u! zR46>j^;89+MZs&=Y}ttLLnb<9R%E`P@aXUpt3gD*atLGAzJ;iT;ZY7*l1aL9ILMdf zEMiwAgz&IFL+te9EXM6YM8k76@sW}s;xp1_*VkP_f@z%#yENhaiC>iO_{2e&(`?Z` z##2a2d@}fk_Xhl{Clx2;wj+d1%20-TC&JWjls_T~J;?xQbWi zcNwu0cAB6Y$E^z$NE(ZN)K$J6$?3;RKV0~Npce<;-%&b-&`H`J!7Ad#&K3MT!+0N& zdtbhYR403dgfD7ey8Ve4_|u*5tjmby+9B`nmD^*022ZFoR_pW%4z8t8zOdTY;v&k55+E{QR=GmPvr&fHO{ zBpRY}*(*aj#1nj_W4=#AiR=@GHm4U8ZPuCelinjbh|6Y{+n*+l_z7+`#UMi@Zl3tQ zHTxry*{?6q3Z?Anlty`ixSvD|So9SBn{0@xs zuT7WT;URfE*T%T_3B!EL(9&FHHp#=ef41oQK3Jai(93vl46CEOPorH(9*s!+hDMUv zVMBj#xN#5Z$)Dx*^zbOcHp;3rFUFPJ3GTB9KU)R6Uq>!aU#fLwS*L(s@JZ)2w{#O|mL(>(PoPbH0bkq~uT+?K7BJs2X{_*MNER zwv;u&O0bw|Dx@Pm04rMg`Q7aMNKXvM*c}G2{#t#_L&2Ej)2Jmfkqk`RqW2b4i{`Mi z&zU|&6$JZ3`cW6jGtT~-8{6dPbU5V1s?4@A!cjH)n?zYR3_|NQ@@Y50sA`FON%|#B zBO@x6$_PW7rT5S-#$ALpy*g*#$5*h7*(tckX9!khjUnsTC&Ie+5W~d%S7a{VaN`=A zCAk9yYE>*Jz|QGRQLfn_>{U-ZS;s{U2QH5!pGFQi45(H8zUBzWs4wS_-VTP-@%@j# zs7~UxN3_#T-Y9bCQRksgZiCsgrRYN1Hdv?_3+^QuCzk2s!c4;ZVD+wH<$z8YY*u#v zR zS5r}uU9t1&&%J;7>s(eXHhB;Hh8dHEOBTx;!q(Pl*4StV%d2AbHEay9>PZqfFTEEw zBCGrC4voRqV(&G_@<`Zav#%;p_k(?xd!{epG&&6J=DfS+3>>G#q9T-K;55Z5=)@^P z?j9d$MSN=E()s2h^Lc5wUMQ4f|G5jUO%XX+0%l}iz!RN3y!lV<|LOVved_Is%3hxR0O zhIJt_KYmb&>vuthz$2S&#|n{_y^+_tE&vqu`_3NjBzsYcQ>L}s1j*V%xl(y#_7lu< z<(R)S;zv%1jD!UvPHQ2z$W0b)wx+zB`);82H}BZu>r_;DtU2{G`vgiqJTIeuIgX-V zqwm%-sv+O~pltnZ8RR_fUq3xYxT|S*ZdN7}{rJ=9)qy0hHC^esf7ta2!l%7pe~7jW zsrR1#bfUaNiq83xBSUgXa-P4bbDSRu?VG)?TqcZ%{VQhYWEJpW=U4GdzFDYeWB9mp zO%*C{l(KFJp+Q+zT1fe~S(NPZ2zyEx;sq6F`i?U)B6rko{-hiQ*%X0}GRhBR*1emc z`QC(#t&jD_)76o7cbnWEuX&;yKghAVkcI4(f2?_#6oTZ3;yP7PX-J~FMrpJbC%m{v z{nea5(axPRc8l}{4bjJPK^2Kimx-@w2a*IMauVt^pS1#(TjOqGcsRHXhE^`g@{cH8I$Pp&tec^JCEk=Z_ZR^ z{XkvP4$~*%A5p!Xmwm6$VN|exUUXh?M%fc}`N!p&C}r5uwC4VP6bpV7mQOQ6LFFiw z%_UEgQJTj`Z<2zXj>J`uL{}kOB;a|Q0y{G6$L3r`!jNG;7<-wUpL|XtKX41tBW-Ud zoyal2KehiKJ^w$1{{O$8fA+uK!|VHLTljC}Is_;!nET!5DXl1ER=>-KVz^!WE>_Y8NNBgx_R(*@06NZxhApU-7K{Ibu~7nKuMcUh9S zB_|ca&Yk>l%#koYe<}#`@=XxN#j#&g&lM0=T+!KbI~CDyjFjJhX+X^M(~3Lo=Mg)b zGq>K7_`yHWU;nZ95aIZ7-VXoPgM_p#=egYfFatyQ&j?-DhfhcjrDN zXVAS4x z7**=p%OdiKHPp#dq8mmW(VwWUw?e$(Qj%{W6%sD);CLtY^ImXArzQhtKs_bnydb1H|{!;8+IXgnVwazU?%OTw8S%0}tCL&p*x9(JQ zN0hhC*%i#ihb=md>-O_dRYUje;~d}RB!44nYX_% z$jG2PLgMhN^w(F3PXD9X{w?qGkUS72|LtisQaY>;?);d5)C;m4&emk^-q>|1Ab@ZN z1iMQEs`U^)XZ}in`8=8L)8#(uBV4ECrF#RGd5Ar5F+fOp0&&%jJBCf!kiea9*6H;E ziKaPiWnH>RDtVwLeEAV!#sz22ij!wOb(ZLhf~#bQ_&&6#WC4_Q`}S1Uae@*aHhkcy zIMP<#Gv&S9fHb$C#_oIkkv13l{_cgXe`^1qp8sEk|8I|O=-;)Sqr8(b=PdbB-^mzB zW&kXlBjlg|13A3c1{isbcLqS4u}FC9ggJDL4!_YRtOY&YH;4P4OhJERnLi`naTp{% z`%%L14!4#be)+MOhuiY8X8Q(j!^n(%jgx>bjDut9OVS2l67SEqTmK|XPl^SvHv0(E z`%kh)MD1a=FLC~q@oku8u1nSVW&!inQ5-A3azLY=Zq!Cv7~0xjJ-fwJq1&jmuV=v> zdNoqF1&_Ny-(=LD^|Ut(zF&GZDia68t9qis1BSSrb$9q`=p2mRJibwzZU*Be1DowF zzhFwQA>AlT4^uyeLx~SXVYZU%=i?_KFtc|(FFPs*vkA9^i7&n|zu>s><$NTrujqI4 z&>DpH1g5mgzTw7U<+e^;Tilcqv479L2l_MKH5MeZ?3SZjfRyGt7>+UcBi;r^()x^t zyCPw1*~(CMQV}Le_3kTp4PbiZym82f0GPhdw%Sqt8D^Tl+wbhAz^r$!^6);u{N!y( zx=A6J*CwgRnTbG)z9oDqi3&Ooe3|)b4?}PDlK3Rir0CN)eOxDd7zT}>5~Wq=$v)yt z@A_eqRodw#{@h!gWM|q|pY*YVi6Kwn^zvhvo>m&H@g5_uyUaQfbPZ;PL7dmC!eBO& z@k#R8DVQ6TvU7*^!F)9H_Q2;9Sg6}}Bn5MRWc^H2XX7Y98;a>`2 z)<2s(7p6}325;W0>PNtQ>6yZf5Iy>VD;qMg;sJWiItzK6ocvf)CTt+6_}NmXfeia zgSo-QgO=T!V6oxcg(G6zu&6&Q)7KC~?hdiZ1K*y&a=tL$NlFq{-aZ9#tmKZ!=D~g> z&IQ(St9Q>c9sX1Me|r9ZPJQC#`CqU7*7M6eE|B)kQz`sVV^( zzru6e=}1n1rPiL#G<}jYciA`Z;ajB6?LYqR@HM17Ebo+3B-s*^brV@4apYX&-Bc3& z9`Qw7HfQ|S!vP7K&>bGdlYOQ;XN{h(R#}=(9t0;{(Xk$f*%&svvqhu7ker~Bh zBa6J%pVrAfAH@)_;CkBTnV5D#y<%;m2BHK?kIHhIj#h4P-! zpO&G1DBZ`oa!I}uMaj9tRfl#XKlj|$Uk(GvRg?;nT$g}srH@?-J3b>bqVT#{oE9=R z_EXck(;)4UoJ>m|(OP95v{iV>iqr%ti9HcrNIC2HPK0=?lj2v=1(l7UBkD?0!rme@ zx@X<>+Ib&$TeSqN#zat&Bz)lOapIlr>H9I@c>pCXmv22Ld!M4S8=HPQZAX5!*zL7C zOUMnFiEZ5f7TG2DvJKY^BWvBwa>m5>$jDBsOgcDA-$3p{xVX^smM~WLJ=}HG zfav;>pkj`K`c|bVo1(w3 zF{Fr6fv*u=tzm@ULoHd7B#DB>sgRzpnaCS;KR{`gtdyj{3 zPFHrfj>W2xti-i;N~a?cZ~v^jiH_*gBe~*DkCR?AVS3D-ErYO?UroEb7(%jZqQUbu zDhhSc& zX=#C#2z&66vq;qt5%bqVhCImYcbKx3O2i{Z_rTU8udEUK!ZiEjqb-EyskIEHAUP@Sd`ajKQleJuF=9N3R9VpyEu+IEt4w1vwKfMR zTsC1ZbYekqYngGlK8w(m{Ut{3yo8TKD>vNaPP9|U^InN^k-HVuktfo}5i58rY5&)2 zh)a>+9JF#l{11uYlDFzelsTof^Xz*h8Fq<5H@{caSx|CoSlahmBaPi?JU~+cVb9-v>WJG)JlPiS1Rr`LS|*RN zi{BBkOyZ)~8rC7MpzhA4wF4v@Ga_JDxH1wWbWUUue}B@@EWd!aH*?G{0r`L$wc1-+Vcl_~>f5g}!ZgPxyh)k3^pOLVQ~0sxAzq<|GF_)u(w#j%#YUw}S-| zhb)c+3ML}q3XQEua1b6yPL(z?ccOlGf}G?&22}bbdA>Z#g0gN3uS=&TO4O9E`Sj4C zkbRT$nCe61omdD+@!=v2kz2dB3=?Ly*oDxt1S_H~7At%*_XO$tM0e9Ij3SMy*yxrZ znXyfJt@$eAOEk_K;sTHCNAeEUhdxi}kQnkbM}ANO?ZWh*w7Q1SP^GLiYLtVjo{rSD z#g9-vxgGQ;q{+;+-2Ct-!Z7Ji&((T7iTqFVGR7UZ$a$N{cca_~*`b4?yW@ zvyQ6?!`w&m-VPn4^U#&uCVdfQ@ooL&UiFY4~i;O@H@=XTv7xrj9m7RLk3QT91c{@3RKl#Entv(KSLQG)Kqx2cy< zu=2%#ZVfs22YmD%i97>g@@T%AnkHK7(d@;{J;iNY zhjNaNo!48a$j?Ld_2~y?C~kH5Iy@wW!mA(seF_ASuVV15bh-e!?#4k??`M%cRZXXr znuaVd-jWZle#n%g`gz%;1sPli>mS7PA${$FrNF|!YhOb1h~}Sj{}egV|LxT&`CHHb zADZ6lBTwe=kI!%Rzn#8qh9~hC72^?FLRYr5ig0$D0=1TTW)PY7OX&sCgGXQaTuwV3h?vj(G}^U< z^Lpc(i(H`{(d7&5dw$?N;o@s6ID~g2i9v?tf_fX0=|0R+&zd25a_W}R!xE&_4m2}f zAQ>eGrm19C_9HcTV=4_*9{d`$Q;pv-BZRSZhw0WTguTsmwSGmk(^N8*tOx86_2t*Z z)mvo7*l4!r)MgIE-PG@HjW9s`rz+*@=^iAW-ejZjdpDAzbfrDb`H@`rrb9#~7%2~? z6KN*H$b3IAC2~8-5$M?7P)u})lwD6n_tlVRqr1#&YXLIj^==xkp*e)GkIlK0)O!(m zZow@v!WYpY_cwnVev8=Dq!S9BUr0uW-P5>TJCJyiqTW8Hh@?;BE1CO9Uv=|!tK12a z#irF87SQtnslO9!=DvRiCFGr<3Jd8kSlP1|IW8d0OI`G46nQpJ{pi0{+>G>-ZtaXO zR1v8f?p&Sv2r-Or-*gl$Auh_?B7jzhoDpB&J|0a#vRKN%la`f8-D)ijV_WwQW`>XK(57qv<$c5JYErh4186gy1gpgH} zbyw0%M)Sc@QZLTsbS$~MI8H?6`Tt~c{Yo(e+h|WD; z{Bnw*Kr|9$0@WD2^^v%%nf|w4CX%ST1(}E^HmTJ>xrwfo)c)q0eL8bUS({^=Kovpw zbD{!qeLM)zP3>%a^AsTqOqD%U-w;l%sac+L91*X)?y%eSBFbyqtVROK$XtCuTitve zVl4_6@^Z%zS6gEiyqYkR!Fy(n7&r=5tTM06A_ z?k5?UA%+*KED$pz*KWdcA91>9%Ow8y_^NyE3Fi(XVM?$-<}2CZ(Q6HBD3H%A9rr87 z(F>&ZWv?T2GpYUCtovs2N$u;p#_P-@HSnpD@$y;7p5a~tdYltXI&==amz&Y-w{dpu&|fnYc5 z=doNwS3lfn%tLl{k!Pk}e@<&fbl}6AM!_V9DXIAA7CLgr^Zsx}E6f zCs@kr_mg>wo{_x@jV-DD{m&MkllS$T%ePu(k<>oTvGO!olD&E^gx@3=X&!et^n-}b zZ!*k@<0AvokDeH=**A;G(_)ia6$6N&Kb0{kwHk2|B2|h`{z#aAl|0)*eEuT#^4agn zU4q+1&_Zw=6gF#DuAFj`&od+?vW*&PjnJw!%*#~^p1g03P+PTM#k zJwwiW-=mTy@g!%B_1W%n_k2Wh2|v_udW5jhO6B*r#1LF}d;h{^@@)AO+_>e_Px!<> zG7}gj?8)*PnOegVw6+P~Zt@&Mjre}W+lZ9lBYbg7+mLWxMK|@*1Y(-IRD?LV5m~WGl{1v&|7~Dm+P*Y} zkgVb5$`^VFs4iA5?du`=f*Pr>hK%8*DsrsN=px!~oRN%O4nytMGs!MSJ8*~nzyV{~ z8z`X?$a>g&6nUn0w`_Phq~`UsOeps42N5Rze4c#%iF za|%&z!h@H12$#S8z2q9cP=p$f)AH_fMPPe8rx3{!^E-Ho5+a@fZ~md*{8g*);DGh( zk14dM(>|U2wRjvA90zG4X-}c#*?l?B85`t3y%Luo=8tUaDc#cjq-SLk*r>=PNiw93 zBa5b*Nyg{*WY^DU5I3*?)=kWj%x4-3t`Ki+MAO>O*U0OK9W6Gj^i4&uV&7@?#HaB0 z)$wz_@CrW1>DTKUJE7g6w7zfg5E^90g94lDQ5m^PKu_o@%GNv;;M!hd-mwDkoteZN-zj_O02Uu9ta5P7DoDvk(E~556mM)Zt)UiRejz@A( z?-_dr!gsN@#4dh=wrK)2771>uj_ui4|N51$h&nDjIgAad4F?!@&QZvGxk_wf%nDF)b|zT*6_E3Y z#dE99F9c*MH@laqBeb!N|A!$fB4jk@YKUhx%D_7*U8o!}S}dFPc4`x!-0&r1noh*O zQd6Bi=}+ET;*bzQU>@gtX!?*GlbWr0-%hd;XRc=?M;$oN{u8r=)wTV!VH z$nRc%5|qJb(fOE0TExK<%Uqj~zCURjgUVZ^_x#>@~Is&)$eDKoLJf5y4 z1Rv&2sb@>s;T!%wx_HSOeoL)m3DLI^a8i}w#T+jJJ<_!*PM<+gMv`)KAz>z$G%41& zXOJwdOYR%`N!xquV)I%}Qe$PGfArpDAX!iO3YQcKn?l9lSZ~%UxU6kFej-c&ZW9+D zKk$x&$1goLMe!(jy}tMKo&9R~1S;#r*X@Jf7TKX|TpaKZJ2{=?*oT1e%>&!ih!=H- zhSIm7uLwRf&7+Y?a%6NRCU!kMO!9)%=*;-(5t>u2_C1GqgE=mwDQzWr0}dZn+3;S6 zefgQ0$4x8YDs=zFbrmkShg5mcRJFk~kLkcgiOqyN=OrB|paS1hQhFo}6n+!iqdxe5 zM}U6V11=RM1a@VMUKt^;yWw<-o=yEFmzqfE8 z?10Wf{IADkZXo?zTz3ZHzDHO4rSc(SOVhjF2VscF<+kl6e}3eywO`ksCC@lY#=LlG zI=t+^AKN@30N-ur!-Pe*!as(6%g~e62pnZC^JLLL2&=-ex~ye{1_}2jWi}wp?Y(~{ z)pf!!?c1LHlQixSKHAa~f=I?A&CKtJ521CsTk}Z|@mjwL^>3^~^v2hPwib-U2jSsp zlz#^?vUY|N7W|00uYUK7SnQwL|7Xts_o@GXqV`+8T4q{?ZlQ+T%rD@oJIX^BYn_*w zQCviHi%X!5p8kPt zN(^cS&L8@HzZiFRrX8LfV?)WM2tA$jROAPJUJs33BtO-@BK}AeGTN^$hmg5;+SMFI zLw7PeyV&0@QB6D@kor(nDuQ^Wo4l$|2KSb$JJ2|YEL&Vk2+F;@{3=289 zZb@whA;aIVC^jUZtuVSuh$<1auhYJ`9izpac~5OB(|D9poBK4cK8=Es7YW0ovV=>g zv)O8BKQeQu#d8DlkiI=;6Lk~0e=_LvDl%6g+0OB$6`vatv<}f8B|5U$!gC7Dg(N>t zc@sPHE^?0+z3F$0Z3D>&t6Xj*zVy(PN3FF>-FOf}IrySa3-$XRjNK!<)5>*9lP7OR zqI7=kuc(THC_1xhtAOkb@^)@)i*V*g)@X(6IMG&T9R5n5FHABh>9oUy{Y8+<)0k&> z)Dek`f-B8JCrJiJ*^puRQN+Xq>~#zw+<|Lcuk_dmXZZT>+=f=d#f`TM4)SqE`|J78 z%l92{@Aw;xyfsHvS8&P1t7??r8t0H!YevcKa{HW^E);09s%X#8Am=TI*@~;_$Xu@Y z8a$$m^cY21MJIAD`yZG%Y)odUKH(C1)a3JUd-MIhbYc6R;)JoPBn z+g5qeZy)jl)oHiCD?*O$!EG;pb0f3CZ$6x(2I=<4#)MbegW{KI1kaOu9DJ_V*6A3|IzdRL+C$a@^62>sZA48s6i`J ztW_(M0qF()`DOa||0nwAdsm!>jEQb$yqYNPne0>re) zzUd3ALtL=jA-1neNRSvg6**5doK+3cZw*!;nY(@P=a$z3 z^3ST-Bj$Av?{{Ou^sW*r+H{O$nqSRVVb0`4$}_D94fY;TSRxG~cKIQVZ%-VTO(xO> z+-H3*$0CE%dS|w?9Woa89j4n|fXpWc7xdlNAS=fFcjMG|WUJcEIMdoA`?t4heG(^f z(so@vm*|b$1H56E)m9+4o$d1I%=SOE|4+~VufqQ~m*>gfTppFb_W*wZI@?Zs2aDm} zf0h4#J-5fq-ua>&do8SDi+fY#9AG=i7N$jZcMh!qkIbuh;jGd!WuXALp5*1d+aLuu z_0z=(n|{Ontfgha(e?1yf4%W6V<Wh zv4ivM*hXRT%WzHSQl%ECfLkS#pL2RK+{^2>on_&MM=B2s3+n_t?c1M*y*LCfB{BLI zDh_zl+ zs~K;>{@T(>LxtOLI?ASScHjViK`~Hgg(zWn7vhB!R*9CY= zMnnY5{)88&P5&#=W_V3_%KelfuUoWj;X;c8*&}d{9!sr)@0#lDd>w1}7K8>fEkA-E z%%y3W7GPPJ64+eO0b37^{tt80aCn~g?VbN^IJZajwY{2#Yi$N|hfpEhzONI_KJQEz z=&tVd+r;3x>!rw(PLdre=&|aX)-iamTSKvW(GBkzUZ2(cAK_E`Bdzzg7_&u+#Y~$01zsb7z2fgmFc`WoiGm`ilT|Hh4@u$PNCt2*7DL-64g^t}D z34#0Rp_8usG4P1DR(3o-0MBmgDD`&2;+~ql(*5=jynlL}8R4je&sPt6ji)B?eJ?e4 z*C!Hwb)oy(cuB6X-?d4})CdF|3%|uVtAKz-Q^oz9W(cJ8=>#)L!FjXG@9QMn#kH?^ z%OT!Uxcgl6psfA`PmU-(hqq$nbJSW{#~=sqPgicTWD(BN-soPrx>@)cP&PAmPQX9l zIdA^gLe5!boV&cv&j6mZ3Lo~t;798Fv0%pAgEu&^mwY|26U`Zi@3n@OURGqhdf zLzqx+-ejwYv>@TjG}W8rL6Se$a)<2}$#f94=hZJWMAUA#w^{r85pk=(Kzy0ZxSl-_ zo>;?xw#FOwlgDLI$JlOpZ+!qNL?85>I#PgA#b~$RFAY(6?40w;suASsjqGsaG(nce z(ax2XEJzu@5P3r`dr$2XLU!@h-(NcF{M82{Yd4Dx-m@ zTsz&UtO#_LN-Fjees7a##HZ<7;;3<3c=*IG3l#&bvX#DjP?mR=`S`c(C{fJ)`jY59 z3+~hRY^o&ZccIDP*Xn-aV>F|3Xz(E{?t5l@=PHr*rT#P{!zECZPUdVBl0r(9#@sw3 z$>*En@jI@fg7~QJsaVY}#9FJ&snf^(sr~=x`Ts@eC%QhCzqLE2>*g6`-~V8c9sa>U zkR4P8#(y3ADpY6w+qFG`O&NUtfh2pe?(LePD3YmZ#T>Td@_)T_r$fBO`XO@IYo?JjGt+Y!aLjY3C zZ@XA-Wd%h|zufL@H7HY+HSd_o+}WP!OU${E_G=l8rgXHfTj`3`Be=d9gtS|FXV(|f>rf@n*tuie|& zMi`bA(~>>ckm0#>B#oEM;%FWkIkRdYQt+gMMOz`srsg~KrQHN^vL!DCei7!#ZIg1v z&qTXpaFD%Noa|3vn37#3hEzKj(~1wFWd1!)hKS_-Q5}zNcsYf%l2QtqiEjNoo0N9p zNu*Eqd!s zbm%M+T9e<)-SMdl|-G}U1&GFZg|4%ND>^!M^s-FzU_Wt}=?X&D7y1)O}1CR&7 zf9HMQ@Fquf=IzZe9ksK&Kq-QSV?(*~gCnpi2|qkyoCKQ;8;Q3t%P9s!u)M9(!BCJ_(T6-dg(NLd`NHShFi&eX#VoaIT(gsptn z)F(=K816y}*M?|Z;2yP4<;-w7ZtL&Wrhj<^X6o8fSGSyi#Z-uXMg0t{1q2j58iZiG z&Air>+^g+AbyYOlnZhA_$C2LPayV_U2rr9HfwSB9wz`+E;nG9zMopgct{!G5OtukT z8~cs;UfV5%&3#Etbb1Qz8$+LMO0|M}u1?v~frMtdo!S?mF2Fp8{urKD=V23<%7sYnWfpExqU)-&cEWvIc688{<8V(G^{~{{B#dm)$0FvEBx7@Q zP>SOPOm`{7vTEOf1@GP<6Kfe*m9y$?*tkfzfG4i}h$w+Q<4X6974mSHV*8~+Uf-#3 zH_HY(7r2}ZynHD}2d+20bTfXF_sbRO&k#dRIC$;9V`k65{rbiEn|G?yzDJbKNUmi?F)wd0#&Y zlMIJr8N25bua9t9chBarDFv?CR0==sN8wibB8C3ZYPc7y?Yl6)2#@IA!4tZb@U%EU z?d#lzR6IpHHs8Nrs?EK=2^4I()wk8rTnn>FQOE+ocn^(!* z+(vwBug___Rx=`#$xpSSk7zXSCsH0>I!9)DR9xfbq{s8=bGc6;TAN0Fb;k?`#GPYp z4u8>4m|p7NQ;zB)>gd7vjvA7u7x|0(o8vWvuib67JWH}Tw{AZzzUDA$H`A{?Cs&O- zR)u=qMCVmfx`BO6GXn)`s#8Z9t&o#i(v+&R4w*F_u4Pwl5Pw)Vy`eTa-;M4K-eayo zir-0=-CCDO7KFNdiNtxt?>b4HvPupyUzO$dr|(46ua+&#E$6rxkAhgrZuifrK-3#o-KHh@;7#X~`v8=7BXh}EZ~G`+Dww5`Fo>p(Ul>y@+wAJC(NT53r8WeM)(EvQMaTY>6> zc@*wXwcL|@MC1gs#As$KFPTGoXrA2)EmJlQQNW>Nxth_k-2+^IlOC&Su z(OIvn(ZjR{O-H!BejOwluaZ;i_AXsQB}@K#`gT^74ZW7n-?XjglSOu}`4_#rA;`2X?U2iJM0&(8XL-7fpiJ&R-n!x!`FnX}x{~uSlFz)F zwU(qq!qWQ5wnZ|-9r_jS!q4}o_Wz^j|C`WHb!O|od3>f^OAMx4$rmsA`m5dlk6-`Q zoZg_)8$0=og|5o05t>-bIxabY>)doJc9!D?~u_nuWq+LOPB z?JxdIM>+KmD#B#oQEA9IPPD3z7yH7~H-KWkb^WUobx31qScomvMOxIkkfzPA$Y(?6 zv&b0nZ-r`f)re{!gKB7Cr*1ETJFgdVSL7i4;fe50g)l@#GVs_;$024RF_H6d5t;9= z+_Jsa7>RWB&reBn8liQ2*#h;Rffn?5Y;$qTmPWBs;wr9@nyom^(p5n2M zWDk6*Px&kh(dpIf;cfhphxlQqx+7b-kl0@no#WPpL_HzO3k>vgHXA$fnm5W7yn+h@hara8=Utx7pSTrvF52 zz@Ff{&WA$h*i@AcP|2_cO^uYRvBxmVBn^L)*)LVDdZ-=ub7 za<{o(SfKZcFiU-(+1QfjJNWk4KJhomGIxuV*n9-p%U%Ok8|ZaBn4Neeyx{B%42^{?*|Da@1VE+Q^^FLs+g3Sa-LOc)d9$dgk5}U1Y6G z?hfAt70l{qu>a%3HeDDd;QL$=)KgK_FQ$Pv^Ru2O77?&^eho1-U?`^awKiMj~# zVsGu(`}sWbk2PrAY$cgppCuo-#2TR>;z4zuaRJGAJ6=B~j6b#iyXXH`;s2GjN%;H! z=JEZfuJ5m_{{Liol5hPpdw$)hi>&zyQ7{^0->#1R;D`= zw>Eu*^|N5fz?JH-6<&xAi+BS&y#eu`>mI`1k2@i%j`ZXag{7>_pWqm9sCOHe9h}Te z-Ih++!uhawq53Amtfmj;OZ#jEmyZ7LqJ;h7Y9+35da@pRx_)^#CkkO4LFKlKA_Vhm za+}|49wix_yH>|<_zbK2xkq36$-?HSiF4L5!pBQ*QNQ?X1a{BH6Kdb7z+vUahl9qG zaAaXl|5DswA2j=VeTXuRL7?=aGN|Zh2jB$s08Os7u(+x{D&uxrhRE^UoeN zt%J}{PDphLS__lh?Vqn%5=O+kWr?x&gb8u`aYf>RdRXiGv0vx@37glE@r=3luscF) z>B&|K`%smxs;+l%Xz+{X6z7KH!w?ONbx+~+G{)3r{T4XiTXx~Q5#UmBqm-MQ8m_*R zUV6Xe;dU(cqhYQ#+?N~oNf9lqVUoGml&=uX?59r}^}c|`(6S%HPrzPtANFusz#)Ho@TR3zaC~*uf$zm2oN4L#tG4FCh4o@;?kYOC zZZ17V5n+HEO?%g;rzFet<=7jB$jv0*CZOrK_axkJ)@RN5tbnQC#$AC@nXrh}8)@HV z2dkp4sV>p;u!*2qqa<+wb}Gqx7gBs+KfOJN=KKRV>Q!=P=!C(kS5)RcN?o69^r*IJY+ zr<3=0_qKU`#(3Bm+d57<&B4xnplqaI9URO9B<}hUz9BS6LJRZYJSTH9uD1oQKGPw? zLyqM2+wt<_ushrg|<(||gikPk&BuTeQZGXO8el4!mudu!Ik33{c7AX?Mgmx1@pANRdSN~a$bP7KFK(sZS6|jmyeWZW)>_& zho8h&ZV{6$g7}J}g6c&rlI8F!dnxO${jt$({*XN=zx-0F4TKQsTy;^ApBf!py}9vz zqp0Wcv8FTeN0kS^ig=v_%9_m1zgAv_BJ03*-N{Vk-I7maG$p?4F@M>n=U#F$T`+p%JSPRrIlD z!t^H5n++$$DU+VRSI+6>kPaI7S86meDW%`Y1QcqRF04L~-s3Ki_S4k$+G4 zL2Knv0K{<}}mWj)HSH47I?f4G9#1_O` zOy@MHyCK#fwwpOh1@wD#x&bXFdH{6r@kjS;k`r(3L1<3jt>I@=!= zCRI=F>$;=#3ft3iLq`;z$uKxJO^ZCixA(Vi{etWiIa6k8cQP~05C0ZIa_$SBpPF^l zBsoAzAp=UKNVeY2%qy^nMA~PsbZ;~vZv2U63)t`B)Uuhl2OsemaS6+5-bW z-GSy>2hj}!8*o!4@SOXBm8e`gAYpOUALUHWPhw4DP~3HU_+t7;6kItKZ5JMn+@vc~ zZ0rKaKK}l2Y36HWY6SPLC0T0e8D*m^3&hjIbLpc)XDgD6s@JPqzD44>OD(@lm=G^V z^L%h46Jm9imPt(C!<`PB%o7v=w5r7KP*nSYx~>(HHd$$?*_nCw*6krw{JP^G5s-zl z3`Ljkx-KXVY}DkSuR?))kE|Y<59H2A7_Zp74cT2c=yM1=E%SBeUAmp5|B{!yW*5v# z`q7t)H~lvwl`iqP_+`Q-ubZYBDQ!SP&e@h#YSVve|37;E-?=;|f9+cRS5L41NO<+- zO5xS!pLVbQ*NFeGrhxo0|M$X4crM)_S&KFg z)M_=5z!CJt_#2S4=2W~N@w_JYg+9HobP%Z~XZL;QCVDbk!MqvSi-fIOvT{c&1;d@K!F*Li4k-3go8_3*oZ*CwDy zNKkL?=0yyBz2*vuR>ZmFnyqakbN1ZZt6$I0At_mLebzB2q!?be@b!*D8tt8j4o@VI z)=S~yOTJ1xsi{Lh#_tgQx^Q7wTLdyX$7Qr+&5?O7Ce~dl3Yjm8b=kL^N7kiEIFkIf ztik!6ZF}<(PLT^dE>sE1Li_s8FGOG7b7qaSaTVeZ%_o&I5>A}W7ekjtStQ%GSfwX# zL8{7&w~Aq*Nb^v+HEBUOG|Cl14%)wvLDO)1)}b63Rol~5q*zETJP=Cm$RcxgrJ>j; z!i}+isb6qSm1t2!SR+5|Mz*#0_x=~35b@qgiJnys(Goj2QVU%OGedE9lM_Gj%xc8{ z%wLYA9{v=H4%uOj=zOsMM&|8T?At$fOOyFN{mVCxmJtSrpIxEk8)Q7voZ9-X5Sbw* z`MZy=N0zwDyJ@3t@;p@pUHN*Dt$X|4HhrRZe=k+m`q&XUrXP*aM?AYJjW31nq$0L` zrd;d(Zn6V&y()8;3dx*MUKU!2RNDZfRX@^54Xx}*e@@=#zQLVI**lTZxMI_o=t`0S z7Q0HXH3eA)%5f8xoygwFDN@!fkL+hH+jzT)U(PqZg1h-Fa=DMKRDUvw+?v|@4H1*b z1M_~fhP6moIj3iLDjvz}bno=;eT&o==d?!bNv^=b+Xi1MGmv3(Ct4|=Fi2xZIJ(Xf z%~0;zDy431l8aFBkY<_%Igy-KO%3)U*L>3dq|#R89kR62Tuq03YWl60#?L z$#W>U<{9y|?g$E3d=p6h@&kqOqRxhUXa3aw@1Fl(h5t8??>Dnce~i@r1L4*BBf|fx zeWpEs|NP`Xoze=h8x%=l~J<#%|MU61KE9M_eaV5gmn_WR-^fK%Y+g}muc?Y{6 z9A?LN_=3bzd(A5ncC~ErA)3uTaJYZyn$=BK=uFS`&vqz6Upc=;VUsD0Mqfp_3z)$4 zL)ep;*_ALKx+mwzJO;}RuO6yse1X;ebs@9`+h8rf!;q^Z6*f|OvzL^2!Io=&NJIGt zY`;=FUp^KIyOy1N-ug#i?_l?(l4&y>czbe<`ADzasaliJX9LFzE&WS7`f+V8T)uX^ z0tRALiXT1sVBBGNZjtH}%wCE&xhd?2g~s*>2{yuzj%PdMUBn8j+v^8(lGng`sGE_` zx(YT!EIiALSz&wINcEsEVU(wPtI0Bcg1zaI>EKu<9E8q(+UgV!hZm;vt{*PJ(a(6o zpFa{#TpKlii6)MZHHmlDw*+9{{T!?=AW(IuLAR0E9UDwZ(+$3p%7lw0xK)3 zoX)ULSm&O&+PtwJHf;@;wh^|MZQr{0=4#Ji*S=**HS8el3vW>+$`-@HQ=KuYeLEbF z35&JobHa(H+*>(d17VY=#8z&VfU~Bsxn*!R4Bp?Ko{_bJ$xAtosfkILSMv(p3%>(P z)f*Orqa(2DaC_oVDghfVjxF5^PhoqVBgddX3U(1cj<~Ge4f_I5$++A;!a@&FUpDy} zj@c?Z(r3ou6tr!T!a^9`m&+{JgHqtaFT5e)U@Kf+t$n%7jrd0*wlgxXu!gz9<4f8M z9kATJSzAJzu-M-kMYPie!Y1rREFJM3*fFbb`^iaidb~8pv^99(@Z?*Ga85CtwwT$q zMUcC|;YI%A=YGNEiVLGt^=h~p{JN$Z;t#hg_SeH}=i$DithT*N2=3vpB?9Z{;J#2Q z^-PtYWn zReeHXnuU4M7dzyw6=GWUGKkDr#8&t9T}9@yDSbPyUZihNvbYg9h}3lgXHVpaAnDY> z^PIa#M#fC+ZwOkGzLtJ^k9(kv+exJ%82`ndw~)){DCd z7fNnzdkDhO}0;nq}ipkrFgrMv1H-3=x(IZ=&amuef(a zV`3*_i@qC-anK<8x!U#hrNlQU*F63$D*~N0)V&*~*w7ezf4)q095p`7{ac%YP+_}3 z!^U|Qr8YB>(OtJuXp$v9vrh?mO0)}m7cV2b_Ck!}Gbv=o<*j0};X-Az(%su!)6ApeQu$9O=J87JrkN+ z-}Y`ksE?bPm(~Xh8KG*mj^O6m%P41md-jD<5Q@jQC^4L%Mu8iZhU1~{$Za@bNJs(5 zHvRdv#Dd(x9FkjJbQ7OuS2n+Z!#Sj>Y#tm?a7FSj(`lhhIwY3z+!1sbLVQBhg!n^V z#5Ql&HMy&ZJ3WogWmD>CRjYVy^71_D9`|H9hPf13TIe)=Jo?ZFV$m8P3v??e>_TsBGs&p@i*0U@iIMQWTF!Ym|S^AftJ^lvigw0f4-IPN(=yK_qCs)}bb4Zbn zvQSKVZHH?cn29E1EZIL_oDJD$_B>px#ftFoElK+?>mW+lOK56_`0)8TtL5DZgSz_l z)7LB{&u8&%phma}QmC4YMM}kx`Z6Y9fb5vk?&fGS{=AIz_`?o@W&4S4KFEi^s0*1i zbwdg=?a0h?)Q$Z}dTcbc_6(4{!d+?w!Jclyap}>0`q~EB-G-+X#Yz!jqHev$!WU6r z^QT@O)Ftfi9KOn?4@mIf3ZzjkMABVDlRN7(kn*bLcrwZEPSc(3)b;U1`q2YVDane+ zV2dp5&p3sQ(Mg3ZUAu^$-C8S6g?LI23}3d{vk_TC-~4`h5vHg9i0fDdBeLg~>EE5o zMUF{-HLt@cA|IdY51eB}j8e><^T)~%FF9-+PrS#8gW;($cT$id#HS~Gw+(4KWi}OM z5Z(@7P`0i$$=O|hH1np931Nc{<-Uk9MP|*0D9e3xghMa=ky=Iw*<9zBb5vbLcKZ(< z?KN@8(e4$une{`?oBdA5?h$>U>9^f|8iakG*_>HUa+KoUSu%V$OJ47%i#u=h5KWI) znHIIaGI^gVy(3?VhA5VKNR8a9Gt&BZEb}4Sq1X;41Ael%aG8_dwy77{>Y`B>-g_a3 zZRU_8$0Otn4IC{xSAksbw z-w%8;+C5LQxGeTa9+wJ1{t+quc3D#ttjn@#^PE7z-Gc4+E;^&oCA2GJlM{-#ge7Rq z5>V8@=X$NW@=xvm?)iTY@rk(SH?!-1>iK{B`~UIGF3p;Ts*T3X(B2a#bnT}UF5j6J zmHr-ws|BZ8&J0K4nwpfH<)O8>J`i*4lJHOH?HOLYD7y{%7Q4(D*fzl+fo2QuZ5bFA zONUrz--S_8bKtMV%`i?7=}=j79VWI*(K~h;!BpY=i{el|m{FU5FHk!Mvl`L$6D7BB zHiB)ltjGswzmqoqXmlS}1e{)+S=$I521btOJrrE48<@zve*wCnIs81n7kU{V%Craj zpg;58c#lI947VJAF73<(BQcSe?~@L~_&}k0}V!WlR|^5 zxff6FI2?m(QFiJpR|!G))GN{U)ojq4EtM6gt`XKL( z${38_)?@^3(TAyg-{RfCCouC|T5n7kn&xM0o@h-GcK1uRFdp6;u(-Cto+Z5;7Neyt zlVwChb%LHfYt9yywenIA1^J<~w2P4~4zW;35N_3T$Np2}t^Hi`B z>8|7MBP{Y}k0O^aFLFoJDE#oH0M<=!*SA%)!$u^D*|KcIpW6R3=l@;&{}0rDd)BX* z+lvLLvpDCiJCKgb`NA(Bwy>g1wRcW4hXaL;j!HKB&LVGTAv2Y(FtT+r{hQK9kjb+$ z&89yE=}MPYy}mz5@^6Zd)=lalDbFtSp`#Y@(t760hP+3dpwaTQCw_=IIV<3h{Q*(g z_m3I45G^SY^6DSkhYo&=l}FSRP%qZBuj9ihR8azgf}Vaw+5Pc6UsVMZWqk9dBdo`~ z`Np-Qqy}vRjBgZ@pLH>KrKd9_%zHdi;+2cADw~Ola8Ox2#025!Jfti03(g za-YWL!3Z)}e*EC@$O&^4to(Yl{xLmr>Al~*WF;A-SxpqF&9lhJxT5qif(L2uo^%w> z=pf}?jnHzjOGwhryjC0*j|BD^OM7*9#Ib359kaiWnA4f-E+5-Nyv?^0Ui;icXPu40 z+E-O*%-pndQppZAkt#8+CErlt^QGyzEXkjV+w=U1+&vUVE`)45Nf_zomZ_uTpOHOr zb?ciR=NRNzbWb3&O3R({g_)e!E<0XrAa}4(*=I_| zABpDcMDZ;#Pr?;0^sUeGLBbvpCvHEIm9D|QJ8mKccb<$TA4u&(t9DqQFc+Eg&&ou! zgmI%r?cnHhJ;LwV_3`-{iWB*LK#E*%%yt1ACDnP_6U;7DdiVYzuYNBNcnsy5JBHUnZ^Cw}`gx{<3kZVOKvR`d_?-8+x97pF~+1zkWn$rl(ZO#%qW%pG9ff%Z($jmk>H1HzCjw}*a&1l)eqV7#TGf< zmy4d0o;G)TVpD1eJ95i^gv<$iMV_PzjfnFUqRd{=MJO2{j;Hmj^^-V~Ul5zbAew^| zuH$=LC@Cb*Mp$*3i7LtXl2<;$riqM@Ps;erOLm-lc=x?Ki!5uwXPYSG`KqKb$hdPL zXY=q1zaNFj8Dw~{a^qLzdMVDFtQaCacYNzTZa30%hsWxljwLiHNlj9Um~4 zMZ%Is$Fp`j@|m%fag?D6Y3`qWD_sd2vtP=FE`J=EE3R!+RIo(W_E%42KAs};e4*R> zPnRNxQ?xIaiSQLxDY(-!nIL!c!TOEs*^!t1ptit)0r{6T7`OCMp@8A|8F?OE6qHf& zy4lFQ{m8C{&>y{Ie{#w!@-5-RHq9i$Ash=iL8S<&(<(f1vi;bDJy2uBM^R zfj;Ij*qp^M`lcBZT<7)cg)LI!#lj3uoihgl&$ka zx{=c9V~i(|T2imFMT}@_7anLBcAZB;?9UIa{Uo!?<8|d3!hDFyleu+Li0t{72bhl6 zE`j2!7PX4hSjVmd;cGv0qFyF6HMTwkRms<@TAUV8_UvA{DhDr$8jpypkEbJlywLUivxu6x9~o&b~N=@<{2t zRoQtc{(RT1h$j~XJi*GZD&&wWy&pO=BBjee(Xf__W2Lfl4sD_wD0nAc4;&g zMcgP-J&l^=G|Nx!#i)qQe!?DfGpjWyFLI%IM5Fbr_8e|n4;yWDC+Ggo`AvmZekhkaRe7(RWN(YBg(VPu zUcqD4wJ)`MkjI`oI?v{Y?1#3Wnz-wUuaT-rOv#>b_&sFY`MO9h0Oh*t;&Y^YJG9AJ zhUg+I!LjkV91;pb<9ADt+~T3Iau8*6VjqvKqWb-O^b6rXNYD(O)CH zSrDj{tADpM@d3(aJ~=l!kUT&>+Y_I;6;a4f-D@}o#B<~n_zXnMTl5sm^v;Nfnf1Udxd;bP@ z{<;=E^VxoiLD?eaBH#Q41#9) ze7&v`4~Ps1f0O z)m4c8H5_Y)b@$QigZmzLm$p?o@QnPPJJ0F~@8Wc2nLVH3OZif`#eX&YPd$ztrx+VMAAOa$;aF}a1B1q=&y96Oq!V1$CrS~&O=s_7f*1PEli}kXW9vdQTQ06&q zHj=NmLeZVJKME0{n`Ra^u#w06;DazNMEV*BnradT`aH|fx~F&G-rp2R?ITINJ{i`j zx2@naVL$xbrUL$K+@C|@0uji&VX)?*8iLpMk6HN(Amsk;Ri5`gAIjP@PweQtXKE z+QA+1;U*&Wza;$_83aYP-6z;J1yOV=KXbQ8BdVjw@3^!WqHTS2-##Z<-doy6AF!`M zOyw=fo`>{^JrvK^rbRN;+tn7Qr2iMY_P=<2dxcc?k?8IJTfDvi!Sxco7JCsU zrOH~nI}VW>ueP1!I!5x>*|Ov|o+Phb+Or8=PsBcW5Hv(Q67l+y+LSsYB#dU*jG9j) zX@7LbPqRMVhjkE#%*PB`NiDu(jjYBs% zuQR_1s2uM_Ape428FMDWnU=Gck@GLopT5S;h#FDFQ`RIo1LwA)TFhpl1jfdGWUfUTYw9jc?-XkSatx z?=@j;_Cu65S9xy1e#FE~t8?|tAui?MMTzX=NO0adRnTKeGCrrT(eM$y-2MH0N_Rz( zs>Zt8z_yvZ&Q=teiY25kzt1GlQ-JhDPnzC$HOSbx*L>aW>&PhaMvXmTTng}0t^5*4 zG&yA#C?kuAFn=%k=`!I8NLppC`Ywx@2I=&QYp)ScEAS+A5AoLWwoQ$9Xd{_pVcXZC zUr6~JUs1@rn%qTVAMpQFM>^-c9X7p2`tz9u%D6K!B8|T!@(U7H=d%y^vK*QBrG-lG z60Omd^&)bdut z;q`rQqA1BBy~l-qvOof<#Y~cnJO)V8On%i(a#rH4VtRKvY)8!dwyfiVsbr?rS@-$G zVZw&e-L&TiITxtr>M6M|39nD8WW$#M!t2}NQ9|^1b)%Ip6P!ANP^?g&? z*;9qW(2o7<)k6rc@B1PBh+U-DUSv3@NOD!Rk8JD5R+6=4)mhlljAU7jnZs&BB$sy5 z$MBIq*{27KQL8o*USIU_uKh$qtF5@|NwXZ`^~K*@X61^o2?K*8MlpofSDQi8XhC>= zD~)$gI}%>slH8jF7Q*YBp?c(Z3Pt7hzF{OYB(M1eZ-bu};q_H)Z!GLZgJLq-?4w;q^WG?qoAaczq{?`;<}%ukSK7E71iO2&5RL z@{}RxwS#v6$pFs+p$)y>O!S0mFWkkFkhXoKLiS-Rk`}qQ7x_7o86#cg85_dMixZO& zD*21o7sn=Q8cK8xYHAXjMF_92Oxd$y54wi;4r}{WqFGt0h~45VZuBxsvgr{`uaRQh zj1%d#P4<;tNqL1L9qPt4_fH{jYl(|LVvXU$aDHe7($ zW}0t|Cp6%5tF$qc_|*J<@ij9h$spjfVxn^jVJ_Wxzy7q~0zx!tzX|$YLg;X>{F$hq z2i$Haa?iq9K1Yza*Pjo!B=1=UjMZO{Pl}(F0F}0;MGY_HX9BE@BXkY z#(+XtA8o{2N{z4!Pr?MeBN490a%ki6>*NmcVDaHGf5IR+c>CSXO^7_FL3zIM86w|v zNMx+?2E}Dcqxs%uM6F~C`>sebA^caqIYciD_n8NtKA}DE-r==-SxGB=+c!C-Om`w+ z<>~tqdu9-{Dz#I?su#h-84XwDrw|$#R-x>;fN(~__O)ps&--WOey8JzaPRJVle-p? zyMp&gzjs7rKPHPGeg!9@}a!B`(em zpS>5Jj#XO2uPfpbEmI!?*H+ENEv-fHX1$&C-FFbO$QW?GtQcWcMG?QYQ4n6}zp?NV z;T&4vC_&+S8T<~2>N;@wbkaLSUszg7 zJUWi(8B5L(8C`fA9}KZiItf43m7J0Ddtq!xLib-E;rqpj@Qr<9 z-zC`*{%fUy{5o$$JUzsGWGg2kORg}~t8jy2J4nBr^(CUj=8rjEdjQERN`{Y+6PRp{rIbZ_u8@)Ln7!qw!d*4x~ory>=XO?m+Q2JB*|K9Ka zUE_cA`ojNR`~TtNqcbLV{ohot-z-n^t$(JT-|Zx?cak3uyf$Rhm|HEur&;>Mb(3EB zeXo73qFRlB4^-C4jpUwLbw`Dc;)amZ2OB?HYaw)q_p|5j6ohYk5@D|U1L1e9UN*$P zL4+}L)zN)t5J}T>WZ9cSM8?jyd)LK+!Yy+5{Na_Lem;UcWvk8~)di9L#PxhQLdu#*X{L5iIa1i^F~fA^p_UY20N9J1gD( zj8cs7Yh#i-v&j8+<4yX@6Y_|tlZw#VLHzleEl1UvP9gGb)|eP!eNmjfC6D!GB8s|u z*!VyxqI~bJ`$ckr-6zRPsFN@zwhI>CVciDb*4l)K!88O=E#A2!v4Efz=Ouk^lgEGf z*k<7Ma)kN}f19ASK=|4Q@1}5L^1Sb`(sk(}!nL@{=de2>1^g!qBgtpZ-6r~cKf=Y; zuS|YieG-%(fn6)YULh(Vb8JqLoPSIj7f#>4NSGq0EsetV!AFo)LpskKer@9Yrb5yP zq}6;^;>n8O4V$%M(qa+vk^ja<-a>?xKKw@8u1e+?g4gHF32)c*yQ+S}5k&5c%L|=J zLF6c(Vsok!@gxUzNIp;@_v?0_Uks01v$NcbYNE zNRzCNkxz|o1_-#{u~0}nJ;7UE9@#=Pw4tKn`}f2XM)vw!yr<;IXWozOT|5e}5byeCgblmXw_C)Eyw4^oLCy_PZ${3q2>wjGOL=7vKkP-!p%=S$^Ib>G zi>KTV{W}OltWWQ?D+~Nz-CHkNeg{GEuOG+d?MEoHeRx>SESb|hKem;#0TIe>>jbia z$kPHpFJ&x%qC$6cbT$rA(wdGN7lskddBC`(XD_0Eyv}^rqluXQqbipYcOW*NKWCIRfJbJ`>CD(`V(Z;dFJN- zYDUI{`}~-cDbm#{OY{_4kUG;ly7KNCB)cU|T4-1x@yrz#(fMnL*BKkH@mPu2)bKrR z3OtBezMknL+39th4Ot_)Vg&UiF#!fQ)}xxc=~+y?7|Lzme|liH10{4#3Uo=#D6m_T z7kuy}a*LP7x%-H2&4`s-)`aZ&eUI9zh}=f{_-EF&yhoAd_;$BKh$2#K<__@E4I}A* zWVX^2;q2~b6E;i8N1RT>#mAl|h^bYJ5p_L+&Q+@U(cvr5P&cRWJ^37JmN^~1nBIsA zQ7iX-VsXxR1=`DO@O4q>j9E*#ZUos8{PYCL_11{;Ays zb#lKow>`e61DW^icv3wGF9AH4gH99u;ZrruQyN-Gl}wMjux<;Imh!$$eXK&l!l1IL zax&sM9B;3!kwy1KDSf6`JG30~*|)L$ENX=lRgWg7qH5tqf#9(uRBRFdF1NM{rHi^| zna{qUD6_TsP$tRXoMn^OtSCWlreuQr4R&M~zTMzdmyOK%^$~IE6UZ=YKC|h@7Nl=% zlVv~`$!cLwz1@5Y$?i3clV);AbmC)TdzOkGMc0n%za;9ejk@v5S1|`9b>^qnnAFFuVTx``2myr4L^J0eIe`e;ZE=^K4CLR@2gbcDg;6M@s?ka2svBNoAPy$%p!vyca{>qsuL^Aj`(Us z9JzMcZ=MN}v{PAur^sBnnZ|uJ(aBMaRRcH@7!k$ve)U{AJDC|q=lbaSAzI$xBmE~b z|9x=gyV`s&VlGVX{GfFVUaS@jbzh#qH}z!j#T~r}u#r>Q8eE2;(F;5r_>PbtYjnh^ z+z>YD=dJweFv16)9%MOj2obq48!pI_>}(U()z!k}{>nFgzm4eADNioDd$?R7Y|<$6 zmN{)i^T;hzJzIh3mY=fh%3O%iII`RKuUXzJ|B-hGec^raVC!s4HvFm<{ce8mM4+>r zE_>$)g2y*y)PL?n7@h7ZUDlllcY8#)FiP%JdKJSaAa@GchA^sG@_6(HrcPYDKmp&YvVK{!Xv_Twz^Xxg7REcT{oFzdjwo$qwxU6 z;MHR-$rj>ESnsfg_Xz1@%+!>;ViEn&dqiwn8!?f5Y#(-Y6aT;vi$ugP!cWTmGC_L- zaY>cI2R@O{K$#0`@{Zj=(8W!Hsk{aV6|XY5bzTPH*SqXZW`+?Fw{hFJMLHsDxWBp0 z1QE7q<)ir|Q$*eN8>_WbLiCLaD#6`kSD7}mZd1t@#CjZayzAkLxU=V%n-2IOo=@f4 z!IUDR*SMS~pU#DZ5(ev;t?WqD9l!NmA{R+4_r|?C|98*-ufqSkw&%9~&F%S*o`1Cw zDfHhC|C9gp+PAa%vDhQqL%3{;(>*ClaNpLGd`!&~9@UD^Kivr+>;p!F9JiD3K2c^; zSj-6@GX=3A@s;p(lwiBMjR}6%5%LG#XTtwtmcZfU?+B2QJIshrDUF`tbDLt!J z0{;{H_Y}PCLV#lTN7lU)2oz@VzNe^%AV!zUUG(=6G*ND+>i8bP75bsOZ&o41_N1@d zRuzPb$WH_d55jTSoKYzGCEOIu7w=uvgomAA!d)q1dZd>>f4=e&yzNCV`qS(tbC?0! zbh7XF{rspp>xer1H|+m*H1|0II90>O&xRq8)3JWfGqShXWIL~?y&FMG0pF>~4lH=o zb*3`+HbSbUpMIGTMX0Aqp`q9|gdMSYe%3(?t~8#aOIn2Yx8|!c_fNtzyq|gY$(1|s z*5iG%N>&O!j|Nv>5@Chk?nr@){p58vQo7bEPm6$<#z*J(9!6m0eD^b94Ft8(+VW;f zlib1U2XrnsBBWJUjIPEXq2EM}nMp=<`iCEGqZIrJhtvnbE|NIpDij#yil zK8DwL(VK#&zVM0Bbm!jO1HYZee+(ZJgMX%`U1<9o1g;$Z@XVVTL1$T8^6v;EIKfmz zN{GyV`qt@;L=+)(fv)i_rzOH^2ag1QBX`jVbvCLlV{#X~bFfOBK|B9i_b zf7A?_Q+PgdtFL(Y=iL9(^Z!2e$*k}HdhNGQ%PI3|1fYJ~M1XG3V^p<2kgjrUM!B?z zIim{?ihBbLldTj{pu6=DQ}#>b-mYl1Ck(~xbgSmNYu?Cw;xkDbw-FiYg`;Z69wVJ< z*ULLANVYXnfi;f;8 z8~`tA(aa@rWPIRL7|kWj?nu!`oo73ccHy{9g!2+o!rgDB^DiPPrBs%0^-m-W>kEfi zXCYpCpkwVLX>@XNCGTd6L&MLamA>`Ss8Rie+s)5V5!^^C*CmEBdTSMn`y_APdg0#D z^@I(b+VQOB3(0p^`_-&AOn7w$95?D#5np5b35TgS3WV9!oOMCogY>$i+IediMmaL`nDz#)L$kCt>i}6rbpzwK7=OsZ(kx~W^sdZn(ARN;pJtk z*U|U%p`3c*yTLOWln56&aI=k}aPRlL*i1&`-EIn5{@esP^#`q(66lfjv(-$=fOwf4 z(gnGnkzFEJY3LrB_e5*Fbn@zFqP?ozp*S#=isZ)As#IpGNSv$su4l!LZf`uuB7Ieh z>4g`Yre2~pmU@pl@h(>zNUynm(-sx66-j%t*P_g;yWvu>Ac{MapUDXBKp~gXucb$I z$eTHAy1ae_xl{v{LyE-LcP^Rx=yplMOZY&2qPiLx5%g&^Pmd%0Dx00r4w9v<+UvRE z#|%<(jvf4Nl#d>#P#t@XOx#+h(>7A+j|Q7tRAnO@aby1VLZw9`s$O+#dlg(lMS}2L zcq&DlEOKB()Saal1)qWIE&!_mFTubzexAnF>?L>~-R*Ox%yvSbB z-|en0i_BuDZ_#BHga_~;KtF;3>E}3Z78bVssr~=x`Ts@h)Lr)9^7zP(Z~yAU?R*PcrXaZ47J0Hv|A0%_$<9BkI zS0P5!){>QraAVrUKk+-QMeGUc{6yh$c(o}Vs!Q4jzkI&Em7iS5Il?>U@O=kD4m26` zScoCaBu;D}$=(dFXgGYLgJe|4Q_mYmjUdvL=hbMK6ewcRM*YkBLHQ7UB*kG3qOwZQ z=iViE3RNMq?vJ^Mp7Z7`&H9d*paX@ntgeXN=4e+ml}<9ds@UvQZ^AoPabmTZC;TA8k%pCBS8OZVnc zdqn!JO;+_JIdpp7NsqS?Px662EgL=uL{m-b?Gqr}`&NZ>(rFHev0a*)DBOcsUghg* z=|soh`_WpVYA51MZaj?g(johMsXdX>edHX!n?JOb%yNrtE(WF}a<=S{^-x4q_>=Na^J<7T+jS=F1IZqf=R9@dkU7an z7wdFb{RXjp(|5OgQA1pSsu9=SMZ`;G-w&XULi}sTz;gqWNC*y={<-}FLU^s}&3EUL zy$Nlacy$LNuItyQOt>L3eZD_@i64}%yhMlRx`-N0uPlG{g4|(BCN38?B4%_C)wK@7 zb?kBOkg*U%T=^EsDyk&J2L~+fSiKSnmkefYB}Y)5yt z{Iu_d!^<}-P3olyb3t(yT^|T%uK3V?_ENY@l=?2pq`-qkl4|OyD?BC(l`q^TIW>9I z9<8c1@Ve;ugu7f2-gKweFH`9xy11(4x-5P0IU1<<;X3J=pZSy&uI_=aiAd>Z&T#lH z&^#_Mvw@X;k}_LJ0^!1aGLu&|BCLtou^YrIRXR!XF~YAfqACsk`WA2r&da zhFi3`i~hE3xOZsTl}wMpqgc$*yQCVPUT=cm)sMqVg+KMx@Ep9?NInWJe+uvBoI&4V zlBH=B(aAw{biPam3PBd%;agm8FY&Aaeu~kow8x2FZ%9jeC7E;EP;@%a)|`L?L;c{5 zQdKy;FN|U@Pk^gojygyA1Gt}fEtc=M93CePDs@!GQXx!JTHyk<61 zI4Ve9PAP|2_Tf1A7{-a1D2l;%YjiuquoZl}qXRgmwvs%g`}N9mF7RJFHO9s@5C3ct zmk%cPuwSXQSt=?3P6zHjsVz~3%de(G7qkrEejw~n?Fz!UI4f&icZKlpE?p2f?Lrvi z>i2wK&^g0fs$b8K`3QWNPBf$A;D?h6c0=F?x(kHUuoN>F;w66#)1gl_SQ?g|81{z|Wvq>x?l8x>2E*Btyjg(j-)zc{^rdj7uw{iL3k z{hzP>_D`RvDGRQsmsaHQGCcb^+Ql~@t<>wme$`?_>ae$^cNDl?gRUHO0oXFcz zXZ3s z6}X_}@BoP%qrH^rXvD|77k|+yg$`$*eC1EiNiL3l(OP~!REMo&n!oIT@(w7e z?|<>*?Djxqq-HH%ZvE9pdR@WJw+CF2M8&PS_R(D=m~e=x=gFW`$bhPbVu?m}-y&(D z8q`?2U3Hu~hl;ANpC^`)x$*&y8uxxi6b)r{+w>2iVD&|Ay9C0SZD&>ztXqfd7aHtt zZx%=XjIFpv7~YhQ zs>Q(D=;AK_@YdZOO&Pw!kEuLxqi)w~kJ)-u4s6k~f5L@wv5W17k#Q(Vkn8PZS3qIr zboSiRBjn2@ju{SFAy+!PA$q3{vVB!|YqSzhF73Or>nxVYxOw)noazOn2Zl*G>d+I; z?&zD0oyJIAJ;cvYJ%QxI>5m@%DnWO&T0*JY4zze0+A&=`hT67j+51^is7?}06?O1H zMSuD!Wz%w$wKyD5vHgk?Wk$WXA`K`k*2pq%uRy*_s5igLR^%qX=8sq+SsXts={g7> zKPyO+&iMxMSjm2rIHo}MK`iv=GZHkAPIvL}9e1LC+;C@VpW#pRP|WVX5D~+z-4-W) zEmokR{H5aw_aWTe|0<@RZUd@!X{`T6a)T;fGij%YJVrT3&iC}J3n*2)$zsg18$~a+ zS_jitqM*%J?ZmA`@p?{w^p}8VZv{g z)4FAlJO1a~|Bs&kU$Z>o=9#1aMEe)7?_b)Ue}D6@Jigz#Jil{${;}u2_rc3W99|Ca zH(#q-?0W)1nIbZCU8)F`9~Y#hB6EHDQo)NSt|3BEN4B)D1Ce{$!v&3aL0L6%lt+&Z zl>27quY9y8Jz%P3O$arar_XSv^oAk&-Juw^t3ikf&!CChV29XUz2muG$!@Df%kc4< z<%m3&50inL?8L3+}%q#X$dGZ3R0*|nE=abi~< zql~vchuD;@>>;04Ax>0rjZtR;eAd$}u@jb*e`8ZR-vlj!It8B#?*2fs!(AjxQZ^#& zl0o06qt-;fuBdx_Rvr;rOWWpZ>k)Z!(C*XSDn#v=D%<#paQ|nI9SxNw_w5pktF;W0 zh%w38w2P(`vD-zcrSwR)XJ=m(?+vp5z81TzDZq(%ng`oy==|W9K9OSmHk<5Tx9)NB zC+~Y+=kh)=b%Y)NVQ9)0Lq2bhnHG8xk|*$Pw{1cMxnC%iOvI92-|{fKUMKNpt8J6V z0taG*I4oFE?&w;#Ea!veV2HO_{o7!7kCLbC+ub! zWmpH9G1Q)>-Xj_99df<3Ufc-hQMX)eZ9?ufsX80!$PAen!jfC>g{T@;hBmUtkG>n( zTXozHF?XU43>xMkwrX%$h}R8qsa`+N-eg9+YsW9}=#ZOgg7(q?+4JnG8qWB|iNv|B z#z{^dNGduSArz{KWW$vFQw$8hYyYpF|Ia1<|H$R}Ba_Q)_osj5^4O3B3vFR<)SWZvMP{J2QLO&8TyXNRH1sb@g7Y{U)LI_GmGM|zUh;Xu zieCTqIPVje+eGvH9k>j4#ML>##Nu< zN3dYh&pEnX99BOA%K~qk~c?Y}Ue6{wl zenU50`Y$VPeyIZ2sJ!kcjAMj>{gu0HKoM?_lm^SRo{&35##_4chvEKSXg)zl4Ib92 zH{1Q~;IYWqmwqe=o)$k{s<{YzeLL5VA^|?wv~e*Vh)aPT9XFNnYzG{+ZscTI`52Bf zZ+gCHzJ+t5$faQMe)4`5*{37|;QG|yW^mmeiAO6vua?66G;WU5H%(--kQ|`kdnZk1 z;b{4Coy5JHa5nc7+uU6P*Inl;4}VUB8)fJE51n#wd&aRd)04bT%H9aAhFLOeu9dho zP6bZ}-Gu@&dwRB|Z|gcV2e0c=OT{ln$;{QW=G)U6GILqws2|x5pA-5&QhKw=%tbGf zxiRr~?f>ri|NVd`&;8K9wXjkIn=HBv;i*4Hb1LX!TD(S$XG3()W)nrA=p0v%qxu~JD5?e6U{YgUq6946Wq z4^|#VWty3G`X?iltvPV#dbbyfWnL9LY$9Ibr&)`SUlIN)&vw@q3zDr&vG&WsA4FGv z;B1QkyBabIPA>)=1=+K=zc5TxN7~+phwgDtA$7aSZRekasiFQ^F?6Fa5^s6fe<)H! z0z=!}dT~c|i10-oI^Tvy{&FhwJP*Rld#|mnPm8kdMGb#{1(YZ`ev41_LLu!~kF1d{ z@{UjN&m{Mde4OgkqgMry#WC6?(VU4)zpzom)pmqwviOAeGhuqYbAj2NBS?KZeIal4 z38a)bp2(4HN7CCM1wI+ViaueUAg=fNNB{U-|US++Q^&ztH`b~^{Gqo$_-K^FUP%n6Ce3JR==!kY%E4sa#n6oej-|e z)R-zyoI&ld|4NbZ0#sJ_Qri(8QP~{Bb$KGJD;d@N={ehnqR@_?syKxFrThDs49AiC zAmYjs6Vmg4kiGYL?>A(rQVE<4l0fF$_ev&=wuGywN%Orz9_fzEk&G}eaDN@@Z?h5ls+$NG(`j0XM|9xIBR9foJ6>Nk{+gn zn^1aYp{u^b2gRl3$7Vn6Md1nA;uX~4$mf4m;CPY+xtIKJDvcB%yTv$olG>MedNML7 zORwS<<%dn}TUn6ttVp{uDi7&*1yX;0)csxif9v`G+~xh>{rgtrxleljfBF0W@ysqS z>g;olf`ahV=G>{A_6vc9p~Fe9DiER@GykRR3PJ}}-{uisPT2Ssk%VGrgg=c-5)vc( z-ZI{IR0I1E>6R&T%2oqWC)6oLi4H&N``!1;yCn!4bK%O%4zhKRc zY$CG;dzJ?O67g(qacho9pGCyy3^Aq~M5Eg=*!97LXjp;@e_lFGv@@q))7J36ARPKT zO)MV>BlDIvcifr~#Gd8>F@7NS_0{=34up$mtER6unh$T!?Afl{GVl-PIFVF(4?%4m zyTWTr5PCF0&X@8f!c0Zi$~YiPJZX!j2N@D^*g`qM(mD*GL#`{i0x1gOt_?jI76L9xa7z`>tl#^=UOUr=VKeh?yaoSrvHN2(ODG@3nj#bd>T2j zX%6wCi>*`inus4b9iTh(9{%AAVf8XL2&U5;sH>+%sLv1kcRTqIHdrV!74sSq8y-Is zoxMx^7h}b?4_OfIynPNGe5$Z<+iZEqjEiX)8b68-VcJ z>7LD>3DabK#tR?P&qPV>WUp8^gXk-V4siUsPx7Ls`QH#NcdWzEjZZ`?9cNjzMegM= z;&uG}8VwI4L7K%xWP=D2*?E@jEX0xcq1f?g;!(2iIZaFZfN&pO9ra!iJwnRSZpn2v ztAE%2Up@a{h5x_V9pvYK@I3yQ_y4!Q{~tT=Uv-@O-k)`j@Z5r^4JOvWqP~=_X>bx& zu6ok81+=hP-Id5Dd=oddI=AmlB{}i&oKutx2Vhs<5*^P?3HxrRZlP1WaOjG9~Qigw#X0jxT2ub4MYhmC^I&8J_LakGf6oqKc|w(q*iq~#94e)Gzw zHC;<^;O=2r>KTM1k8#YTUMZZ|1TXE>9)t6m$h5~HU8Fa^_QYY^1-R7qjTNMBhU;-# zv)Qy6xMqyG?#tZ_Q{%b2!HRJ(SF`udZy|g)bN+re2Yy%|$Z7d1%>$d}oz{2U#bLYi zkoEapj<7S}dQiC~8TMgiid8+caL9WSzey$$j^!iHqUYDcsdy(tg3@z1Cm*8;a()UI zcYl%v7(p^h-3Ek9!4wJ4mERr$a_rXgLAV6Bk6elQ23Luq;Aib7a4oUj<7!7VQ*0$e^=@P~@JaREJ6cHI_rBD} zK{BvRoUJdqNe}CA)~d^n)8y`Q#O=DG2zlL6qpm>%*q`0n!OC3)hfG_mm@6dr=*@Ka zSqTO>Gu-8T%d-R*(Jobm>ty!fX8Y7faTKn(J6GQ7Pk`I* z{UtwFF0TYUbVF)-ZZE=PZ0n;o0}6O*avz~8TKHZ2zkB|F761Rq?fGx4{k9*j4`g0s zp za>&fPX{slE0U1KEP&mB;X;ZpR6`Q{ywTq*Dv%vsTLX}M$uDc-Vc9DE|WC;@4p65#a z=tX?8ti;IxIkbDHZmWqHMgvFIo%s{)s4S?kKJ(B7Wt+a)9W|yW-pxaIHs{SE|6_Xn zg{8~Ll}Q{)4y_XyYV-bI$p$ zCmEF4MKmdEx;1d?=ZaiRXC7n$R0`=*m>TE0=o~Es-ld*tgB1_FZ9Cw4yvJJ98hMA{y9o3jgUxtwiJW zS?Bydqd64S-(Rq*{Dp#D6)WZ5tR^bzlO>G9rv{ToPaSx+BOor8Nh`)(PpIDuBF_rqM&5702N(LwT* z8fq*agpWIzqtYb(g6Q2El!bI~WV z31lWro(bEz;tX<=kHmZ|9EbX&&s^|t@LFGD0?}7ZNDi(PJE)K^DZNNo65*ToWys3F;!Ig z!%gDTQeGjQ_W_YMVP3DcTqM4DR!5Fw>4=t;LWrO@>HYc!4pU|!=1FX?_%Iz}1EP%X z2euMTN745|&Tz!NZdkHAA~j#lzzAf%b* ziK72WgsG^p428c#c(mG@{A0v-UNNfLb)RT=YJI~l$P-_FZo~bhw=IbFE15XvbB-`J zxV8@~>minN!+gcoX~e#I*U!TL2yu~}=lK@A5r0fc;q6hPD|}Ps;xJ7zvpmj7D@~jx znaqYs>o|@haOvd9Vb)&=JtX?-QH2h|3Is*%*O9#7`J;KAp0^RXN%h@2na_w~p|X!3 ztVJ}vq*fW75u!gI)Y~Z=L$ot@yvJ9NeR^z%S%$I!M;#MtzaQ{ABnu2WLd^okZ{<)r3RsL_dFjulztwDZ<75Y?{+=Ai^W= zh_eInL*)6aJCZ>5`n6&8E)1cFuH-K+izGd88W+FEz(vHmj32%sy+HDh#lK$NNmw7O ze$Mqb32S_)doDtr?A0TC+kSj8MB>Tj<8en!kT}m$ZKXAg!1tDueJZyRdO|4@% zf8Mz@z91A4+Do*zw-g{U=lKyPb#lk(%L`^=A{w4|PvyT}GQ>`8p!pP} zhPd9n&)3zFnW8N4YjCFq5_}@NY+4w0Z3bG&X#B1e8|JXQV z5n;?L?i5H7KSo!pCWCM|BIS}slgtS_yh!(Xeb+Rizo>i8Hh3YHZ-29LcNOB~Uj-); zR%yI;*wo92UqqiTXitBSWWi~_efqd69Z3pDL%g>VMyTlS2d;yWNZG8yGTN(#lu5@> z+>Ju2dM;B-Fk#9xss;qH|L4Z{SI_@%Oz;1N-Jx{izm40Y6Wi8cQ6UTiftKy<>@_f) z)~89`lL(Vttk;-|x^Qi?r;(`9L728DerUVHfg6hFy0c%A+?dM;vL(VFkv(~(;gHJ` z%(JeBiaC-T8FB6njW;-9aqm*XurLQKmF};(8gv_$PuZksIx=B(?z!68P+eHHo4II> z`azd*)4h@FOE8FJ-^R|61EW)iZO*T}2orb7HnlWMT)RX_tm0}Kedgp~y_wQ|hN~aCJ^eJ5HodsA%TQir!)q8l=)QG&#SUCun=5?j&P7~X z+VA~-0}ZZM%wM$>J_R%Gn-lCUYA_p3dUMP>3+7=OLoED+JuNzB&$!$Qi{A9Zr};Ht zX;el}nIHhm#kU+MR=tFki}AzR`Yc$lD2ch$W(jNO;)h*{9?<)A%6cwV7KTjCj7*lH zFfMw!u+8BJu8yDQxvrq3Q3Zt9)IjfA*p(=3u>lT>>xWk?F}E=IyF+xxFw=_O(!maKnvAKOY+J$1p2Nc8e~mgSmH1 z)Z6-(f3UW5d7eInUFT`wfDEGJ&c=|9UKO~nZbm$SOF9}ajm#v*N^7|xc+08e&U^dFbnFY-p)7x zb3eV_mG$3XVSU)OGtC8-%4N~G z%Oua|WYqT%E0WK&`r0MEi?G#S-OSqK0J{|qZqMCFhH4!DEphoh|7XwtXYv0(QTy$y zUY)1Dy%P2M`^SHVIihl_lH+t*2ufr84t^4FY=6eKfY+QYnSkT8_2`Q$iGu_9fl;*>=2A*5{{UzCZVCT!%RP61~Kvr%7kRPZWc zShoAFX-e@!g4}E8ES2kM4^m$~rb=|&!iIwfEk;qens$4BG;p!HKq6pvUSg3*V{!(M?YQhi?la6$dA$c|HPe}6IK;piB4%tI%(IFXAba1KIYsU9W8<`>LhL+*j4PJB} zVjCzt?0}};X^z~_a;W|=(jdXaj0*av`VWuiqSSHMxccMQC_b>^jZd5z3Qm6(Okh8T z+_^p0LR&W?dr5jOa$yo#axvD;0~?U}+-~pcc_UC z(rY`UcfDWFO|q_yW96^#qpRrHY42udw4{{J%D*>5-I{rtlf7Z6d~@f{LH;O|v)^ZA zGt@%qw$*ejA|Fw_`j-n`M+XY5U((II_=r3u@a8EJo{w{Lg?)oD$t@B%qtO2tx7-y3 zEgh(kDYec$ZD#);oFjngG17N%J64^1jI@1iCv?T`<6h-$Qw8EzY*juNQ9t94#_P0XC)1sfYwh7YTsa=w6al`pM4IzlLo_U-BrP(0sgeC% z`+w{C|73JiP?Y?g+w*UH?|-yC|MB<#V|RW26U;Pcqv{d5*Z67L7fOU5Zd+2H^+v?x zn6ZuUO2Y2exOJ{L7||&*C-rh&5!1fs(uxBVi0wP%5Y0ri8;_5CJPZ#;DxxTA)}csU5z&Yg)@!@I z(_|s#;ZJwv1FQ(F>CG$BJcH0NIyT$UZwMbV9_Te9UeVxu)l|`CM6cTZgk66bF$y#y zU8Z*8fl;_)1DF~gG|v`i776z(uYqeXOjb$w?ko*~iEmm(>3H;ygvPLWjwxBk7t}sU!S`=z#RAYz;_+ramLS`Ca z6cicQgfp~=~O4i&-BwS_}ZOBkUqK5DeyNBd+ zmR~pZeL5P+`_Hb)cjO6c948rW!IgmynB&a-Y{}_B{M>wNg2jy zpGL$AGg<2~;tv>NxEXrn6r!E%mki2tiT8Fz*r8f(#L2aH)ukRoe1QJdE3+GsP#MjT zxn&87J%v_ndx&1~`KInX|NThrPvM&75JO65Qr${_UBXo38GdCTh13q7li@SLq~@!K z3f>T|aV%Gc@1zYP`WrS?4b~v4eH-nbee%SMyV$fg$pdj)tpp?tG7%qCpLLXcXC}-n zy{DmcN0Nw_Lhd1jXH+ z{I%cjp+LKE(Ol{@a+{gEWi_mjoyJjks?ZO&M!0*Kf?|-V?PVeOVF?)w^v5W|E+cIr zr=usD{7%eiy$#E^Lh9rd#akCSZd0!LxnW>=)v9$>!qQ5`9@Wu*(Lf`mnRUu?%) zK6Fa*&W%saq3P{?Hbvtq)KF)$8i}S6ZefXJr8^Z$V-51q7SaZ(G z_y}Qm2>2YY4Mxs!X0@q(mB@-`-ERHz8{y_hDATHBkt}L?Ew8jbl1E&iJ&-4Zv^I%- zoe!=fb&&nac3l(ld6cM))7qe`hL``wW-7Gg3(r0rk3rqeb=$4gLs7Ld_1HAODas`U z-wsqSqxA4LV@o5a2!JWOmO;gUu%joQ{B|5yEr&qV`KOK$i<6@SfV{35h z)loi8Z-0_uGTpGv%?BBNS$yhrao|i9H6KN^5tBj~=aj*3agO%`RwCW0cI>APV z#$8@3uQa?xO`STsRP|L<*2w1{jm|>3^9_w3%d1h^qIuxE+k2F#UT)~Qu^vS-4Pw-_ zY$!NZqUStfgFGj<%4LVwMBCdM{5ZA+*#Sq5DvwelOZHOzd2SBe+9RhU@Y#~&4CMQV zCHzOv|DWf+@V{<&Pb8m_tsHe-V0y3!*jNq4dKVlFK>_$1i!hh-2v9r0o=uyIfTfJO?V-}JUP+a8EtCs(wKuiel*8eam~w1liUF=p`4#OB5^(#q zN3wA!pXj$56P(BM;F9^S*D3qJJ!FDScvKM{U*<*ic3*;*l;gX!Dld57RMJ|?A^Nb$WV=r* z=;50(7P8HFE&O6Ht-mMU4u5AJ=>RRWKYW4TH@+!HAWK1Yz_%|5eEQadMv{i`11N-} z_jALRqF~aJpAn8uTfSumt%R!(kIh8-L%6*YjZBay@7wi|b6_LU#eIBrEg)PF-m;&b zO(IxFg8Q zlwyC>W5VB~AF)^_Y^<5t_S=1L;ZQ=!P{sWSuB+HSUDtaAx5drl3Ck?-Xtdj=T^tWD zoxxjj2TS1n)I8>tMkwugllrsny=f1@JGpQj;je{?C6Ez z%Eq?e&;5Vz`u-~XKWh80+Lsp=P&g-d@efM(|6p`K`lr{vzr~`s!)afHUOt?bu%8v- zQ)NAmz9u1xX?;n?x~+&=M@y?WGlkfm_p|42>LLExFUGN@{YaQLYI;Sv3rVsgXQq-J zksMJva)4wqr?d%4Jjx)w<&DYxsh^%B_2Y(f{hKCAzs|euek45wIeEG*`nleAYy`KYMa00BJRRSm*lkxBv3T# zZx1J$4e!Ot{XZTdX(2W*LMeh|gvNBt8j;r%x0F&yBRM)fa=#LX$=*SA^H1u7lt_Cb z@=bGSjJ&SiR{{S9q?a+PNcJ8;;9{|##2$ZyxlaY{-Pw;wx}wW0iOPtUJ5+aGEflfm zK9!Cvr6GRT%$$BM*;71Uwa6Pq7}?i))7H)JLGsuppNbuX7rcGuJjYBXxn1>8TUzlW z?R-_%`s-GbWKfv@vYpLOL>J2iH!C^diJuHBjez6T*j&vk^8PMHk006`0mdy zKM0F_iZb$Jd^O=0+%Y**L3&)lDP10O@;SFMbXKR5Ow==5!d;I9BcszxPsoc6nc|C& zH`MG!xRCJ6Q?2B6X$QUN$G;&a$(-J4tqtNneSdRg%$(e0W%ne$AU!tQ*;omaaio0n znA87NLHdUn&4YZNNXy?T%&hnt>82`|TzeFe!Le#`H!H~`y*H6~e-)Ycjh=2_#X$6i z-#u&msKiOOi<0np8VY2s7%3iJZH<_Ne2NtrMd!>rwsImfB(ke8w-C2ZvD zr%7MR$bWlR6M62hZEsK~tTq0z#REE<2=_o@ugoA5a?jeSMjfU3UHgCa{QoZg|0im{ zEo4z_^D^o6#H^E*R%@cX^u^%Z`gbV))%-wUhcohN(pWw;lY6=WXN;<$C-G+~4Xn#b zM*5Ldjf=DiJYLV;<9$0iw!~+pS34Yz1 zE+Bm8TI=oOG6;=uj^|OaMEk~H^Mx$gsQbD=cOg;@6>>TsqhITw#C2JFBy$1zQ-?Wg zDQ_cZ_ZB|63TNCB-FcH$ZxQLesS-UbgG8%3E~`YIN6FGv_O(RonV?G5XiZDFd~K;E z+g8sZ+LP{bm|7GfJ+9NyN`@f3_H~-p9>S&H;V^W2u?g+9jheUh4x|1{&xB>Z5h|HC za533^M=AgFu4^ZkQMk$FE2rBKa`!&;KbpmWEH(;^{Mtr#dZRU+W!^{&5~}x_BXfMn zGSh=BIV8GX-Vyzd4RL)POB#a2bK=N(ai*7i4p#&_VrWDOKR5Qp_eXRHdnqwAn_7X6 zq(_JJuf?MA(z&MSnL$*E+n8l;sYDqU8y%bZV{%WwJK4PDA@WkXMhcb&kZtJpg1wyh z7dvkqS;c9G^k}Y}4SRHuS`(U>B(Fo}e719`gv*gnr##pdg?1t{YyqIZ~s%m4!Qg|8+<4!)T*c7 z^9=>>V=0VR?n1705heXu@;Rhx^h~S>MyBMnF5T|CNEcgjdSOGdx9tUa6>3S=)+oKq z_Y@B#2>3AQ_7m?^JB^vHWDcU!PqZ#vh(TmEoz}R~G`iE?xD{J<;x_A`?dDMi)Lm?O zE*aB_$`Zy46Z%Uiv-7?t<7SK!`l;J{#>G&e%;6N#qla7(f2G7BWn{%remVD18ky?3 zRZk{5$&R&hicRP{QtPBLV?8FkBR zi4X)@bEYrKupr1Ifl`Avgs`c0GEiH*M~L<6ho9SP5GoKI{@sZ9@{+Pc3!-%iZ*XPI zZjy87STc}l{Xq+EtC@M{HJRWcXxhVkPYhmbyWZ@&dlufgx=TsE#UwYT|NAnB4*c9U zSf}+$!@s>mPUl4j0=};2-jF$pAeIleZ@jsNU_sA=U7vpN4BxO>i~?a6nF*GB{%}seRh<+;ygx%dt>L>U;PL&};flsoc=ffJmWL6a zpA$CUP+*52McM96j2`ee`eb84?hXO9l>$XdDhT`%tHNM6hhXlrmZFS=jdh~3$NiH! zLiskIv=wT{dXA*%UTV~-;E)f&T_ z(ceh6%IHEn*`0>wDfizR{)I5{eix;CT?p%LE?5^EhH%9%L1kek2=8s3n!ZW2!V3EL z@AtokXXDPzQWg*4J!d{N#!CGDb2k#FCu!l|5wP)dN+tq5AGRz!kw)+yX;F9IK!nse zrVpNYiO_@$dEUrf2vhtaU==}hAS*1-_O|yUJlFMdx7IQuWTk26o|9aliN`@N!pRQP z@np=ruOXt=(JD_eW*{os-b7Aw>38k_)${*V_@tmi|6SYKy+YJRBSO?>U-+p1V0ZlK z;r)-l{~ufXu7f+?T-ujQxNzChql3J}=k$n{K6sYQt#UmB_qHP-IdA$snWKYlEG4ZD zQ$+}q7qhjCA3~M=xP-sQ6Eww%>?Vr^`_z#Uwa_dJB5#d;r z;i`s6jv?A-IyVrR|FN${DvjvEIjux?$iPi!jn74Tdw5RliwR{5fKNe^RJGtT{8xm! zby%yDET~8`hD+-Z^tv-^r5l+aBkT57qU|upJ`v2D(#7ha2g6m_0?9nUm z@(*=+nMv3dr+po_t>%V*a`#Xv(eDQqr)rOtlkc+N!SV@`VHL{d!_L1o2caK|hJF@> zAgm(OSAJp);a4+HO)+24f}fnjx|Ab~Q4E4$`@Vp_y3JnM1O+0$v{0N%AB9hUZOYo_vU6 z7E1hJM)*NbTXF*ii4NaK*!|jek`c21y8XoCeTeDrmEWtp1+iAish7A6;V%`lCCObB zL9}*P1uaC$|8JV;$-#!0-ivPd9_S;^CP~RT&MLo60>~`jKROFkBm-p%sM#36Dtan2Pau1cr7N<7XQhxh z`O4-iZv+yk3#nyEhDV(86m!V+Vsz5j$g(t%J->mb-dwjfsx1#M-!0NXxvPbT=?nu( zhF;36xBH^-Isc{6$7HYGd+S$Bumo~0&%Kg)CP92^s?le}OpzHRpuRG)jpXG%P@790 zLRwbFtM&0?NWGpexzW)V$ptUB-DCWT#G+l#d#NvwOtsyNp{6?Mve|P+ppfL^Xg2n@ zdX%HqoW*+K%`hq@rUgwRx1!8gbV-y=1|^D`>kZRPP<;IW zAM};>+Hm*UCssAj2G0fV#)-kt@Sgn<9sQ^ezL~1!$L-d_fA8@V4IG5W8pIRNC?8Dr z)eXs|4lxL(UZq~qG=Pvj{H7BeB)fr)VIHAB<(B$Kk6Mv6+(93j-~YWgCP2xYn0 zX34}(dfsfCv!Vw{_NGZtlko_`sxA(X%~&G*z)Dm4DAJo2v8@j$-whEQ6@4D$M(B1k zB0EhqAD+i9R?c?0!#n8Nhx^;T;p@9k-X*mb{-@82uvk7rz=&v2LEbom&hx0fGrmf) zPEYf&=o=yA$G16+Tb85;mR$0=Z-Ou(_PRndqLpoOE53Sn3&KxPt(dSTKE!URL{Y*) zh&U6GNxAD5BJLjFe_5m&9wx`ZyOxSD(l-w6=^BMEUuIHn8QH6|J8WfL3BnonORdsy zLy))Fj<4B1gc+VMR(?u^_#AmVU(|j?=#=$xs+l^%LN>Be36g$*--tU~XFbC2{_N{` z%8!Wi-TH!&+Y#})w~*2P3?i>e71E2$A##pd#In!1BjKpp6F8s%IIyK5%o#dF==N$(SXkkB()H3BXi-wQRB?t zwf|So|0kyRf3Q3L$lv`#&u>QiiRuqVH~qf<5_X4O28X1SngpEZn>Xyxb%2}mVG(^T z!b#hG<|38Pet1T?u!jg)!)s7HWNPj_d{#BFy*@#1X$)7bxx<3t$H=+g_aYFqsc8jYPGUkUSseem_nc!sU5l^I3MEFzmGt z^z(}e!^Nw;t9ySw-0rX@nZGT7$F+?xX(jx-p`_3q9nav+{m!dUl4OM`rMyt{B=fh? ztNz3$@;hUCXerYokz{v0++H0=Sm3gKwgx?~5x6&Jg077G4pE5fY^Cu;(7i62ktGTQ zhp66KHYR);S=+EZTNUA8nHf^rt^wEmZo4yPj>CPggR|oP1MqlKY|HpV4qnoW7xtOx zl04q>?qez(@M#O%XI$V4-?7zue#Jk4-@6!Zo~QTVKk0XS<5^k+JX@}>cDjJT29Kd# zk53^e=Gq=Lmf1Dw8iEj!^Y-IK+6Y;k{LH%115SJU_U)wQBH3UM{oDk|zNP=n z{LmU2csdDhdu;ImULW1J=t`3t<|#GKrUp;=x;CBF<1T{VEs-)W#*Of=N#Rkhc0fR5 zne~~*1O!%@og3fu20@vsq9GAh2==wqZ`ad8h+chgl$Ab0S#OpPA09-g`))V2leBOZ z(cOEJ<{jKG#6DFhAA_e5Wt`UzW_Ud|_8saz1s~bgl!&1t@XfB1A5hsq-ml`Zhc2uL zV5_PZw_b}t@E>`Q#e^W`$M5d!2uHB`=P37aLI+A6cy#1(Ihs2PW^tCCWi2qjf&CPf>VRt0$Jk-uZ*d495M|9j!<+J-| zp4kSJ-4`nE-9XsX$`y~*Df&>jVTE_uu4&|*u({H-Q589>Zr)^4EGF!ZAghE;_mJuH zy2oqF6LRCqqi}g5fwZ>@M-#O3koq7t_o@=P10>HBBnj{!>49u+lmN-o-KAzrG1X4k z9euWM6+O{Z#c8dhkc;X^<-E#+q9~s#;G9>gMXACMcb^aYQPkIUuso;~`LB=U9ynEr zT-RfVkJcxX9+&Iu%PFEQ>Ji+znN1U!O7$ytlvR`7KhgL*j~~(om1(N@0~_?0+v z4<%#!!)?xGpeRhi%Ct`i`Co@tUetN+@ zz8D!%Liu$%%4GkZaM*QAFVZx-l#*JEk$RoN>^68p*c}VSugd&ElHZ@) z_0L7kW$`s`X(v#r9QEqR_q~MO@m#y*{dvOfV16)FbQ#5ks$QllBPcxLEy8p!3i<55 zEBm$(hPv!PjD&#?vJ0-=%=+GftV2pKS5h29=Hxz4m>xq$<#=1B#~P$3?eFH2t^8g4 zf9v`Gq;<;w=Jowc*Z1eoDX#yy>-(3-_aEQ$yS8#i6|XmgC$D|s+X|Ao(c)odkX;Ag z!Ta{#jFN~ZXK1ZJ!YBfzgf3ckKSxm2d9x<#L4?o_e%$XmN50qTzgZPnA+&zR=lD%6 zgvnUNYqb&f!z0F4ol9H@SIB?%gNv}G?>)2O+7gb4<7@&2D_ap!{`T2c2eMxm3%`;0 z>NY%mde8L`#-#B3L( z(y0Z6UYzG&-bQ-Q4=UlVmC@u*U;Q}9h2-$9+s~$yDva>ZOH5&!ZxFGe{eoTkcal@r zdWK%_E+Uo>>FtO$hTGf2Y&>iy;I&C%;+4=5_(VM3CfA)0zXFF{kE%%4g-eZMlyD7# zcE0_w$CI!%Qkw5?s_Z~0#lUR^_tOZ?Qn9RmL+%F0O@}rtn~_~u_~^vpR|wa8V$#1r z_@34bpCMz3l;BBl&j`yLTCg{~gAnR^r5mydr?`7Q#l#Z+-=Y6tjUxt#V= zjoeQhva%2D=Ru(2{UopRT?qOXQZo{wfe_cyfDy`6gj!EV@4ZFxiP-JVF`3#S?Dh+> zE!8B4@RIIPsg_ZM&uuAu<4zdj_7(jZLeYp^@q(`_Kp2r;yEoo2AiJ`aN!mF_x#2~5 zp<3E=1AOYFlP6AkK*I0Z|EuT!tMCb@A2$5PIwNp%^rDH2(O?_ zvF$xXev2THs>PaH&}%pK#%BMwI9h21Gx5(|mb3+*|y4w{P-+M{L7!*B=fq_S9pycqicXw2X&+GwIP?cWX{@5d9lhaxyOmxly(j`wYdf!}ntBs7C)! z_)d=$>Wb*YM$w`~^1Kr4Pe>o8ev}3$z5bEwblGsFFr=X-tSL9njQ#6P%izwV{Ig}1 z4m`GAdMzGt3LYORRmIme!}GTKP32y)r|?{%e4c~s>yI{Rj6aQs_q*4o0w3?dC(7dI zyEsPp9z1YE*wPHXPuf%^`y}9JESmbF~wlUt0fh>(~D9^xQxCHm#QEE1xkP-6RBW4%!mul&$a{ zwOL;$niUzAw&+-`_d|zsR2Pyk3&0EORc`PUQyt7fTMW zB07P9#J6Zlb(VD+p&%W?*4q7u;pq{3LVGtKo0FIKL(F69U9FxKtDu5lB^; zcgulfsXh?uIV!vfLH>14lX=#JMXR)GdOqWK?f>ri|5g0|M=Aq~^8)yNs|X`oJo_|< zS0-{}o13OLWg`1#$~M-`ZMan?8l8VF37LW;^WXF%kp9ZFP+)c#X*Df*JEMh=TEbyj zs(u|Q9v5iW=m#UISLXbf?tLVl>K|+3dyEb#-|ws|YSDP^U4rIp1FDL~?4nTpiw-g> zj9+S6WFg(f9x3VxNW1!=ZpdR5QZ3Ja6Te)56wdWKB*bqZ$&=A?uy6#ON375Ey(&P{ zU{#h;B{!_=-Q;dkbj5I{gWSO zGmvsYyyL@#L3EWFd{E^$kCsgJ0nP&xsN3kdt^0~8D(Amb9XI1bIiJpWz>g-Ba%o35 z^BzR;4&Dg6OWY_3Zcp1YNr5~QZNV?z)5wY1Lou%}g{hDAzNt>3-OS(sXKrg$;Tr5zbJ(efJOwf33ZkAiD+mb83SEE$5KO zA<(?@fF^SM2J1|I&?B48B=02CS=@SRad}{v0-3q1WB1h%ony3ZROMXA@7n)c&;Ku4 z=ifNIzcsyw{->r_=%4=Fce|H!@Y8n&cwPCS8=mV1U)JqwO>*Ype=ed)tvv>TLSxTT zBsmcDg3r~+ZwVpl3*P&LvWNzFkGh3LFTz%a_MxQf=N$_mp(2kqf1Ro3kw)nR)@XHv?o#PTifRC+Y`UV#S ziN)p}>}Mj{j-Jsazixztya;xn)8 z`R&ZxC6KrQ5fp)Zo_EQ<{g(cb%e*8j`rC?Yp8N`Mr_dX9dPnB#=UTSQ_bk9?_Q;DS zHD&m3XJiO8;~`q5Rbn;WL@QJ~xK-jI4?=kS>pec|A>_HIM{cK1{}B#+K?>l!lwK(8`3v1 zc>Ve^1aI7B3OYh&c%6QED|sy7KQ~iSqjCa)BNFzsYL>Pr+NSDtegk|kFW?31C@MBX3j~ri+=MB(5m__#CSG$=$z9)RaZ<m@vBoq9sUk#bf-#pA~4>%QTFB?1bYMt`*c<# zB(?t)TTUcG&G|)dY04u^RHyxURXsV=+@m)%BYwQtb1E{pldqG{ey7y{ z$zDD1$@nJWC(@mXT$3k^s5tu|&Z#Si=6QPULh=UqZF5QKiP1qoQr@z-{w4$sO`PuV zHz8-5+M5Y|M3k0)OTXNV$Q#_k z@+&hD#WCNcyh#I5U5x9Wn-P7fg`)Rh&H`c>H8pQ|TM#yT_v0f!o+0*l(F@*|Pk(Cv z@1Fl3jPAdCdbxdz|HJ71i`D%Xqx~yYji4SKz!$ywGq&7%s=(%(N|^gv*%4SO4|>aCP?xEf!oN zJT~V$j3hVKE!m>yNI79O^RSG01kjUSb=NBTqlxff7NO{AnuAABf)|tDepm<(lpVhq z1*?n(KZSx&*ebG?IC4b6-cg7`{zMZwgKVCX-5&)fnwRZQ-+qU)|B8$82fo6^mP-8k zwo16NAKh=AbbzqHBSOaaJb>G2pT%K|D8j^6$ndTtOl&==RF}XTaDPiHVCL%v51j}t z3dbpU^k?|cJFkGnTZ*`sj-jyLyRP-|2XWYT+lyFeK7;+@iWR&=3UGY6t2yO-7n}mZ zWK~7UPH@fBofBF|;nG+l^_a#4u2=0QPZbuzZH;OEY;GIe(l$%q+)ng?djmp-BoDy7 zuFTf_lo8qCiAIWBe}zY5^gBPo!tj(DV2PX!geAqn+riO7uwlNNy^-ZD?9v=q@>X7e zLx;rf%))uX|ND5lO6MRs18IBexHZGYfqz7=cqd%NZWNukYX;YMydOTUHiet-;oT2@ zSiyY<@9Vp_h&QJ8`wZPZYIvM*~s{yZBY$thD#ai!67zc*!mF+pxm*oW`-KuV~10E+c6o(Ah@Wv`cv;^hpT)s)!kZg zxCwqXbWR}GlTz&SB9AECJ6+0$?Jg4ir^BO+czt-DFvzudJV|zyj6oYSufr={fOo## z9^P`UpHCD2zW2wE&9*CvF4f)EYkQ?Le7Bir#%}qyruR?J|8GY3e|_z@ta};S-8X|; z2O65Vw6CZXIb=kCeF~*jqVZkMb0`|x9U!c%fc(Jb%_}Xdk-H+!Qv1beWPRU0shCWU zOc@i0J_!b-KgmDVuiT8ZknIQi`_hnVRcAl)OA0A=GZVaI_LMB7`SSDCHYA2!+IDNh zFcP-eypCnpMoaYh`>BI3P)UIb?#7nH9nq~M585Exw>e!`_HWGV1Fak&&qR^lHc!YSGPjBGT zARgXL^bC2)3FZo`663eIMUeN8kvjdW-jOEBBQQ$ z|I8{m!paDJeo?doX|dtwzEiv-9-z{-bJoYv+5R2}=%UbMd^?{N6-H+ z?asgb`FaM|O>LB}UotVEFf=rr`zx1+%mav~_cz;vyt|70+JJ%rT9F-NBvWeN%1;Ub zn^EbyYWquJ7S#Rz`{v(&e)8Fq{kHP>pU3~7AMg78_`e_5_xtk(w|EP#pi{t$-yeU) z`5-=%cw}Gy{`cNi9Z&6ObjSPOkN-=unC;!ba<_NnH>^xaC75dJiQsPd}4xQqfC#?H^X+>5mYxcs1c6 zIRivmFh(#tu*0461WiNt8hF+F*!wG!`MTRYcTn&u__qc8yiR`xfwhmeI&Z2*ur;$o zc61&>+%=egs_#drRBz_(+W`puaDA-f5@9?0BspD?u17f6x^bhh8ibe51$Z@)=RGJJ zeXxvZPVe~*JZU6(K}Tet%BgxFvNnM`#%2}VpC_AJg_A7OGp(I`66c9dK`SFzm-zb> zXd`uNLJ)+jcOL9#M)1#*GqI<4A*91({z}7pgnB7#%l+tyFfkjZo17yEd#*-*glz%g z)-JMZ>4y=qmfwitvp*tY_xn>_BRw{k;ot`z8boFsPYt9CM--1}@w6HLXlJE6rE zK8J()UKyyu@9nxbaXU#?H)lfoAko_gZ=HN2n?$rqCYRga(9aW{d~EL*PNG>_9$8+I zN_==_R6iEwh*#?3n(jOkI)pE~^}q0SLPT`P=}4X>L<-V0(eUzX*M9qJZ}WDK+LPHE2v7Q?Q}0!R2xTi;p#o+^EG1jG8o3bWigJJLCrd;f z2zYKTbPrKaQt~>qUn5#C_EdF9GNLDvJe-9@$=T|nS=int1lBvs$Tj6T_VUCuITZGL#+t!mELXbPuT3~vM*1iwIb5kWxB>t0#ST36I*Nu zPjGlxiT|nvqJ!8L=30vpBcWL2cZKl&o)^vY3Z)~~PI{y*{wLx#=4>)aj{Q^nfA{>q z3;+M>02!Iiql9?nNDIrC~XOi1Uwqtj;Wm7R+YhPE^C!L3B5>p!IXL-W+D;}09 zsfE=RmdFQ>?O{DP^>CbUZEeFlraq0$!k#kfM{_0x98S&nN$xL(qmzJzIjOl@aag@DUIGxXx=f zs#TwdnNfL83h#E@lwmPoxWWi4_Z0&3mV6{1M|a9KZY6AgO*kaw$-&;-u03VfV>sNa zy%(!Mo`2ri@$?rDI5BI;Ug#&ivEa@mPs03g+0xC$eu)h(p--+Ey)1<*&Ejc|Ctqn5W>ae%uz44=foB>4b;%|R>f?JPQonkV73uk3z)3;;? z?4nKoD4*U5uB*RrsLsA5*{G$rhn^mWo5I7D2Em4Kdp&txe=FI;SoGXb-c=9xpRKAS zv=|Ki(?HEPt1^CqN>LCel>FW`sbCi05e`XzRnWo-i+4l@#}x7t*2LlzHb`;tQ+lr78@Y*^6?n1nP}9_M@bX z(&yU-UK9=~UU=L&hkULGo$prnku#ZoN$K)5nImuPp!01+W(ZSy&^J|NNPKE~#}`Ai zPCwFI_NpOuX(N-|(FaJSWl#O?tBe%E@SR4)ua?v#A-Gyw7H#r1B5exiad&yBR7buG zw|RJ8b1|u*;)D`yd+2U*_VPP@_Bk7h%PweLvnJV-kFPwll5i$`8krLBGt9^dQdm(I z+ebKg4}>ZhiPp(sL+Q^V8)Qgss=OEY6zR-dmJ)ZIk+$`bE@fRFQgg8g<`Pu#>{_SdwTjHwg*x{vog%F8upbm1#M_-j z(dD|3jl#{h-zRNyCubn;hhn4TEGTGki+vx_8pY`ZtB4Uzjik6qCanN6eu@h}cN9kY z>mZBs6U2KxvPiM_Jty&h7^|p?Jw|6w-`FM&KQ!IEUuDfYfqLaf#hdLKaQi(irF+dd zD&Nf>>U=MU@`6Xc9TLkZ{kqewt3?MTUTSGx7vG?$$n$~NM`ILZ>4}vKza?CyIUnhl zHpo@JJ6_jNg6#3WYugPckd@C|rmNA4OwS=lDv`_Nj5x2~a%0t>+W$w-{~Ogyp~Ueo zE{_?@4_Y%0@{8vO?O(Y(|9tz8zVCaKSjY7Gy@gL#>s#fwQ}BOv+>}SC6hS&F8oH;F z5pt-(_H(^ILIsbToR=kfZOXHBYnMpx*Sz0^Yp*xaj(mB!GN2I=ykApk&m|(FMboG0 z;uA#bY=3>W^9&-tuG2euWecM0!{sk4Y9Z>ER-*meXNY$C)-2yr2hYQMM3b|;;VUV= z*`kXL0Y^^L>+I1db9L7CwbwF$t(^GfqQVM-?GZ^e&ipIp66ra=f94Z0L)6~p`09a2h`L8JRx6T&Xt@;57u3W{ z)R`GUbu<}XYYu)?wpa<@r(<^gtUL&KNVA{Cgy_hR{Yq3`-i(marF_ok>kyir$a-g< zu(O>$?;lhlS)B507iH*`5kY-oV*9NHL{zO&$~#+&NUgntJDTgr9DR#NNp&cq!fQqL zlm;Ps`$UXyEH$D_&Yd+7BMfnIlU;pYd_*(5S#-uZ3x3ZkgB^|mu@yOaGJ4MAPz# z!SuR3nRf?Sti43|Injbq_4_n)5#4lrBz&DEV$O1pvn_NXX5_od$U8pxrU;Mh(v3hs zuR>0eH_2o2n~1%~D~ynDtSY)JW5j<^Jp0L-Wn)LK}2Q$#C6jj zh;$0li@x&~Q3vbWo@5i>-t?X=Kfg30I@ymmri-xJk9(_r=4(dG`)zS0eo=^Z4owv^ zJVw~%!)Endya;^7bji}X0wLTjagQQ?NRb(he^Aedg|8mH-AfLXkU zum)!}%&(oPbRB*U3xOzgzL7{+Jm0yNYG5O7y6`h>%&34R>;5l`k5pk}*Y6}uaC5`sEYFpKxLHGWyno#U zEY)9MxavxJ=!r(_l*@F4g`L4P^Ued-v~Q&bA56hI;MXRND><;C`&Qg*)CL1Z{`$4r zF)*5^{Aqg*xHfP<;nTK6m`Krf(os|sCiiYnd4?S@rw@6)*Uk^-@2>BEBKrmwIjzfk zL0H^6u?$b5=3u$@#`!nDBw<;&+_LNJ9IWIbm@VC=Vf8|&tSR#?tc`5#-2C~8PsJ04Zu6G6n;ye>s=f71^f6qo`EEbxs{zx^TdvmZWPn*%6uschNtnAF z{#rwr)E4IlTXuhZh?`t?al-7pxcQQMIW~GuUojKPteV1f%=9%SF1LxHjZ0={C9vCXO%L`vqxX zrth8Ao^+60@7jdQqeZaLyu-DJ+7ve>&)W#X2$r;}-$zT=!?N>mlmFFmSb5Og9+-`U z^*-!T+=ugLzw>B_W+8p##fR`jYw@fmDO*7N8EyTk6V<$?4zI$VFz$8{t1 z0Zc>RT7CP;1+$;yel4|xIlk>gTKtv0xXHFt=~40|EZ6_2U2VM@meZYpDXykaC`n;{Qvc}-@;7sT*_?RLx$b(xeX``}PWXK})%f=m6597^yzraYt%&^bwzu-AE~0eT`9a4PlDymU|!6Oy=y* zML2tN(0YM0!Gg{i^`22LCofc>>T_qs3Zi8yKV(wB?obX&9!F)L2xvnQTc*&W_IBhO zz0EOk6Gg66`OC$6!lt}YDfWePBQoFfNDT-Qu2HDO#T1J+q#ItzH`Xpg+C{rz3rj(y z-q7=CYvVvlM0hfHay60@Sr5EFbrfx911=!rDH=A*9fvv-EmeZUN~84vYd%R)}T!Vvp13V(D+I2HIkF`?y#1~mPlkDGaL`Sc8cW9L->O) z@zN%wAEITSMY`*oh-+sDk>;L$-(61>sfnz*&u;e+eZTp!l=dLBKeBw;*ARrp7Qth= zI!m~tl^q^flYpw@b`62~X(;#c602g(MyYqCeCe0p*X}ut4;E7HmGk_Q$SXZiqp}p-pDjx`g(%$AmQqCc04}#l6al-S4E!%g$vgE~pt=R>ZNFI8S5u;fKF#=fH#@2rg&*qPdxY{~mP>Ne%!FqY zGbCqm9wqfX**_+PP|R~iMoT~yg`A5r-jk`wzhs`AUeb-+XRh@wO#;ZV<>u| zDMy92bt8+F`o3ez4`gmW;QaCZjz9PPfAsu+GrE<239kODr~ixH@fWw_FMfx?KN^0s z-yi>vz3=<3wEdR+o^WR-0{G*niDswEXxsN+=MZ*0DL0qsy}~_x1@!L{9e9%9kZml< zL60+dnG-}<39cJb*@(9^TCG~tvTd5=yu0&uSAQh))H`P5PUjFCxW4exx3eVsarEJw zfdk?OX?Lb;2sR=ObB?161?XTGjw@(+jHS340V1dM7jvAhAMFr+ihfkyY7!BFcb9oM2=lA3yn}vD z9Z?k$&4t&i5uMeM;P;BK$$XDk?`hkCSZxi~^|U(?$IlgUwm+QY+;cr~la@t%azsHR zFJYFSIsNLf8)1mgGbcXikV0ZyNQP?3e&VO(t7xV=gwQkI1KFv~2>UFz!itJ8(v=H% zU+R)MdZN*kw&HP*|TnLZZgnwh9I+8_OnKikzki2wyA^wXmQnaaVT--tO zd208`EI7URQ~Q5reg9^3|JT=k3%8!^^yqigmT<(U#Mz?KB>hU)Q~*ksw%+Yn9f4vC z|vM3NS2&YabukU4NDl~1GkX^R&)!xw*WUX0ICfAySj5NK;!%|d8zh;y4>jcTg z({M50bv7BPR~nfFj-5wJVCSb@gujyZ^Vl#^~TM+7*K%&ouU zJ8e8#^&-E_GVMlvVlh{ifEB7~#%N>Z5>S3oYq8&z`1q#Tj~gz~p~#?Q>$ZXK$gd3C zCBl%3+`x@PbBxN!zJG3IM$URbUL${d<(J;ODae)nv34?VGqTgJo!=j^4_SwPwk zgG{RDZnY{)NdH28;wu6)sFL!OpMaf)lE^%BoiYr>eAe06h4xZw>;&E{JMux zRmr3uT+rh29F#?lg&_6z=|W^POI)%4FpbQQyZO&MRUxB~>Y4lI>qsAZ_GpTDx6|ft z&Qf^2Md!@ghfb#}&=mdi*Kr*=)F&;K4hS!z<^Tha^(IeL9a$xl-4KondheZ@Y8fcI zaA3FJ&`OdIc;mFA&QBD_XuTNKNkCyjb_%0lAoAb1sA_LpMxNF%c4nSNE|s_!%Lh|r zclus7nA?J^lAbM@AC4fiD5+ZYk-?wZ|Mz`=-`_dAA?yF;)%mA~_b+DGhM@lv|Gs6~ z&m!H#ld$vAT95L#xaIs_<*xGsxL6)@Zm^IeyRaae7vlPGKmYnT54mr6B%1K-nvsTQ zYuV>fF)MftRZb{31;G1-J63*X#^zMr+t@Uv0+F|o4`{^D`1V}~c< z|6!uD$j1Z$0c9C?GTdNw)h$(1Oc?eD9@Nw3iNk5{qY3pryWsL@LZ=bH{eY40Mf>{Ba9i~t)XG6?pp|-OO8i&Ff74WeGh#+;{yB++~U=D zB&?Bj52kGx2z#SPo;BOLnP`L`Ih~>wLZHZ0oS^wN06EY>_^;jUt=JMt*cU&0&3b$hP#ROQ!z%=VCLN(wePsw@_tIM@VxY5)4?)9c-@Pa5;EBf zA6nIctQ|IFM(VpL#y<@|)$p$80xj^rv?j4gQ5*s4DhDC1fxv@5xjJ|P5VY}L_#3L- z2pWuBTeiyz!C^-0%3q#`qcpGl?dwP2qQ*G(Y2pyv&Q6T*+U|k}uYPPsX)Zi_1u3OH zya|InAYaui06u-e4W^z_@Z0m^tF=!rVQqY33jRERfXrp1tk5U~-n-nos#F<49g*wq zHRmGuo{47sv=c(kefc%FhV+#UT^jxgL?6Lp^U(UzFkHVL4f*IG3=h_b6;C91;Kjua z7v}=_th5Vl>9vP%yXY##n=jyRaf+t2EEj>46>`<*NuOw&lQeMW5P}B-?lfIIOn8W6 zA*CgRnX=1adz{!3LhE;GsZ`n_Oy+J~>gg{CyYILZe^eRal6Ks&?ZXJqb}>{B4f|93 zzh`~_Dtw~dA?lq!K0c0FS~Hf}|MBtt=Jox{>+_$k=l4^|4GUwcLdZ#mjQOAY5cbqS zOnr=-dktFBguQEs!9!ojn6M-Zf zDrUN`y-3b}l*&9z0YbUcOQ$PA%G-(?*Of^gi`Kr!7k7*!wR^D6WmPWH#0_co?cDc_62+@aA866_NS*}$^Jh@==Lu?!oW&tIAq&KJfx||OE_{Dt|PU{iK_Wr zK6zes8R-)tNK380cV(9R%s!14EfVHKsHp&*Rbv{$7bbio%bF0i?~{n!Ly})Fp||7S z+jhjQljm}ZC*0Y)mOtX@4-+o2SxB=wkkmXeNV#JHDI0EOSyR0xa|POHjmsC2Dx08g zkxo1-J$Xj`ZWobu_RBv1+UrQ`oOfY&BRf&4tM?ykav@kTU7`1j9KxbE9Aa&zL?q7+ z4wkivh&E=q2ycbO2JG z2b^+$MT=DTnevf*!p-Gu4%Kc{CoJ;B7u@@=kQ^FWkq%eFS-7p+pPf~NjNM)vMs-Jt zR_B*=^>%th%*7|FvkfBJD>8oFoD^bTJc}{r9YMm%%~NJp=aEFUmyKupS;9g~P<=S` zm2e4Kr!B6PBQ;?uK1zN+(zJ(4W-@(9zOCfL)X#B9uWRQEX|+ej1-)L{gal-KzSEY; zL4nMhLiw*)Cy_a&ea~x02%@>8hYxs&A$GbTegDZNBm{;w1da3~>Bp9DY=)9ZNj@9pR@e|HXPrRNqc8n}_3(zUPibTBepGdN32qmX${?B!VD7_yjhPV11lNY-PS z)7Q&bk?rtG&snqyIqcfqN6r0^Q?9Y2>7EMdmxRxXz2EYu_W$nr|Gw-0zfk+l!JBvj zBo=T-g3HkO{z=l~QE9EZdz;LbSj%L2?joOxdA<74t;oLE#&YOJ8#3HP<;;TOkS5Z- zHe`_0+A-0h!*>;sDD|b0dr$yzmsEEzFWMmb{Z+pf`u&Kkc&y`M8HMosx+@Pb(IbrJ zES+g5$pf%6-IgN|O&HzlSm(zHqx-wvhU2ExsF1(RPyc=pCAXY=F3gi2?zOS{hL^9& zwL9Bq{pAWWcSZ4-4;3S=U6Z2x4#>=EZd}Lu8j^&HRbK6BLHxl*k0HHE#1s^L9nj%G z)K#r3jTF*|FlImNYeGCX$y+xoE%Xsyt_qK^MI%~nmr@l6K1A&|P8M(VbtI3l_s+^o zJ}BMEYWAvg7KLl*#gm(ok;`RwfQxAvSsVKzx*w5@>xkLL_nmA=bq%i!s2fDGjqkeS z$>B&a95^}0rH|O!b6=fJ^AN53(VSx_9+3*lEFPCQ5q?9GR*vv>Lhm`vzga9mYeIDY z)W)5tKYxsR^5R=a=6792 z-P_gVY~^b_`putcr}Kq_l$cH;X^SMGf@LB8*tcg1JhF&s#U_INmt zg11WHo39>1u93;e#t*b0lic^2{riz2^3$M}avEtnFRWX>v;!%|GeW^Obx3Ro?#sA1 zhl1eY5#ifzv=X03N3sLSEsMuJW`7ovprj=I#Vog{y!bW7ibyU69a>8iSm^ziPDA8QBdk#QnR zUpU2#NU6zlL6qM-< z6K1*k20|te_oQChMmT;$4}UOJ;g(QD;Z)B#xGGaWt>qxTaj!FZM^x6p(@61c2nX@s zZ%ku4tFQ>4$Q|BlPvhacFgD`!L=yh8*&}X?XA$61dH1210RnTWDJF>aHmE6^ZO83G z1V3V$9_l4LW24V>H%tZ)^7hu4Of1pjo`1=xqfcIY+7G?X_hW$b&d=2=Mu{G6cdUfb z(*k&$e<*O|y%ga97z*YuYQcMau=a)|7vTnGY&lR<3BM1u+eG3P2rKJz@e}LA2=o{} z8!CkUO5}7TEV3}G zaEk1Db@Tg;d_S6!BK0Wk{NV|m)smznt^G_gTcIS{-QxQT_OB>>S2_OB${eo8=f<*7# z=BX@q9N~8E?VepDh@ic7@KqMca}V7mlH^{7NX9D4$W^D{dPKKIq~j%FU{qYWI6)X2 z9s_lnAt~^EX8R(I?8*IK#YTvcQ48;R};^$Zg8jI2l6v8-bV47#gh05T4LEf zNX@NGpf z8p8X3eqMk}!wRACZ!em?k0v}gMs;_Cc7#(39si>JnVcsDMWzV{C_-^;F_LJe<2yHlR^vUu%>7Sh06)$uU--cme+eTqT z9HwJxD9uMCWp6^C-U~$5$zBlHj@Vxm?%)0q>r!C^J}v;Oj;yQdLbP8`Y4B!paw+KPVf*xwjyE6_st&WEvu<&aZ#M z`v4KMY78Zh&m(e(TkqQkK}6MX^ICC`{Y&60i+mqG^6xu@?#)|9EXPLWXU)op9s1T` zph%6l(D>rj_>B0&c}vGO2K=f0KRy5N8~i_N|9@q1{@nunH`IRf$l=6=aVpfV+HI^{ z*?@{{TkAWX+flmB{$YzJEef4xH25ryk+q;5MICQN2c$#-o!Q+E22osYm#e-pA} z3tw?h%4!c{TP2t-8*(FtXOGTGE5h#B5?w7z^Z<3QSBg~IwW4bGMY|FT8I&11goTd0 zMsf4(I_msljeC78j$q#C-=jgOe8$G#%Atej<|PL^-E$(h}~WDwwGZA+Grc1p7Raj?j@1Y zW6>mo+r((k!O@Q>xBt1crJw^P{ZB9dx<<05JAVnP?BhdT^W|stl@!Q1Q&}9QeGgfO z#UDNFjY5W_lz!X;;@g{MViO%Ed;AFLVFjZhq-Z9K(MvKR$@4q=`I>T)Wk&x*CHV!p z9tYDXuY5$i<*Lso?dH&^DfvT<(ib(?w6?GHB|Z0k7r#?IxhT^U{JJmp7fR&sT%mr~ zfI@{S?(@@2$V>F1k=0%%KEh=s?=6$aGTjt#@&)1IHH--rhLCy25vjhri+7PaPQ%f1 zxQgTk9kAFC=uWcJ_N_jknuf%qf}!+dThOT=y`hRB4fnpQwKk>wLY<_FgvAp_R9Bhm z?p$s`MKVpHhd$vqDw(v1to=mJf_BMg6&X?ZbAVpZ{w?zD=eG)y-aq%u$*TBRS7cx1 zN_iPeGGc3IsTJk2k#S(^&6>~>q9yh*yYjvmsp++84A-fV;uhaql-v2I_W#lI|E6{R zVRHS`zyBAf_wP5e|MXd(Gyh_^nYsbo9ythZB3cU16Xk3#g=PqYNoh;tkOzF}v?8vr z_lF-@2VFcwIBqKgguH0F372)f;}Q8{1O<+rS)?KSz6!Z63!=pdF-YIEe;di%`ebFB ze3$gL##$d0ySfni=Ig=i_Vox;Pw`P5CjCKcx3W2fAzWxzNlfaUfcwsZt``pp^DF+; z%^@nn=uUmZs2!&Y-^;IFM7-KTSWkPV#4g5>EXHDiVvi{V*5r6bL*J^B`xW_mBxMo4F@uNpf(=|s zE;$%Y-XmF%uJ`R|Y~aN#vyOkbk=*|`)dwA#g715;8G&zv0d-3&Wly(10%l|w%WTP< z{`3bQezA`vOXADPP1Fhq(GOVZTz(uObIXO+x+KHGtwHk4Z8AHh_0_s2`JMO-KZz`V zCD|F&-_p&^2shBNpljZZa0l+DWU6@a5FWBmqHk3(*&k?TijqtdpQ+I6W)6(-s}<|J zm$e508bwb=HYXx*uw3bueJg@tqc|YXj1W0-H!1gGgnXDBjT<#Us0-T$olqr`X^}Az zs6?3Hi6WXOhJq2ky}?pGTLs}+>LoFwE{NbxeyAC=gYX4bm*qzg#+v$GjoWJu!zb#3 zcJYqW@QVnvrTR3EfXhcEy1JVXw2n%3p>$En104Rq9*ieN*tZ z)wJW;(*WN-+hl7p3-zDX*z-+~aE3oOT+4sqg5btI7OnP|5mLu4`}E;Tga(u<>V^?k z$+_*fo}!9eN4}mYqCE?5kEVXYHApf^IK#$1SR<0#XPvi-9Le^k?tR7+hp5Z5U)xXE zBkIT4hB1MMhz{wix4QoEPwoHR^Z(80{@>gl8Tk_@gcQXoPEjbT$ebd-{pI!9^PgV( zcE-6A>m~)^RAihT!4eAB3-k4BKD;G7n=iQovy1S!5iB1=vM4>v7&V(8WWZ}MqJGY8 z0^XlYwvHVfhtFqY&K+`uV?X2*$IJ2#ehqB*{o)wlAN?e>fyxvChOcrDPTxe}Zpjw& zX-aZU6YVxS6d))qQ}tuz5!myNaoEqThx5@7GNvO}2^a9m4iVdfa6ev~uy=MfJSrL) zMl8nR`Qs()MZ($f=8xquE-QtP^xAH^t#j}_QW__sKsa#XIr}WXZ-PGu^O(@p3HUE- zrFgmTML>`K`Xx{DT9K$%(sGCkLAu&LEfSIlW)TkWFMI`u{SwCK6iFu8h5?z|F79w! z#Wg=8M-BG^1J{uJUGQWVo7J1}f!EbXTfcfNz&pOtdD-GBe5y@XREu7R?>+U3lrCfV z)s-g9b6vXY?}3H^EIz`OFD-0eGy9EUjeEhiAU~@o?csgm+j! z5NIp}ALstGUF7@cd;iXx*TZb^oArMb>P2>!n_X2i6q6CiuREK?K(e#;7@SMbD@E|` z!_F1yguk<)y6C|jGlY0PQDxHo>$S1f-SuOvGDc*+A$|AzbN+IY%iusObb@H7_8qu+ zgL(Q-?f>cdf8X{0U#R`&`TX@)oM}-jyJEYu;Yn1?ZmQvBAw9p@ke~Tf28xb29&zuc zMBe)a>uWRv$WaX6tNVHlvczHrtPFUOVI_L;eAEQdY6wcUTLqH5`EDhTu}-A;oSdb9 zHH~EIZ&F#3gxMiveP*xyJ;b|au}PorLF@t*pUu|`XmRy23f)16y3NDq2VPa7GVz`s z*n3b$`SIeyKA`w8Cq=P=9rD|Q4j2jTL@tYW(9dU#$eLJzox@1ZJZ+uVuqBeXbM@C+k~7E^JuO3N3dB7Lv*E3IE*lef%nfDz^=rnuMfK)?!v&Of;<}iWj^W%eJDBLLh$GYc=x3f@ol4Ogq;J?~w1LMEsZTkkzSG+wrRFZf56VC!Td!EBae^C( z>1wBWnBow>WYEX=h8t}HebFJ6uDDyO9GB>J64jkT34=s$UjFuenSeggSSfHA4p`ct zsAXiUu+?7V_lj4jJ7*);R5Z3OQU}>)oF3H zajD3X5C~}D)k8+hP*6eqBcwYzstiBOAv;cKrVWMzNR{bJioCgScx3$PRh+P}GL%FAcBjYwvPobV@kWZ0bUK z%vsG>zOe4Gy1kPP(O=d?cvJz+`t$KbE87?1_JA<5ZKLZ498(EXYGs#O8p)uz(HE|;=M{XzOf%=Z z=HY*RO?J~s83ZyUi!{vNM^Na6vhGD$1kY%@CAwQ6Bxz}hKVcl92hvAh9}Pk1LvH(Y zUn7KRgwu=?4Q|-$kheikNuHZtQMl-O^84_C))$7Fh*0G!*t(r)Xaow1jmOFTRWD9z zxIZ13ow`%I?uB@l7UUSXwU2O{or zr4)IsgS(8fY;f8}c%5Rr@3H$Ad|EH}UOUlB=J_iO!%vJMpd~JUFY7*{W#JPKsv&te zR5EWWkA#vQxL%`UR34$%sJ>|&X+aqM%?Ll*F87QuK*D<*DoWX@ z5OFbV;>VRUhmwrM5n6YEIdLhWIA zKpR2kCLb~+)DW_{dGm_hlw@Ba_kEikVRUEgSJMn8+HZ~Ob)h@S^U)UH5Z_A9G)0}% zM(=G9q4>Ju#BoJLjP|ViE_$DE0^7MBw`C)W_Kp5FidBdT+Y#1rULL+8_SDo&Hl$xV zO{cC*7~QWJOggHx5&VhiL25I}_86UidNx3e>_u#(j2I7-Gh2qpJ}zR(zqYNG`ypX~ z3mq&;Gro+7vE5cKXR{HRaL(>)@Ha%sCo9ujCbP}2=3a-wln@;{zmR@;3u1&0$=*}9 zCppcU^o+Lu-tYh2^Z#A=q@e%i^8EjCd;a6u9hN2R-!I=PgzZOXt*C33aAeuHA@9Hp zoT}T}HqdT_i@ld;$vdL&v(1~zSFD7a&fWkU-wL>kUJI%`PqHKz`uOe{IFsJIzcKEF z4?Oi>%2YNI-5Z^9G(9_+Ul&M6cqrV4_i+<>>i%qa54gq{wQPou!O}p}-6mMcP${cq zguqU7mHP@u4LEu*Z(2iUj?Ns9Udg7?lJod>p8j`)m0faI+V-ax+~O2lxz>;~iFs#t zAK3wUNUah7@tGMO^Fb@eWr_Z+VEY=jSax!L-7fKwFeSZ~cDy&EKSG$*_g{Hy%E3oi zQum?0Gkh9p4>7SW!{qplQi#Ul7MJUize@m|@BEsGsUL>RFB2nLV{Teeh;kC&{^G&WUydH@8tGzac z_jUE!7t@5xvo3$X8%rX5a!rcd*lFM^-IM;2xd=8EhvSpZynqAOmzQ2)@8PuL#TOU( z5V&Z4>*4niC#>w5X4$51gvWQU>2ys5+#Lnte2*8wL+hRMF8&Yj+`H=a8~Qu&Tzv3O zH?I<2HEns7^yD?vOupgURyX)?9BLOe`aoV&bi~;XDZ%&ZYR|(xI|-jClggrZMe&^UK#<}Zr9%~t0B-J`RSXGZ3tqE;+g1n{&U~|)ARqk`2Xu`zhz}LeU}$d zYhZ9}tD-Y1x4!7TcQOH`u@38Rl{BF!K2~sc@*DEytgoGmK8~E~4^xLupGQ`<`qOc` zy+pr0vUV?Vb*9@ycBhXCAZ@Rkd7^vXgIL-437f#%y{z(;*G>x|Wl9NEfZJl<9 zWl_ZM@9FLw)Iv+pkr8K?CDe%}`0eelL1oj7<;wfiDBDGIrJQ7j6erqEPX4-w0%6nJ z+xvu&>uWX3Zs1S$4XUe8`0^q%WjSEKkPD8k)W9JPDe({M zHnI}`-Uai%i^YjZ%sINjFQpC%EM0A!~Cn_kfe z6S9BoTusNtkF3+Z$4wvCAY(B9`qXu8q=z^iU@@{M|Bt+wOif{=Ug}X$<6uIH>1JReeq><@(ajo&EM}#5r zy103-0@AlIjs1V*oryOUdi(Y%rG!dUNQR1(P*RaxB%w)#h$drXp67X<=Xsvzc}U7! zLWW4u9GOK4DH`7IdCpVMv)=dp2hQ);TCGF-thL*A_x|4B`@XKvC3d(4X>@maCKp7J z%6ez;Kx@l*XXxeFa-Er2cZRD_v*;{l{@oV zlI*1_f7q`(3gSI_@9s+VYb|LxQJ*N*S+$0=+`Kkz$) z`*%+7Kep$0qg{0>j%Xr1%lK{|A4-FdIb+27*n0StoFCJEGwzC@Jj>RUjYKQMdf)yAnGJ^YPMC*Il3X`OkDIZj*$87>;MQ$ZMObC; zTAm~$gr7XMg=)GJ;XRuczcG=0uu|(c)-W$b^c4$b<#r+RTzj_9M*+AWe`UGM^Z{O( zB0^d@Ebu*VK9xrDV+j0*znhNa5ZMmWNBfgr|DeJWf50e0G<)XeE)lKFcIyv!c~}wJ zalhk3@lAx8{8IKiT8(hpa!FUU0)(forcqI1BR%PWtyNz&VS7A3xUGS(Jw(Eawh1;6 zexAzs*ken0=t`Wrvv`AKcvznw=IMYR-**<_{zL?5yj78DtwE6Doi~QFF$ke~q9C{R zCPD_%Wu}Xb5gI-9>T&)Q!cMENFeBRLu=#I}nlcRJPQ}y0lFg0?L2Bs(9VGLzS$}E4 zmb~8c%QWdvC=mI=qTvgrC!(&_o6$AalI+ixQJzeF_?m2^jO;i~{1#^O+GpPojnsyd zH+=&TvZ5^W!8M|d8eNc{)*gvB#-UAlZ2VQi8*+;1knwi zZW9kUh)A(L*&Lzdc?UA4FP$?*l<{{FhJ*k_Qy#C>UBN~6@Zm~=mk*JQ)oC%)OH2r4 zI@~1?xe~$GPG4>8?;>{^Phsx&DhRENZ<(O1L zr2trDsDjze5$hu2UfTa8iBpZWBZgv3^=pDvubii{-+%*CBS7nC+LQ zI>go+ygbBJ^QZQI_x!&LpA_`(+P;x5xK?-UZy)bpjPBpHzv1WK9^Zd#?VEb=b?%NL zYz_LNW{r71Sl7Ic_?k5Y+p%IhoArLMZ?3JFz5+PvKD^`Zp$(^z59`g8d*Q;x_^jT> z7A_B%=;+kgNROB~p?Nurcr*As&iW|9&9)*t;xu76fB!PBTCxr9cB%e6S9X#-8wnGR zOnZ3Tl#2G-G7Zz8L+%+=yI^Th@kDxH1U4J4=Xv(sgPqtO`Ua!haA5ItXi-pwWAimW zH3J$rpI8{IxJS5ciYi)(S9#&Gw60;EPZ+L|?=56MZzesXZqkk(ZlX_Y66v@^o=@yd zP=w4B+-n0=sYvdC$B~zBTU@@uquBFMpn@aJO1b$9ntfsUt%Sz*fCFqoN{@*M9e`a8 zEz|o1Q#i!+Wj^U!ILgxB{d{lY?N{>@0Z(f za}?8T_eg*{-FcNMqg`;1W*Q#W*MbM@A;0pgLgbDh%K4b{06du(=I&n_gLx;*y4?3I zuo@g2=F={Rt#;PCZTnMU?`L)L@!hR(H2nN{(Lw;uoTV+zQzS1)C4JXnZ7aAkC|j&K zLb6jEB1Oaq*U(M-1mo&qVZyL(cW&?{O!VkuGcB&bVh^ClNqzKdU9x|i_s3M|_rWk(60uQnNlyGcf9Wsk;ADLAj^@|1kE1uoia zgA3%z9pr$|q_yBRxXy9LwoJW*TQ05H=XDq1erfyj8+S_JzU)b3c9}52V>745)HlO( ze*~AOA>riR4{};zBMGky8Jm9)-@n)M=|x6Q2H2MESm*WqIvfNirCax9!Rh8JRt>+K za1mK0BWwexj zH4C24-aluSeg!Yr`lHIZmE?w!)74RbJ@s38&6k!LB8p z2|06&PV450u6;CNIZap}nFkhTb2^)mUVkx*$*2!$4$B_8S7u0$`Gn0PjS(r@ms#nH z!;!r5-A`BHE+p#IwR{lrLHvjIfvYQ-(GqFgEVbhX?#Y-?y0tT-vi||M-K(c4lZxo8 z5Z#R89*4a7@&_o;-5$4VS`E1^FE)x90@*p4#nqEb$Q)IWNhMmN44p3r`-&Tw)AVLn-bfs+DmP|uMW^hU95HbeV% z8x({GsVw=DeS?@)*TogK$dRjDEcKK{R!VH5zV$|A3fSCgdq%v}llxY$*hTVzTA7dL z*OicYe`nj#LXr*IckU;<&I=@eiC3_fzm2vUanIM~57AKQcqe%63)FD)dz>vAMb(-O z?2i_rP%dqz7;pCgrEp%_kX(u4%i3HKX?IZ2@w`*mc@y#q1VlLtE0FUdI^ZYjkuBZY ze{s?dnS=CY%toBV+nqh=^tlY_Zq0-1i0>iIY1`Egk(NjePIKnl8;eIRY=Sq}FQQ4? z)?4bLK57q~>Y#e;jOwQHzOD8$nYa7i2q~3O)OVh42Gc-eP*ufy|9uu5|Im z$XG#1`?CJcpW6Sgp8q$a`xD#WyuSZtcKyZc`%U)w&GY-mp8IYCJhyCXDBzXV+Ze(k z4PRH|m;GaZd0q*5Ty|B9nJQDF!Pelm4!{Q$|_6lk*(ia_Xs=hPEf z7KFvDRk}1x^4<3gM4YK2Z-O);dbX4gePkfLCo|Wc#|4ON7hYDS z9ESU~!GLSTC+|(;%rL+dN^}A(&uxh2GGK3&vDlVx2->}O$IZ+cGWY$Kd*l$w<7sSs z7o_lx^!i`J<`f^1ymwZY28mw?>&a6qeQHd+GG}u;Sr&vbD=%Lj{)ouU z0dWNk>4=P(wUp~2S`VfU#%q+S#5>xoBz`Rg-pLC)?JqrtpXN12|5O$Pl$gxNk^M(d zvE)!N=V78@Hnh{*R*z7c_Lt_ziB7)VDkmy7hBIwSBry;5Oblb&d5w#a*! z5|8r7>u>NuUyVn)I%T=(P+&q;)&DQU*M`%6=EKmFK74TNbg z>E5s+z5f2wLGLMu?{aqg@O&8YN@Yj0f_W{`?0E1~8qOnfx!aao*QT(yohf5P7_BFg_z4LLUjgA;UA=$luOubK?jxYwt5iXgUsrYXWuA@-y&ht5Pv_} zBeaY9j}z^V+%aBPzB7p6txSlPBU*>Ca60#r-#mY_IyXoV|33M(;om$z^5s8s$7gh_pW!PX9n56LqB_KlVG$X5v@g;J zRu)#TQ#2pIhHi(y!kRePT2W=a6sU&Xy%SMY%to*uD=RMlwKPVQi(TT4$#8<*ts(Y%E zFtN;HR#iEE8G*$cwnHgdBqQ_gRPW>6lCZgSYv+8Z1Zc52Zm7*OSX(Tsj*NC1t@rJs@Sa4jVG=uA^dp9<5CBfDB z+QNks5peB0^+sCk1WZKh80arr5$@lvJ=B83usrp3*ZB3@u;#vb`UqbLY?|s%J-&Pl zb_c>PS42g^-qlR3?SmH_Zf_LvA(^9&{d28_e&%o*n{>3xi-Yq-WR*Y@CtQrp)!9)3 zm*K*KnrkG>REh4rAPpT{8`sVU-1$WACN>NTG4wFqH&Yfg8VU2KsDq#65@~nUL zD6F3u-uL@x4_k}*u2AnD*iAHvpVRk&19;al7Hxr}1Bctd6IwWB9$u+*MjXzyjb*D; z0^y>?^zrdl^7DQ#tWx%xWNKa+&oS=B9Ao ztWh-oqY^F>;%2HM;c%JimA^1B3fEwjEBUE{aN~QVkf=umx8|Lug*0UUq|meT(=5qs zeH(CfV=x1`W3EoG*n1rw6At4N?m5J(MOmaEZu+P8|MdL-D*pc+wcoPg!V&Lw58QR# zlb=mfPITOcp$7KWD6LaeR#o4EqUpUpNs~RuPt}?EU?$w63#W~2l zlH^yoUL5IDrzU*oCy|!FcfvBn45?we`q-3yASJpwlYX`j$p#@S1K$)N@yWsDnO|&3 zxbl|4mCWs1VrQft#<1bu#n5Xt&shkQxsJbLRvKj&h3~N*y@%r2j-JBj&rslh#*s?c z4!IxdmEUU>A-ji*vVK)I(bk;G(=8`lmqm;{b>n$S!VBzR6geGWg+#esw-`2`!ozdC1I%$IsMULaV71;$RCRopxkQ(Wa+c?u zMXr4)$qQ@HU^O9n`u$RT`c=r^AkPw$z7ILCWsST}^B{X|#U=g=hY1_I_4rF_;wR_2 zbNpWXHKMCuvdNktoW5cC@UhHcqtg4(%w$s?Y~(<_d0n)F7F zZPtM5$ydmF!YjDNof(;0-sk2^Igr6wrfai`Xlp1Jh18=;k@|D`+1$ti*}pt6pjbox zK1U0MPK$j-Tf=>!!=LEUP|4tv!;??E+-J@$hF?S#>k3mo!d5L;wEcO#|?5uIfcyDGR znB|J}mUXNN>K;gIZoT`p%N(iw@mkK7>Uh-kQGTW51~eIK^SpA3L9OgTih`0asD2SQ zPhGYKmCu_}o*%kTJjEknUs_mD`ab-HB#S9ZY`6Q`te-_u*!cUNb%fFFbE`S9`yTR| zCDKfl2(L$Io82VGMr3!YF7v9?Ae2#D5?WVxY1G`I1p-yajdTxw7mM@%pAgr4nM@%$meOtaM2+mbvOu_LePKdwdi z*ULNjJBh|4r~Q6$l?x)}^}Kflgd=h~#?`Tgcr`t=I=OazM>LZMv|ASuo%~F0OTaV2 zbhb3=R_BG6+$(CfC3g66*}Z!_{vLrm)^|#8cOW?XGvfn}aDZlQ@IQxu3gt2|bIT^v#4TZUXp z-Xq%KcyWv?;rh`y(hECxBPLufdD3b%yhGwT4!+q2|2@6t{frt2+HCrEaF(!CWCjyo zw%$ePRUuaUyTJ&%^h`!rX#(M*YI@wyE+T?%3*%9@IYjgd-Y%=ThDiT8otbCb2`hWc zdMAn?M7@76ViKuB9b)LJGu6X1vgdA$Po4#o7&SB%6AF z{6;>r9|&I97q1`w4WR;e^`*YD5$=sy(~D36giEy3Y)t-4dhQ>O>x0!25IeTB)lqr^ zaZWy_bc78WB%5R`==c^PVUn8!yNM^aKj8xXPU3fw9mkL7ACq~$Nv$VW z527eO|74N-N?xB4#fPJWA6PRn=I-l4xP`uA<%-^jm3KY3lp{u1D-u3xl8cCIek+}x zBZqhkR+}NSwS*(+&ztx3D)CnB6BMji`cwPAd;Y&K^Z)Yahy1&?|C`xm@>j1veu9GH zzcj!9*q&drcF&`nJ_marDgz0Rma(@?--r)S37 zGuL5J)4{&t(jC&nZy&ZlM+3_zT~^`m55h|MdU1lU6s(>-99c120qr#(%q)qoP&cnr zYy18#=zD5~J=x|8!}o%d*O;!t_#>)DPzS z1{x~h0rP<$d~tr?U|~07xOA5vmW;F8i>M#MGW*vRTB|X_uI{&^k|NCPdz<}Sgp^?| ze(32i(HiTBeIzC&7wDz;e;zuS00SL^L#v*r!>Cs0@lk3Gm}v7H8Q>a+>4m_|;Pe^7 zM(5z_N_B_Xr{Phvr74)__a>Vr$;09blgOc)Z(zAnw(C`_DJ;`cZKrw-VRdxX!_b^L zSak~hw4N7-_2tG_Z^znTJviKEsP+ZAcA+}+%?F_$psBTEc?3qhth9CFJuo@)KFN;s z2d3h8)t&c`9xE&DIX4GwLb_K6b!t_H@)z(`A?sDX-bgo*XzG3Dhw4oDsA zi8kZ3LCP$>&m4_9>2-J8uem^SdBQtG*-IOcxRa6l?1fmgq<$~+{=5zMbbKsTbL3IE zJXA0j^ATkR$C(Sxv!aA4?_%sGa}>1QPq^?^2YCYDI89c(M$XpJn$J{ckabnx?qS0s zGKSM829}AgKS`&9e#nvNwG&yW+S-unaz%gZ4#LukV-7yOnj6UxloHby4iUbM3vFf8 zARb=o8F(j|k6P!Yp7k5fqiRIK=Y!{6lpoq3bGxb(C9Rp-H_n|v5v`@DO=L6jFFDHR z9M3`S?!A}Rj!hu@GMneGvtPB60J)0p>Gzw4Q{BoQgizmTOUfh zPpvX9DnRk*%)(=x7!Uk5l|5via>zme)fWa}wvatBemODSgHi;QCpzCs;#NEaW|Kg@55v`e4& zIi}viqc`p^_;d%+N+4}c75I|rrtm2{(trSe>1xO)6@Tzy=}rXzveG5?_Z4Wzq2^c zQk?&%_x!#G4pu(;HH#o#i5Od}NW!%_A?kIH_?--|UiXqB`uozlJnqD7M0EMI_L;gP zvMX=mnGQ2yC9Iu1V*V1*VTYDn()T0g>U9mz=9h@w)^Xd&xD&Cx2PgyA+L7LFaXI>` z4&rwV-w~RWLVV-9rybLENVptAe|DH~aNb-s9DAINpv<)B4UL3r!yW(N(_YeRrWe}v zd+H;6{LR^Qghv-i{VH2SmJU%g?Pkk&ClU2k{M&BAT~=(%HOz?fPpD+9KY@7sczc*Gh3qsFUi}n5j)bt?5g#4N z+++V~LH%jM8=K!Kd)mxkF1rgKnaLdSA4#Z}rzZx18MV$HS-qP?o#2>O(pPqP&_*t>M z^hyOJWNO0B$y!2-Gl{|#nsI=_bNwhP}1C%2V36tG3 z{s_Y|AKAxT**^C845DTqy`r{oM$8K7bL<*75lf-!Fe!Wtu^&&k)uwGD-l(fVH^e?6 zKF45FPGkZSEZYk888#wO)JJu>kZ>Ir^ZXC)lR;9U=iU816G*=Dd@qwF$$4VXq3?P9 z*IwvA|GI8_L`v96xGk3>D&e}BY?M5rUx|GZJ4Lt)tCZ57OLHNPb)@1`An`)&SV_$y z?}UVHlW7g@Pms8-UTd(I3W=X?9*Y|bLeirMs$3BdBqx2WE`FbY6k(qIGC3u?cGW1G>JGCJJNvu)J4uoIQK42}wXD^NODT}YqN zi((D-NL~j{6tKVHOPsGlZgRlRrUNm^PGY65wbDZ7!fMI2pCXVEptD+7FAC|3{xNdl zhmdx>ve}C11ybd?mnTffp5Ma!@sIEU@}5Vvu@CG);^((rnWe&L$#drawtWcq93xM8 zTAHDX>63Op@er5!ZWAgHT8|Rp?cVX`KT$~M z%{IkVI*@a&nd>F5J+celPs>#?A&cjn$0r$bzCBZ0+iJj!^dj?_o6jwfmVHA~s3H@o zcWJpz7fq2e{PXFJ96qFQ7BQ+c9Ym`d-_vzb*HFKEUzG8RkEm7m8;w*o*Q^2sA$IL1~sP*S?FxC}BxcEQt<7VHIcZhh5#sPct0f zQC^DN*U$WxQYVq46c#q$tctAfDIUYE6Uc1Kt9r3~3K^9-dtX;gBK>Z~V!U4l()#1Y zP2_3uXvT*<|8^~!!oCFWc;kxN@P)lTq33Xif0yHf<3wY9@B~X9CoL){ze_GZbVJ#x zxxF--YEUw2B^9>!8qqy)f4#l`Jqqnh)AX6Akl(P>_QOmWc}Fii%wcYC~MiS~`&-y{bO6WG6j(pwS^6MvDoT}$P%H|OLn8d<{Ia;`ll_Y-^ zvxocQ1#^mZ!|?c=9I~VL4m@93owFW42(QZOz#4@?vNyi{A*{U@K4R-?U*&njXEJQt zsZ$%^8{k~SmrpdqJ1FQygneLM5y{~5od-5-l1lPBq+nks%`~+C1)PT3Mp#qw;4%=d zdgJ3cxN)qIWhI_i_cLwgN2h7wp%KDy=kaU8+M@2vY*T=jV&um4+%MsMP&rgRcQt%g z@@eOFe1cE&@IY&~27Ik|S~f81z>lp(vWocP{i;h4_K+ZK84S3_EIz}b z?3?KPn>0AT`^i-rau}}HSF0@%Uw~U-n+=zfE8K_9o-gFefF}k2bvDOQc&%N|EsB?i z_lkt<1g>a!Pjs*ZI}!#~edhAEM!?sb=G@KZZum(QKde?}hTpW>Rm<`$_ymEFw4X<&( z5Dd>>^P)Rw)8NhI>U&F096nnfPhL>l0biQYVVVKrgPb}yv!oqExB^=FYqag)?|iri z)hc9`8+ReHa|i*iPn~SAZ-njC{JUTcDmX6E+3D%A5pE*iknMv|xS7BEs-dzB_a$jJ zn$1K9sP#a2(N7azRd+?t@I8R{XF-{Ik{#~LY_g@PmoU!u#~sO7?ZJpwqi zC7Ng%5lHd*tl;<~1ooAgpFJalpy)9FV7}diPwdYpZ=DJk10|c&*@Ro5bH&e<@Pj;# z7Km*od4Zmvby*6Rmf#)8sN)txygKwDi%fgEh|e(f@;*btH0wO_=AKk40%?mok8qqr z(2+g+&gc*w$oYmZ>Vyj&vbW>gq4HsbWK$2;Xm3X-i`IfBE74$kPWB48=^}JevE#d- z&!5`=_pI;l!vAZ3|DW3am!6+I{@0)VHRs>pOdcomfPXxz)9)2;qmsA^LK4c|@}6@e zT;R--uGP_J` zj-=0PxTSSQk-{KqFxqS}CM@-!_#S`hv7o zqiS1C7LaDoVJ|E|nAv0R!!F)&A-=A`>AJ(w2yHf#+Awnt5tm+N?bz9jsF>t^2cAzO zCMC>qw?H}K?6*g=iufQw@a9EcWs+<2kYn%BQ7v#&evXuLw!GJNd?xb^M~Ng# z!Z7o((~)7=g*3*Qzz9k@q(w(?jt=`GeIwO2flMKimG!24W~LRvBOl`Ov{(@~-BR~b zoF9>{AL?S5ZXmkrlD|H$6k>;)a__UQBusSGbNZtW}*ku^kWb4_-E#d@Tv4?p5yYjwC~m9gjr1So>gof0$gnti zYoqj6gc)-*oWFSikr$E^#%k>mJ)X>`cisbWCj$Gqq7X7kd`Q4#^Lt@=?XN#&nt+|^T)E}kq}{I#D58}tMnjx z!}<;0^0vsVPR(aBXhqhMi`R$61rhD2_HvKnbCQ`kdUvpJ1_^Utd6+f%k*rf|`t2nf zQU=tLMmawrbu#8fq~%GZOJ!yA-hH8j5@XB z8<4%h?`r#DqBE@Zbog$lj~sR9pW`wy$oWc1_xX%0ay`sk8}^?3Q~SSr{=bX=e@E>< z2rHtw$6|vT311n`kw+-6iP^{IQH>HBMngrbAd)#jH>ku6|JY&=nDT1{CDz zpAgT>%l4na83-9R`8id*5iN{Uo3|6*>s?CU6*_04P@xo^-tw4m>ijFpPI@t;fYSNZ ztqytQNdA0(bhi;Q6`bD=lk+K!_yW?4=*Yb-#Bf$H9Z3PT`qG!jNCwq;iF`I`#4^<1 znLZths3%FkZYy>o;_0dwCN1Leq2tiXs2~h6^Pew{i5Q{f-XiBAeOcV2p!dwSdl?kS5|i~CEw)B_W2nU5Cl*L8`SxP5Y5~bF zbpoS@h;E@#YV6cK9}bg=8muTV7LWvjkWhrD{no^q3T(%a9rcf?vF zV>FLu?Hp}|9W}}(Hnk<9B*kwB+u@J)I)}7&pj96_eB{+8O$ z$cPE3G~iYt`jUb^^%o>>aQO$-qXKWj`M;oYyON(|k{z#0zokZWeM@KJzb=#aW!21B zHvOO4|F53^Z&WV@MTybh?2iAZ=T{XbpAG+Edyp^xnYupPg9&R4XjtGBA~DCa-2<+w z?~*FCiPma3VR%lQdO-a~7T#QgHu9k~@HwfyC=|vGUzP4rwVWjQ zU9ODx6x%@9%6s#KtO7auo{&7hyL3FpC%3|{F)+vWHZA<`rPBL-`HFzty3P@b?+6T_R<%(l%n|Ji z9%GVP#E<8?d`*}I!ON?>ABU%k zSl_C&o$#vRrG6_)@@amVY`niz2w(oMF)1xh@H->iCy@~df7RV4sTW)cSFiH>WScJn z*$-{?U_mu>+S@fKH=;`1wQbj%l4{^ZiK(E@qAW! zEdtK%mZ3BuT;Iz9?>f?m|L^h(q0}hC6Fk3Ye6pMP0NH#*-r3I}B(T$B;&MLoy@Qd%o zvXQ@^f1S+6VfiiuEUNauIZBx9TwA}M>KP;)LFwb0NJd-8ZZU;=8byTU*GqRXdJukM z(0vhWqJ>Vo9El)1%-=KAB>Yxjx2GQVV3GRp)a_!4RbjphE-{_mduUxk10@7n%% z?f<3i5&j$B`=2k#|MB~?;U8Q3u2P4)p5(g2W7b_UN8&lW+c??+-sQt@=hGbVDmDb{ zPkhGy+zdg~Ka#W4o*=lg#(bB7714PwN7~f{6YWU?!%*u2!swz$R7BPgUX7lCoxZZ?dOEy{IZ+#mL_3?OS3sA z5>Ad1vyOG}O2Wuy^MA8}cz4r764utPMud2LwV1~!(ZDG3wAmFS@`hCMvNH!F$0fc8 z+fu?Uls)>;$}jMuvJdKY%qN**uMY}E&B1?fb9=V)W5Om6JmVf)h2UN3b{^}jiPov% zek2p&!AU;I>>~{EuvN$A+Ex@1%@2S4xy%vbC-_|Y^^q(hnEYAJCejk`F3VFbdcx$E z?^pX}PBb_}(ON5?X%J7VY>wW54cxo={4V-3!+Y~f?vq|F@a-wS`L?zf0fODK78}U^ z<6N27mu^#pY@edfTOyuTDhW@2*D-{?WO`lDL)hS%6YPhB$?Mg@e82Q@M6g-BaF7f_ z#G}cJ%{4y}X{lM0hl9kw(8`}oJC3O0*a)vKvLBHeI$Du)1YUxDV+TGo!B>>k*GXL* z{%;?;G-$IUNW5PDNwXe8gc7)V$Xp~;Ncz#F@ot21ZEBd<{EBG1Xqae%wGsYe^cXjl zFVXYoo1`cOBT}DjP1{9UEEbfd#^Z~J>`cOKAUa&<(i0j6tjxM zehsPZh5;MW7X}6tvl=jbAq;dnO~;z&2o(zxq$6iimdyKKwO`k5 zguc<_!kOTJtUcG+CIje^abeS-zws)h-8;y`vXK_4ms^w%nIA(6*VVmdrcA^yckE^M zojxRR+1|*FQa99o^-l>4+`h9tlC#%h6->{6<^&NeW1jSKj zcHXh1tr@r7_J0l&JB#vAt1?;T;`&&+{A@A2zmH)B($O#hh(J`Ds zmYb>U5%x)BG>)oj9yKA^Thn8`J-d-QsHOdE%?708e$se5L5*ady;iDAWXHGf#)?~A zR}p{p$b0sdZnQZxhpQzHpg}vxp=w7G?znwkp*$yn%8S0D7IXC|b6!tXwB;yD^yoAU zx9OlT=!muWyAr~0+#2O=*NL3mEeEOgm?EoAS+bmO8JP?=5zq8gksdu?+wg?&6HnMN zXR9|5Eitd@t%6G=4>wuI`N&!%QK<3qT=Bpo(-n%1+I(nQWiYe+)&cj_b|g?Fzr*c; zvV4^|pyEDf>L#Wkl-Y3j+Rw_MB(p4LH6v@M?HJd~`#CiK)c$|<{QshL z5)Lm1sqeosxX%jln_Fs_TbQX^X#WlC{hQPK>Bm3ZzwgMW8M2*mJMq8AwwkJahWqOi zMZUTp;dz%)p=aL_cq`452;9x^X=!ZE16Tw%FZrtW0IW1g%h7MooNLFItlsm~!3HdHa6L;@6LNy*SB$yS! zi6Xf(?^Y08$Mf`W{2+G>-g5P7C(`3ni;TZ1ON4iZ!@-bnGQVcxsg0Fxf}gfZ$bQal z_$RFCxS6MhfI5ew?!%D?d~8yk?q`XhKKZ@JH5d^5XgO7V-))3k^-~tUzX~DU%pD(i zuaomc@(X7vdHzh5KneFAI17g!{y=hR-LyA+J|IR1j|8o&24610%cf51w&Y9rY;FG% za%&}ggATMP>QBS({oDru7Ci)Tp5?rBn-_tnQuLT-4&3=Rip*5-DU9lQ;7|4yQlw_nx4zK&R zDg;51-*rm}&mee!W7tw=58?2B7fsDmMCjK0i&w6Z|7MmR9IdA!KFdS=r#}+CNJ%qY zWf<{!@;`|%86diioUD!yMTGJ8kTz95p9zUG^uA~4a)c#91h(&XlCmY-KtV3w^JHEhY&0-c8H=z(25MW55MSrhz)$5X5)p2E z(mk8pks?+u%yM4%D+A1^)4HEzm26j*pe(LKWai1|E5<+lsr}zQ|Gx@Hp2< z_a9#8$d~5#+?%8<^Vu?H9$touw z5ylBGZBchoc4v5p?i5egF(UWvyhAGfvhdAGEfb!MCOP&m`n{Tm;O|a7deVuCd?pAg z7A6-UP$13ukZ(8wzg`hbZT&&AqjoaH@Ed{DQ#pc3w5Ytlqlv0^JP+uk2zt z`JETRk5BvWI_7|oQy&I(J?r84HOibnRfzcQVn+n4h2Vbvns391EIfWFtqfsqBcDOi z9d%Px@a}H!6TJSF~aTnRr*0pa#|H~8nIC0R;JAmGtkzh12k z2pnS9mRYHSpeg_Lm)u6k&leJVd3q3HoaZgUQGt+=F}eMRml3Kw{fokiFuGe9Xj|F| zm#;8+`?OXMJkt%IDh!>5x2D$Fd$gkPrToD7d|M#=+`bO57oUg!hkbK78t=#*%$Ra! zhbV%)e{z4k_W{B6Hy+PPh9JZ$WzX;l!c1Lly=G~}LxhH!Sb7K(p3@d;=h*mC;xTWC zn|ZPo;hWX&q`w$Lc*yn5AI|&#sr^4a|KEWA{}r|W;1{#hr@LElS9#Mb2RkuTQj5yz zza-ioub{qyt2`(&y<5VtUk3RsX(KbnTan|X`np->6tc`jlI*U=BBM(2c=OXeBv-c9 zD)$A+gPF7E3)Y%PO3y&wf?_byRVfPagfJrUQQ8yz%vL1q;C(13Xpgugy#kfWG_?3A zBv-vL!aeTios{n!2^%`U^q_JB%C=hlY)Q32u^F?d+@*UcpjbDvUHUC@FCD8*tS6cp zK@+dFKhlt?6T*9X_fw?5oi%u^z5{6iI>L8ksgZi4^I3P%8KfxW+wbA@C%Lt+W7xM> zAd!BZLThMAZn8caC>Oo z%&sw8l>a#3ditsqN_Fh=K7AQLF_m#$&>B}1FkSlQF*A(Z%Gg)_?N!LG@p@yRJ%FsW z+Mk6U5)DmAc+dXn9HdM2gx$RrgEW>zn|PW@q|)0Tv>H7&_g+OkIZ!%d6ax_HxTuhKvBCudnJWC z3JwaLzNT>sc{I1iRW2qYMz{v3WeiISH>r#e#M*MnzWpk9A>s#~JcNs%g&F(Glx$8 zKvg)r(}Sfnxntq09LP#PSG4Ed38P6e)AU)da<$Q7rBRpJ}9dBbT0s52e%vyxnoKAYZ^LDz7cRS zjwE?Trb~ZXvfYnVc`7Q8skjEfBh~$uoTwnfnH=I5n5@7GW!9RJz?i zA(HmZRKeRt-29dh33>n5#vI|Luir|gWe6!2rAcwR6= z^50WUi|C0TE^xKt$+A_e5Olur*Iq}`<9nLq`HGTRoTBIxDz$A0d2>=Ro0;_Z>f=4^ zJ17zQ_KBG~?HMw&x_vpZ{s6){*BZR`kU;pcrO(_H(s0`(JGV(AA09)WH+82(z?*-y z`Ib{<@a1}U`CO(j{9erK$V8KVLU*gT%~A!?$S7P2I!U;R++Qepj*>i{13K|$GS?8& zn)mtF@Gv=7WBrOx@+0(}aPbijcZ6wf^JAhSKEQX&b1K@a5UzUscS0d-Eijb%_?=WGb55jo# z63GcXr*B$#0}-#ST0InxlUyEF4N1?}@U7j@sOLfj|E7z^5mRKIpHyalrsF(%(ZFSf9)k|OC{zkmcQTil2vihuhSST{GeZpRnXiRtr<|Z=2CAw$2__d5oHPW~K8 za&1^uTz(LL*%}(tgwjITGt7 z==YCAUwH9_oy#J8Z=5-CwJ!s{U*%>L`wziJ+c{#yW03IbLJJ-Wu)$fjORwaUA6&ku z-oMFg2{-n$ZtLsGJYcVE25%)hJUG`Zj_q!N=PEzlHI(;>o|5u{z(HEVUAmvjYU2y< zo7q45y2lBd`_qGiKhojzIMx5ada?_(vmdqH^pa#>avEN%CV4*hMwl*nmcedLe|f~N zA5K~(TlR46f=k|O<`ehB;JPq7ugE$BclMO&jsgjI2-4h{{<#I7d@txWU2%gK^Ls|s zk5A$CZRmqBr9QbE_l~j2ALdg#5du+yWTb({!Tlu z1`N<4fQLfu+{RsS&U{kLR!a$2wJO6lp$@oJYrpNRJWSZ{0k5*iUB#12m%+SX23|+- z%2>%1-r^d!YX?%`a~S3&&xjvn=aW_$f5PToJ8t)KOAh>oXPbT0HQ-+@8L5+GhyYJp zZo4yrWG?f`evpCONoZtu2`JhisQLAGI=27h@%`!f|5g0|J8HjWU5~(ereC;gy`h7f znje*XUA(I<(xJ4JbNZK)CW;=fbonWfgnU=8Eh~;+M9#u#nuD83?)mtGaMqzNGUxu$ zdPahWXkB)>bAQ@Gcx)bBQ`tl>uJL1(cZw4!IvcqcSnH8Yb=($g%}CUqs2^&0g80c; z!GRYngxA+7Hbead_hiMCIPC3FIZ##(2P>4F2)j}9*$2f>itaF`vZCOYW^})EJ967N zd?VLfLU!H@t`-JQWR7dtnX`}_7~S>)B}u}jW*rMLV%mt*FL8;Y8J>ihv2TN$R~_N? z-O&;vKJ+BDjh-65r04FPa~Kf%PI!HVC#`y(5nkVC%dmG*sA`a}l)88v<+PFfS6%=m zah*$dRv#n#j;5Cu4+x)bie5hON;PsSCD`lhLXh=lDzmovC^FAUe$j9+MEYl|kkzi+Fa(sj4l6_zA*R(=C zyPd8qYP)JE=!Ge_dO)Sjpv3W8FQHuGxpGs+PAI+k{fJtrG!*rphz^TffP#`EKGg@C zAa8Uh#?iY=s{tu=$87Vw zJo5SmgdOFg;IW}FZCbh#H1dzo2F20AgEk>%=^JfO-J;{-AQJ(V{=P>7{P7Odc_!g= zlQ)#zsI?fO6hof{B{k2|UgY&zdKPry{=r%M=O>+H$a_CgS|<<=xi0&Y)6--jN5DnZ zxG@s4sdzfi*p@@)S|iJM#)&`Y{(toR|D<*Phh{hL#yojmG=9m?lZXCw=;PzxK8w?9 z=T+V5-aaWT)6kIvQ{Ri zh8Q;K&2XnYh>3|Qt32`*yxiNyh+~`J+Y1L-)QcfNjails=h{K~zwZhOjUv+`{>QyH zb`aXgI;elz5B-K&q?efG9O0xg($e}8A~n0eZX2nF$QegAvRIto+h2Imxa0tpqDpHi1!Dj>=|T*@79&lAA*SA17Ziym_THj3|WTa8bqCw z)AZ?zMQyN!dTQJyh?XCSqSRb~=qH!LJUI0rM$Rt#7cFW`nm*dQE7$^oe|xo@G0s%a ztv)MTRsesld-~0pTOlxN$I!zoA`qMuv?=GX6SGH!mEV0FhVZQ%3fW0tFzwLf+W}$*c{zj} zDKRf6kX?7DFAzF%c8Q7s`0ftmf2Gf%uM*8uAl&+M_xxLNhd?t$M6Iv zy(NeU5=iglKz6p@>K1kHY={z>aVfZo`i}Rh*D|TCAvzEmoUdaB=keJy7EEFgQ+v4R zdATMKE_rTKe^QJ-(8A_>Knt-~EA*2b#36RL_>J8TJ_zh!k}#6xgb-HNn+F-JAWT$^ zq=x>-2=->$=i*d2!+S9qA4!BL`R8M1UYPf^Py78x-{TP7@AmEC$y|u>X;k03cNa3r zwX9yZW7hjPY0&h0FvL3O3CVYMLmX|%%h9PQh|5*xd7*I)+1&%HzCx%kY+Vi&s*m_n z`+xQP|15me>;DIv zKLK$4dIRWl*TJnX7J>W?#&n=sg2z{_AzUq%dja#Wq|^X33=0@M21 z%rN$fwkBKnQMZ+4{=O{)S<$SWrHKax!8euGXb0~Q_|dh0RaruD&J^LELUeA(C zy72`#9eyJ7?4>)ns7nW4QQ-tP@j9W4*8Jc;&oQOiatu5#s4W>Jpaf>;C=q65aaYVoL2|=4X@zYb|bsY3;FXtZ|}6KimidK!QxB#B{sZQcSOABIRbt+ z4=r|U41i5Y4Z37X(Fo$Jfa@&LU3c^d8=Fu~U?9PBc;2|i^T4Z~UG;CtZ?^T&)f z@O@0T`0QLN_(>H@PJF;#{ZWe0ZDl*KE!HX@3o!wQ38gaw5%<8^@-Y?FElqIEWWPM` zA_DFay+hqmGRPlHqGdmgZ1j2iR`=*Q@G2_b&#!^GIk)$Y5WnNSeS1{xcKc`GL+Voq z6!$_F`B2AD-67oFxyqf@rUSog&TqIVd%^F8<}pz00RLOU3`J*?z`ol--1Hz9IOTIY znhv&NF4ICn(vE3xml%&fmy36R0}p9Zodv*i#oSGyR0zB}y&10ElEPdC!I|$RkMR!n z`AHFz0Qk&*C4IqJq;I^A(Qw`&@Dm(s_mX7;zk&VKx-SXfuV2|_vn~(*3sVceL;uic zrUwOfHiL8aMO6Wj25>u_@NW2OGI&_-B+g(FLP!1{Y|JA<10kg zyM?Mt*0BQc6)6;|%^3#YjSvN+>QmrX!%6SCe;)jeYtAg>-9nF(pW56fcL;cxeb7`w z2m)`tRXT_o;J}}np^2@pAt-{S_x)bkKehj-=l?gO`+r02chDu*3{~sG!yu5$&vSrk zsRO52M+>05n>#i8P&1U0ab(NC^oGKR2164xqR2r@rB_{0fZS78cjkmFA*U|de}$SJ zvZx4G-=9>1j3*>3_dVG2SEchGwrGU(hkI?QN?t))RdFtP?g>a`vdOoe#(96v(uGvr zN6?XCl;J*3j+zeMYn}Q(p?a=Ouh>NnDsEdDRo}FLGBSm|lgZssl*61iivGO<3Nwxf zx7(2W&HciTr>l^2mMc#A^)K{@25s1IJb=vmR*m;W4nl@}2ka4H1Cm^_^F`-MNY^$N zAUrXFGzOVf(a_iEi8=MI*C-V_uY6X&`auO6ZFYU+7gd6q@i23PkRqsLEAi#oB?4u& zVHWFM=1}}mw1Jz64hnh2>wRAJK;Fi;9_6objUI>`vs|Q)Nb|OdMbUR5mo@Z`@DVaOJ0GmDqNkbNQjeweA&@RS9U~*d@5|kN z&fL{Z(B1yr$y{*?n(J2-MIJ6dy>!T$W~V9CaNge~9y0-z#$S|pim~T6tk+aPhDT}f zuEEc*^`Q8q=1SzZYAB=-w*q7e*`!FEPm}ki9J!?&5FBF-fwZU2r87Q*;Pa)KW$m;OO4Ywt5%q3ixo;FV zqLCTR=xZn8ei9O-e@jI*2kk)Tb${1X0UHcW-!PLA1;Fy+i_fch>xn zpmhskY>KZt6k9>e$2IQWmxVykc;h>OJ-hd{t>z@f%f<&DhMH7}uyyH=Z7_pK!zDHnGA5&TS>}`f=7gx!J*$mNQxMH< z{?q75H$+!9WN&`Zf*66fCz)TBAcN#q;3uPJK;U5S^fpI!chcTb{yBY#?+@!DcfFdwr+k?%=K~5V0I};p)>7)TxtS-m4-E zQOb`_JYK;p(oba`J}=iXm&mH}27@NVkg;>+;NB!As5+N;_AU^}`xIrJE(5`Pc?>;5wSbPODr}IR2Up?3XA#DjqiEU;Omb@2ZHzkQntubQLu;GCG@#xG!(Mr{1GMg6KQH@*5F*}tc#Rer;Y#*h z_H5b^abhi&wix#(#uq<$45BXo^h84UmG2Ps*(RHf2Kj{v<@Bw$Rxxw5FHLv99mKp` zOKiJs0R&t99Ki{5)NuFRQPV}+!e>7Q2&exYM2GTDz*lUL)-|3-rK+ih&P@5D3XC%=HKcgho0?# zgs{n(#(%A~=WL*|au10xmE3^H(-1z>R9pFPqg@m>tPHct-LA zc$~IQZpS&dC%wUq!8b(k3|(*i=%5dtn>P}&$G?D=$z-AYryJlkyfcL%Qwe)?2?^2W zaj-P1kC<403^p!VGFm%tf!)Zvh7Dd#aA;QAu;D(8IW-KXqP-p9{G9G;o!K66N*74SUo}ZaUkTX2A1NzVigtZp@LnVoWy|0bZmq z7i+Dr;7#@8+(%htIollO`}n#FtjPGcDC{c)n>9+MKRuq;OrIho)~%wTz+};PssRzn`ZWeMU*wTCtmM0zd`_yPL1pL zHz&Z;s_2;8iw*Gn=^XfohXuTxsEnQBL&0m2xlUlu2zZ;Q9!*=S1n-v&_PToL%fCG4 zGu-C{HoXhit?S*vUWRq|F-j3|)a7Sl*mVq?g;YK}ujhlyE3L&}%>;bE>zg=?-N5}Z z+fQkqz2Lcv^AyihW7I^A6i_c+2QQ%>0N3TbAxS;V z>WsfSar`;nIjFx(eI{!M&$jp*51M* zo1s3{{K~2 zqmUZ@c%Pdw`rnUGm>i{9hK>xeX0zX7&~T5*Qu(JfRBvQx#q&`>g&F0{g}Jj(cED9f z{O%Db>UHx^XO)D4vnk|z_cK7=;oF6^==IFGleB1w4Bo8w)+2_Ok0P(Hj;PLr^JI_f zyu#bj_w8{ zj?Zqol0oJ9T_1AjC7`T3a8AKW8A@oRCEYWlp-}S-iO%mO#msDwX&7;;mlJjDhT#U> zhC@Jd=iZn(--SB=nmnbo@5t-xqokuGKy&L(wtm+%sJ~uuh-{<-YQ!8V=3^Y8(xas} zXz2r#yUQ;A)Mq>P*=Li&v7uAiP=!X0X%dE*}Hpo*|IKao@2)VTM(ngF@kUe+o*y83xidI%L>OZ1oufCHUACB zs{Uf(`r$EZNCFQs3AsR|&Ba(NJFE1W-j!vn`6E0Awuko&~hoxT;lYeg^sG60$+!k#o&cO z2$Yg>czDYTLQZVaE@pfMVXpQBamjlS;hJD)sb-Dy^c6|{U3{1~Z}H8yr3`iHM{gH= z3@hX6+&_@uMc@1hOo7$&Cpx;`qyW*^q*5gLpCLm~!&^p>~1Hz(P-}zmbPvd>d z^qj+O)@cXc7Ct$QtOKn6nHHSY$>$3u8e zpL0Qz9^P$iN(fDx5T)q&p5`syX^x&G>P7`X^y{G~#!RmvhEQJ4iryT8_)n(topPC%ms08b5cm2!4Mz!y8f+SC?rUmD1I|=hlDQ= zJcT&X&ljRPby=td64@SSj~)LFiH(95wrWg&YX7gE|DT2bFDBRCf3rBJFW5+4Jmw=# z)^hS^nBqI}y`BI1`@fi8_;K*})b<$sxEwXTj~R^VtOhS)&dq%;1=<&UykMS1m6+Fj z2rTb}@7zat4p#CPk`5gh1M8y_F;Bi)f%VkZcKhE~!6wF^uS?nrY(>2^?jOtt+ZV>C z`*oPW&dTbz+l(^UZ@Ft!coTE(gXq-0RhOp9ZcauDtQ=PxZ_6cvwF<2V9quD+==?`gN@~ES zyNqeB;RD#(>aa{ixPcwLwd3Hp1=y7+^}O#d0ecBDySbEep6oyR zZq^+c(s4rM9Yk=b+PIv+Mg@+-;+_6SWwJCM)u|X+s5944aL|YHyJ+6@rje{d&mv8F>kGDy!^pVcF%{fr^{eB z|B`xZQW4lkhf2TroD2>;Y-dhY4uC_8b)emPIXJ4)($5G^gX42A2aW|6Fdme2-Vnv- z-Aeysn^-bfRQ9!&$BTm1P7+IS22N%Pu`0W7 zfYWdD($jkwz-)z?q9mLPf6f>NtytcHRU#*K&#{+aU3a;nX(ub#G|%=$6zPC%bwjW8 zy?w~$_I|MM85!nAl@wH;QUM34ALV?-_xPbgW&u@p&5Lr>6>5Mzuh08ez|qmK?}l zZaZwIDFj*JmrO?sv>;PGZ*}GMAojYnc?&Z#$m(>Im0s~hR;S04zAHB%?dDW2Qw%et zd_UN>9-IUnh1+#15(J>ZwQc6|WeH?;7Jev~JdCW)GX|pF70Bu|*%}rh4aGF6dOO~u zm)NQ9*%aYC~f{_O%Q{wy4C9Ph+Bx`Lnf?Mv50QChc=-Ej7{0YIDFv z^)#e!eiNj@{DJf{<4ZK5cOmr)fm!;t0kS&12bltzk=5yC{8HjEvO3L*p70qUtJCdy zhOQ^FI>)z354A(dHHF6S60=a4c`KPr&=&HYnwk49I6-ddVUumt_K?F_$T{7Oz4o(` z%^%w?LuOf0Qj)qHWaJAU5{~x9j-EMuoc;X?^wN&s{z;=Vi++oPK~GM^ zBC9jyqm!5zbU#;~+iio4?m;(x(UKof?{MzRoKQT}=*Fkf?J$GNoavmCfre0?r&MuY zR}IRTQX5||mOyd6e9vlnB^2hQ4Gvr}g#0(tC%qoyT}*TC;HT~5kn{VrcSQRFWcQNX zhTi8vR_*p!4Zmt+b;5&z9%Q$TF{-Hh?}y%RPb4gGUh+6j=~6^rA~X^Ye`CxZgE~H^ zS+00Rs1fr2dRIOis>pf`cSl}>3S~E2M;c_fey*A1lgexxhB5{tKb7SCJ)gkmm z7JXuKJ^?YW86yG)egUCRh@iaN8DevaXlo8RA%o%#YedNq#Gm@yby7VMnaUeQtsiqC z!MB;kd#x6TC+zlEMSKTh$q5NZN|!0gk3d3~es?>!5fDwuoqPQmzSDrImvV9uj{jXQPc6tB_%9^L89>xKYs_?G+ zXbTXV224I{IYFZ7>a+Cj0Z7`r(q8t_5|T{;VluaB##%S^rBZHIaZ%E z1gQoASv|-EPdoT_=?Eh&q;-1kWa~Qjr}qDx`~PNi|8J=Mj#CX{t7!x9u#?N4v11ad zgS0Ht$PS^8uHJe%p$1ATx6iXQXhD&~*14B5c;0Q8@sFR1fV?g8ZcmS62E(o63Kv(N zK-N$Cy*{X$%4~Bc&YZ%TUrpTQR$E0Nb+kXbc3uLru(g^JkKTZEZiNf^jV6$~81}vH z#WU!rsZjnzAVNcu=6vKv1b)tQGcSlcLPhBXp05u-LfM`A0aloR;(N5at>)iD!8kEy z6l)@{=blW(qvMdvup&5UfUNM`N4vZ(kwcbof~`36V>8ukw=NzshKw8LiU-Gaf#lLe z|GwD)(kmziIsy+v+K*Lh&zGanX-2b_8+#KPYgVtj<9@sLMB--K7ayo}J=Nuyo(tvs zYc*!&#-XHiT{T8s1&VeAQ_s%FLjIJIiP=~u&KxTmuCxq74sq3;v~d=)l`J#|!c`%Q zvxG0wpB^&Vtgq|j_CtnPRg3lcULcvg2|eM~59tNrRrGR&&}AI$lHI)yP4XvP`usG| zzs4!uNZ|xkIV;!BoC<&n<|#$~(wk5w@A`#d9Wyano~i8W%Y;JL9^;MKSCF41?l3XK zjJ~xfWl#Ab~WW}F|sZ_yxj*J&4E<0xDYve z9=bm_j!`3Pgc3st@HsO@#G_oBOz+@>yoc1LhhipSOczgCRhz$qkrzT-O+24!jK!X>&?OL^Z4^2k!6$6f*Iu! z=HV~%PWXG2yBCeO=abc3Z7iPgVcGvu9UBzq;BkBu@i)W$6 znq2l5=L4v^ctbV*fgV&ulqecIC_p){dJ5kWZkjdRQE!ZpVV#F zSD3Y|#%3DF^a*EI+~gmNkTqqUGySYK6bz{mU`Vx<8j1;`fwaBXKT9eMga0<386WX`5OP;x zW0c1ZB35tpFbCX6wuOv&q?jngJlpB;Uh4wH`W1WRjY{F{s6d&gaS#%0UCkp-yZ~au zxS57h0wjJcDrToqgrt>;v>nSlkUaZvm$OU{dWQ*$gPq9liSc**as3jc$&j3C4emnP z$JmAKKKkf=vAW91Opcmi{sihE7Kkjp@V(=3FrGsLwtYU$K*&%GT}r$TaW}6k4vj8D z{JiLB7@Wim5U$&q`~Zm$Mklkh4@1%%Ik^yT7^IL>ojeu26;gMSI;&#GA@ysmyX0p# zNPGDBz-1Za7mEx(iGLdo>ESy!xSu#f&?82jrfwUEAm?b{*pI%r$vIP+r#cYxJJ>w# z6VB{@+*!RIyaVE!e9~thzXqaVl=>m{8<04oW5IfC1Co`8vLvw(2F6fK)YoS}Za zzDHuZ5(sKPN*PHW5Enk&)KEu-oMHuf8W!X;2gyBnWB&q@6|ZR1Tt!~+OpM@&5f7x@ zt7wnQ>xOjQM@QfR-tB&Sr$lBQ2U6sYm<$Cj$k_Xn*DnmUMSfD*el|ZLWA>AMhfFtQ zDpoXpGeF(J6%J=+HU)^M$bF>ntqF*+B0?<#{*bhsa}2X0A@%glHHN5zkj|`LcyzoF z`9J5`sjM(#^+(OKG0F_cXz3buO7etEw~%jZj%Oi@Lwki4jTl*Nl!=qaN+4Ths?$yV z9p)yzqgSF6fEHbj|9?^K(=EAwtImR8uVCvPVa^ai zMd&)3paD@n&Do6M2@rE-@4DP^oS!c&DZH2@K%7lb{tu&h70W1mp z;J@`MUsNLxgy{R;jS#ws+8JyAhqrL9ywzg*Y9$@+4GNa-u3!!LUiv|nh8do_ZH^vm z!#S~y!ical&Ts3#^6w5Phs5`b7p^>Lz@M4&XK!5YLh{!t8nG)UA*JV`rc?%cXQI0z zP7-<`?b4pZbe;*2_Cfgb%sB>RLq_?{kq$y=J?~t5J@RLYB_wQL;5_}2SG2uA0}#?l zUwBsxAWn;P`dRQZh@b0I3iTfWqW-{1;g{zjvF1gN4J9(PzsBDVJ4bXsg|kB{ zSr%XVtUP9b4BiwnpN6#hpWJIw$nX{+3ndI`LVDkOAgDfsIe+yZY>&8i;}?%W z)XROjVx^K0^UEQcU2q*@zr&8(uP{rr(INe3@O2;>eC|yYsD;E&^F|U2R9K5?Tazm^ zASEXBvRYpjq(0PKyYWdC(mHaMQ_gxq`pvc2-tSqE-ua33QC2&UIA^X8RtI5LnG`!y z-V+FS9~V^W7KNyHcLi;yQSYyMIO3Z^KK9ycj5Mg5NJx%oliQmJiGH11lUn#8`SQ`s z8sZY9d{%y(81f3z?iOFA)56adoi|#N?@V#7G88Y+bs9+FYAkEGXUN#|VGEfWK6=kk zQ!1TD#`bHw^H$D~dFf}NXe(x@DlL7BN-~A`oeXsMi?#zXno)WlbAOV4yl`oIFbApJ zfl?>=w?O()+G+(@1Jb_z4%CUr0r-Aq_Z!UY$Y`p%lVWKAna)ODPug*JcQWy3gBCtE zaJvcTE<(24_tr7=a%Xo>6&33QL5^seh;T_ZOTDQeDoQjvpj-g+d|f|_qRuS%t7m$-)d~a< z^8#Whg21<0v@_WB9JF^_`~rGMp`QOpWV+leW1Bb*uwR9r=V)T|4OFy zJt*5^FL>2RVvQC%lW3A(JXDuKchHq#NuZ4@8}OvVIWFp+i-WP(oYT zX@L2^UL9-GPg&7R<7ToLTZG&iyImQH^$^+}onB&H4MF1D0<3OTfIr8(*bCHI&?!Qk z4mOB@2EBA~@p}qTeZXI@&=hk3N9g<{loz0IXgSDc74r`r+@J7{h(Q)*KBY*h3XmjM z7P=x2K+4;NuB(_mLR@0=QxD;VxYS#N`^eTHrlr_W`@RiC$%#&?IMhS9Y>>~W=OYLX z-czMaF#`b#pSPudr@}kOEA=IxEAZ$7lUA&04AckysxG1d&&;dy##F?g>7*+bKVxStR42$g@y*px+rR z5NijO5tmqnc0@rLznzl){5TY9b(AhQ5+V2I_js=_nE!Z0GIGalHz3^`4;;?h2C0(P z*4N&8L*ngla??UbJR`W?oNi`C9Vf>Bis2k3X(C6b&K<&Ew)^LYQsFL{G{w~5^{4j# z^!(aq|DtvN#pKdSIzwUf;|zsPJU;%_@Z+Q9@4q>(FNjZi;KW^4h>$8I*Th~n`e1=W zG~WRr2oboN8F3GPw8e!pWD(-WBNHw~-oV+}H&=;1oSD7h=nuM74ap+iiMuXNV`VO@s2o#@AK0) zquV|LX&WmQ)nm*JNWOSP=T;?ToDw-U?uQvzrS981e|SSC*Y3k-=hq-J=^ohVzK19~ z{{5UsP>&!ksin{Cj+1{RH^UR38>HU4)F7NVez|HOLeS3Xo;~jhSFG<=oe>R(0)171JM} z2FtFdlU5e8wOg}AV$f%2#+&;7$5$YpZ7*sSUVx<5uZz81$XPnIlW{fyS)=D_;y41{ z0_kF+s>#`BkReew#R>Nzljqg-tshy?M^g6Ty6PHaP54{xABuwP6wgS?#A}dq<=a+r zeBW|b$6bZL{e;{&T3=tySCGek@f`nrIOG-Q8Xp;N{8Rh?-tYgb@c+f@JBIcB|I_o& z|C{HB|JmPjzwdZkqO_@S9oz-IFIr8TgO}@6Ql;Tj@ClYP8TZ)*e%d~k(_dC0fJ*SR zPw`D;Z~RcEw=aXBji>c>ZCVhbsO7(7RT4sdGBF_Y7=&f{JSyNTg7EUDkfnAaL=>n5 z->6xDNIA>=*5(w5tlSkvy>JVn=+j6K?}>twGObW*Fe`ZM`SJDCg&6SqV)pLw<_P%w za&s}%WdXnEuV~KxMixfsoo4NYFA#J@!PArdu>f{@S5v9xsg5XK>UaJdfW z^{RuVhvlLn!hqvv)dVkcZB*{LrEiDGyr+qKcNas{0aNEDck~^&j0wog4}){%G7a@h ze(*dsPHqwx2e_CDbD11RZk<5g9;4^rA4xRY<G`?t@OMo?RMvB4 zmK4Yz6z^V&w+pe(XT;v8X$t_AosmQO(p?!LEC<**}#`H|h93h~|fui178-l`! z!ofJp3=t+ee}5eWp`E=S%uj4X{y(WdSLG^15Z)@jd#a1xULj#3;SfY^z4%2tH3_*z z7j5;<^WyVyd$^IIm)h@vCf`0s%o;Vb??ErM=aXXfm4`au<4Dz35YYpEZ(1vNVzx*i zgRbVrJT(L#XDt2A$pE3`FH*i9L}Ys>4n4hRop0S0 z!MTC=*+y4c^zSVhjYwRi}k9ra|cYLC7T0Ld4#=mduPahz$KT{w6C0qP!j~ff6!6?-h1E zlSo5N+2*}dt+)rGU{IJF`wfKl*1eBVw-;+ywh(JNivEHA@8hVCh^uhXxDe6_@e;Lr zsA5AQzD;#c3D?-4+W%|L|L4^Izk2@v7qjbcU;FKTt^G<~kD>mkWhv)rWvGl?)t<7O zhti5gzn=CTP*54+c_I-tyxTt{3m#8{tm8JrliP0tsb{Y8DuooJ6>UweBj60^dc3GT zt1}So_~#_-FpuXOea%Xg8xS7IG}-0FLUhZ#Dez$S*0#9fTd{@^{+>c%q@)u%cI_H5 zX6u6b$*TryviG2hGnIX}I2n{_MqfGiq!tRpR@?)O@N@gI_tc;g&d<9HJsG91LB_3$ zdbM4fc>a9&y62`3q=3A}OQCj1lyRQ_3||86#L(bmz;#o2vT-U+ec_T-#PA%xqdZtvQiOW zXQ6y?zq^LqAe5*w(B9k}h60YRv8tm6kn^GS&bfZnFvIp1u9*?Y*lD#0;|h>|Oetk3 z!4ZpcmAG(W$wAu(o1zRznlbpdmk%WnzyG3rCSRE^kUO3Vdf z+^4Mgl>{+7Uj9k@*`UX-Z+s$c8JaiTwO5I1P``Kf%L^I}sMcO6dGlo!DvlqNWf&cU zQbSH=x@2c445bm@rGboc1L?WjUr#~yqv#qxZa2K|U-8?j>klMfwVr?vEs&N>cb*45 zXUXx3q9HlLK>S?59>uN%@qS66>#OG=R*(JGc+dZ>{r~9s|Dtsc{F}$8t7l<8<5tJaj;Ydik9yQ$5INE+1L7MZWC)$LWI)(642X-7jeH2nbvEM(B%a zLY!1Zk->T?BwT9ZBW?+W#6#X^^t3i1sjG}T?bicHQ9rjY19NOs+a2E&+nVFO^YUnD z7d~&{sEMuZ3f?Ug5^qYOwy5j+P5!k^$dJ1*GR}$m`bX(6ng%czk30UTXWk76Tb4E8 z3vs~tfc_oDE33HAHn{UldJN)z(VXwk!#y(Ph1gxHm@)nN;xpf$n4z5!z@0HG4yhOP zozGxaR$A?04nwoYIJ?_esvznq&c8Qx3Wu0W)-G?}(Ln5*Zv$d!r!d>wSTx__HR>VW(ZBj# z1Igm=4sY`GLn^t~d1=&Drj;{T*r{Q@aLR8k!4X*?sgDcggt|e-HU=g>BEG*F^z&5_ z!jLH#llM$J1G!2{ZRadGAxrY>wCPX`WYtwv7%B-u^y5=$Q4i)(^GuU|G4ePhC#7iZ5L&k)x z5kp`SWJW(<_{_osSz?hE>TgMGrxAj2w#t#}IW|ZZ)y`P+r=!W>` zBidX$FvF~tN8@OP7o^!3pzc;o9?KU3Gij*eJf|%UWNt= zuuCY~drxo`9KW7s+njL)m-d=$Ps-omuJnRxu@n7xisu83HC!;W>n`Va)lu+P3#IXI zLw=u-)5G!jD)6Po|2NqHd`E6pklh#p?*HaH zI==t`E7WH0Po=<`g=}KL#}T=GJysI4R^a5Z#2LgA4z4;(+X6D*gZua6OWVaUGb{59 zS56diV#L|lP)5)WYz+{&ZD*4Rgmc|Bf6Gxd^`AWS2^BLFbV#Z z_jj!A5`_Rw+GyQ zP4?0YB`5UBO+T1i5ZVS_tiOuPcKBm1?(@$nWq#mukvBDWn-=(*AGmkDy>FZ@4W18c_t~k6B0Jrw{&f%L5HrR~KIF>-U#_nL z0omH%$4>0LS$YBd=}t<1I{6L!zmZY?*c|}@4GC!{f0{v{OTYyl;R$4H4{CgGl!u^^ zp^)n*m>}4KYtUBN6CC<^_RaEWfQx64KSQ}7xT7FCabOR4&eVw6FVcdy%A&HUHGofI z+2m1LBKSTHcq4Tj86IU2Yu?QjkJXYQ6y65}9HpulESO&o%ZpD@(-eTLwW_m96{#$4kR)*|=y zdk~uJKlFO)BZP%Ksxhbw#k`1B(J7o6Mu-&?vq$zpzYh-N~NFusWix z){isQl$~E+lUYJ%b|CA5k`FYR2eW4}|ALxpx6g+5V6Q7aee+V93zV#Ekl&d40R?Zj zByBf&fg0i)pnCTepd*;bB8mI*FUKNZSDLI$XSmWqu{QCEYj@S3{?+gkm$X4#Nljp z`oJxRVOmISj(+)`&IFS8pB|HmTL5BNnOY1bAH+wQpS>>m5n}V5o^%Oe&tER^Qe@T? zT6km9a_2rmz1PdCtrf^>f0<8wW&Il}3a(5n(bGWLr2;al(GDnT+xh9N05ZypRY^?e z10nZ-<;nzVyRu$2h4XD-9`KLGy4}7`K$1}sIzDy{(qeVwO|Mu%@&YSon&vVj#tyyE zkmZI1`{NTeD@}iD|37;Ezj}IA=JkIvxOI58P#CG>m+=+~b3Ek#`epg=zsKMIJ!gHP z&CB61Er zkTU!InE};rNYkdT_&Kc(={L%}4L@QQ^=fE08!gVa!}xC!v`|;auroGo8)iYr5j1R_ z+8~pfZTGckfJ_(D-fy@&3>)V8{R5CCEqux;?(|+D%$1t(>m@+Ev?=Qc^7}y4Jj1Q> z!VHo`)E1_$ZG+@xcHIp8eQMYn^7cm?kiO&8%r=`(kY0K9qsx>Hkggwj!Fv?7E3*zE z!OVy8GfIehhw5v{_*MLbeGz#&4raX{#nDUpsdVJn*bRsuKOV*t`bhngAt6l6HkeQTk+3z-z5XD?~ueKg8N$8g~uWbLt!di}E@uuc8+I6Z^;b)~QAzoBkILM97{1V}QSALTe62PsC&eN%gIt}p(nwvP|* zHcn!Ww6$_L|IU@VTSy5RTgWw^JWYa(GRp~N;s9&dFFVu)n(H`HlyHyA5IFS-+mS3U^jWotoFyGGO=4Q67SE;9eTirSieZC*r4$PBudY!N*NS<*A^9~dwj`$tUA zV|RPVj$f%-u*8h z-#>JHfA#$TEc}1?^e~U_-)xTmxbIU~;-CL_t`Gjv-?R2jjVBs}+4aEk)8d!H+HkOO zo!LEarww*xZG)7$eBh83E4?AE2u?=yf)vJT;Ihk}-()luTz$O;t_XL7+k5GN7oT*% zgFC0V`d2l0^6Ihh?Y)D&>d?!6T4XOv>{{`Xjs&lI1MRo6?cmLQHvI%;3wXyJ-T6k2 z0q&cUZzf7T1gjfCd@IL8z-G3g&+*kV*t2OpH+w${j>kwT<|Yx~^mUh|U+4z7L>qk= zd#nX+3=an7e_(IyeIa$XUpROSir!gYZ2(VS)AKT1csHQeUpX0Y9K4d%Zay+S4&H}- z%VjSj-!8&1bW;ae&>I4scQVMq?BjHQ!CDVklPS+SUC063c&S(3Vq9Q9#{ay$bsha~ z3Hqu&H^8~{x;^99AaK?GBJjomS?2RGl)W1Grn@VwJ#DfKl4ytX*R$a`#n zR~&a;5j8#!3n@_Glo96r9QU_*a}a!v9PTsSiHy#$-d_w>m%x%6s#)TK!REx5I8L`R zu&eq!TW|Op9M+X4Zzg{NXDae8iS8kA`Ic6?GcyL7>$zcT$2XEck4p~t)@cuE)qKf^FkLy;mlLzI&XZ@SNqoxXEvkDPt zHZ{OH#`0YS@(%3GBt7HocYwp%OkeXOWThysa_}qIU^?NJ7P*@Tz}@J5dPF)4crtgY z?umO0p6k3n{d>#7t7~WWPzo#d>h@eK!cMRS(J7QRm@t;NkXP0mx;{ zGd$K+t_@z5;_+Fm+~8g8GV4cy?C=EXhx!`a$V!)-$jHHeU;6mdt!%U4zmwKWR>2(n zA9e})%zcFbL!nQ_(gP4k*2UN*G=dEAotZa1XdsAf^|gpj%Aa%pPtX6?ssF#A_S=)s zRetAAfqE_CkC^A}Q2Ctgk@vbOl<{;XWocc8LhI68GLAct`}}$)iQ+e8Qws3AI?q5x zTRKy0iy_YRwuflx`9W&HTirq8Q%Gtyq2l_+3dE~a_I)J;i08TX3PLdtR#r6XbUn^@ z2^&%>oNExh=XA$N93ylvA7?x_augmikvkduJOWjAB`;@eS)i=^Ah9E&0E(__DxA9^ z4|(ZTA_;F2AiKVAi}Pg<$kZEjeY~3mNM^0ZJ6di-T9C?+WOF$r7pmXvJb+#jF8Pg| zH;oX#bXo4TMjyofdMv#v6AJ{f4&P*>8i+0=#GI4XgpMwuf#F|f&~T(lj(bxWs%2Uy z_B#=wT=({{DhC@B2hcuS)|rI-B8zw9EM<^WAcB@yamW%nCr#df=lkjUq@Cw}K)T)5 zPs4#TsCD)JUMs!?NvdQ$^0zJmaeMAIl}UbxTT#DVRQnlXPt*onr*KB*RO?Odyc*~% zNgfGMKMsw4cUR?crdDGiR<%?uioC)nyhmT8L8+K+55MUp@v?T^E`);At7TLP(mdcGDfC^ z=d<^{ci;Pde&638usr@&UdMGFpW}GHU++(lZ}Y{Xba(=C70;Fv3myfm z^Bq1{Dx_8JSh1I(;DGc4=w9&FfYcbh1#O8ENH#kvbs>!f5?##Wsxj*j|5T3l+q)d- z#T8<1wZ?(AlI;V%b_-~fNRXSm&j+>N=7=LX(b;d0IrKX>;uWS$y$nB;g%Tbs%kB>- z56Czr_~ToD$Uj|a`h-Oh@d_ub8$OVw2ka8J zyQ=a6x-ZFrRAHi!srnq>N$54C?e(1xO_V5Pv{o1t& zAH1@6X^>*L@6{DD-M{?(CpZ4txjd28`t=zydz(H9y5u7-s`;%?NSBs9Of?{azX4eL%U3dQ zBMk=8OD!)?GAP>U~c}EVeWTnR=M#b?r9~Mw<%z%S+ zri?P;tqBE?_#n2#&VX9K8a|ruvm3adJ_+eZC75Qf=OTT6_b&!(=t}Eo( zq{%dV^h1maWzR$-#NG)0nSRRpDkR<{(%hXzSun8Y{q-VlAaauR@NdsSQD`AmnT{BsOhgAUACHZiwG4 z$fFE;azPo*r4zo497le_d{RgGdrDpq8y+mmBl!U0pUaHO`Eo*1=)JBxtu2tUuPTvE z83pOWkFIULb%abwW?9amWyn6^Z}`ED53-l?)G+JM0o$~9De7qwI@_$e;wP&?E>G_4 zJrcy|9Lbs!yDJ5GwlQD7P`5(tomg?)IdCMBySBy7n0;T zTAiDpKq`rkqHg0fq(`_FRZ^n){<|BJ*G-ln+r7N+QY0B*AC>=V;7W%aL;VZ7h?AQu z@$rXr;tu2yHD(OZ^*~;ad&t*gC?~*`@sst57$~5BVSr^rEYT{XbYDFMk#yt_kRvaBG*y7!A8#vlO#$T4_toeoqw6T~x%K@O z#AKC^e)*!T7xJaob1cuZ(u~{ zM)RO}W6yY0$Pr3nSY7Q5NDs$UbGU zBPfUW-#xs1|Mc27N$@ul5MYLfuY1OQg&V+@aQMqhc_*+N$xUzRMm@MS(eVh$dT^Yw z)JxWO18063eB~>X@W`~xZ6S~mT!Q#!=#FH7YfQZv`Hyel7II@$l#c}5txb&Ph62Ik znwQ|4Uz^~0Jf%>tbO}7WCf>W_je?i`wL))t9xyen>D@sZ56kGncRj_3;b7#GMrr5> z_Cj|vUZXj>!>ebX)}kH3Nj^kRJ6;}~v)>ZeQY^!x$qmt-C>?MmU>MS)^#C`@r*r-2 zjNnc#^$vd>ao-N9L|ZW<;4vQSfk%qY2!)f$PbM9}%LK=EArtkKRK)jO3cSE9bBJR( zngOixTWPPb5`yjW%>Z3@5n1Or0u(xfJxeQb2u}LMmQrhoiLvmo z_HjiCxM)a`m98R=VG-+6ftVk-O&eS5n~%LNvd3 zihaeiW_Zb)_*_l4Q;>H>tZfCXyJ{o&iWHo`fqZwq`2pR_8c)FQ_&{kfPBJ)LKr%TE6C?o4^Bc-%rr?f;{PU8*ff6~*FgTXaBl%7Rls;OvYcH#1>NTd>HPfFpqV9z z((Xe%)cJA{AGq>DRjaKK>r4)mIYuYnS$zvd&#pP9YVbn-$1vg3RwvN&G>Xh76KP75 zRpotqkf!8Ixs3*zS!NI?kMr4bYBw+ zhk{qP%^mBOA{1l-ZG10*;o|Pp3L97cz|Y=cFS#n z4kL&!kTx$qkM#bjg;o<<#Sr&%xADPs59p~?_}T0C1)jzpbD1GKfO^kk-zwB45U=p@ zfY;k8s5ChDo`16!N;MK%KAxh4BJR}#rFu=o1ec99V|j>XrloJjQ&7Hr$#f|R$^*)# zR_IurmV^v@qEr^7VM!xem{h;(0m(BnSFC3rLgFs>f+d41BwWR)9h_)~ULx@toA?jV z_QcHt?|DBoB0jJ3F_eRIaJjEe-59FLyxe#E7@+(`&y|WgQz$XGbJm3k2MW^_Cun*$ zkT-XIYH!U0FN@o76tYYlRf);~%m@ z5X)5l=CIGS^Vb1XBSLhNN*~uv>fJu)B={Q2r{|fwf}ED|DUrwl*<$I7qiQj9Qk*d(SL^< zL@uZoAS3_J?2doztS`EX;x_)M0mS)D8+tAvCWI3kBUYgrlDB3)t61bf8ZV&%oL`3w zo-e*T&Bq`Mrzq807x8a2c}e>`_W^s3v^={~2C#3{Ml|c&Q6`t>S^e!n$fXlhN&374 zxfK&VLA^SVC)(%c+j|Y=_PS&hd_WqHi*kuHYWfgkscvpZe-7datkWp(tD(=PChgRl zOi1xzQcl3>fwZS9?1N6W$OriIYE#W^$ih0Y#x9*e8lI@vPks&o)~UC))=m*}_`4Fn zq)z15|OIzO{_WD{3 z!;xQzNy0xS-^&U~Eq2yaXKEo;kqk$30-c*NycfSpJwQH%1ebgrl&y8GK%f3?9$;Bb z@M%5I0(Se>G42w4lryU-UsPWMxetqrj-BF!ywksC9-YI1yb78HOHIVh2SWRyG^BCq zx>eumdt?Q z$`JCu$4E_Km!Y6k$yk<%0t&VGQU^u6(X&IH%L8elielF94Eo1H@i~scJj`cEBbbN| z7eiiMo>v~XZV*9s_;Eea59sy2nCGa(kU;JQuHIv4W|^n*RjUo{^Ycx}$5)@MLV?BB zPTE`=6zU%l;62F#MKbGIDAEIp&$4t^8zb$`=M4fp5$r(y-JhxdaWQNjJ zp-FN$0c9aKB6{G#@7n*n=l`?t|8<_P`m6Sp|Jnom!SU+8u?=J`f1UUL`ul%u?cZg! zl#D6Xg!@!YseBXrU>NW+!)`7W9wekKykNtEiG^?`o1itAot(8^HqQog4DS`g;S*r- zBT%t4sue6Rf2a?lJqlJ2ZyhvG2+`&6krqfYKr3P6xhO9Y7%7Cv#t90{Dun= z*qvpH()`&5b{^zyM3>A!hf1Asn70Y;`^=R#zhnf%Rh&NO_DnFw%WYW*76Frq&>lm-H2 z%G-M@0qGa4XA1Uxe%%LKYCpH&tVdv5t9R>bPTre#73ftt^WqqAsB69$+2{a{0#wJoPk#o-g7@-ACmFzmRI#sR-430-nkzs1?t#Ux zyvRNFA7I5BcFJ9p8*C(oP5l?Q(S6M3A2%llJ6D!r+4()NS7nlXGqMK`G_k(1X=lJ; zbVmtO7YvReTmg^gIKheg^KxrlA2{`%7i_zo4$gO@Q)l4-oTuwLxNug`enELlUip96 z9lv}2zc+ZwNB-+;zbh|xg#;H1O}66IsW*C{_Q%ab)z~1YQsy&Y>MVuQCtnI4u#Z9! zYkB0*ehKnl$-Ig}Sqr(03W44eMu3%8V4}1xge05iVj#yt>rEO^tHXlEvmEojV}zEWfnf84c)W+bfOrB!p(6=quymf>0N$8Tp(i z4{1sy7^vJMp)5jL$L&Cm@Y>%&VDd0g}HfENh66LlT}Igx$A<1SP4i$?k^`_l#4xSF{AWUu~&h zR_BHmksw*MrdoLXp#Rmjq6Sp^?~uJjnw#>swMFj>?vggP5`f^ktbDEHke^?08Rd0z%I*~%^iM_+G9V?K0d`R^+NeLv% zB(r+5ql}JX(cEi<6VUVIlQv5r0X)U#s+4kfxeJjkTR$m@iK zKw6!z?88|fNJ--Rw3U4ll4?8O1)rLSM4V^u{m`rdU+ zoflAo4&7@nrVs8w)57f;zcpg0zj;_g_cI)7*$rGKeBGdGNUw@XksQhw%2xKT>qE&b z_encCVkkm;Xk5}2C=gek8EW|qd3w&e0#5mm(6*6FEF@@*z2X)?{I&2nClSI8NV|6V(Y#OwI9?1cTOA;$U`lh45P3SR5>;;5Y$A5T0AAb;KFAv$`plXdgmzJR&jqAhpa4(O zE%d+u3Lj$;%6w!ap&pfnDHq{n32}-oq7!^RA0*)1<+@9^e~Irxg7PL z;+~bo#~@pxH7gJY53pBc4t!2rK;G0x=}uOkAopm_i}6?vXNG zUs72RFAaH4J|4EeJ|Y7JkJPjtG$O_q{!v_0w*x2)`b@Hb-XCUm;r=C$Uy#_MP^>EK z0V#{@1{zB7kX|*T`DI=ivN$s?2M0AncHQ23DrpE}ZVqtk6(YTSl|X!50yEO=_*{NY zHHpsu0RaZ2ih4$Jrw2OQ%T^Kj|1`2$ccVlqfpzz!cE%|jcH>pT-yq(7bMZ%LM zT!V-=U}fs@N=XWfqL$Gh{Akgw?0r@8PJ3Mdj3ZZBy;!Egny<2eZ^^d4AxQ}h~& zm`U6=Mu(uNX^r``63Q2l4^HK3ZG|Lj#tY2l$S?7;AbDuI3o_47*34bgN1CPB{bO%@ z06UQ8ePBWWxktjjkKd$5zWFhJ>O7>WVO@W6^u`PnoEtcd5JJpSy!uz$V~80#&Wt~q zikNOW_&+z_s6(;t!*mB7Iw&E&VX|;}6-vsyo`@e2fl^t5hC+`jG?&1=kk*EL0+yr1 zgclJ@^q?t9Q5Sjm>^CG2q>)x=Q1iRRFgX;QY?6LmY>b#&rNgA(+@R>rx0jgJPf&ah z-=c4o0!q|4Y}2n$K&cq}>+Za-P)4R;a%)=<%EnJq>LGt(dE!;;qburAA*V$51~U&8 zi|RV5PT1eI|NFWBXXT^Q{*fd9^|jwsxQ0&(htT92QqH}73~CQ!ACF__QO5Dv^*5iJ zp>*u~RO-Y_uzRW;+yh(kLf|rUHN(2GrVZFV?{sxv_cQEYGMr!mVzPuXTN^H zz!IdUlS@%GS3z>n8R>6@;gDG78|=@Gc6bC+uhqCuAkR>PeTZE&baPp~HBl9Y<|`*d z4IDI~E@NL6YySqSK6)6+4dX*uYp2@+Av(uVod4oNX@tJt?*{d$qMBRWWc|uT2st=> z$NF9&P3`@LY7PkuWNfrv`^mEeX}+7mn&fhjqQk`@_>kVxB@o<72&vw;2Z>hP zAlYxQiEU98eaCDlmxyaa0!z!V)Oma8X?3{4dh01XE$39@6z_ogOjY}>YCfom>*Q>h zVuZ>D0-05{JSct4M$Ijn0!0bxdZxUHIYk=0dA%{t0J$-G1! zA4LF}5hkjKMK2+pr#4`DQxZ}sk1Su-=7VHmvlxTMXh;ki46;F7g5EP&<9lqY&^AHR z)=)SKjZQ^#O}w^H$Mt^r&6#^pEu&a$I{N`CE{P z&084}7bBfy1TRFKL3{#+nRjHDA^U}F8Uf13$vo`L=09+S^u|d6rZc;cS|u|Xtc$!# z6IvvZid)e4VV3tc z*XLq*Y;5n_QScHQh?-x@M48x@tfk6mClbMOJm10u0u652s$YE!!KCKV%{qY)lJL$) z!)g^m53`9$KK4TRP0GrXd(#jRB+U>(hKq9h1{-EWav-XP zq2Q};$iE*G<@WkC^6zgN%NZ+!>+RRsm(PrYH~AUDkaC;!fUYXdx_~xpfvz6T%nY%VYM-Ad+)kqumj`t~!H?eApaB zn_dFAWU$wI>jc0+;SnA>n(%OWs=My3iQ4o#;x@#i9p0KgQETm zHi)8`z42M^CPXXWXQ#vY0Wm_m%p=c_L(CKYV|%}tkbl47)A}7|h|SlFk^Vk`{PZ#G zT>0m~laOd4OKJ#wWuDxaA@2bHqGgE>1*s4?bQ;eDX`X^RiM|~x&VkUFu1@k)I|!GU zb1?YM1rhzn$E#|w5OqZ}s?rOw&XQy?g0CMzjMXMx?WP~n3d{bgjxa_3{hhOKc2m*o zjn>?^L>}TGjV9%6#9!Vdx&P6T5`13Dzm~Dl0e^Si1&RX>2%LF)ZSh(Wgq-cLAhWZA zFqTE%j$%8AIPTq5FFpW~uWug4zkiN0&>OaRO@txFpFHeY?+29GVs-V^9O4tqxA9N6 zj{qh#=(R~VE5y;SAAUh1?zqx(48iNyA)aeZo{_!_;&bV|m}n;<&{ICH?34wBoF8cX zj^%~0lqh3Ujsl37pShB_in71ShkH-|AcUAG%hAB5Cx|6?F+;Nv^`o2c55L7Y@E z;p(|6h$ohKDSbj1Ws9d9SGeGy8K(UOouVa3JX^gRev|_eYa7ye*wC5hYILzUQ#~Yg zsn9fW>;Hc4|26CTSK;qB{6{7i+VKhSC<~(?zW>4 zbx01erd_S7JZ{$^&5fXt(vAjXvfNA-o-2ne&3EQGn>vs!<}cIx@)KmYR8CA{9|2ZG zNAU%B3t$W8tv(u^f}9fv9|yjeApe(6+{s3iDcWLd89SW=al*6ymBJIq_g`gFjEmUY zI{hDS`u8E`wsq{ud*+b(n#m>}%^TA7?yFL2Bc9EmLJMU#VsSEAZYBtJLN>1?we{nd zkloR!yuE7=ScO71Oe&ho_q1}+@gNVBgtc#~H1gmSnc_H)wm}pTkW|ZTLhQ%;L-%(P zFHa}d;TPi$BqrSAUZtEyyu9(COxqDibsL(voUekkK8tR*^j8rtNV$VX_yJ_y7QmZ# zE=F8FGJCqnS-|3W> z`Z|cUsoP~8^GBQ}rdK$3k$1YOQFn#07E+GB+rZvC327{;M~Nn%qYSk-iGqRXI&b_K z=e&!UoOhV~`IitUh$%Et59J|YKZS{YLG11v4CxdBV=UtKq_CwoGorKDe(RphDagA& zF%opE9A#mx0OKUm8tIens(HPJMAL8nH{t}8~0d0e>e&G=@&$&R1cv* zwPFXa8v_M9vqMq6#88+Nts{=p4MprBYXWjPP*jmbb%?e7UHgCc{QoNc|2JyCEAR(+ z267;F$JQ$Kd6c;v>v>mrUlS_pXdbd9ltW2fw_`8T>lflDx@GI5^Ch##F7{9lu>ISa z?TP%5RsJk%*bQlEwfM4KuZcj4qo|i1Valm(evoO zxNzkr1JBE@+sYr z@PKZy?D9E?yVp_ifh`AOV~BgTi%vrHtcXnOg<{0+mxMMAXfDALc}JVzp43K$jVTf~SR9+Pc^KtDZ*-LV&X zPY(y4UP=o565Rsz6s*&)FQHlEk3?a58wms-E?hN5Sr4I`B;^FVH4xs|LW{{Ofk;iJynU=OM2$~xNB%%etn-Xr5`L)H z9LT{L^SKSN)|{o~=JbFe%syc={uwYSWo7>Tkq~#`O(ci(1Y$S2y-XoSd3o&EEoyse z)N9M_zsAFWzuzZqbyhJ5;>GcD=c3_)G52o}^#Ae4~0RqIzFgcA`w zT16R95#!x^ANlPeDy&MwmpC2uDHLWN%GV)g@Mwg6$N9#FmAgI(e&@`7t{VwLAio)3atIp) z_u`qBpp1zy(w{f)ydi@Ks_FHl6!gBgFE#)vDMZ(GoxF0J5oLg5K7FM846$}Rs=`!C zD0{rN$T1mR_mAwS7Df;YBrJ^aW&-NpxQ!{z)ls&`3(M8*^k3*R&wIDJVI2~_8G7?l zzkvWtR`&+mAP80=Rpd2AXNxg!j@Q>SA%Y>Bg}(U!qC`g(9?l0t4CSewMn2TzACf($ z8O=mIVa4IpFi*f#Sdi(w$b+~?&S1uL^>Bfkc#%!fx~=Nf&9YOI7mRc2Evs zNLRByVt}c$#cgOEg*cU^(cl{lh%d;YdpyGs5|B2`I#3T14w6+Vc2H)@aOQ^-R~|u9 zs&<0Qc`?*4TJH7)nL-MMCs5X1gp{s+`s6&sM6o^nQ0Qpz@7n*n=l`?t|FgDj_bwhY z+v7WCOTzsps}r$1{`?{P$Jf3pR8h52f&b|}=gi}L5ZEe1a$U|3f`8VC(?45*(ABZ; zqF&<=UfW>HP_hS+w=c;=NBcw6=s6MD_IZe5cw;~zln*g|mSS|l?;+Omgb@Kf5nu?i ze7+t(1WeMvsW@Z`j$F+E?Azs|>w-A>Ih}PmG43)@1dGurMw=k0s zOHr}pqm0g*P5k+a)((Ktj3eey>;}xT>qr+>*A}a7mz@vqDXYP3jAn1?>#8bfnvs?X>EVMM)_#P?o8#OeB~ zv-xrmxlVYb{?a==WUDPW#9ME-=u zka#o1spX2dk&E9z=iqi+qw)qw(20*Q);EBJ1z|~pyGsyYru0>>64k!KKwnb06okIk zTI1Q`frzsvU#>k3fGB~SxJEm4e@}bRmhDGD%&*|oQG#m_`~H>LnL9XuDZ@{euCIqU z$2YkzFW^JGkm$FZ7<7hM%=SNfeHiH&?La)}E!y#x5>+Z9Pt)gt3u)fCkmS}HI8W~l zAz%7`p1NlR;VJJFaaGW~=8O`%E$%3q({w-QpLKy)MUh8Dmgqa?)?^lC_$#AExk&Fo@?9onH643MA(vgK zv_^Rj&vOOJjNU@34UTlMXW{SK|K0Qd{nY=T=lXwb?RUM}x~*|r6`E!uPqT|7UYpR4vMUm>E@ua;m}rYV}`GoMlM!9A$A7EG;uLJQ0JuCXaz*mco$p)xGo=&pcq& zDj6_IXx{(r`J{1s9%Oh&Soywpf;5d=3a2k1Kbz6;cs9)$NXqgf*v6|z`(^xjOx7fH zYlRD5ncsxwicCH~>hJKFxl4}pi6c}yZ&`NT-$i@nA);pXASii6l^jJV3Weo6qvr;n zLHY3VH~YzOt!cprvV<=98%|)F1KVyO*8{HG*yeFXwrnQeB^v zLkMYV(^>;+WYK)PZiEK^bv6`epRLM*J;>ANwOnyU?C^3-PTG(K^6^_{aA|KNKEC>8 zT8>u8u(MJ7zLW)Nn%V8w@OL1^<_YIVZ9+(@DStz#(+fS@cXr+HUx!wamVt_GerS-` zZcEa>i00d8sRwe}pelKJ@y6LqD8Gi2K}6vVB|^9-voL5^S=SV*igJbX)2*4wXHX8& zu+vpunia@_3%IhWXeT+oeoe9A6J&`c;*&*QM!vp`d;1hskh<0DrPGIcV0w$m($W#= z)e{f!=pKdks{w}=3|HX^U$WY>xm~E+`bef@fY=#l>AQ-N&Y^PKFfdc|A(Z7lCv~@w zf#M~4f!5wiD9n}QQ=IaK{L;mnzP0Zlck_fB*H@IwWYb(ba39TCDB2I%Nthw4@l3aw z>K(s-@9=;6c?9yF zZWz++20*T;huQ*z6JW>m`#FB0IZV>fkPMSHWX?{ze%USmUHkvm^Z$$2r+j3M^j|)` zfA9It(G#ES_J8K~pkMtn=ls6iE998VZV(uzPZgo51R*wA@)rp@AZ(Otlk>e7L@ZuJ zywy>N>OHzDZi%v)uNYwC<4PfxXkBgTMkK^`bM={c3;@Q3JPOac9pV^^aqpSbB0fA( z?4;u~#NQ>PQ9pGX;+KqiQWxzY!9|a-wappzXkKH}Y4zZz+App2APE9@YfrsW;(?I& z9%4iej1VqG!WQvjA0qGSUm$xSh?q;9kML}|AjW-q|9$Lnh*c1(%t*)r%&}UJ{an=R zwpsFru-t|?%W5}zoK%QErM$zFf_l%2(+WmUZ6HBrI!l)K3?#fU#JN|5a^-Z_Q>`WY z!2hiH`!h~K5S0DZ^N@rVLY)_tIO_5sd}`P22SXM_?ibkkyj_RrdAg0eNr?G0QVvOF z;}BbfL#D{uiL$tTiQl3ukvMjR(z)-B5cj^r@hJ|<#P<8{{q`Z68M3q_3vB5_LI zQ6}QW-ch@xdIIr`z9gJUyEh5}dWxKmZkrJN_zfjp=oo}~vIJbmXG5IYpj+)%QIAg| zfO}4e4q|-7nGaC@VXPTlE?7qb=IRAy%BZUlM><4Csfr76FK3n1S=-TBX5#vqJ$gMc zvkR}!NJ7Gwsw*xzTWF_ub%wVW>FAk~xN%M&fusgUb}U{#1ogP+5Wf?K(5X@13-z-Q z5q-ffKY1LYexwSKMxg9<4AFZtiwcO1H_|$bhx&`4->)UH?>REXR+$)U_nPm~Nxl2zda zo>zf{2I)gT7cNN5-nk}^K{-*rckULtO+vDk5ha)O7NoFgkV#mee8Ls8#+%;kkXmtO zq~#$Yq?s%|cN%Gcbn3CbBnG$Nwf}d||7YRe)dBMwr@Opl$Z`e?iFx31e!spgi;-uyDWr}oySpnatXr5#W4kudJvvEey;hQ zJ4C1r%HNbnJ2`@5@($hY;KG(NyJxTi9zXXaDa0qi$FSbr^2J&3-QA+cLD?1lI`@mi zg2EvnWq%=-C>otf=%{Z>eTASwb_a$40toI`p8x**G=wxuU3>6R2tu*BcdeaiZPb4&wmAr=V8Htzz{V<5AITAgQ;{=gT-!vc4*g_Ql6l2Wi zi{SRYo|IUO3%sSx$%7^Dg0C~Zq%d`ZG42^g%v?-jAwv;;i_mV8sH!n04U$BNPQ34EfHY4rVzJYl^BWM8TXJCV+!g!?M9ehkcfo%KGnjLm z0Rj^x7w1p9LNLdo6xBi=gyd|@Og#04u%jlzbNjC#Tr=npGeip!DWnfO=|v%O@axT| zV|Wm?btIRke;8tjhSpBIEJIAJO5J5W5p))Oo`K20gV?xBo(4qHfFV^G$kYr3jNhPU zR^0#S`G5EP|9a{p>HZPbzdXACwYA^1AoI0x*9+y+eDlCi>p@-D2+>6aYN(bFy8D49 z9Lfir;auz_l$dbPUbkgH^Y+%l?-u7EAL|{!%0~;i?_(eAYi>Y}dL8w2d<@El5M1=m zyAD|u{vu7+v>+o{SL5Uvb4aUyMI3d33{r8<&vVP9L9*?_S;5gh=(ZDFXr5ey=B3pn zf%h%&*ea=I>d`(__XEopz80wH?%U9iw}aAatj^w2LQoVjVQFQKG@RP$!4sV*+tL5} zqPG4rSw9K5`y1W2!%MFl9h`=2Yx>0Ls=F58_g`MY(Sh zE4i22vY_Yu1yu$gJ80Rj)_A^u9BE1MmoEDJf|`iVQvvr^po-M(E-l)BlsVxYEzz|^ zXP-BCV^J6=R44M4TSMLzb2gR31auv`G`AjXsv`gXizDg8!l>8%8qiCif^z@PI`R)1 zLB^!q*cX%Ykd8n7jK}2xq^ch-c5X+)3(61An{r-3tIr`(vo1X}#Az5Yw)H}7K0cn2 zdKY zO!HYm&iURovt(w-UOj2Ik9>1kwX)Z@S9KxdsWFQ`%BDzLYw5y~41nGMSAbk#Te6WTW3oP7dAzNkQqP`T; zJ{L&G^WnQezk5V&jgJgGJ7s7PylM|EPHn;!=eD3>bN$ZHPBqkhdDGK6xC=F@Y|`dF zp-?rDA8ed$1{DRYhCI%bP{u)GZeoeFSNPxkC0EergSE|n=J`t~@TS?o+(Ty~yn%$U zf|rn6?xq=ZO$c(F))=eea{#M-E{cupe`9nD{7vrR`-{hCrhVkteWoMFY}tMh{lV-a zQ~txtgZ}?d=k@su=~AjTCqu9ZE^S?CG=yF6p}wkzvKWT8oPU}vLe%~%_V%h-hp#%g^bdxw5B}?DI*$d}r7_R7gSd`xWBImro&HOI<_11I=L%&U=<>y+m_f znNZWqCXgsNnfqb{F)N>^@fh-ZK+;X)L7wSi@bAJ^{21>5!Nuo2%s+iZ&k^qUEtO%2 z6o2NFrG72rnyVu4kg5<;Cgfp8UV(c=|J}mJ=({|FnfPxfVl5bzHd@K zKzv?d#jN2SNKj#UnEO!>64u;YI9}VKd^xPDvNU3MGE9*RWj8@m1x4gq6Cng7<2_*_ zxdS10HWJQU>w$1}v$#V&YKZ(0EgVR}1~JECS+vQKM&=kpg?$Sv#BM~^5z{fDSsvyi z&MP#-OqpY9?z2N@n@MjGYgtG*ee~iFqaa9V5AK@V=|ubMx|=iss*prIvOtbhfDMIcdDVMt~NAXaG>D+biY4uh}jkr7N^dk)-S>)}C zP!?;HzV2SHGo2gJQ_AY9~8hWN=lKbR%j(HX`?zxKo>NSxTY za&HF*lKSPUHzO1vxoW1M<(dPeM5sF^|3tb{ec>_6M~I)qS$h0!o(0NEHCSL-&_rA( zdMc*DLdY;MUM|Nw{r~Ct|15me^P``&bN^y>{yVSF9{v9B?}oowp6FNq%=5ne0xi=e zoD<+YN%h{nssdc6C)lE+RS+ZlvXmiZ6L)?YE*0G~@0E|YSP!I%2-=r`(P;5%Ej zog?)c{E842Rk95H^#U(HW73BJVmpNh+#dMjWm38?U9b%SXY- zo~WLjmjHa#KX~G`#DE`bpLtUbDflgdbWvU~_~$u^rzIyrfO>$r&qZ4Z*o%}X)N+Nu zv;~w5?23AI%g*nKjcA7#BNtMg3c1zlw>StiFDNJ(BZnZm;($0obiH3x60_e#`asJi5p_9I2sut5 z%^-aOLh|k|Eui^;%h9%L_hY@m{nFvf=s`8`+@J6-7}EkDu@v&!@_z8uyq^1$^#J_z zjC8PP&Vaw#`@2t17(;;g`|`UZC|8HFU{bv`90F$<`0fjYK~TBVyK<6Q2!41N$fD{6 zAc;U+`$1Hl5*o}Tt@P}|m&LHaV^$@{xqV^o+FhqQAK&Zmczia<@ z&;NI2|36UsT^k#nUh*fQ`SS58Ie~Sk`}%WNY^oEg?|;%W`*;B==+84TREk4MTU`?y z=@%%vP#e5A8w>@+kCwkKBVV<`X_*6*hnv$I|L#TjIAA@GoI1CNd~KSUCVmsrkeTai zbyQy(();7M2ybse8vWO?vre}mC2^ayK!gLjJ%VhOId33Fw$=#^dK-8ged^TYNIO)2 z*OnyE^Mgv-u=;w$*D1wr6%g%=AwS=Z_r%vbprA|ktu4ta{fD}?g{%7Uj_gr3={e`CJq588_g?SUs8jTV|pU{4&R`#>r9{l zEy{oUe8>0#23-f)YnVbUiJV; zn17y`fzsTCpJQ+3pja|pFKqW3^0pMn_I}=n{2(=anVa7bJ8Q#ZXQ>wP1nw~r@f-nc zSlp)V8+ydt`Y<>7V+OL0^=QwA$v}o&_HjLaP3TRy^T5Fl1MPkf&9ho@;K}m_cO{2L zc%05VZ{5@ZH7|2}6|OfyRl7THnAT~iP^7r+!omcl<#*rOcMd_ZXI$>RS12PhV@Zpl z;{auEP{p5@5rDjKT_8FPgIuX?HNJE%$f2fAU2Q!DSYi|Vg+mU=CV%~9H((d~<81hK zAN#_yi*~rry!)Z0dUgnd9fL;Ed2%V+BzVlFoo=vT2sN|a1t)JYLp6PA4Y~3TRH}98 z$uzn^*=N=UTfTQt@;rLpK&KvxR?;f!ipdbKcTQ!n!V2=olXO44w1K>^HSd7;Wss}g zp?F&koe`yV2F91-f7kxM&H4XtUfH7 zIVqb!vPxT?NfsN*^59#E+KK$S;C$f@((uNW;!0i|xDWB3ymz9VN6`Cr4()P4 zy0t@Jk9ws0ORNc}C%7C5N%#B@=A`Hl^P68e8~Y2Avj`LCiCG~5znzcj)fK#N=OM}?{#YZthnSvI?Hu?VfMIub@w^p+ z_BE|BG3m7spI#|3Vxj{Hjm{5g)h5uHvEc#!tz(eX*$}sQ4tb;+aIB{lvmhnA?4eLc z2g)qfeZ=()F=sS8b+LQLAf5H+-jm8{NME_KQiHN)GAfL#Vrdv4)3n!M%YOfN?f*UJ z|FiJ_lgsl5uMg?@#r|(SKi@yS_HADJaFF#bfP=uB7O$0(nqot4cCYnS%EP`{}`9d+=e$^P%h!Mf|kyM*Y+3 z;4A*V<(+gEVsralBScIOKOw(rs|XzMD^~BseQgB(G&&|_5o2I`?<~uap9A0!E$_;k z9tzGRg4%>x}D(J}|54g?>zx93T1Mc4bhQildz+;nOF!Jjvc&P}hU*Ts5@0js5 zH+*97xqtW6V;?o}Srexq$ld^7SGOvaMnCSvvGVuF;e%a_q!lPp_Bw%^Im@K9i6!FUEw$n^H-e{s z52ZBgBzVnWp5qF5gAbKySmcNj_|)g60{;E_R(sE3X&xLP;j$vsv9 zcc~A%ElQ|2o?}pJxmXHbmPD6^wjP7`Xi<3FWqafB9r*gAdCA=F?rr zHPKmw$d9B0Wt+=vm)-vhlaFJ zx1GmaAIAmNjz4j0O%qU$_r9e|Fda(PO4>{lG@(elqV#$I9TdpO+0GE6Je0s7+a4`< zltB@oMsvXpuwQ{s@;GVzdomk-2uxv%vD{T0@?Hr8&}^tL+0IW zmEBW)ke(l?#qcl&(kOdcxBESzNBClC>iHsg%FTx>$|B!dq zQB|m2w?|YIPy`GNKtvP~5CIWEWg#diNJxp&2-4l%-QC^YDJ7jssI(%8NE;vusCS+7 zo#Xd@@4a`7`|o+L|C}-0XAIn%J@;PEdglC1zBwqCa(LkAOaKL>GXd`?<{(#Kj#_w% z8d=%Zx;tR6SQeS73b=%07Ix=BOcq5{c@v z!+fbwI8??*DT4PMZ>Jb9A2)y;rw_ZG)F>d!?U)K<$r|?2)qQ`jXa?!`S!$<=Y#@z< zmqa(h1XA9-etu-O6#pHz#21qEkezKq(V+1d5_i8k%O2DXU5Qz?cQ)&xDd7Iic)U}q zne2n`LqG-*rOIMli`3`AheVzDOVf7YLOeVzLwS&^vCeA#wDUw>Kzz-49rS=T8HN|v-oQ+cjd9Zmawd0e z>^C~k3TeY-kEVDaK7NADy~YQS*LPxVuRTutjfY3vl%~7i8iDe11%p=6T^7#tR zQL`b4IAordAG!}BR`+>441WTVPlO7^_ANt{{Rqe9AKVbl+3QQ_;0)1kf38g`?}Zp| zk+CDlTCrq=pj!`1SAB8cOBuhMbN>c} zN_qvTyS#=lJs$}Q67;SZkiEXBYYY(&O^$}%#~c{T zwmSr^-(iVTvxN|y)Ehc(tPq+LVq(48i2LTlo|*-w5Z;$J6`GTcdwk+muBZ6(Q#BX8 z_75S`+*jWAQVsHG9$aYTql1_o++DOMkvmv@#U@+W7h<)gGNrPx%k;q8z&_!35LcY= z^gi1<_*68HOiw98KpUU#l`#VBnjTpS3pIt1?=HzoiQ*7;)qC~l@B)OZ$d>#_+zAo7 z9pgJZaJGNswp-i=ncjC_h7<>jKr|DBFjuJ`L~n9GO5KZ0%%^I*URI1EKOj~56mpc} zxP=$%zYIa#?Ch7yf^!fb;NNK~O$z=l+sgKa_7HqRi0%ka41|=nWNb2^N9p(pimR{z z;mZ39g7$GigqJ19NmcaZ#yxx`ZF>x&5y|*&-3@#8*fOi6AmdR5RS17NSO)1)ij{LG;G`PTHZv5W9!@YmGea?@4F} zZZQ79J&xRg*`gy5zv|a{5E-55VNh=1l{R1~4t$b2y^g5zG{?7TW1u1@j%b z^>*gcV4l#TRGa(>EI70u`C_)!qDhYa(AET4-ahq(uQ?DbyM^r?T<*f7mtkG)j|)L7 zM}=vwrxkRQ>IYNB1i^sPn3S5J1dJ|H(ED3@fw9l|O!-ay$aDW3 zSO`)sk`*_C#nO8c3Vsr>3}PJ1Y~cbc=BtbyzWBVGKyYSI2COCIINIbh0bR5YQ*E6< zPjiYuV?h=SkN3aIjlF|p1X3~9X?8G?$_h1amYE zV)lSdMvWvD;WN%+5jV)E2|NR?S#M796ILViP{g! zZR~QWI9TIGyKewW!d^;U_Q07x=>94DABB*2HB`rC`z~bX`VwRYXhCMoGBXFUKBT{` z6>btyLO$(V`Q5K7A@%IyOhGvI(i5i{J(oNP$)q0hEmy-KQEZMQ@}@Mz7b#V0b2dQS zrP;ZW)=$vxZ(!Cty#aOX$K<@!9HF8DS07b#gZh}VDkWWu2>0Cwx zId&C^8*NV@>p{fZ=pBzCBP>!m{iYYBQ&4;c;wRV-Hz8_Ijyd+2t#87}(Mb*yVr0ra z2T6B5UzJ7Uemj{?xzHZ_;g69qtzO3rExKD3x8Fj&u+VwB5nrfGE1e2vOK!MUO2H{}j)x|nmZL85kwyK*VZKV@rdZtagj9@yvtPp9V1vDkn(ozj~KjBXzau{ zDBKz~>3iV>`FbS9SE76&SE%LwLm_-$m?o>R8m~d-xG{zLUCig3Z`@OOQ4Hx^G*0Fk zmyx4W;h?pRxd(Ep)1G@U^GnYf?|rHrddlAGgqCwc^Svts4_4km{Z0iHq7xKQ9Xg?5 zlt>E|0V;L`BT-N$LB9N!oE1s}3L|$~ZA0*)y7P=RWHd75rauvZ^k{xEOV$)f^CK2K!)gBed;ec%{=a#B zl7I2~RD>l}IQ~L;{lV$Q9N+(7di`T(e&2CYl7;j{2tI8pd8v&QLOHU@tmu)m^5*&F z!ATm3kgz&$VOIi?;rX@qc0SMM_ zZdRk3f=~B_s-_LOplAe_l?R4*kWKs7}`yl)b$V<%S5I2S3=a1+;&T&HM zH|a<2#cv>dV8-7fI}0LBWh870Vj*gt07P59Lk#>fWVoybu?`EWx?XsO$g(*`-@gIz zjawqL3ds`FOozxgAi1H8*sxe0QkagqzARmV zlpqyl%9Feh*4uqf$i)pJo)AVB-9WF7#n@ZE2)vIV)=}QsHHT-GSG%Iwryy=pL|!lU zEhLW2#HFTD!(4GLz1V1V6QTABqh7F?Q6s!d4yX)TGP&>gT!gfXV^fTYpMPur_pa~1d3}Ft|IaMXUyl>~CuzT(r1>j# zmI*wuG?U2Kz#R{>Sme$TPbevZkd+wp)b?B(rGIe-^6WV%t`$f^_D6E@rU@U&oGECE z(8pf5ix!He1>%s_V9ri-1oqkY0dWm0ejX@#c3bF6Oeo}Q z6ixQsJq0-}QnM2W_dr&L-x1HB+mJC+WAH0z7Sc7rxcAgoNISMvI6*f6DPPlGi{WQi z@)t`*QqK)YCW%>(Z+;4iM$(V&-t)jaABC-!@#oNSTklht?p~-@CRtM7u7Ju0tCcPj zb|@oZ`no2{fnDHBVj`vbP>>odGcf%Ta^JPzYz*Xr?4nRvNo0yt@lv6dl3F8dDl0H&$nlE$MlBtnrzNq)_FWKagh`{hy>VjtZ` z*^u@p$QGtBT1$z7%%p2}e%4PRgKeb$WLG`*@0MTZ|BQ1`AN!HPz^9PXJ!y~(*Relw zSUBbD8qQ<(WbKMJ&{h3K)c@Q?Xv(LeVHstH+S3F-tEz>ea#sV@c3mWt37As4xg$&D znw?m&z9`i+)w4jEJW^&xc2Jy{UsPIBchO|vT-4gV)Of_h6ZbI`T!G|M1o1y;P*xniL z5U8$u^)gUrFI3d7g!><%hcXxTo7qjoP||Xp>$Jc$6w5z6MKOUnPl;LgHQX)c-`-<% z=uto9x)TPc^om3Fx{i)W$$iKQ-5pnZ13ST_1b=SGUcvXPWO%a*H>5Lm7L`Z4{MP<| zfA4et&FiDXFZ4e;xy;lwH8?p84OG~Tc??tp4Rj1I=={O-D*mT4yG)#SK4LiX6fEMK zFA@!Jfb~Z+hLq(@uDdIqCk%MU#IYVa#2N@T?)UU=jFW@?6w?zMM<;FeZ)aYyL~aCc~LkQ-q`AK{(}zl(UMLo_R^wCD<+m2o*n zj_9X*DBVKet_)tEQvy@1X~5fQ%gc++3Cw7sBgA&>2P?{K?huMCu+>x}m>Spv4&wW~ zhtmSUX;H4}<|zJLMmwozrIz4&!?rg-Vj0|o+AKszC&2wmxv^6EC-6Aoyvt350X&w? zV#M>@z_TRn-Sj?F@Y2$J#*s7(-sICiBVXSH@4~Eltzh)<-Y~2Wrhf_MWxsUm-cN!x zLQ*8y>9NzxovGeZ3>^FIIKRwifOBKS(N(9<;IgHuUA)E%ZYKqNnl34T`-M;A!F3ei z!F=Syio^r#wLSZ3>Sz^aeQenMKd*sTQ(>u?Xb3(}Gxeiwj^M-2Q@<@~1U|1C9Um-W zHpXPP*cI&cwR*YP`(x_`*m-@w8pS39j-%oPY|I<6#!Jsc-iUBUaE`%xSBr{L3=N4m&;7kvFy zsr&AcfS;Hxc@JgJ05!RJL0d z_$PjU(&=#w0s{A4qA%YKfrjR;J2Zbn5PzZOFWoo@CX_36KF$uo$^?2^dpUk<|F2!& zU&60K*%OdUy!f+g)3|MPud(#Yy~cts_v-%f_Z2_>eEi~HkKPF#(P}R8lvjOi5E!Ra8KWE%;g#baTgq!l%(Y#zBE6~CI1>E z9;eJcb}t%|w4!EN#Hul?5fXao9P->=|Jqft3-jz6!Gr>4EXZ@K{T0{6iR%48Ya#aFXw3eb zB@ovlPfw3onFPrT7v>pSAhD^n5%sxQeGu0kj!$Nuz-$ki$MRy$z30Fhts zv>Ln?#va}EXD>S|Aa+KsExQr-$nl%sIi9LPBDbRJ?8Qpt6T2KK{e?_xpCv;=f-p#7 z9qL!RxCkljtrYYV*i$5v6vAU?45=d#XZ7D1LYlM~eNRRpW`7LZKY87NU|xQLgHB8k z#y4RcPkA09#*OQHMq?nFb?FN~>j{XxGn3K$z!&0qDDyo><{@$C0o`Q970gOKzGEnq z49W4&r8pIkpCAi*)y>q9O5pj}+Fuq@lglpDIr%^um*`-%3jw4x<{A?4Q6uY1pMjF4 z4AQGbKkmD85kl*B7+qILgovz1>x@73A^KMF_fHdemdTQ$dZSkZ@gv9bL1i2grx;uA z3u-{JTE=RYEHc9dRvx`|5QWskOc(N~b0Kwfl=LxwC!~cYxDGtO4e8e($Q)P`g7k@0 z^T=8O$S`SntvYcLGPc&p8l>((ru~Lbb~zhzARETV=#bxALS?p}W(5-Dx-Va#GsZIt zx$a(HIY`<6S(~+`AG1ljMK+2fu&0N%?Mx#27mxJyG+*b1^v#Q*YgOZr@$AiHexed& zMsz3T4?Kk|iIwjO3aOCwljYqbJweD$v%aCM6$v?4rTAGYOCYCb zG(U;9&^v3lq8-s;0m-2ZuDdEPLc(-`gcYkS#8KWGwC%yWuGsbzV+kXOG$u=&9ruB7 zw@7C)Q+5dLNmx;yn}ZPkVY{G}A!t9!cYNRiB|ITv7Tk4p5z19boLLF);jBII(e2GN z{H0I(Q7~JQ}zWyUfkEX%pMKxP3c)zl(f-PY~o0x z5)Bn>ObfTynV>{SrtZ~iDJZy8A;n`c)G621htPy3 zN2-Zi(2+67vsbwn>Wv<)agUKgrA+CAA5v9N3UsL?(Yv7VTj92Z={V%hFiGR92j-Kcw4hjsTwy_10rFe>HLkxzFLAzmwvL7mWF0Ej zE3n-O>92LhN8jR^bB)|ErhNfYgn~PGR2CsAf+;{coDt#|PhQ&1sS2?{_Y6}zDltbY z5Z3)j`M37}W#<2r+=DYc9e$zz-NVa%LG1Fs`}#iqU?%?K@qg_1zLm91)S$9I*c(uB z&)>5HXFA2%2Q|9jQjz-nd@SbI505dQkH`XdN!ufFZ`Z-YnB>y){jA_=`*y72i zuy-igTY$IPLD^<<^oT;tF~YuC@I5G6v2x=c_)hW%WV8q)k8R{Ki)Rb?%jE{#TN;Ca zJ#AGlpNxPtYcrkMuO_hn6<8yq@DrRh8LFM{?Z+9{g^+uX17?H{F(ve-fO~w}!1ASY z;L%hupSW%bp3h5pm>FWgtFKk5&*>$2H)Ia@eNqRX)c!-$ok`$ppK$nHiXQlhs%;b| zhN4%vr2ZAN1m+VNz1s(}A;7KMW-!PXY%X_@3C=Ho!=!RYm;M$w_sZ#&-EIcgMz~?qg&o)aV_;RSf zrt4q_KZ^V#u@4ErZ?5$LeL3dZ%jde2qRSz`x-@hla0vo0dA!|p+6A^#?XgFc-+~qPqz^`Lmwh%o#{yj+w&NkZ+(7My*ecTUZwZ95) zm_7_aF*oli4z1&y^UjpU5p(wGAKf0IpTKpmPc4O3EVz9*%qA?;0v<74pJb97z>D>P z=Ca)>@Xk~s?5_&|Ut<4)9}C*x_wa?j%cmdUpAbvuSBhMM0g7Ya<(@#`cd~59pW_fr zBCj#~+8pzb-McNKkx6=lJXM9{1F}bavTJ|dgHW>Ynx|dy5bA!$;!MHg-`f9s=KpVG z7eVn~Jib47efap_eSClaFOq+;JpZxUcWDWs-@|YVJiIDu$Bce}x8bR}g=_TS`@&UZ zkMl?HpTFn5J%t>#cAAQhCu<@2+9wjbU!D-M$7{#K2nz^l?exh}`w5|z)K{gl@cV?e zrA?ff5yBcTk2$@6hnZe6u}b<=5WdjdXrYe2bIWJ0&)_6P{MdQy%Kmw96?)5+{&7f$579s?MM6wv^AYx#6k4`Lh zek$1Sk9`#hZbzP-Z~KBwjs%ihrMHp&Va}{uY5y7gCWyAq3S5AI&1!xx9!UtAWeqt< ztqQ>}u6UGWnm|ZqXppOfHH7NfEjeCYg|M?SRF%AQ5H>uy%6tg9ye{V^i#UTI;`E0> zu1F_{Xf$ScoS6!d(!G`!vi3ma^yvVZy@ufau*B!JQ!aRwd>FhWF^SBP-sC$wxG-m? z-IVqG0R$0MQe;LmL9oM?X5Pp!W?ZLd7p6`^DBovy!F1&AlD1`7yhopZ-`rZ0PZV+p zdtWi$$$$uMgCipeQV{WWRCKak6(Zg9DO`=WA&TzM{!d;%AgZEAR`YN=c((939X3Z! z-&pxh=MR{t@a?0VnzDkxkLr9p{&+qPN_$pYi5d#|AxLeD&(|%dx;*ob3~{*Vc_KU` z@oUsIrg@BCYo_Q(%t6L^7p<1EOwy;nv!P{Jbxt+?>u&AUcjjllX}f zW_nw6({*ov--BtfXF4Is1-#SuE0_#|AGs%~PWwSfL^I(GHyMPM_3zc=l|c@adK5*Y zB7{HXyKm-${H2NrQJ0u$ya$=g(Y^KnqMYm!fxr%;<-8m^T96TUCdlflMh?U*rEw%a z7>C$=^?arCcOgzwq*B4(6XK~FQYEE}e{28u%>TEtahLa>uKl)oVb`vLE@XE+U7c9& zKz2v#Qpdp`Krsv?ig?oAK*cD2W#2_dTg`(XT(<$ z1d!d4W+7;aJ-cq=gBp`5$nM~G&My3f?2fy{2Nq_L-J$lH#8?a29XVY~ECk5zn3GL( zuS9l7;Gh-Z3uJe!UmT)wLw3hGMGmf6WOvkUNENd}rTCA2!pk^o`aj7Yb(lhShhqSb zA1|^yNOLn~_9DAuJgweRAK4w`{rzH>kln${{N)u9p5Jw~IT>BhQ#{(=S+W<|9cu}X zpB5pz?WQ)i|%A-jY6Rt1+VRA|q{Oiy?tyQ3?a_nHo}JKhqVz^<}_j^6(00DWY4 z=zk;j#a!jQ;|G~QJXaGs%B2|&BD<+=zUHi+C-62ty6Fi9f zpzVIu)p}%iIOmcjTOhk5IwbpoFtR%gz2DF;A-iKF;SMB1)mKubgtkazcPs~e%G-hL z4x-f0Cy*oATc5OASq+8hGkVIGS&-eq!yTUf4%>aX7}pKnw|@Mh zazcKuvU<1kCplzjPd?|k+XQ|JGjYCQ$alTjva|0OvN!0BuCEDpLcrJC#`pW@A@HdJ zofKC;1i3rV_%dCDU^?_xCnuu6idBl5R~?+2o-I|fcYy1#D5GymD7Xi+Qs=r9gXg}M z_cR~wfR{>c*tI!k@D68>@68PcpWGD+(O3C$0g9%K?r%+ql4mLv=2cr48KR z=DO&@Jh*=yc(JeJ9C%t}tv$3q4PL!z0!JU3fDh5`F=Ljm;Crq{E?_ML{H`?YA$i>m z{yd(H5GMcutdGW}cvO)$OF5d19-zRr>H9-;u@Kb#>f1Ads}Ouwb(c-hAqXy^v47gS z8ytUhuV*^UgUfQPy!Lksa9iTyxL;oj9x1m@CU@TjFM4^ETL+(mx8p!Z`6cWnYNTLY672d=P9NgffWS>Q7rBxN2%2UXf*xK7R^1Zy zb0b8Sx`on^j|hZtrA8|fn}Ex??WnA&EpStv*2e(@JcNa_q+(uz=UQh31w8?HTQl6c zB~}1F;|_0X=D5M{%+|Xy^QYjisNL)7hwKeE(uYQc7a=e%@TBmmBnZmdXOhE=v$ynX zO}#xh<3Cp#NHK1O5TOmp0^eu|saeeWwGWwDOh=wyv+M?U=sm1NY6hOyswnDLO2C`& zL(dgoQSixRj5$2*0e(!a+@Di(!9VhGXNV%czAL>an+r7{h+8^j@@NGFU%5(@x%dWx zpKTGdms3HAYL|QJb>#gm-4-Ri*$AO#Cn-f#F@L$9VsG@A4SB+cmHKv-V{&3ixg_Vj$`!FLDYW1y23Xh)V=`c6ODJ^Q$m#e-a86>pO6PMq1VQ*qC(}= zNe|TiLkk%R2h@H?0)J2vYTw`+HHQEMT|7b{Ll}kHZ}D@sa)w}qY#yhsMsVQTR_AZV zydMYUE=d|uaO3;N*IDR~+7F2{FCs_nr?)iGxuN!R_c|Z*LG2%l5+{j3?Z0$)8KXw+ zzwO`F5JT-3KhX3>4k2qq>sE3uYG35rHW@7huG5t^{QM3#HZ*3hf({N z1k+t2QTvg6K+KBT-)6qoVT#&Mj?rV`MC}Lhd%W;L?Q47^qOL;iE6>Teol&q4W0@VJ#o!JSA5E%1u$Lg~f2+FY482ajq+NT~~7{M;K zXK8(WZI@8{H%pd>GEw_d6XH~u(>UkJD@21l!mG1${g}P+yb@Q|-<$_tKO3^bFW~zt zl{@Ll+-vYVM=@q}))4%|YiOu+S@2%wY`NL&P6*`CDAFmXg? zxyq3ogtNdUmTw&^3W&=FKCEjj*X(OsJ#Na zoMid9_y^aq-}a53H>on&BeDadD2(4|zsncL^`j)VK|LDu6j{qqLPkde%N__Rkoq*JF`EUn?$qi0P_ zks9yz()Jv^a*zR%qm`1n+fuQ+YQ%r=3i|Dm`9jlVu*-{5sEp-d9CX}B)Fz^>g?iCz zM<2V@LS>G+UPB8Dlztx0-I#d;#g31iZSLQJ0^N^^Mp@_?&9=?bM5buAAp`#e?h7)* z?3mfvFGKpzLXxlAw;(NXxi0pjHKc0iNr>p%AiF$AeIV*4BtIA@Dcth{J-zCiY~@>! zAi_XP%Xk(#d8BSh#g{_Et5Ektrvi{uw=M3C8RPPU-ir@+`9O)&zO6?jHBiLUZX4GY z2KnNt@5!StK+b3Uc014jSzDCFni5|iQ|#J2f6P-zA9g0QAsK|UfJ)gsc?n3>;`C}A zp@kHsaCg=a^z`WOa3hkEf}~?Y)v3fO&{fobN2)yrrE~qDK{giz*2CCy)n3s+ng^GyO@OL3IPQ5Hp8qqW+Y$$S@^Q@Z{R_+UA3O6q9$p-x@1X}*%6$PGUa{aV zryU~sxfeWHqK4V`?gX#;RN6V%2A`9hQ*EM0u`8ptgT#6p{M_a)S{`4*&ttcb&MWsJ zAfh>VazFN}cw5J^ck@G#;lnO7vLFa%_{idBT8~|d)Z>#}XCe3t>(P~WUm--sy&}#b z5gZqJ<%YX3->#Y&O=u6zM+fm1(s; zbjbmEdn{aCyD%FY64YR8Ys3N0q@5r1CR%XbxKpHIBmo|KOgnae#~s)h=W_9OKJGqk zvoAJTq9>-?fXreT{Akk`ydv>=i&Z?j54I4XZKLz1{Rjk_c1KO$zXU->Lc!)K77)y~ z`uRrfFXYjZ_AgewhY$*1{+t8Izq6YTVSKp>A=9R}166K;^Je(;ab;wlMNQtQbI<~h zs@3fejSk@DnI1n?_Y!=lkGP!j#Eudl26Dm0v*0&;+uVW#`;qobtLFV$gTRX?mzV$O ztQ45p{bUpO=L|%4G*RCnIJx$A3LppI(CA7U*D;DY!gB?KI9XX z`GafqIXlak4Pt)iZ{GYx|1V z4>3ca1Cya;Hwy$6aQ0p2Ylq;+alI_-`1}1xed!kUV+b)@e4isL0U@7@3=Xm3UR{4C zVoMu$!ZQwf^SU=7Ot#o?JEaXgJ}h|W^2>lXK~ijn8_pMF6HL#8aGxI}B->t$yVg^^ zLRK+!5Ex5jI$$UbLECKdN#BeiIFqtJX2BWf35j|l%XSEPGaBc72w5ZM=T1ds-+{25 zm(={*sUXb1(O*gB0)$f*thioRhVZb~f;PL?5J5qCE+UKUxAy;@`TrFD|I{}BH{^38 zqx%nD?;qO#`;YNIo!wz+x;KCPQy$oU4KYinwFgJ}%+NvAd2sgQWUSRA0+&kXF6PE% zaBa{@d3W;|xaBXh(m4@;yH^|8Ew_E(AuZgzLc#~0lxx|Mx~SPsucLhSec)xzB>qh- z47`t$g@x#T1@8*#zK@>Lr&l(6-B$w~o0*fl zQ!3EUb|QWT&%Z8VJD$gXf6o^-~~ERl*s45z_cBgR%q@CW$pE}`?gAqzgqoA<45>4Ps<0yTHnC|G^` z{OacUX0Sg&;QdBv1e{b=Gfs&%gUdc!&a;ko;L6M9v6Dg-+=P$jP*h=m&($8fz#D%s zC7H?O>m{r8Ia}y_xD~!+sm{heQ{UPwdUOG2)E#k@wJxXS)_|fRApTGkAM@2RA0*`~U ztO{8N_A-n1BT0gsyKiE91t;5o>fJ?7&AUSm2&909oZ zdATQpS&IOCT4~h3kCK3I4ku@!`8xP{K8~C>4hMgQm;)2HwIJZ!RAHdN&gUN$ zMrQaOr+00<5TqxU9WVd&xAuR}{D1NK{^fi9KUVwgl*z%t_MGs=kXL43bI0ESa+rxCGT!?_7Cou3HlGmswW97?EuM#Tf~i}z z&p0tF!FI{L8T)6mb>vSohCy;6nTqxo^r6M#UK)lzeQRMy_XpdL1 zPV2b?b@Ivd8~D>J7R$4l%_^Yu;Su7#zSmH^BUG&Jlm_G{e3J$TX-omZiQXr~{QRRN}W~G;!8tJ|Mz%2#U*cJOkv; zLc#0G=x>frkjJpi$nInd*`KlFK};R8=uVytK06N?p&dV2JBJ~i_ry^C%>ZO)1e6)& zzk!skx6CXp=GfUu{Of(uE=WFa(>1rd9}*iMnW*iZhE9>Y_i11Jgoa;NUA5Nhpqe2q zQa*nY%7u%f4u$YSNwqW&e_uHiRcuaFGh-*_&}MGtDjDQDXMFss>k8Q+3eL`w{E)e* z^8I59F=RL#b*M|hTqCcF3&qi!kapbHc3+st14jKawBkbd#bGtnEm zTGd=1)Y3sy&DHU-L_w(KFWP@MauO;T)-P!dU4t@BmP*n?>rkTR$J5e-{X8j8gIT^- zL%}inirA43$lap9HN_DQIjkbGUZ*~w2kcpSe*Heka5d?963I!bDZ9eRewAF?$l>N%luaApf|Fb|yHwtl$VQ%}a{ePMH|DD(OH>Xc;O7|wg z%V9q9#{UprpYxIO(Q9h*;3mq@&fFr3{5q{WE635Z6E|{x^m;9Nb&l6sX5hVZQRhR} z=o8?#O;*CHdQ9;EqQq>XVX=Cc7cIgx!FOv9XJ8Bg_=_~v=l-ID04HU;$Egtzm`K7xe+s)M@+g(wE|);C zOu-LdZOkS1d8dl<;C(p%QkL7!90)0LD*Z5nyustv>GM_St8=**e&S;SxX>?JGoCvD z?uP?51Z^k5^HIc=*qtokeb?kpQ}h$?`E2~PFQ^OrbWF&RlKeJ!Z;j|KE4%>TR0XA`INWp7 z6tm>|W}!ET$YWkP3<9eQm@e_|f}nTfxhwkEMd7^j-s}B_5JIH=+7WvTLOc`LuN7b~ z;0_JN+zKfOwNkLx*4c*855FkF6r3SUu9zoE`YLjM_wdh8@_?si2o3)__8>a1M~d?d zg0K96+lF6Qu;b%O?RY3;KQf2XkIeoeMO2)1&bi^922c! z*$F}h>x|;)jj#*#wG7|vB?uj_Tqo)&fH3)I-wB%6knhNrlJS)v!i9IJjhEv63FjWo zeUhiaN0rC+x{Ve1iT5g(3E=$!Ws&b0Z#>hKiqM1-nL+UJnXl#1g~(5w;9xb9hY$xw zUcUkKIh?wBbDwJ}gf{SG#KqF%S)w|!bKVBR=E_snlX4*3>`Oqwi~)pi<>WnW#=at_ z=$8QrQ^-~14+eeL-`f9s=KsI&`f$(xpU3MnWB6E1TC@n3>ldzHN@WL|-qf*kI#gg! zwNZO*!v=j{#Mhtd%Yjoff!8q=^!;TH@$6%@0T+eO(q^>SU$cwc=6rS}xTd5KJO?Fk z$XAa|iL1TjhKi(Pkb_$<9bp$+aS9J+}i$s6k0g7YDi#5x!Z}@rO zC0NN?Wz-EVfh~QbR%?DQ*y|sW8T5S)j;b>sqOE(td4GylApK5oe(9*ky^6lSfNKUr zG>b~!BuE8R)aSRT)&s>o4SITrc@zEN2(@p z7m&C>r-OT&u`T^g1H7{{;JE#q2QyCJi#MEW5XSxJ0jpw@Ls?gE zgKfI}o`<=ZVLH3hCizMtILUc7%^=&#Ib)dZa-RXX_!58nte*_7ik}xkbj87qo={}^ z9X+^B+zuiX(FJ#ZGixEeVeq(Q+IMzF6+B+b9oy@G_asIu6=a0SXWBJg5q$*vb|Uoe zFbJLjZ#tjVtg)kD7nz`(e=Z#y&##-kjTZptYvGH>>4d@M&Rx@f(G%dxZ{=^{6N0br z$NB?S=(GG~An@1|dxLs)Rc1%*!6PVb_-%9&c#4>(k3D{l*`n0Tr@^uDMmmpybVsW|zA-YjTaw2YE(7-bW!LlTlsB(bpDg6IFpMtxol7eMQK4 zVkab|@&(c*LiYwZVjnx@3-%^2VMzH=q+iBr1}Q|nZ+)~d>vArnldu%~SnBL6{cN%l5@cr(ZdXYsi9@ORt;T~srBF<3AlyUd3;7edr#@K> zLayM(u}iX6kj>ha6hW4U-HX2n;_gObrlTa4@h5t0%4?lfeBMB6#7|Sslbnze8TPJy z#tV{*3pdBlV>e}k?LwJ&9J)socJQ(vfsP06zdGV(px(n*QvL7+s9c)3rTNGV%J>qr z;#OMF&pyIJj-643beCola{VDsPgY4<%n}*dv;7lG(U4{2rpVP(kC|1in}&Y(Aw8sP z&*5Q9NPA54OfyOZQWef$vD+$!6y511Lc(@P4z8&Q$R&cLP}Q~9B~PJKhEP=X4J9-l zc~tPyOcAPqcIx4t3@F#+GV|I75TQy_a6nPrbX<{mQD`aXJ9Lx!QJ zZwfJ<31t(-w&E8dO*W*z)cFvk>NECPjlO}N8E=IY;{DLLKf55uKeqEoAP08dvL{f&4y_59MsTe{26=X8u3P zJ^#C(U+U&v0!;$3KmK!<;Li;2Uu+ND{rxj@e6HDZC(SrZz*Ev;(mE9PtULQ7Hl51A z?}9EpMe$__AbiEV@Z&iI#`oo^>^=y=M2bTTT}L6fkiqYVr#W_FK9uw9{RJUoYOmjl zPeACSN)`I*FbJKcv~dmMfiTrUQ)1?52zwP!==x?a&U%)&Rli|}V>v0)#!4}`op}^n zI~M_-*-ZkaL*KyXUe3>_*xli0GkrmYln4SOj1}*qzc6s=LQ_-9XWXAR?-UQd55c3o zH`JPvAjFD0mxx0TLP^}%suZFjG(x5HTqVw!$Hd0c)`p%H z)s#E^d*qQZ0?%2*SaE;e87&*(fcMqcm_y63lT*T3e|!b=fRmaBG-ZB5n8q1)`Akv> zdsk-RU$q~3dwn$WBiQ}@iiEo-R{|o0XG9NQ=K%M)lnuQ=yw4yqDw~z1v2CBxBS*d5OV(bQ^d+}evIf~&r;v@8X|Ac zDc2SQM7F;_%}l=+eC@7D?|9h={&R;`T?h;zh(vxc70)EW4r6afkS`dbW>b-9i(RXi zviGj0(?jT{A%p5TAB0s9*60*EK=|W>bf1aeLc{^Wj_fZQ5K&^Y=+2S=kzyS5x1K+R z$eEt3FC&Z)WnQ)-e(MHA6TEm|Z1*d*%|L>XqPvKwsbCpkS)I{<}R!3b^ z-^fZ*U(HaH;PKln{O3^ccNg1*IP;f1nzFoC^Xju$qOSc_LUfO~A`1UJ?z2aa|70Fa}uMR9- zmwsJXY5=Pnf;QI%@aM19jcy`s&N^}X^KR!1u(@%)Y4U~~*i6`cQ6-cETNB4m_wkO) zZbul4*4;qR@u_@0JlzZiV~Q%LPtAdG?8(@m6Z~MZB_|&|-wtNyD-LiK$b&ieA%VjL zv|zzhVk;o12$p*tl?Kmxf#q=h=1imlSjD_!CVUzK*7t6b3$k7U>tE)Y+u_)Al#yej zA(RQWH%DINvWbB0WZMs!C<(ALA&nI{wG(ugN;Q6M-3P-@V~&0<%=Pu&UR?U|u|bDbqCqEVAVGO;8bnrEek&>0JOTG69+btiAEO3pM$M{wZMDVQ(!wMIr^;fIoNs33J(p(fIV%u8C7aH7}&S?KS_NI z#(pFgCl%ws^gz9Bmh>E$shv?~Vj~ChfS$ZtSFOP!@yu=RTm`Ub zqt;6Pnx!qgV8eQ&;(lK>*nA-u;T}u^+oFWjhuGh1r(yD`)0-RYDOJ>&=B|K!?f$QZ zB#Pjm`2A*?+9H_jJ9DRo3xChCj0|16(a1d<7ZHk^2a9VOU#JX*!BXw*zLn<%U}bvU z=%U0Kur`r;c~n3ZY}8L>1_@__tx!hAF$N8=qpSU?+JO9n&u+f@diG%d#N+&}@Gfw0 zsGp}BF91g#G8e928{oJ?pP)-Y2~G*cbn*dQzqS8+=Kq`5hxhpZ>Dq5UyD2SjeG;D3 zWZCT7bpR@C1)~jUlc033ZVM&RN95%_xPE-F7xJYnnEXnJA?M|baN|w`$Qn6p&f)bI zGC33|i^G*6y|>9{Qw+1Uk;CB({f3b0!>mjXfNqfuP`8jx`6wPifwaHd2Z2>DU$|D6C0KlcvPTRjJ$Q8=`Ix9=yHl! zKMJ|U4*jD`RFIvbSh7k?44KQ4^MeAI54UslH>@^+^s8+Le5lc5bDHedYe5@GWiqP@ z(wc>oJ1nFLvqO;VFrnb4b{Sbu-#b0+)zLdhy45~*Y)in_~4 zNT`sgjCN#X@4ffld+(9GRg%$^l_I1~NE*uTd0n6D-F1Dw-{0~5|9v?QM@OBG3iI^QE`&S#SEI2ejrmdsrmr-7<#EBfVKL|e1k_m#+DGEWXj?;H8m zgrZU7>B01UD5!D0bN!b!;pO$ueTvLlrWb%d_ZuJZA7(0>mZHi&!8apxxRql*A>Hcxxir^VbeTMf8p#butIU^UEKWSAyGCnA<;#IvF_!V# zWsgzGaEs|-DI3c786D}%u|`Rp`+2>d5fo__#D%i0pup@5hf-}A@}5kU?4Xc9u6h0Y z_benYNUDA_`!WNv`3{_DU}Q#?(C~h>Zvlj3aPMB+=C#PMKhfCsJq7nC<~owYXV5m9 zB-I~bg@*90%1+y#qsDpc*DF6g;_0?}rZLTliZ0$?)MWlpe#pjdfJ^TeB}m67lqX}aI;4MN_@NX<24=Ly5SF8$1XJ>)PYu1qHoKR-Lo(C_mk zA7?LzVtVu5uxIqYwsFhe-z_;)=N90^IR z8VyE1BQqO83nBN*l?^Y|ZXjoFwwC0L|Ec}|==uNR^@ab7%cH*cyu$had3+U9>#y4X zlk4-h?fLx*gtP9LH6mCdICvt59$_JCBAyUGf5euDn;T{W5GmU`@kZe>qNG02Hf|%C zlk7y0@5F`Z*PDhH$!;hnV_^%*>=Ao{`-M?4$(LWcyPmrLGvcDXhaEWV2|GG5U2F9m z$xm4}dMrj*-Ew|kV(8Bz;dVB&c|;rh4;xJA5{_GNie=>8Br(!shEJ}et0QL#^|(vk zM-h27VAtU_bcnjNZ+rcyKBAAld2*qY8Zm6clA%;}h#B19S3%ffu>rph*u|tEPF&%7 z<1iiK9%t9Evl3s|RlUO3Po5EWwYJFE)6YooF{mw`IE6%dDhdI+lL*+RpfjFOg-Rq*|5HD2T_2zUp;%`qLzGQU~38&BOcgxL1!t|4$nYXl% zXg;@XEtyLPUesFak)ni9MQfgHwsC})9ALWTPWXM_zB~%@96}U(Gz7CryK86t|6J5<+_@h$?>jh7^!Cx*yct~@WDnu* z)lk!qsL#QYcej(X!<>G0=~j|^^kQ|r(wbnz)((BNv@<7pNApg~pGmIrk?^96mAerC z`|`q9@h~KmboS)FNg|%58sTTJ?UA@dd-RF`;VDLXK##%}$wJnYozGN>Pf*i2ke6^B z7`V93S8hkt8SN6#pG363#WZ6Rnad{!9uA8q{>P+Zl>)0Phzpn;54&cA_-leD=R-x2 za45C@vsyIuQb9~dCXd)6y_v<}Hq6^i0Rr;t1)bpOs-Eu;h;JA7ex zFH(24tv|pS`lt5)-1q;@>-(>-{Z5Jewdq82(>T%-slhdg>h!H?Zl4~aQe62Ze#WBg z!?p(u1F|Tt>fCqcJMl{$^wkz8y>PygEziLlJCU0s;J?kY9yy8)Uis5A$Yu#^mOe}F zyK6oiUOPu-I$XOX{0-=lakP<=M~K}2{f}!{t2HC7qKtlbO({BCsp@PW?L|{r6U7nN z)u_>^FJ8C#B`WX7F5jmjIdD#y1}cqjQIZq?gzC*r6mbTtvR+k30kfw@^KEtH8LylR z=ONh(UtM@P50ZSo#^*YhRZ@|azh=a9FUiNN5*!f-Ah~pdHy~bKgbXH+vd6q{k*?3Y z*Kj@o_iUEjmRCH{-0OU={#qky4<7TE3EqpUxa!?9B1GpPK9X7+vlXRtY@D7qUZGf3 zWUWV=4+@2~+M1e_kbfnYGO;s_?AKe(j=G*jPUhITxvqQ2_H^y;nkKwlPuKgAvfao` z?(_Zr&=wiJue?80Zb1g4WQ)2`61r|$Sae!`MyrtzRvlPDU39Yy^O~Eub;ojhTSEpa zI2j235-q{jLvAppt6sK3DE~l)Jn>c3^!6O8xXWuV254VwduV zY+jpC*x^4|agStmC~ymKb+(eM4(pc*Z+;;6o{aK~M?J`Ch&tJ>OZa{FM1I$N*@Ucj z3ha9FqUdG0QEftUiaMI!(Cp%fLi23WwHbu~)JHH})paaFP0FHin~f@NSurfF{^5ek zb_%U2hs&ri-mc_d%!~4aA>SYPW}(!d*D{P-7{!AEtIjI_A~VQc2k+>T-xKxQw@21- zAisY|bgKl>g|zL6IO55?(rB6hs}NQgclwjuvb(ZjHkN}N1Kmx8~SD7Y_+*O+DM^et7bYH0Y5`3(t;^ntSbn}|?E^X?5880^GObbZI~CZfhR;%DC&T_exBfEs zb~vpTk$Ep=3>R80N=grZxK7fjU${v0Z^z=Le0{u0F7VcvoTo>~&TTle*6Teyy>%oc zE6EH|=n{=@MIF4_*h&t#sK8s@w~8^Fi}2=la>S^4!AH&PqWLZ|U#NM1u5hdX)c0!p8LV78~I7RN5|7Z4NF|ua`P6E5fbEn@vH+AMW?gUHJ6P4j%EW zMv8@L@YH|wewpnOVQ%~^E@o~cdbq1xu0JZ_t$Q$TQ$qs0-^FZUULwzHIU#7fcnLnA zI|n`P3c`wYg!71eEo`p$Q|k%j!2VcL^@CeAaC|;2H^5W`7ZDG$s=Fm{({MH{Z6|D# ztm5YKXjZc03_CH@YXZ;9`p*;$$WB$_Nuk4i!Xx4;utMQ|_}*ytW~D16T)!1bnLDbm?rCA2J;DLIl?gE}V*@z8{J~Ymu@f#%ex{8hdS*FvFohBoL=`T-`2mDn+Kexe}=>9r4&^~u3>v*;!h^{9`+foxj6(Pm~y#5H* zi1=-0@#W8b|6jAdKZQ>UoR@t6zgV4*{^jo{Klb;W^9S>LZ`_q!$ByTRcnT2$w zi0*UiFCe|7WHEoM4Kn1^=?r6uhvZ)O%5ID2$W;2U`suc2WHxS?T`R$XEWznFVJ6K8 z5nbtNw?2c2!SDMmL3(=6)omwyuOjw=#>~qTVu*iEvz(krgTz|KpI{oNK} zq^`P=qJ4}HX|_ejekxfb{Yr-Bl2{eV1<1T`$6f&$X?Z_dvht8A{C<~&C(-#8pG`lM zPC*}1VysN4nM%uJ9{r{?B*wky=qzHO5wobg|$BeqJ&+_}(kB);9nd zx9;pV$P`DWv1%UGS#M-f1(b$VS|KY*gtl)^n{a7wip~v35&h$Ciya}!$l-rlCLB$4 z^^$Hz1qWsjyN7@G8ct`#4{yAEIHnv)irKsNSe7BhrSnl(Zz$42=x-QK5FTUWerx*2 z%;f#G5mUM-fK2Nxb-r&8BTI%OQztMCSzoE!hPR~2Bn{KQIt;xg7Cld-S)Rr$*9|3tD?4_8?`^+3{@6bEF?Id?ZN*FBun_ z^M9YJC5*UoH&Zv_!EukV(LBPAY@0IRT?}&cRai5E?~%FF+6b4`jmZ7+;k!J^iO#E8 z(n}x=kNnH5eYyf&C|IwdWXoENg8Vh%wEo&>BCQ^+ZI9?5hQUwkq7kJO zytYI3JtCT|K3o!}M(380foB)#(YQ(9rC&}Nx6EuWMc5=RU{ZfT@dy#hJ;^vGA!VtX>w{B)sU663nhe zT+Z-|3|B$Klz1`A9J`CC_un#92b&S8qgioz#};(ny|n9n7tpjRTGz8v3e^XTqNEE> zqC$6P(k$mbl$f_ZjfxvWK_oTL;V>KIhIn{Z(QQID$Ev=|{4U5`ujIWTxts9emG%Yj z6%e1Gf#;goAte5ui#>6k0ip&+`c$(|*U2i1r<#}`U12dTe6t9{HD?u!~ zKFi}wN<^Otzsmm9A6>tGece8D46W&LJEIA=xK4EM+24`gs2c70ao3s5T0cmcb=~kn ziLLj}+K4z5(y45h+)Gcgztg8))w>~kdfERI;}A06M(&N+Os)wcl%I^>+9T~umfKW6 zHIl39Yqi%fkaPcr_R^vV#P1KLnq+*4SZ%787w-F^yUFHZ0*xoyWh|2SsGLPZ>YdWh z8;nrB+;5`(*bkL`m%aofJVg1m72dt6@+e`sEE~~cO)@wrX=)pWkY`pwwf^)1a%6lq z8t!|KtW)Er%I@OGC@e{oWG3uZu{i9qc#D)4dUb`22S~c)6m^6E=o3_D&cx(?{!{z^ z(ewY4+(Y#I;s46qI=bZto!k)d@{l|}Q*x7Ma{Avny?@*LzV&FMP+`vwILKU*`zd+{ z&T)k+w5tW+cJ{JeNJG{%PLJK>_ z;p24T{P4OS!e9{1>Y~_4ddhU_k*RL@WsVeGrQw0U>UQcS;;Z+6Z=k0d>x}@frQ4rx zkX??G0JUzB3>+FHdBksW!(~0qzo>bC z>_Y8R&KD;Kux$gpm#D3;TNEbZe8Er-db4 z-)mWdhveN3WVCIJjv@ZaDE)blA$W;BbhA`HL%c*gE|ytH!bgJLg!g$Qe7PdaB7S6`!Zwh)Ce+vuOkvX z497T;z&7J@xC*>=*;mm9_tf_V8`sUieK+ zG<=ZEg6~sl?gK>A<+qrkx~xM!KWn8VPk+CKzzwClO{@1KXoJ+z*IyM7O#P!nU!n}b zpS4w+o)V_X6J=jdo=$`oy=-{p{SjdIn(*1;q^Vw5$(P_fQW*~$zN@=gjeU<+u=icR^A8vQ>Tfxq}T6@)(fKH zF&|lZmavEz`Wsx1)q#jfH{K&zG)8($NrDPa?C=7LT>tr4t7e7(Zg6P)!=VqoA5Odzh^26do#4JsXc~*WwY#?oC%EW8Lu}ZnD zThjrrPkX!5(rw@u`@i-??989dEUiKxof)LS*D5pBOQrhL8@F%oYErQcsi z%o_!n4-?TOPsvSA?s5>~_ERcKW_KWNuynhTvkBtOvmW!@XoO!$k1(C`4Fry^j52q} zAS6vO^zmK=gs-ui+InFW5!>CPe78M7?;5QfJ|3rak`culMuW%xIdVy|_ zITK>)7{hJe^CH&mWysyD9^@5m+49;*4RK4So@=OzAU=_=K>*P)&;bHqFVqHdJ0yYWQ&LBx& z=y^KHO-^P_NnNnMfaIP{36nz=e`^0v&;J*%Pkq&YeeK_!&C?9NcmR!izB}#F)xfQx z85a!~LsXpDy1(VbW0d?l$Jg}D2!%<#S!$2IA@A2y>OMYB6fjs9Hkyuo`)13A$5_tDNuyQ|x zPP^}-6hDj6_-p#R@eY#9TeM+H#&J-k6`wIs;}y6seQcNY2g*S6bq z?m})NmykEdAu>09Ddw3(w8Z<<>z|7eF5F8s?^vdJk~wuh$eA!G(U5a6r_;+68m9Mzq1w;lqxRJ>RMwx^C10kC@_dB`-?1|&Wu;*B zzr=_lnQmx zyXbWY)4gSY43o8y7R+h5JHrb-0bkCQH_)SFYmA6qD#>R`nD5$if*y5TnX!W_5~yD9 zBD`}SJ1V;vMD=M8qx{$F>B}zxDOeqd14>N6}KHM|RQUVgz!*jb< zpJ~trcv*EH8!&qa?|9P*@f+3f$vh=Jxbg(Pu_a!0`fBiV+;x4hriHMoZwqL)IwD~6 zCxgVE^9UF{BroU?iNL7u)cubI5TwX*T+ZSNfjt{!)NN^MSdf3_>M+8eDL`U zzuV8!p6inNZi;!BpUE`@Tn~>tTQ-NlJp(1`k?IKi;M!Kbhvc?o=unQdHzD}ANmULv z9fB8ydKOn_z)`Y@tGTlcu6+Y8o`L4@*r%NJyUmy|Ejli3rdfbD-M+bR5@Mvsp7i9K zXNIrR+LPXEYv6bIqw5&^9Q;Lg?oiGUP_2Nyy-1uqJB&cUfeN7m)iX}Hrp{V?~uA09p0W9}n)@KTj| z^GYZd-bu7`87yDPnPyqCwfHl9U&TMMGFk_}sS@ig4OZ|Ue9b@QoP>bpPiEZLiH|+L zVoV?^` z{?>ec=NFYFV(-=9aoX&@xUmns0$;rGsoYDL9KUPlUr!Q#%>%XcyfyIOE9zx+ZUOq&E(xUk>ad{G2$K4|*pE%R73Ggk}@{yJzCvcS$KEW3nQ6MCk~^WXt#L6EHzI z_4CnJV{-_KMBo*%j><`(Wk- zzpdRy4X39Fug+lE-9Qxq4e{HmUl<_prQR`-z#4Kk+3!%{coe}i3}=6G6GrIxxuqY+ z_z`-KD=O452Vq(D>F*v16SjEk>eRtVglF2mvVFvg2r6ewt-^P3?X}PrB==)ajvG3M z4V~eAfW?gE{0MwkU1hj?Asl{z-zqQK4Z#0{;)k^t33FrD6`Laa6$EKl{b>FE7{S-g zSBkfi&z_~q_vX*b2))`Yl)Q#~#&vS9ZfE2~_?Ft4TZ8)$9*|(3IQb6Y^Opr;eESih z>)^aI{3Y=RdY1)8lik_iqVsUVZL$jt&`{Xx1>d6l;};5ZNe0!}_?C~y5Rf9J_KbxK zfm2=S-?uU#c++{mgNCaSBB6PB_oV`a9&Vqp5sXKe0!^ab2w|aaF=tQI%OIS34ddIR zG>D)qSzF5a2oV|+e-hMJ{=-^!)d=04Y7}a6g7){1N_9i zf4+S{I7O>(x$$15Af9o~qzTOq1j{T+HPcieq|_)_-|h>-=$kzJ-FXncvomV!YY+Jx zb++bc5+0FJRjyhNISVez&4!5)9-wEe*ax?CM6DeP*cFqHsL;+SIU640>nOFHl*&hR z;DbBzVvB!j|4+~V@8bWjul-Jjj89nxT4;3G^10$-2FayiaFekYLq*lV>ge_blnzj7 z|6bUGBEO}3oZTdQdU@B;Z70qk_wyPnt1>_2$i@askU2qCmzbm3j<3k{O?UffA&ZRb z%3J9qSCh>AjL69RTNv&CqI+WK*6K^-%9I_Ag@waS28IUIiDr9H5*Bf zX)(>E)j~~ncn32twag-8g)3s&JAmxK5>+`Ahmg)-dU@HLXo*eTcAdKYlIXmS*WMsG z?DtfYG_J4-pxH>`0GQ68reh*FDef7n82u#l`?sJxmCl|11qDjV>OXz7??ButYQ5Cd?vb5UyC{Q0 zQa{oB<6m%&V*=x`nqGhJSSbN|y>Xx&xw z2f-p_KCXx#*f@lYo_e!u+~G)n5qbLny(ZFETToLr5JqoyYR3-soj@oXeO1*lW(H1rc;obc&hSW z+sbQSdyhQLLM#8X2axkYzw6Wt@mCg0v>y;4nP5T2>8(3mk?E6R?MUVe88II=1{tNJ zrz_T@?l}iKuCUyF@v#)m(i@nrl*>z;*8*mYEQvaf%;i5XQvuB+~J)u5u+diR;q zMwA=!35+e=L+M#=op-ypp;&7j3y0z-6#DFoN_?r0{Mqly%dfSO=X+R~wprd_fN6-KN;`P~+dp*;m|IPFw|Md6l`JE0eM3qy;!u`~M zeCxalJoOlBpLX`Z>$^(mEq#(LdFI8r2fJF}8{tz{C`B?P3Tz6UM9tt|P-1vMoN!~~ zZdU(@wnL!p(SlK;*9ub2mCglDHR`zhRS4}!!@5_DMzyP6@W zqWMM(f?r6U4NQN6knA>lPtC8S4?6T{xy>DFQj`VEg< zha=NeRq*nkFL7*7gAXs$>7-p`U*gS}e9TgroNY8-oRM7z|2MR&6}ictVsY($r>-3c zoU1V@hAD!^Su`hh7$Lah{NlQ#Z3s!!$mZE7Ncs?w#@nv~38#3?5rIvu2%D`^*V7Is zjFW5ZP657f9~^VwGcSVY>`xvy-w*JvSv*G>Nqm(Ge!4GaIN_JO{fp^XApGBc;rX>d z7%m$n`qJ5C5hR?S;3oP7!D2$?-*?+1L|FFI2R=XI|LZf$Z90O`pGFR8=Xnq|Ah_|x zPg?SPUW;ol6e7G~vcokX7!h1fmS)>);FZ|B&UU1PGK8fa9etTbIE5OA zygcNhNIwv<#<8I;8L$a=)<7Z=fUypgGq>4apo`>1lUED1tT?$tG1f!QQujeV^GpTsV&L`frtn zyXu2VvCn;k6IeBRYG5ZkKRvaS85e=~Do@wZOv1wWmX_lcr3s(W;?dqMQ_K@q$P1?&>-Ep!Iycl%Qgf5+G%1e=TJ^!xhdH_?YZ6|~ zr|1Kl`QZKR@{@}*+X)ln)NdmQyi*4rKawG(fT z3X2gY#?>b!{YwZm9@XVk&nDM~!0k7Zt%w)eP5A!c9C^M#PlNdPBxh;gN|P30eNxFw zXJt9VWA~dq-U`<6?BTIVC;5EdQb$f4STul-cQw_eOHT<0(Pnww8^TC`#<^aSe;p4aS0@WS;bRRN+O92!iU9sZ>kIwIRX1s5Wp9LM(VU)xNt&P9Oe{ug)qX zjBY_%^c9%{^yVl%>?8XG#gB(LXdVC5{-2)z|K|1m*VcaL=8#naLHp5|!s536&_z`1 zZA%iHd5DThdA994m{4}8qVRDr1B$+P4yF3%qrf&;(!s|Hc~-6_mlPY3(^;vv{lpxy z_4k`xXF7l^?&lkLcx;flmP(K7bv|J+pI3THeVpjuG;4yN4E~jhtexzLD$+cF{ zLuXE>_KlwHXfhw*8~9X%8k+QF`O`zFw7;sP@^A#@d`l@HO)B=>>x8VE z2Y=i5Y7$nrPaf-V2=UEb7QOayJf71%XGOS=d=$L=Xxun6s-Pczc+uTTmZDR!Lv&y`PPc zM|Xng5()Do#&3T9J?S~MUNHAcup*q&Aa0yW8{uaxs|G@);kv1=Hh}PdJRS*{8kAZ6 zgCEzOQAcKdsm<<^BTDewkbQ-6%n<&1FIR+#Rye>t`ejohx!#A~wX%CAj-Y^Dk_lxG z5PY-I)FUezAzECqDqMs;!LK(-kLK)S z&4QaS?R<+px!>)-79OS{3vXrVagKY^@cCBSPVt#=Xw76o)+dpEqITUxO8!O!yt--Z zT&#$|g(V8!`;!P-SS335r2xSbMi+#MKQ81>1#7jbGRdhKr+*<=iLk3TLd^G)j0!eI zA%;4_?6zHBbZvAL;g4+!%TC9`-Mp^!busZFOds8QkYs9mPZXI3b(zCAWR~-z5#bxJ z=G&3GhwLwoKdP$pk4B*Ds&&iL{hMx(8^K9RJG75WASA-@M;)stVSN;?nIhWg zu+vM~hTcS%z&cXMoJVGyE>!IYyDlSq%*^=H2YN&tGB>rP^dtSv8P>MX#_--BGw zEPUDc(#%G-!>{`8_VWv^2oRLZ`BqJ`K5hLNxsNv>sK`3*PP#OLdp-opHeW-?$gErq z`!qtI9~<;vLwfIrR>ILo$m=ukI(tWs%>H@{nT!{SE<(EO*4g8v_fG$jbtCf%(J5Hy z&>i+9oI=B&rB6>1zO%+^8pRCwJFY+XAgL6ARKpf#-q8rUF|PAqpC*D^SH;r`I+1)t zmg{G!JrKr!m*(EV1cdXLJlE#1Bh2phM&0J?h}f}d_XPz_MC2^Lrnj9yBzMdO8(L~a zMm^+aMgwPRabu|Ns$Vf&GHZd&j$kpu*1^ABD?37n6fDNtLBR-*nuz$0YO(ypQoCdE-?3>;V*8|FVejjS# zzEy2!)rLiQ(8x~Mw(%3sj&(^+!BvtU6sUW=c{{x1dWvT~Kf!D2=Z3WhiC4&NVUe0D z6FwWa#5Sx`A!ifsqTeDV@ZC2O&ld_Ym|N|I~i6<8kr zYA^)e;s;HhPVmF~QQk57_k<64S&jNZ!bbQkNhqaclAWlBShS?ABK)Xl=%27~!_Q}? zFwWKtwm*1NY3JV5bzx6_J5pR6vnQa3%e}gg+);YL$`L2v*8zeKb)0ndwS<+;QA`+GsjIoxJR~~X-p*!aQ!z?8L3o6bWiqd~5f9J7U>-(& zO0tWjTCSqn2|riLwvlp2!VW)>UM)g)gn0+j>30?po#Rpc0OQwi*)g4%?M1vw1M63r z$g09)v!?9MP?F8IM?dnU!FPD=@>wWmehF{3M4_Jh8{xh1Gh2l05`FGSneDS;fN!AK zy7p%V@Kbg-T%xpu->;z=irdUOT2~OD?Mr`T9Tx(=pIok_RYqW3@%8eYSTdiv zDVkX>{ipW-^!)$7yuSa=+VAB5@jG>+H5x0|^*`_QMYUzfPxsROsQ6tqAR8@)GN<)# zNd^mwWlrpO_~3|wrgw)vU1mgH^K~z?&qB!Ev~=a0P#F8-#rjF&$@G~M5S=qc?jx?-Yj({e z$H42pwmZ@KaISo9{V0O0RgP;PD+8I-YvxZX<{^XcH7zX<$>`KB%R9~0hcvOP7Cqba zaqq&_DHV4Pkc7t@?+LG`mR?Dr>eDQ$3=?B%q|;Eo#5HIxYE85?qrrufgwfqzKdKVMHUq(Zzk59pL{TW2HVRpukX zLuB4g&wENqfp}t+DRoZr9z&NQS8kw(I$9-m-j6abM%{TnK|1wOocO(OCgT6U!n|#sW zt>v+fKN>X_Ym63jq)}D#;8a%j2~^Y@+7|0rqFf|-&%>c>C>hgDt7xl5QEyTw6}jdX zu35WHl=Mu8VyIY)i%#roFer=Nt$t+z##|`-* z^n88NV(3?bj#Q@oy|-!5TqyP~FXaL175g_u#k?hF57%Yg(|NeXNiTRaPXLuB1sf$d zbD?}C!(VYB2xUb-@;~O7prrIU&$!Hb6wMFbi|_e@LQ}PukD@lBfH8)}zcmJV{pVg( zjJYGXCdP98DZ+Xw|LEl1zWk^5|D)&si`>KXpE0DZyO&(3h47~G#o)3OM2M`F=PGDNM50%<8%q#jMgQ{r9{Y&o z!4$;u#1eM&?SPiwcDC@S^j4AhPP9W)&b_kNnBk{pCoX*JHv(j~$CWUIkv)E3A5;G_ z$-!|E-EsacLSpr9rz;XRcSlH(SU@(y2FrZb6MbK}nOJ3Ztqa1Z-;4zv^+3cKA?*vo zl8CrB9OrS66_KKqHAhT#5}u#ZP(cjY|9+)uZhDgnPxWNI{dz6%(Vf`5p0KF=$`+}W z?>CWr`L7KZd9+CuxV}$fum^(6V#Ym`oDuT#mwxheKf-niwz5&nBb=W{zv&9mE#yvf zyB&Rp2!3`gTXk7P#E(ANDok?V)@&f7w`h3aoM7(PO1`o8@vlO$X8 zS@{nBh#8Ve{->V2ydfynfyjXbi8qiCs}Ec23`s@l){Ld zc_2`?n`p}&J19TKeML0IXz2Ot1BmvzIGm#;N|-0-WB1j0A;#h4E;GXZh?(6XxkjDy zPwoHJ^Z&E(|EIS9SM8I>|LFM-PW`j?HUI7&-fNqsqs8QWV7|?0AhwPRme<`cM$J8f z)zlZki1`&*^PNyL*NcbEzQr$A0vWIsF*Gr{HU>M!b8&JfZ^7>6FM$h}hGCzc@nffZ zKOA&Qcs}*Z!g2LNyC<1G@IJA6G`;d_7gj&g}-S-NsX% z2rZb$|K6a?upXAF3@JnR2_JBiJNpHqd9^-yGMmll4s5OlNw@_j67S7#iWu%3*d6KJ zrK!CHdk(>mS4qyj{fjj5eHnU$Q|BMm~mW_rfK?-)U1-iE;Z^PcSi#L3~G#vK6UB8~|J{;zvxt|u56Xtb*Ktf~_ zoHV#kQOw^a9JzBk69p=84&!Uw#4SZw*lZ@Yj2~=Ap^z`C`J?l94GU5N)&snftP8x(mOI*ZG z!Z~(y_q_Kr?jxL}2lP(0bHVBDF#WbDFE~ep7uQg3g^R=kf9;_FxIB_}J<$)CcWIVx z;@k<#o=s)rT_d<@5+>1HOJ4V#GwFP0pJ4Ngn>ST80CsG8Y420LVb3XlP?^ly9M(o2 zKE65`4j*c&PIV8$v7JNe18Yp8wqng z3!X3#-HayV3?EaP!sH^Rs7UVRM2laP}4*u2mhZoM=CDF;r#{qS_qhS4CnCmoy8eZKBb?f>cd|GMjwJ^p{*_x~Gfzf)Ye z^IU-`8lUatq@wzW>O?)>Njr6tg{kH${+0q|W4t?BM(&f`lay+${hTNiovAyr5JTqd zx65~%6OB*&G0F?U&ygclI9&GRDza%07DRMjLFTe5-EoTn;&F9*`!dQI8T+a0l}F8y zZhtVbX01b!#+x81ytT{dgt(t3FS5^doFA| zh>`@Ojj=B@P_&+GC0=hr0afj}Xwza4bY=7D&%crLPRoD)5}C7?UOT^1cobQQiaCd; z3y_(;{E(%CXnneRw2pHWAcI0@%=n@U($$oM3M&I~&st}OlA{vMo#&6MTaw&&sk58S z83+?PB7d-SW&{(n{5EOFNJ)t@C9{KtSx|=87BKM`W z#@_lYORFKgQ)sCu4>bp zOU{5o87u8RD7TxEVO|}DQYYq)8*$!5bH$RB`Xd*Gw+f=C(uGj4x9?r`v;pxN$E{LY z_Y=9bw>0)fGb5+)Xf7r3dS}=0Iruc4Mb_ZH0=o`+^ll)R5t3KX(bC#W_vAI2KZ(v4 z@*AN(Q0P& z)m3pQzQ6TJ`{892sr0U1!O zqv!vN*T+ll?*A`#NBO^ae&omgp4uMYsMkA6``i%NzbAD^trS8&A6xl!mt<#3RH@no z5N@7KBC)J^`8(eL;Wtx;c<{DcM3GlJWDzJ(&jvyU!a zocOF5_it_?S>CbHiSyOFiJxoZ7%Tr;UBrd7Z?0NJ{8p=nB#K(J;K$urqw=-|LE=<_ zC)$G$D%U>Qe)k;0^=d>Dt;UE}^A5EdFX6{2PgG_d3P9ARo2utyHzDfLwXKoXgaz%~ zx#Xe8f*3A?vkwn9BIcHO@ppbf#L7Qzk0_8uZ1+XF0y=5LsSQ-0-*W_U_g-o9iLW3Y z+|lh*8t@xmqx30f4nZwf!j4Li%|8N|3*;*Hy%a{6=ccckk|a-lZku@T z_bx;%Y1pKtyhY@g=k_;~gmqq_l)-)O8KN!o_5~>YM9j8rl-f-ti0L`9{@KtRVSh|c zw(KH&yWbX6G675E{iZq)f9V+FH~Gq~4z5Fde2nsDwzWuL?e+_8)L1WheOq5v8WJYNIX0C;4mQTB0VR;`*3!?KdO(dX7s$hsk+6!JWQ$%7}3M zs2&uWA0yf58(hWS%@g0?)QhYA>RS-WvY}MdT#fhz(@(ZPUP1KcrifWN1JbiIL{wUY zBc}hR)zsEwh)pj37`Mj|ae5TgRxE_eNdJp+_t1UB*Sy*-HP42GGi6`DSP=Hgw|%du zCOwcC-mFtMYlkHMAT6O1UnEu3rOnI>{onWffAjkO>ubOBu(e@K|86ucmn2w|U0e0N zZDZ8-Bm?_w16%JS!b97(Ot1Np>~lGW6?sSPQCO&X>CnO=^6Py2Uj~!CDfbqskeWi| z+&&y99g&Ibc)blG@9!cjved@yg#t1QbC`Ut7b0UM-*zvRA~LxB^fA+${9ML*#5s zV6^SHNVv2GHbNp&$dd9cXWl{H4^xIdDr+`mR3^u%tHz?s`@DEknjKmLG}wkjo=ThI4rbR{l;>vOrQJYu2ENSSxwhD$_?SGCJlQ`K+GyR`_#zzn z(?w5b6Y7x{`atk`nF?}^k}rpM%@VD#wd%qi!d$sD_lmm9gzWnbc7I(U{J^g7ua_0i zp?l3mm0<=2wA0mAyx$jvhIy&kohx0adAruuJfIA>q_vdZufC5;g`E3*l-($AKWbg^ zS_WmC6bzGBi=bq~Ip34cUWDKbY68Q<&Y_jcCk$1Dmo=IesaEv9M z6bD=+`~KRug?#qN&c4B&_BIN=BAh>DJYZhno{Bv~ob z7V<-T^hqD&#vmm7302HT=8jd2p`zOOM8yLF?muQk=jpa%sRCJX`fCdiMZXrW)V9eIgoEv@?9{?z`z@B5N}@%lvn<UgP1efXl!RuoZ{l|Wv{P}nH@tHG)s*6RQ!_8f~eTn_Ku;plUXuA;(`&1^= z%pMyhkGp9rb4v~2 zd1GtiT8|&_S|jjIj;;k>3HN&0dO)w0;(XwC%ZYEqD$WAFydk$mFS1%1s|2*++PhxUQ= zcalkVt%D`&R#EMTr_*w=-AoC*WcH^I41R;x#JiM^(P*NHUYZe>oP*E) zo2{1*6CLL5&$}OxY*JrUnZ*kiQenM$P0ahykFYx($9->18;bygGJU(ZO? zJYj=R5p6(&=5_d9*pZtue-(Z-k_H@#aq!Dpbya&+Ioa`*#eGX;gG0g(1HI{KIN$!T zAxumNZl@_Nau$iVtUCPL)Q97QaC7e$`C!vBZb+n9@kZ)RikS2o9=tj>SjKL2=p$$$NK_WVJN2P-&U z2q64qQsD=iTZmk6Uc8<+f*84vs$;*pS} zswjB207+-&?jCs`iR5p}p6h>HK&rv>=-nUekam7)3mc^tIY%dRP$}CHuZaI+b#F6d za7Ax_yF~&S>HS0JlCwxIEwysZXTrMjFQ?HW_lJ-|*<&g-?uc-E&$oE73(-3tZEY`% zL9C<95#yiLh!0EddTEVge%-&d1?u10{&y=v>r$swm++T z>>kp+j+xH0N+E+&4*b|^Fbw8s=aS;j62ZQg4`XK2+waWI_gGfm&Hq+HVPBPBK zxM>~MB5h)KKjpDM`kB|REZ@lvPiOV`ghv4J&F_v|;GsumSb{ih69uxi7M{CL*q>Rc z`=?dc3L<+`{;#{n#OKDpiaAOs9x>dz%3DK{5!e0tki`8ozil=6(uP5Dw#LzR`Pq8`A$B+Bv0V zO}s@~*Uq;S-Qz>q<5%R!PWUVji-(3OnU4%!^AIUU+;LBvEl)C#c;Hibk4zAf-!Hr? zJWA$5`^tLaP8cBlOmT0!coi}%j`mOTlh@B?#P1D@JF-mcxvQB>k$r-7LQ#=;b@)uaFygXPI{IyKIYmN>X=uGYd;}X+RXccJ{^*D zC0~gJDI@;T^C-qz_g?6|` zg9?#bjC_|wrO{dNi0|G7el$7`J`h-$g=zyg-F)huC|BQ7Q{L8u5{_!?3xu0nNUxre z*hBOL!{KZqtC)~|INXl+SrXAu3(7~Feu?zMH{`u{&>~eY$3E)RH6+F5jO2WJj0D~p z_aJH!#Lly_v!#-2D%~Zk1D}=j5l4XyCQp$ z&im<*c;Y>z9({JE#*6qRvn~g-{pv!3;*rLbEE$s5aQ5`j`!vKTIC>isJ;mL63oo-R zo6#z%Fj}!r5x0^!7BNIJV&>kdeYqup^1FLlt*;EB^s>9>?K`_sympl55Q81^=NJsE zK2jprNOgkKPYBt*AGpjWoW834N?`NX}mv4b(q^#1r#s z0W*gXe}G;D9eTg-`~T7N|3mKiCv)rn;otwG<)@gq_E%=tzxKXw&Dq0YN@EE7U~8kR z#5@7#})AeBMHjCN3Lk!&5MqY3yhbyf&XM=wv2Z&{@N{ zb#c`2zO%m2y_Dpx`VAeqp;884`Da>hr0C%Leb(&Db1L|y^t^h(mk)nAbN=BizVIJ9 zzwarXC9GQwaK6@Umh&tYZk!w&G9GUvJ-x-VxRdYTVKJJiu;Ma2 zt)c^T+3DbAppzckRS54x3!(bg7Ri2m?zuB>4$%u7Y$q6po_VGS+JPtQ|P3h?z z71Db;oS|JM4UhgEj%wR(!E-RmB$KCyFsZ+*{yG~B@5=qZ9Ep?8C%E8nvcqZko-r?O z&7OlFXN2jv^&$AZ;2Eg7R0;o(91U@$83aguYaQ(8L%)m$_{#UD&=mbmo?=bpMOb|M&0zwYBfz*q<>mPI%|%7ktAeiC$lKvb2X~k$g6e zv1Q*UTAr!6OF4;d2z}l}@g;OC!g@9ZTyB^r+Lf@0FRI;$&}B~e>_f6287c3X_Z&y$ ztxF?;oy~-=wq)~s$2vs)EZaX~uZZa2v**9M%^`-ZhUfF=e8gmllsMg_gD1_xja<_& z#G|W9=OA$HLcs+oc_jg9tFVPje?m06{Ofjot}aAas@2k27Sy5au+| zeNi~F@FJkM>K{6@DcFMIl@Uk;A^c6M6m0eW{`hM_=NcbubRvd`HOE# z_6#$ko|xDf9$ZFr4w>Zs5<$$hswLFvAa-}5^h@W9h<(4IbJpV>*=z7nakbYXUdDx` zL;W-2UmLBjvXLb3bI}1e7XhNrm#t`Exr4Ab@55RHjv`{yVK8$zY(rmh2f0tII|kpvpDo2gj8-l)ZY{S^9z=H@{aq`-JU8p{?bf z1s@6IwI6x6-(nYXBBynaI$I*ERh^x3Gs)o*t}qwdA4T$aXnaQ4vXGXwXS9;*CQ^B6 z%Ilmvku2dF(MZnAi4LpyqrcrGpS_`h_nG(5xwDI1(%=mm8Md_1OdmtF{=P*)r52QD zcrR8wrbH>vP3i=va1`ZF3yNK>M*eepwPd>w$PL%<=aS$idutVg9=&p8(Q&-JL*^wJ zsXrN)=)#b$rzl0UK?7-WFSll@xgo`U_uSjLSR@rOmu=PDghYx5t;gTlql^8_+hB=t zG(`$F%@JQ@O|E`!?(7OwG+6fa{;WkAC&mm|3AfN>G zL85bD2q=A*a}9;pPB7appF+NbmXW?1;Rb4Z_Qm;Y6MkV?#vrvkvScbEP8f6{V?rs2 zb%_e;w_QeCeikCFa>K#MN@t{6FO3KXA488{jO5}@HMDWjbO>H%Lqm*v#5mzc)oy-i zGMw}fRdhyyAM+@P-Xq8O!2Kwc&WUQ?TgQzODwmV>q%SH8j*X>Rwj~7NhZ+s`h^STw^gHAx_0J?Sy8c70ZA zwIsZ}U*kMmnh@~Cj?2%v6oJVSdCT;yg!#0pX6h3^VQe|oF>?|foX>#asVBj3(VyA# z;*AFBb!jF|wlu&a{&ITkQAv1?&Ix38Z-)0KXDfV2fDgZx*r$ej#EZj`(LEUtKgMoz zhr4Is_citW+o|h>TleHmoE9qryqUzOe-N&le9*7X3gY)$;I%<#nvY8|1=yX6Y<^C|7!3`Pl$pX?r&3jz#!gxQfpQJ>YNdN$uYnO?vy! zM`q=%5qL%XgSpZm_<#_$UhmYA=dU7lMwR5b$)xUH$uPealAf4w7{l)ZaRsH z2pj6wf|4g|7YR<<MwskMYN-{eVWd59H(_nX43o&6DXZ&|CFF&4p1 z_e;LcI3pyR`SXKNTZFpH6uy-=Bz!j&y?4xG2n)O4cztY`aP!h$Z_^|@%k(aWj#WeO zSi!k{W-c9GoCWUc{8tGR)VS98nmO_C*4rqN9AdxwROuuA4G5q=J|H-M9f6{6rFBm_ zBIwBC29@Je#OI*YvU%$yLX;&&=9PI7DpHi9DdmK)HJ+|~(cK8M6LOm9%R<=ep2WuI z^$1ria_|^`Lu%etU^nG6cr+{V2|eN>bA*rG>>i@<3H86nX6#SA(-GfmBX7XpWvYl( zOArBfDnD$w8HB)@1kT#CE(m6xu;1q0fDo>MMX@FxgmR}xoNHP8$K$3x2`6lGcfqX9 zez(c57k+D-D8t_{XytU!2oQ@YZwYj7W(OO3Xuh1Y@mG|g(I@Y&6<9DP}mut4`c z_*Ps`YMh&o=`}q91}*MouG@g1UAJwXSkEB%Qr*4<*=B@EKHSP&jS_=%2+hYHLHAK@81@`dmKE!4x-2TUO1m+9tZt;2|P5w?-4CO(G6>HTzE zZxChwm3i%UmEX1hyXXIBgQrIEUtjwjYlfU}r8A=5R_KPrW(rj8e*RR&j2UHRDmvSk zq;T`0-W_wEMija~j+!d9MBYrua<>-I@_w2ddXq(mY|$-|$Ceh6*>WuZDQuD9a#r*^ z`3$A&=lCad-9ws@hZXZ!1X5S#?Ay5h5Rwg=;(AYrAo1ga%8E@_&=K7m`Sfi)8kEng z+@*9y)j)N;;CBU-E7Xr@?Rkch{*_D0UNR^$sd$jM7>fMvOTyt(8<1PbaI8pHi?Ax3 zM&IiELe`b`Med0M$YiV8E0NZO^jUHGMVVx>1Jk|Dv!#Ua=?2c8c`=C;otnohYt6{c zY-*)`&JJ{{G{}mOIdtO%=6$Cxokn$w>3P2L1yrnUZTA@2i_(N&_0|lID9&dsNZzsw z1*2NJMH5-btFpLf6|aSyS1WWUuSO#KnDBMK^k`&GiHE!wr$41+1+)g>0eg zlsC!?1*Zf@A{6^Z?ZY2-D2pz^?Vzn$|PED z@*Tf;>nLvViBXC>3!$3!Si58HdsHZ`mTJ}-LYdsEfsM5~C^;*5@Ec<;ih65}Qp9$m zpm^h05~B$6UK~CAp_CoDhiOu!-DwEJU4_HZmuQ>Qs->kKXd=_q&17@?A!OL=#f1Nu zMY{iq^pyMM=;>InPQR{=woA$zxT@ySD6(0*m46Pkw=Lshi;ttK)odU${yZvtJR;wJ zBbn%({E{}5{V3H96fC-6gPSLGue_lRMUg^N&E_ZkD6lhh|3N;td2b5>IM=!$*UqWJ zHkJ`N`;JIf#1obXwNBZ#hejkjOk&wbcjR~N|3}aNC%K38{OW)E^Oc!ME)QXL$u7+O zcQLyh{l?o~D?fpo2lYvnYy034lwD_fj%Z`N3i?AX6^HY;Q%Enq;1{()ikWUfh2wM+#3XoQ5i+--9|Dt!bW2sc_O5Jx<%02V zpYxpC0GIh(&cvrAmu8@q{=#55JX^0yOA6eA_qnOh>?hgb)A7)AiSUE`=-STQl$C(L z@YRe7!b%HJILAdXP1qp{?S&fyX%TdwRN4J0$)VY5cuY1+3c)|(b7$O0#z%K*ChMbE zghqN+$C2!eFai2^cW;oHnmF1iGGFG%6?Wa{#Nu)Q@k3+dHeUk&YK3c6*#@ zMe7lI;c$`u-2{Yj)aFYax zl#+|^J;kKTaL5*Z18p4x5C7PUlvs(~A@8e?>|U=-FY>anF9YIogNtZ=H#P(-DZc@b2W56+(!( zZ`v;TSoU}A|I_pTS@{3Er@x@2enIrF3&MYV`#;x)e{IiiThE`g=kZ54Srzdov`oNt z?&-;aOA2tmawBE6DB-myU!9&TBsr+Xt4<`EF2F1O`Ca>Wj_~%NoUSV+dNGa7Y}ABl z?Yn^|*;s+}$Pbvl@2K^KpYxpi&N72TA|#hL8e z0PfV(OxNcq;bGFyziE{NJk!Tt4XnBkue#H;lsEO@U3*T=@{$*PvI-(8Lh9h_{+u;F zh3xh;f)37~BG-mZwP#rDQsDpOX|+tnK?DTSZXan@L!g9YiC_oe6u#kJ+RH$JAkX7Y z*94vtdM(u!F$xxP?Pt)-X|RL`qqi&14w7GN!n1#AuMxb`WVe)L|AhCgOx|l3h}XC| zUBISu6?`k&y~HkP!!Kc@e&zI0_*;qE1db3Mqrwqcwii7JT$5>Bly(t;Ju3B~&rT!A zi>~~wS`4`+UQaCksYm7=A}jN#mf_Ai)DSeX6&~e<`f^kxFPXirN6xm5WSuFbz1vI$ zpCr{^+oac!*Z1_n2eM?xcju^;kAgb<+Zn4C_P#+t<&)Bo4NM43Qe^qL<0OI{Uo9WV zoj|aLOxlGbVF=;Aa&xuU284WxITK$ohR~bzW_9|a2ov>W6q@7tUHiX#{y&SHl=J_U z%k%f|DSlrD{tIfqV@vV1+0#j=Px!df>AW(kv=d_8i$_rQ`qEJtFr!4=NLu~T6T(E8 zI4L1Gc6BPRc`LYXD zJK0H|yG52Dn=Hw@|Li@^^VJ+#QO~)?=XN5~m|1VlQyFBOn^lb88-Vm{_L|Rl&LAz4 z-u;A*4pL)`Q>I5G(WPna`iO9En>X4j#krQE7FTb57Ggr>rO?p%wmFmyZX2UK)P<5| zw(4`rDk$D|$f5hE016(DXbR3o5A*L+Tk zYUN$;9_x=1Hnzi?vMl1`3%HZ*Bx;M2xz^KTYYR|p{dU|`neYqGU2@?2>Vf>IW9Dl2 zlaNP!(x$9h6gl~3#Q{HLkbOfX-?^QJ) z3?D(8@7|aC0yAiIij|w3UPc|uv0t2b7*NeBBfYJ)6cuko7_E1lM>*exrPpFjC~cwH zI96zl5?({rvkwDMy#4%*@pE@kcyV`}GSLm@_kYXTA>@ZVi)N|Qe7le>gY-^fza^J+nDpAuUuAV(h2J@@M|;0bz~6h#kYrjh$=f*+ z<<#^6fx(F$+nw$q$hJ3Jo4K0I&)>P)@999uPT>?A-75$gAFN(yPkQW|KX&GEts?$F z*)5lz>?7=lRL4H9+i)>pIkWLK2g!aM<1(rIOlEC|w`=Pjh4*u-n2Xi9@YUE$x9=L! z$|QFcEPvF5f0r+H)1eMBdvZ1nPd}c{=CLUBVq+Nbx-(vD!q0!~2)x<8T+7HLdi8rHwPE{>NnZVclr4K6VWDr8IkN5%0`7Vqt&=Bn z{0}A~h7ax_Xgo@3?Fw@Qe?G3tNHWqxo-#cdyibMDno0@oYck|<`X3K3Qk;B`Oa z4Z>M&yuLQ9O>zZC;^p4lAQ`7lZ$Dq^g4d0L=-NGw@Xpp z1!M*wmlTvZofx}sJO~%dGh{BSbUD7ChYG`xv@2~CWr8?-XB358!< z*sc(3AV6b$bV1Jwfo(iW#+xD#yzvCp!d_N{oZ?aGV~Iehx1kT+os|gFFjAAK)*(5O zZ%WusB_dq!Q1$YA^7R#u!JxtjB2MQ^?Y8nj#KYTZKjdl>~d;HY6Zd;L=t zoGV|B)rMHWwXX2exhuwSYd*8dGN%Ua0TVt_9kK9GczeP3V<|i)w`HbE2*5K!oz9<; zWI646mD1H>N!ZS#k5&eeouHy_!}uZ{yt}?AbTzt>+!y(WO9}4qX_@+Ab?^(U-pcdL zoHmDDgZXCoAOmO0w^K_BxivWjM?L#;khSE|8RIAISZ{9S?nOp%g`^D zw8|vsM)*nn`FUn|_p(o}syhWA{ieN}_N{==q}$MbQKIE>TwS8o&H~@%2WC-cOkltI zRtUwpgK*j`!!jP)2A8s5@?x5KaC4we^0_=pa)@JIN%Ez`!^q_P$%Zn*ET8V#t4?;0 ztG5I(t7yUV44w^zsx)JNO+b_{&DnV4)ACW&Y0AYIyeQTXcp6!<_-n5#d&b+plu zIlg~c{?N)a_;2*6*c-15r=!a%WgRJ2ok^woNDzDStff6efvDJI^QM7-)+xql&t$}|Wl<1vhpM&F26@ifbl)xCA*XZoY{Ot8vNe8mc9Q(wtaVgDQH}eNF}uEcTNp3W zf5~#}yc>b^jrEK2jqvi8KBeP)NubbcQhvJ2$iWjqlV_ltmqD2 zRGd?CTrU)Z(rJ4aRYf=4ys~gzXnQ9L>yCP~RjovRSc||!`wirFES)&GhXpx@6bSf8 z09ljwDa{R1k$IQ#oaCVhWVH2l_hxP+$OqmZQNgofqVl{tiY>OSm=_mB;m&&( zgq$ale`xV6+f~8}&!IQ0_2EK}tkH}e>l$RQ8mZqKt&YsGcfVZ3iMMES{=oD_I%LqF zjiY`37U|NO0eij-pnGV?9~U4Q0Cwfid?o2<+sdN zkUfmZW1k64WIYyFykK*YFgqHrCJ}u{#>DH-;)&1E^LWT$N@-cr5ockTa2 z&;Jj(hv|4f%^&QJyf1w;c~j)yuRa<#((N- zCSvB?;$G@RGT-)UD9RvN8_XpPx4Kl|>vl-^$|;h)XdNcDcv=&I?BCYQsgW#+@JrEb zhZYe$Y{B*HJ7GaHMDS~!4@VeF<(`REgdZ34aQYlg8p4?m&>ZtVg>YY%x>}O)6+WxM zFzNdW5qkLrOm*cWfvLNMxgCF#8zc|z zxF4_d+ZPD$_(5UtnB>oi-_;5GumKU-)gjCqs}RX>WSqnGEn!6eI(@Gy5}wzNGB;V1 znW0kG$5(Cn@CyyP!!g>A0J|#;<>AQ);;c#(QaMRp_d)+9=PZPb3B0==Jwu+i#fk>W z;|R+>x9U0TdcsH4J@89!2f|DGc{Z-yi-=wCSdS8IbVQn-B+CLLBAFJBUGChCNN2hC zZXUvj97&DaqeTU8(KTI~_X)SSIqTeo^(0H=jb+P{QYr##mw)C=JR`dJ=Un?G$)2R2 zYR3wHlJmE5LbqRpv^D!4czu}(ukU5#?av|m5n*th^1u-B2c^UN zNd{ZU0h{acG<68=ac;f9Fo3Z1&9|&2j+58-rg#9hSfWA}9ZO8vX` z|LOVvEd0M}JM3>R&!6Z0KYyH-*`_7J>r--Q4sH>J zMN`LsKGBw1{&H#0keh-veP2#5tt@P)cultC61MbvDaJc~n_*kQwD9)UYS>w=zHwkE z8}|IxU7HSXh5eJSAs_j-!@dYA|A>ukxC@K%<2~ND7GNddwc#c00IX%rEbYphVI%(V>e+=Cuw|>! zcq^y@+c7n|tsAbvu59B<3pdYTjJ6cs~X9e?cu5c|KXIRJ=^}nE$ zhh<6Bcp6nTtVa3iuEo~DhBC<8gi{2zD_K%?-ps=G>!$dTO#!gG^JXVUG$rgKjP5oS zpN7N9R>+z$!f{PxXYt+zIM#E$n~hC`lY!~bCeAf*UM2raF8Udq)76BtGbUl?Pbt@) zvJE%#qLyxSlIMN!!>*qp46sU}3^55I4D~11my;;B!RA}|;^+N@Z!i%q`=Y@EcCXp) zs3ugwz9pt+?P|aw+Tf=4%yBrLZxB^ks|qKMPltp9mf`e_;Z3QWBb@zM^;46j;UZjn z>Y4O3Tn56Pt^ec*3oefR=Qn+YWn)E=R^wV&?_rc~h_Zl;9geAK-Lm&q!^v5Kg3W#foHcgwnOqHo3;Xi%S6$R_ zdF!cTAEW@+G^V@iI|=tephL%KNCj@*)K3*M<9^rv@1Fn9BLDNg{!i_ziCmDs@E>#j z|GO{v*WUL#_R*^fENP(rk%^$@MLkr-@_!t8Dva`-4eK`)q@v`R=IYA#3n)qzW>iV> zKmp%3`{rO7b_eCnWprhg3qo)u-L$1`uP4cAIJly5bUGo*?EzBE@ z)+(a(MuLS9UpR`x>I7$vi&04RVV9fdQRMylY!fm_h1{dH>p#Yk9(UMj%&g%%vNE@x z(mzE!z9F7+7w_bddH?+e-DtuKYS{lHijD$l-_PbS5dD6d%y^x}K1y_2Zd|K8y%LS> z=Omw&2cl;GSGkdkq}L68k~)!Kh_apW)n#D8%@-XTyCw8cB*K$1Yy27oEPBvVp;cci5OyyJ!a7X2>b9^Szt5Gg`_)7)J+Ah_n-^*c1 zG)CVGz`6Sl3M-DkEBG~x{3pUo*kOb`l(@gUor|0|!dc%g5x+%oy3%Lwy~s+^^662@ zKxWR@=G;s%!s|((Qg3{Y^u@yM-5sXro_uu9WzY~UV|BZov!`*Z+IV;LK9a?mQ*CMZ zOBNNApQBTZ)lv3yfR&A~(@HH4_IL$rO!v`F8c5 z{(JzrPs})KOAE-npJDZ}q%z{Y-nC8CnE39=lXPI{MrMb;??oZt9uqr@lW zTI-C)Pb*e@y~T$*-v?P$d^b@YnJvm+l|l9%eLtD)HlVz6(vn4Sn0Tzu4^tl`XCWbm z&~c{*+%zrN_#spcMYZcF?w0nVP(s%Bnmjp+yx&51#^EXQ$`nk6h+ic);lA7FZ>q>i zj9g3acKdhj|3}aNC$)>r^0-J*|Izc4Y>q$9`+tr3{$tkn@b8T7e{JpiluAwB-Tnaq zKjxR{?MbHcmV+k^M2UawsMeS|XE4!VqzkX^JBtYE4vPofb|lld%=7c1{fNAi5tAvU zL%4Cxyd$g~h+a3Ez3Lv}#^uS>gjKmA=76FW17R}9H16m5%J?3!GBfKbR$fMIosx5= zY9QkFwo$M|bCWZMc}>2nA_7mX+*?-$gqXgKp?a!>FpIs>DRg%b?sPrSY(3Fp7R0_!qN;qwV!i8jb zKAKue^C9f*vf&k}B%?BX%^h~e5#ncCZ&_>YH;#ybKim}C*Bpz8XCWM;;7{k*Mh z!HIa~ruEHZHV8E0qN@<5CpkimF3L2k$r*xaqa#NoVW}(`zgi^B?T7qUzG9rjpLw;u zD4PROVew6XI43<|u1T5k6p= z(g42(;`w!VeECWqx3YV#`l2@yq#$qjwvX&#wrM{6nuPF`R!kEnMC&V3naQ`l1(8bJ z*SC+2B1&%l>1V|`!c-Y;8$J|_7>dqw8El$_!{~8kTk$CIL+;~NG>JjnK`ZSkOTq^n z4cYX@;v`|NxQ}Xb^B`d#`(2Z>p-AW{P*)DmL89JuQ!m34NSye1lTvx#@7n);-~XG} z_y0rfcgU`hvD7I?{hWk(jW!Fa+V_Z`P9}MHr%#-4@NY&bm!Q0vNEM11y}f6u)}tU* zGsOoVWB zdS-{VzVAUg4LiR|}#md+@@+k%D%NZi9Jj%v#niwz4$Q9ju$O_9%! z(%dV>L;1A0N!b}G#CHpYF7F(?MT?N{m@FQ4={0g6`IJ6AMKU@}w|#2y$RiqK9liCp zc#*}+)<4TVflSe`wVqpR$ew*7x2A2F?6*H^^D@pN?ekWBOIu}hdZu1rK5aqxun9{+ z?q;Ylp!p{FljOg(deBe^l%h;eb4*M39ZEDF-p?monxb-1&CC;oVG(x-uj%e``mFN!meg>zskv;p_HF+%;9w9wL z@bDyeEV?{$h*y^x&EAI@B#*_TwsEtszflw_Cq@H5X%Swr`Jv?Yt@}`#Hc)Brm5!VC z?fYI6KY9_AxJ(+PP;j~OFb}f@@&>F}mx%@@*NyUF!+a%jG!AEN`+1KrJ`z}FOKOp& z9q-^f_!ybafddAs$;_{&rmj0N5O=9BvJLWBqII=N#l3wTxHYy_^)O+KR=>4t5OgRd zS>PH^^pE$TTt==)^L_T-rQ<1$oZ+})> z4)Xj;3%72lM6SN!*UFJv1PC=IMV z%o8(}I|>LK!jrg*fM%gKzGCW<~H54m1x zw>xw#f7ky1-1iUs!|MzCo6-GV+EPxQ%k$upJ7XE;tDb{Q*WZ&{J<;|o3()@27yN7Q z``7j-@!sR4hXs{#qgA*9;j;JX+|QzhEyt^?T5GilcfLgg2$J5 z_BCSV@HCX#Jbd#y(Q+EbA7A#v^}{L>U*Gh?!nvQi?!zls%jB1>Fz|zI((3@Kgi_eo z-Cg{lPtMEP!WCEieBf-n-%-Vy39hu~%`Ot|znkJ@Z%;hY1kdqoOg}jU_tcK7bK^(g zA!RAD=?U3Q_1HeSSM>y*CprQ?%j|(?@ALc5&JGgJ+!FsXyEd!D6KIJo}Yz4PvEIN7S$T}@?%i=tyMV+lDwlDWCc?jo}L+}3^U ziW1!Ie(?4kAz5KNX65BOi{Np`SmG5Q;TGyf-lW^G7oH%mT!s{{x{TRC~$+Ge> z5i!-q4H?e5lo$Q5x)M@F(ccSOp1$B#-#pkyZ8Oo5uOa+-$?d*dl;GSuNLy=K1=nI# zL$qbUtukNEaMK#NdtMG=p;-?PMLXB_IzxE;oL&8Ewux{OU$9#@y(RpC-~tl zb7-UBlMdpE@@E&}Yk-f3hj>cZ7<|_=zslhDgKv`fXZ8NQu=~!d*qm|zj-OLrJiR0g zm+S|8uN25`HQwH+B~A+NcMX}ua;o6*X@7Oar(k$~*V!ligJ`}dZg##)P=@yq?SWtY ztKoC2scb2=8@>S!C#8qx;io3!uWI!i{!}}HN`72|e@S6$SU2f!k2iW!Jjy`8NWsd} zKK#FH|LD2g_h@X{raMT#SP~{qJAw2sD{GtHuO@l4z26_ClbzSFR*+Ersg_5drk)_#e(947ebAQnkF2zohIFBt&uG`&lf!K z=%Yl&(ezE`U!9ThQfOybu|G2PySabX#~}07c%OO->5nvhXU@6xA?(2+r4~VMM1B!@ zvA|@5m^eGrX!&)Bn_JxyqF{qWZvGiH6Y_d*iMo3sw-6~~#Ziim^GH)q)!j-y+v%I1 z<=0se&(M=1+m0t>m*+*#^I+ME^ch0i1?Oau*%Yvri+Gu`j-LNa&A1y`y^T{W?(dPU zT)OKmQwAc>f7~y&b__A+f|uMU#u2~fthaQ(e4|8sRl}D5^LG%p&^=4}U>y zEwY!Vs-izFA}6SbzRgt~xlBSiyA+HN7pz$+E+vFS`}uIWYuQNI?NQAd%!ss8=PP>o zfpkwvS0UChWF)fj+@VoJW;#dKR34dQ#I6`)IzzaF&SpL=Cj7|J{4VX!L-L_H0+VPa zTao+X(2Tb0OXLO7TDyEahy48?mnR<&A-`AFQ$2|m1?QvUV~%_LuKj;{{(tWJ|3B3J zorJ|(E7$bkmbSbTZPqqaJYhCat92uL+%>n2YRpK+@~>)DuMp(k`|v}`qJrejmEEiK z_d-V1)WCZ!!n%GaK!3w{3@MkW5ACKbMPicO{MC`&h~Kr48+#=Mv8&3eq8@h=j?Job zy=M#%X`)v@-bJo8@Af#$T?abYpB?#lI|ucfa@d6{f>3$G)u$?uWKk4J2B$7uMbS~d z$01o~kQdplX|=Nv*+r%Oj|>Qx?WA@8uIKbfKd0oWaj+Aqm#Cw|w(mod_hVi$nmtIM zFxHdj7)ES&|HYP!SBQR8wM!v!Kcdzd-R5e_LWFbCpeoG;baeEYc#R36VKdify~N$9 zlAG^%B^5)mANDP{m=FGuf0y!dK@<5YiBu&$m&uGsZrec`Gi32S)7jI?jSLR1P!Eei zq+MJmVj-4{3 zZ;EFkoV?ykW~#b~^6h^360GQ^;8<1My%NpYc|pRmZm2!5b!Z@g^w#e}bdzXBQ99Ku zyNO>5#lCdE0%ep@utoTj#t~8E9)C6)s(%4l^CqQ+&G97fkM6+X$7O`w;(7D{(dMN3 zdp-ZqlZ>SI_9ZzLtB~NU;m$jIjLca$b?qz&-^aiC^4-_`xZ7MLQsG>WR`A~ITU&x# zx%~SnZQhbB_MO+pR_s9ev&cL-Ya5i>&>xl>8Ab7Kh6h>Oi^<=iuq>KFfm}ZwYs>TP z$UbEqws4>knKu^uLnJqlESAAZEj}u8jjVlCTXq}Ci!)ALwb@9_9U5-`k&pP`$g(@t z%fD;?KYIRu$UUXk|Kjoe-@HDiOCo>u{Qq`lm*uj)q*8i4>~?>iagA9IM}6y++CkND zq0W{4KjgiKKiB*J|KC7bc2QQ*q(oLx-AYP|q*RD(nhM!_Z(jD^dt_zrk)249N+gw# z5kf=#9`Ez+^gZA2VK?hVj?l-+N2f_|Su&n+EC-Y< zx0940xQlp*G z4HpYD;ko0#;CfuYe7^Ar+^9BPOFS$9w~8u@!etb=->6a?{;-dDjJQmlY;VJ(ScBJh zh%h%4SNollj)mE?wOZ?0`eEgg#+N;{2DTy0m$GKY;J|T~CM}3$lgrA~O-(4lNws*T zvxq9m!QEh|#i@8B+-%BovR zwAX9{$Am2z;qfj*Xzy9#^UPTPJ^2$I@&A468cElH_okw-Q`@TG-TZ)qmcfT;x^-4{ zKC**D_3JL_QE75#>Rax}P4tR)?{1SAcZW;L5tg*BM?@EDB2l}RHMy)Lyu7!H9(CggIX%dHs#XgjZ|ZlhTDJwE zciuIcOl(5vSMS!226Z?aY|wMLTL|~VNxDZ!j=a~Q5!;^2Z-`e@Vy-J88-5>o_Oh+x zA=)8{O=H)T38P_4veXqH1a8~IdnJQtSVrr1-q@Clpk$W7GON`HzL>J-*_w|CUaB#n zPkn=s*pc{Kj*199pkm~FGJwp7mvogw)+0=rp{JMWFfnM=Ci?=vu*7^VYC!?Avm6KM#@x|D2_asYwO^0dt#b|OgrQNm)53WC>j z+@{~Ih~WCVz6_3Ggxq=eF~p6`!8cgMy@-B+(84?0YdE|SCiiZIg#elV4E>+(6Vveicx}-_s11I4{Z)_ci4Wndz=V$;(F&d0-?F)ZZ~~8IO%+&mg>j^O|L;3E6Wz9{xs{nBL;&ndtjS*66SKinrM$&;F39Q-b7K!rs{I zuzUCs0`(Y!vdMPOjr?%26~RiJP;hL&iBSv7$M;WqRG4*2&MRls2sN>e@~p)xDhkL zwJyE4cQinRq_c#DWDg?OpQf@)VL{~cP=%KVYY-JFyCMGa4n$var}<7iNHJ^MHka*S z`cwOVdj7vpeKO1YUHkvfe*b???KggoXY+3r5W8p3-QWKupL9FN32wjsxJL_@1BtTbhE z7V(mS;Ty+e5qq6O^qh_(qWvbvx9S%o@{Onlui`F5TvA##vAF_GR_m|3@{v5gm7HmM zOEV~PPq`M(a})WaGzN*42avm(?I^Rg53+n89=?*MOc)V&Lqt9XBlUhy_KmaMNbc{u z(ez;zk`x}Xb2mmK;Y(Ol`P(Cif4E@yD~l0v*C=b59ls;S&RQ;&-3U>2=fzd%6cEXG zs#&iv9L@Zd!M}oUqiTa5{r(N&D85m#?;7g_3bKVpy3X#^n82v`eSDhC13r6$IJ{ZTXJ&0ZZ|-6)Zxs>WXV~QSN!637xJzq+(A9pGI8w z80+X-KeYRurt0tehWZ`uy_t*;QJFj=T6vWTrTn-3USE8KB6d%SqMLV6(90z1!C8g; zb+1fH$UGodfOlZxWDc^+M6;#kX^|OOmZf`}Xo~AU#hSqdX6MuIW6>2p8q3GwKmxa8;WvyItH4H~D9KgzGxsE>Mwg zbSwrQEAm*69`J@od)ZTd7Sii_YpW?OH-?u~x6-E6J%m><<1`suMttVh&)*Jgfe(H; zNCb1iD(CeU=0sN5b!x8*f0If4>`v92-4x;Ea_CFY83yuo4;#|IHUgIswYi0fOvv#F|cwRhWq0_UzU1tcw{7-ip!sdr)AMmSI<6p9kua8C=OvN%1?8u;IwZ#uhLZl7=~DR3P&VC@Q-*)brl^Nn;tn$>q-ipLE?W1tJ7ASQ)t}@!l=yH*_U(a z4xEQC=sipS4A+7!_uC@hkX~E`7s(#jBU0{C{}a-i_6)JPM_(dZpsdA{hcn{6zQt!A|(jNu1d9Vk`WfPyYz?ktA9b;|FY6#KU@YHkW#laMn^d@4xz-hXCp@ z&d}CK*omA@dgIpz$AvYy(s|e6vb*eORSY9s7rX@zzEy&|i-|l{;SF+s^rn-!R01#F z;>{_+M3W->U4SeDO-qGWh;J4X*#nK={!@Hn7k`K7-b`1eXE`H5hBD|bz$g&O^AaJ8b zlBbLhoX#J<65tFm4RqnHv0*(+L_B;7 zQp=Qo+=uVyqK6NAhvCm9amZ+_6co+{8t>)xprqbnak>$JfZa0hbRvj{H*M#ad$jc= z%hhdbr8zwUL$C5C1{^@psy4qBAxm(z{59MaNS-NP*9@}*3F}2O&yRnIJUbYCHh(>E z6FzY|mD9-;7iL)c&8I|2MDie?#pz z(I<;;yy%JwvUl0U(2624g=Vdvhmc>n)=szdHF7@kQ=gohM^;oty4oW}WH8=~e&;5P zG@9CPdt`f%qLgW=HCT#zajO!LjqOT=v2#`tWez6 z{iWDU4-eRk@3on1BHE%?QxmmnxZlX!<7Xg>tkt(IbIfy*-s9!S>ZOCUWxo_W3l0!Q z#n1668EYi7R&fS-yCLyI_DbH(A?@?@8YFRFV zOf4yPvjQQc-{om}EOZd5Ee6KNJk^k*Y*PI_)t%%9@z^bJliq)(MJPqx6bTbcgES`( zB4OF=ht8Me`?B*(3Kf|tw$?nU|2#H{+6U8{XQ}8>E^;FLlOPL&ozed9O-7$ zZr-m%@{{cki}TZwRN%`dxoD5X`*gF(q(@Jvw%Su(?T_}3QrdwYKGfgt*D`?V& zB0Zl=QQDi~;g@(GMbGB@Sgw=2s3_;4z3a4*|Jrhy*o%`yTg%RZr_b+Sv*?WY6pPc*u;Q9S)dw#1^uUJnDaKql#C3rse zARO;crq>D+X7ZstZ`J8daPgblXEaazUM0Qd+85;D*1%oEeq$HhO9GNz9LO9w@+5sm zS_C`|V{K<--Qaa#>TaWFH0cX?+4;}8!8N z)#5~w!T6Qka&D9r9)lv+6X$=zvt-rddZu!CIen?|J9Zr2(v2;9Q+x?);surFyg!*K z9ZIYthq#Z zfgHI}FUEGj?exu=`_c;}cV}uiXYE`Mv?XDS0vDW|Ff*81?`niR5G9R#l_-;dYG z&dj@*LtLvYh471Kix}>ZzJQN?hjRIQ_`bOQN=BdbqD~$X#*D+n<1!na5Fr7FUt?m2 za)~DBF_VM_$;)-Q5X_;YmkZala`pb&?QrYU$~;;@*kF@MHfzp(fXCDn4P#~+Jm2^l zpWgWkUJbXOiRlxTN@O|jwT)*9FLC1wrJ1+z-P4};rNN!BJ^Fq=Wqb|4c)A3MpQqq| zj_y#;vpP74-(H)_M6^%`*NG`jll{r3bKwmPo8f98wz6dBUAUDczY{QxApMWNKK)58 zc&>IROM1VJFwk5BH(Cq8d;OuY)vkl^{>B(8>)TB-O*%?$4q3 z`WiDl`gaXpU_S#d#--Q08Yu7H9G_4OQ^uK@I{kL;_EcA7Ds(yY7Tgfz~Si)g-xE|4aly(Qv<-NVIqlDz< zY+S{3+vW=C#p_rE)BE7cvy6@_<{8|m*U_CyBQ^0nW-^0ui0HIlc%6Agb}q_Ik~xCn zn6a;8{Q;aB^4AB_dhRtVhk{;bv=V3OfjisCRt@2dxN)$|b?!`}dJF0w@+)40$ z#hJHyc{wZ>C`z2SBw%-KQ+xM?vv8P_e|?k1o$L}HoiBgM3FnB|6MiFv=ab)8Y!QC~ zuDOGvQ&V@~7O_xxH7pD6CXWWE;|M44sN3<&afBncLQee0lb!G^FF*Bs8{y^MT$kmb zNSNL%hs)k6EQfaqV^O3GVO=ZjVBe_B0qYy1wkaj2VekJ#cctD9IGS=gDQ7jnX`pkj z>y8?@Y|b<9-$R(;qRBp{(PSTUfI**G`VQQ8(z0x&Er17&)7#fq_rl}F!Hs=ErtnPI z_jSi|ad>G=Zi&Bk8r~aYA2i&lhIf+~i!;$f_}nqN&BQrN*xn<%PRu#Mjy?bT5hHT< z7%ME7ZE1&-U4O@QR$Vv`6xf!_2a{ZcZ!$;Bnc*hZ)bS~&9_~ltqy!I}z(X{BOjVhD zpE-l*BE{z5`PETZV#h;xwToQIlU;;&@JcCB*CqH|Tv7VfR2{zbtK431J_6r{v^7PA zV(>GHxDrBkgpRiAWix|KaOMi&%<(0l?i`%PS0Yxr)ap(1%p z%lt0Vb8E>ds}`R+=+{t9m>tm-mCzTYr9Y?xAin_NWKJMQ70L2Iv&(BVy@sO@;ocK^$AlpDr>YU??LV&xrC4_0i!gXow19+gBtA7d&k zYr{_dKRT259=(D4aS6wN8nhtGb6nStaE>$Tf3B^fyM^=vELWXGSdiMktB~s97o?=T zZ*ndj7x2 zJqq&w^zr>e)B7KA*MBv=|9W1ZjZ$UgmiNMNm==nyiWwsM>>i8R`a^`rtMgpJD3)kd z@&}{myx=Yw)?WSeJ>kJ7GBwqboD{`7>iV6=MyxBgNe~VEh`{_IVkAzl` z9$S25$B}vX-bianzVaS^Tx(QR8I0i9c6!h3;m71Tyvsy;zcg$a?Un4V4!}`(yCWmH zw>pa#czqHkY^Iln;7a6&+Yz5F=9>)QZthmS^8@L11GXINKI;L`AXeFOMCHX&h z4(fj9falCE%A=J(i7q^m>vCcUyjxpdywWIuPlBfNeSdPdF+A(?Ax0T~Lf<2jHjrL> zBJ@|VISc#~sZ|+nTqXH}zgmxfd=C4Hp}^_cXyQL;V`fz3fD5nD3AzT7(^r`}8Zb!C z_bqvue$Hy}xazEXa}&ujwVyj2yw?z3UZehzDs7~HTB(#$a{@kwhL10JSHV}#y-lQF zk7RXlx)j{iAbeV<>&kp2PceH)Pqk?y$-`MQz?{Dg6u;{XrW#Xl)a25)`bK7rc8Z2q zEvMir(>UGK>khYP8n|Ig`Z!S)?T(Ek!_-u6sPe=-yrO!dz1nTyowMlLbL9to@>1JA z&nCk+IoO9?kTA)8>Z1zUJ>Y+%caW1h1C%YxyFfuW=PYpd90&_K`kM4l-Wl`;q}RU^+%|Tr2i{R}t$b~p;nS=! zU9CfMmELNJ2izh$h^dnq+J@=ypVp`4^OXd}WKu<7J`|KO%g^OE#fU!P!+z z@A>a7skizk-gqP2AF65Y=ChP(XR$DXM!$INQs&=|X;^7dNzDnk$PE_Nc zPss0tQ=O%v(3L}QzB5m`O&u`{Vf$8kl-=T=KFKfTa!Vl1J)B*jQ@sd1c1~yZ3b`OE-NY z+_?L4+LtEbsO?7o%8_t(gt?+9Pwe3|BQz%y8v*B}otOD+p2Fo+m(};{=i%~xIP#=-g=D_!zwvf}5X;_Nh&0;m+VHzGd5Excm8+49UvCOs=kR_~=-ncSY2;`mvA-Tsw}lR8$aN-c{kni`$doHo85amO2UUhD{rs$v(q< zD(->9#95d-?H8uzW`gy~Ec=3s60q%M%=5YTlH}8Fm%T2N1;?!~TzyJOM%emeESlfW z!f7}qyyG}CoHI229R5bVJ3QQ1Zn$fZ56h~G8jJjFvSaP|{zZ-o_HLRFZL)vC;o~ST zugq3BPF`^D7<>h%S28j8cGbYS$l%ia)pn8x%vY;T&H`5~87G^;2`u;c6e$!4y*7+eGRFst{N?o5rk(FM1wNxVtX!G~5KYNMX zfR(fkUXjSYuu1m)dq$zTt-@-lh4D3ZAjf8^f6=aThe0|EYmD)LsFRVi?^aj zkmx$r5N$=g7{S}6=_{8IUtSe@Ta<#hv2RD^dS(#oB)=nd4JVrN-+op*7KKXR$jW@v zMilR6%X_sa3k7lO^}BtQkSBk$S;t%(_od7qPIQeRGk@c)N6h?4Pk8MA#P9-AC%pKk z#~hJjedFhA^$kdtRIm)x%0UtjcdmW%K_u?{(tb{ZXk27yIF76AMZDFQPcLV=5NB_- zF-0X1%?881FI4xUD*duqwc%%!uuKF*tTM-gn_8>sBubFKV$6Hpk#XdBsZX~(evhoz z)Dxf;Lq_ozH@hwSkv6q%?c5ycac`YZQ?(#k63zyW4a2+Hv-E`tv4Uu%;m_qW2 z)^BG~_^=0gVl~qj^M`RiocEwq-Em|oaNtSymcUP~*mQ19q%YL7=B#30DnH?ilrAXRa zwNpfF3hh5e+?5W+qCWNe+H|u2s48RGdW5qZWlD3g2UwR-tkz*QclRs`_gHd&_P>t; z^W6z&yQq-QvMa*;xE6AIf954AQ{n!r>N0ER2gu&)D))w>j?CzswSDJ~BI8)t)dYi0 zNT)4*Ag1*RspH}7@2(Lo)QG~^qF(%;+W$w-|2LN>?>CzxJ#vw@OMCh1^vp%tNOImY zocNEnhv@#ezyGEEA%CPH|1cmsn^tJYs3r16sK!o{yt*Psnr*Miy}9!D+Z%uX`;&23 zu2RKMe?I>IegC81AOH8q_5A+47aQIASFAgY{@?F^v*lq_%CHiKe*gD|i%%r9)jH$- z?~flj)08$9Q-IOmpEounlfz;)gipUe-=S8q=W81`oEO+yo^)5kO)*j8xbc^GUj+F&X4%-+6?=`$b8k>{E>jFF8uEteR;4=0u(;3 zDNPe6!q3xftNU^Wl%*xs{Re#rJGte@*Nud;R=wC*LC%H1P43x!0_Je!8#}hfz!EM; zJ(?XE2*;MPWtCcS*N^~POm5m-6-(6e+Sf(}bOK3{eiL8U6) z!jAL^-p3WLu=*Q<6W8Z(EnO$98M`6#lhl7||L>mvufiv_{r~IZ`#+3shJSnQ-&`Ra z*x+#mcUixzw}@VV(b5ssFvW40*dN|%5HyW@4QtcHhNofLUpBhs2sO<5ghw^KG+|!p z`gI#^9xVLEKO~$Ye)IEVvq`VqVYTL)lTxcQtV(~y_N*6$wT6Iuzta+|e~Mk+_GKk( zLYzN6qo0E9js<&4lrC=G&#vSCCV{)>$M&}~6JDL*nmg%cgx{9?{L%>LE8Ls?l`vr4 z05f_)8h<}6n6FEYd^)8L^Vyo}`AjBQw7lAUFINSY{waFR<;Ac%?eloz3L~t(-)d(# z6a(v|oMdmi{jiZX^3T6K2b+N?+aLYTur+?$$=hRxThaA0T=XWmo5gpfxA-iKZSK0$ z&PBmw(LgdNLk6aYboOMEXO@}Dz8a}HR+y_CPB^?H1{TLptRCXH1xpUr{8E=Su>4?G zuz_A1Rwi|C{m_E=E`tXYuW>1m~Q!QS@{Mk(S-N;s0 z)W5E2PxgRiJk`(PKEn9Eqo(v+^#rVicQC5IBA%>?4WG2MPr@eF{`5NU3G(;ei+ZvD z4s6FewOkKp!p`%MyrY~N?&_YMP+^aR@ud=RdzE0AEHp+qaMi(7LxBa z^e)4;8^C_6987m#Z|BJBXG4A?bKQ3as62Wqc718{=Ci{eBV-;d$y@ zC54}`+xeyV9?1~5o2TmYdi|eyN>7?lx-A?IAAj>*NQr2v+!Nmoyo1Bc58ichfq!cM zPtX7R)c@a5`%R)xaC6BK6$5*&U*EuqqU72qgLIZC5Ffv~LO~z7i-9LUXJ;Y1fu%M1 zMj0~C%52}i^)=Ejzd5)ktQo0g%o+6Dsz^~?CEqotk7WMMed%6Xk+e1V)6e~$NEF?1 z_wX`fl1D$^&`5Sp@d?*@m_&{t?)@&!9xfg<)v2G$JRywA2e-Tf8C+4U)R}8Jse*#e zBEL(D?~&(J@cG%TH@IIEc_`hc7+HH{uZvi$N5;1FnFp-ITWns?TpmNT8|y*}&k`;l zVL>~I?OY()pq^&et}h|+QL32A&ru|NZhlV3v>XZiAzd7dPZ4icdd)pL7|j;9?JPa( zQPm}!IoG-tB~lSbA8+cwgIM0NuRMInm(ZDR{d5MoD>kq7a^^v{`Z`9VBNHSGbHGcg z%Npr+4tFkawj*sN&CXB6SC&#?%%R#dML2oKzVYY~W_ir9@W`yMNG!dLrmO%esC_S3pI`x6hV z_L9mqqU-1WHFQ(s46=rHw$1X2B9r>=+u~w5l0PFH$NNSSX&Z)uOi~??@=h~s@79w@ z?pb$8M@$MyLrx+6zub^SwQ%vUYzq=4OMA=h{Ls4CdbY5s6}4aT-qgCRLwU%4od)qK-jY4GZiJp0q$%gdr(>?Kbh!$(a z-S@N@~8Iy(ewXB?IKNl*FQMDV!u&d|IzbbH(d4?%X3=(zYOnxZO`u} z#$xT6`5a#AeOn&s5hkjH)MZa zPnaBgN@$vO5q77}`6ByI;yI1}s%$U_uab^(W(t|#78q$LtvUkA>ULhimRizF&J`Vh z4Fr6ABB+=wfxz4-)%R@T2+|dh89Qx-;58OQY%cu>PC1t8$NCE)Vjfwy^C<|adHgX) zRt=$2l96|7%Lq@dDEw)v6T(Dit4_8Ou3Wm`H7SKAvOfrId~sP2-be0i&NC#r^xNK3 z9&0ZH1#LY?L&)ofgU@u?1q5;%h+oq5g~s;d2($6)kC0J7 z*f%X>PTxv|o4Bo@9JYa1(XV7O)Pry5tTj{h3F6m0ocQvp83N=L+$OVA5O~t?Le*$K zf_9ur^W|nm&`jV-9WNikSkZ~DYpOwrM#?4G3r`VBZOObCR)Ek%+dT>A8W1Kvl`FM( zH^Q1a!qz?YL%6~~@%5d15&pDMW365>A}(y7atOOZ{EGRS$H$1)$GO*&Htik)GWZ_f zPPQW7=j#QRZS~04IYqVL7V$}1S^Cpvxgq3aIqO6M4MJBj&N^u2A+(|+g=w4tVFre* zndx!}U%M}QC}<7B(-}?Y7qby@WZ>=UwM~fVdU-Oo)|>DQ&e-prFZOa$Ntp* zpL73rk^iIi<^Rd){hw6(O((hE+ZbO&B`w>y9RCv0P#&psiYi0FtrNeZnm-^<l|%hzkj!Pt?JC2rNSWB%P9>F$$cb~ax&0Lj`u&zsZ;xZPbJOUP}-F!s&ge2MKn5*n^nzF;O=x$ zwA~VUWe;jX-&rFk$C+K={552o4X;gGsfx@*DuvlJ0c31Y@(OpNLRyWI8dDVE1_q6C zDCKe@#mng1sRNCq5AmMMptC?yquop1atYyoWyYf=i>IZ?-OX1B{^Jc_?5=hz3`K%w07XZjZ|pg=kPg=T|1@}66ry(f49xyNTZ*+NK0$8pJtmIq?U zqELDj3`UW;NzByW;s(;Y#5Tw9D-*w?RI1O;rz8`@ar4yfB&3u*6o3g8l7~i%A{I69 zNG$Bw&N@-lzb%u=^9n)rvG30oJ0(#zt{zR#aT&!+E!ovkW+;3vl<4fPfd~A@Mn**@ zk>3@1@@8cf^785&zQyc7u6gJT1KlLdPUsY^C_gC$U5(f95bN?*a?7t_KziipxyuNGWf~z$<1y^7DPJSnE zXW;nF>-){~BX9b5&iY)L+=rHT=D^G0+4=poZ{fdoHr+##%;a2jm_~MU5Wb8G|Dd8I zf@jSH+@rz~YD``9=>rYIItM-q9qb@XEe$Hx(~OARZ{qo$?ERw-(FM>D?rt>S)XXqp zFUG7`eA)c)Hewp>80*f}AoiYsO;L^*;uvHMn$)a5&Owj)n&*8aTNh#w~X!) zZ}WKlm3lZ>oi^m#qe+2z}OzlE=c_FhKZy!2L z_`=oG5-fzD6rs=X)FM9*kz)=khmJ`j`fOnD2I)@31b^+&6&6HnrJCgOxU-0Bw#oiE z^a$~4s#JX2I}qP095O)R-Paz=u^WscgjKa$bH` ziRkn~{f=nfXkq;xUte(rG{ zsmFgvNME~()b#Ifb4-)})c${Geg7%^|3$UWyu(?nisabaPg;B==b8U%ZMVBp?-1Z* zx0zN>ip*tS35ZUUp6he{y}cELxpCL5L&E<&!XC@dID7CSV*NtJ?)(>s6yoI#UvUCa z2Zz@T9;HR}?)qCZ5z7&?d^$Qbgo2odX6Ez?wum)Byi<`O;^=l?h%mGywJ-2>du0*P z=7h?b+9|<*c5x-WJJDV&qYo0d86bHsIzqeN*&@X0n_k}2eF$4C7x%QQ7U9N{o-thc zh$z2pvfz3Pk?$rgbhf@n)E6J^tc6NMf7;&ORAG#mHYq`K`3S@Y9&6}f`-QmUd5acA ze;)TLhv{SBs2GB5uABfydH3*(MIWlfSmsInpl zU&9!}CSujTu$m)6yPWRVMe@3f&u2CoJVn&_xj2sgQpC{k-)A@>k63D^1><7k9U4Da zlAB6uvD`?Gh0OWm52{E>a8D4A)1fGCEs`x-x>alB%p3SG^NZ$T`htL$F`KJGDiHL2 zp;G=D5HdATHEqE`_<V3XGZAKwj_gBB;F%AXg(DGL zp2@VIvWU2*4H02}bBMo^%XZh>9`ViU?w`2yk+9RQ@Y2agNU%3U&7?Zn)7+ubyzzsu zSd4;xEiobZtWnh6G*5(jU6t)JmqvJ$@A?#t5JbB4`G2RIN3=}-hRk^f#EiW13cEFc zINhFIQtL_Pnx<3l@s7KQ|7j#&(h`mY-(0r|Cc;ak-)%cQtwwTyX^eH-x{x@|Iy7>f z^c~VMT;;Ol&LZb?Z%~IY+^MQYP13I-g7UF2zKuYeXX^H_J+VYAzrD`Q`$353bZ6}6 zxj;D2FJfle$?KTb+}+nJgv3grI^+8RNV52K(_+07lDB2vjOZIia(UX(8+x5ck%_%v z7$$*~mTE_F7doU0ky@2m|q32mLL(^N6(n^Jd);PsOoyQQHy#26w5xF;cZPSw0 z@kbbQG(|y4AHf_?@-Ar*9$&zBK`st`vJ)zZcd-hD*F??!59f2>9$D)b(76Pc5|(EY zZ+4-vNq6wf90ldVMikzaRCu8J%jRVGVdOlS{*anWhm3m;S+!DaNV(_hn9v0zURb}N zWGRd|ifY)`?n*>m5>7g>6oRmasW*?r)sw8J#+`kIW(Z`v(DZUeG5j-x-D!y~&%6A? zRtGNP4N;6w56R(%tCENE!S~zIq!*Yspv8|0?pZdiX*-hpHj!1ZCJ=ec2Bxz;5|L^2 zNdH~F3sU9XgI47VBWWE**45A=UN_6^-8MT!>qhVCCN&$LdvN_1lXmiqN;sp=RDr;@ zeQcld3Gez?XqCaBcxdzPSB~6B{Q;%sl8GuNRSGAuUdW-F~dItLs_X1 zF)w#;?vp-3;|{1C^je9a`m3)Ex||VkYU)yr7Rf`FEoy7neTw8KzyFwf^%L9`HXCqs z2cYE!bD_^oKU5#mr>2-KLve7obm=-~GTRIEn$Nz4`@<$bIz%OrAwD0FZ$$1gUXXCC zA?$Up=gvnS5;n>D5Khf3W<weAWgomN^l@=YR?K0F#JmpyR>;+17w-y`8-@*g`z>N3g4wxrg zt?l|I09mT4&V#DmNK+p@VXA%!$z1DAe^ezS;U?d;GdWr$Q{BX5ab^P|HEx_#Hl#%u zU1aL%>pu|8Cz5_Wdl3OKrM&w@o#40N-aKI{5AU6EB7A3F{HgsvJ->#~U*w*D&iO?J zNIZwU_%g!j{bvsEzn5h#8^GhW9`l)&xB=v}oWdN@NzW1AeN#Jt$qxy5bovmdB@&WHS@%uthnK)r+4EmWMl^Hz)%Rt+2=qB> zbN<;Jf(z(4R(_{KXl%3S*9MX)p~<*;e4rK)Kdbs99<(CL*1*fMP7=|B0emYtu)* zB&CC;;z=4Pb<0_ttvSgIbN^XM6)A*Vo6*}}$c!*eJ#&+Z2Z-2vQ-$-sBO-gF9@3`W zLbUctU6E@gi0R6-Zgy-$+_qbXMwVm=ub3*e$(wL;>Yc0kn-3%54BbP!X2S7p`MR+o zTOWysgW?W1jv+DQ-d-V9ariO0QZ}rSL%@5Da=DA-*`>X_xHMxDp;0}{mfQyrPK*%& zrz{X@-+R%S;y}LMJ70gWyMUOUy}Ul_=n;3pC6|G>mwcVQU1|HZk+A%&n9dU^Bt#1y z=F%d3TYdrOkLTYbG1qwLt`PAV?EZ9r$V&}LQD^6FA0_$+Q$9u3Bc%vFvSRhcUGfO6 z9ZNk>If00E6(oRUAENkKzwkBFAcmK^KZBO^PYWO33c3&v@a0uOp<3j8=RFmj{$d{z zhAR5cOp#|okgLY@CZgRrU>BsdTL(!`-flOKCeJJ_wbv(@Y>@n3jk@%~ccf@!pW6D6 z5uvxH=hU}3AwsBL+vNv0q8id7n^rI)mT$pbe>)H2MN@1fE`}iCxM#a_^;IMu&0QuE z`HX0Tx_FHXILWgxNPs`p63MS_)P7YoKuV0k@;g@~k*fH7!_9`bNd5YJZtBuUq=hoB zHtJPH`p#APNA0SRem~TgZz}6g?f>5I|F`h}%j;wK&EoujS)F9g|JSds-%PK6?S0=u zJ%YVwobmeO|pA{-T4X2-kN7vSv8jAZb+P`u z;&M<5J|VM_WJYdq61CD%g5_DAjrGnNuxHRt3d<#0pD{{eRwFf>f1aV;sYtZBFB1vJ zn&`ZJHC|J$5UnN`QQKYG2d{viQTxd3&wKQV+*2__`0l2vcKmEX*d6W%e=b$QU-y~p zGi_=zx0WB>&iW0M^!#SkiY!76L8qWY7#nl;qWMlkH^Uz&K75$HAzdsbtrUMc3U?*Sb3|@GwQ*Mhg$LN za})T`mP$923z2<*1V|`7*uutxfDt6_C3~MhkByuB z#KRH989*^fV?a;_UEl^GYH|**Fw-D8L%|85o6eBCfvfeh`*d$v;ITzCj<2B;UZGFv z@7|e#&j$`MG18~_GZ^u`7MBIZr(#AhZI zB|?a%EV!|1Q>!E4C7NdLJE*yc&`sH_YaMJ5T5yGo8yygKR4-?H(Pz>p9{ngi()6eH z|DN;zxA_06?f>z$-{{&>fbyfHQ1Tga3)&OaU*19HgK%lJ9wxHC z_EZ;JA{o;>cF39AkA$|b4nHncATFE9g}>8=Fc8x+=2Xcp#Z<D4Rq@RN6)=4OApukN4;EuFvN>uIu^( z-q*L|aOm**!QsXEe4LN-yx(v4XQ=6o_&jUejf(626~EeuuE4lm{*KUn6zU4~h5nQx z`yEl`!;jV?Yk4fjFQc4jxV6{DpK?T+-~8Ogm*g(ybvtN&q6vw{`tpLII}ukF`b3uW zVKEZ-h9uSABZ`f%ML#+tVsG3LDX|&!cssGc*t=23uZt53iDZ3%f)4<=v zxfdykLP?dK5lD>D5xqJjhq$-5G$fS?E7i+kC6#G4qIBi17M~UPQ~Uqb^Z!lmq1gAg zKmQc(BF(9Ni!@@?i!^5BslZr6bbo*8{r_fr{A17k0A1@~R^Kj!eh|O4Ihf4${BFF@ z6x)ZWc8Bej=Sbe!Z7rG1E8~P`9+b=`SA&Fu2W!>o`jA+|;P`}SBa^qUls`N`vMP)? z7@2RKB|P*K&L$h0iD&j}#BL6v8#iP~TEnvnX|J}&J8!K;`Z-;Zjkn4P)6&Mq>E%5H z8aZ5bmZ3zL-dmm&#x_J!DDOIbV*%0P?~hzI-S&P%9IeR@ z%T=q%Y;7=PzM>e3X%D!+Rr4VESpBOD-s_Q4ZBMmC)ktP{b(=kPej-iK_JZ^4LrCi! zvQce#iF7p%Hy7$`vg=%A(HHJShH8SXkT&r;cVx7z$h?lw69L(`=?RZks$3({OB+!y z_Z*wM&yCnaTi(jArANGy@Sas!-;j91!mqjZJCawADQ}~fM@p&fMMqgOTT3eI2tP!! z#?(UC_PLU|_ljF3*J2(Leo~9z72*fTIMU!;=e$g`PLqa%lA6dAkB_`OV};B*-Dee) ziwJMEqfw3|wNNBaU6l70v2rGDH|%#KzG&wr-2)Ry9P?N1O;$$o_)3Mgr+Q@0!MTF6 zf!t-JSzdhTwL$u3>f5Ev)k{NawuI=fuN6d zj>epUd{E8(yY}4)+k?E$_)o8W3n$*Jm1_54lW>ped&fK2eY`odmqLeRL9NtWQr-(^ z6&2IWz&5xlv;>J7YQjx(BFsT`4ct|!9G)nL`w%dD`_u+=%=koU? zpUvJwI{tH|17U}Tcn$y5h4Wn0#=A3#aLue@d*RIvx0)n_owo^Juj<(Q^RjG&lOMT6 zyXGl89R;oEB)t4ukU5I#(Z&7nl675iBpJ8GhndfU5oGX(OfY0Zu_bXc&;p?L1 z!nF1gtXOY<{!-=-+jaMI4c&EcQ+MrC@6ugxlC4V^jeP=_DNas-&2Dhx&U~rJkqmd7 zE*@Fj4iBj)-A8M`6UH}fc?eYnytX`lnJ!2&uiiaPd-Bcz-X*4CuTSa0$54G(RIQ(| zEkB;JztjcaqFT<1_vP>t3lcoNb^z9;E*cwZw!+SPC{;X!Xpl!XTintj8Pa_hHl$LU z!nNsu;yKwkxGijOXKa~+$JS+s7ky! zTkl;1pRdhyVpngG8~{hYnK}meHI6=bd=%NX(`;SmCsu0Bzv6h>fr zM|brMVTbIvAy;Lw0M{`g-e$snb@v_h{q(&Mo-7K?o;$3_-_g-uGcttd^S(1o&RLXr zievO=I`iRo{n?n*s|NT7)m-RntU*BX&cTwEL?e}Cub*?e06~GnyPoL|A=r3W@Z|N= z2sv>q=9`okLRT<7(dp7csMd>DUy5!2)c&8I|M#i?KcM#8qoT$=k9VW~)c(Ou!h2D1 zXRhX3Iu}ZrDeqU@-h_gqk&S8rA|$(bUOunc2AS<2{huBqnUXgj|BOB}h14+iIAg5` zNP1CPToSj01jf|NgpS)}H)JgvcPRkTrgw&wN@o!1ZmIexK%dON`vxq1>g9h68dAsN9P4-}n} zy%*~chde{y$1#!Hkga`??S#d1WK0NcUdQ2qv=JUF_3&_{ZWbU*rv@bP*67QAAbJPS zs^Gg`5r`Eiyd%Di^by>pOIS?-fup0vp*y4r5iXE2;yGOI-D8%8z08W+L>_g=9R* zrF}-q0x@BFEzNH=5tV0F^o}(QJ!J-JXmq8y!d0)7+(ePEC~O zt2qA>=tik@-m~JH{U~f(rC$4h5qU+j)<-f5k+X3*b3#83na}E8(>|?7`fTm~OjGiH zoMfr7eA$AOsB_&CiE&8$Jo0X$ljQ%Byg}pWImF)J=^Uxi`BVG<)${+0+(UZ$(BHhi z|7d?G{u=W!j*y<8>;l=z|NqfhoPjO#Cpw=iAgq}uNiFygBCWs6Y2=aYrgoL(pKZoO zgSnP6aCH&l3w=|)udyLf`*mIQ*)K@?@aaK|{3WDZ+PGJ(y&I_)GO2{;zaw=akUxV_ zj_h|2Ox^P(p3%*#PP^q0JzI3d$g^Wf$e`yA`TS`gG8~Mo76{iT=)qn}Bi>aA7uj82 ztxx6yGsU@&7D$$tl=|JVE1`%}7qp$F)I!2hm4>r!-GpzyOYi8Fi%1T-dm8E)NTp_H zGkA9psTF5$e6RgVdf#nIj$cOzo6?qUn$&XoO`+J_mMcjAS){evh8-EUCTD(Zi9*Iy zNt9xS973*5SFMmDGq6vOZd=}5gQ#q24?dZ2#8MWhvR3#ap53_SnE59p($}s_e!Cq> z59}WnaxWppF68R;0MX1i7iP-R#1KA_qFTKc$sv4lyPL)AJ<{zMmHJ7BXa=pX(U3Ut z7lheLDH7jVCWFn~(wYm%^lW;4Lr4-~R0dVl)=`Mu^|9};?QujuF4-hKK8-jr>F|!F zZAdWsIPLj*J(8{oQdk~yK+1{9mJQz=kZLbVv#D^A%)he>x5xI9UVlTyJ-(IF)glqqmlk(5{wryZ%M znd~Ta`x!476(et%Vbc%SAIJ}p(HypH{!{zEd;WhF{{LRvRyqdy2M?H@1L1Z~qDgiUE*=S5a8*k&el zxgPxh`>WgbUNFjr!)gZ`&A28wdV2eE#wNmP{PdYMHH3AsC%jB-8_DAp&}V#nG6}8? z_kCYn=7pQss#k+fggalaxBK4MMY!(~EX)bL3HN9=uik4iFgDsaFU1iDiwmJv^g2gi z^`VXaRFoQS>{8+CRj7m=d(LoN6eaB6Gf>|cDuP3}$#v&_PvNw=pwX515B`RmO=|6xws|QuJL_eA1>-`$kC%M2&`};1{ zIKX7`QyMc}1}s;eo6Oe`fptvpTHpHJxG{We?e6#i*o`L5s$JfLnr`MRdzc1YCBzDJrv$1ojM++6BdW`RxRr?+xEw6Oi5Dj@wO6gSt< zXG~VH!{OsISD~5^IF(3ry#2`x7sa&r`;V@}^=hy{z!OTiZJnuqbC3>hZ9VK%Oulf} zc_(z7k+3jlUl_mqaD`-QF|--C>cHd2Fs)Of3Op^hpJcA&hGm4!#Es=t*qBJq-7otJ z+r>{UqpC-7Q+_GU&vg`zW-IKxphJ9k91Np=OmN-Nm}xZ@0M{RT8O6L<;nqbpOVdhz zJ|D}pmoiu3aqQUk6%R_`@k-xUBAyPO&ha-t{Gxyt<8;qBd4_w%9p*}$qk%Vz^U$k$ zUfAmTQnSDUH+N}i$0WXmV`YW-yLV-9*;G1eV0sg-xtE^!pCexD3ZdtbJI|B62x~i; zLJoMuDm>cyQx%>zLSM~Wx57(m^VipWBZSpLjbXcd|K|1m*SY@xZSA)w9z`sTv2X>5h_M^ zc}Q;FKsb4wdfOhhqrkGnC}0=KQ6E*iv^X`4EV_d;_IX1{Z%dhRbt8JK7gWX|S%=9! zW7a`35hSnnTIT{yBJpahDZKpGE@khz{oUmrh>|(ZL#3jNh{&aBx#?2~XV|CqL+KSd zc5u*ZJxWKzRvO4;YopSpIHxb?3QEg1HgvPnp-}Do&uw$&$W5*I_T+Fivg-SKCMAeZ zP`B4=u6QldOm1(fi>)Ntk4j&hscDf|a4siKt&-&K$ej}mBy;Zh)3>HcPaXC1_KS)~ z*@zVFJWliF7Qzdnu5y*VL`Ro!9ox!aG;V9AZduep)k(ARDvp&X)43RvNXt($DAy}Q*Bq)-1SChapDNyZc#LO?fsgcD1mA- zQCBZ98D`cX8mTo#GTVg-$#k0Czv(yzltzKAs#`h-^C6{(8b1 z-IRxR{PI76=KODu&eA)e_IQ-o=1+;Jn4Y8@l75WRADj_`ybn+mmut`_D@Yg}3KV?X z_anz3ZeiErQ)F!@nReKA3>ll}`l5(lBJHy8muGv){^w3u5RH5~lGue+d-hBrzAbHz zhWa343)Svt1?VBVM^o(E_(}BKUNB0ox`tLswqU`xET}I%wKC&KFsd0v@9|f}p#0SX ziBt+l;^!P;yxS&$BAEjhi|*7TU$}HI)Y1((IX_Z1Nwp)(E~hSDL=G7dvy&3mq+fVX z<NSqWBy<=#AVZ|!5b?<^#n zxrp`Bq2ow$6sDuqBHSO<#`DsK+mPyE=)yI$hG=JgE{9zry75&vdiE%jyF~>{zTUMg zlGAH_fU&`g^!CA1HdJIMW;JLlyk-uWb1i2dt~`P)z3lK1=0i@RH$>X06F%1GEbJiaC)(Ki$nE8G@%!cpe_t}+j>L&5(G!OT< zMZH9}-C@g&Ll2R|`RK~I_k{CwXD~uwuP$;gT;Pj{h(zvq*s#^Qmxynlu+@95gQO|G zQHHo%WRK9?6UJ7Kw0dKa1HB|W{d;}L8=IrZ+$nVI`1l0j5vlu9oKirxi2Ap!6fcp( zU&=mr<1BL5EpSDymHV@NO^}z*Y2{tB4f%TgcoM0Og7xQL)h=?NpknMT^BXsk z@BEog^XdrxE1OrBtoEotdxxxs^YK3kVUZn+tb=m4t!=1QtDI@!&?;tTttDmpt zRD+QmOg+XT8Ct+r0_-K3YE128n%XmF$f(2i3 zk^bh7uo#f%VU3W1<#nwWY+1dqT)2PsS(zEET$%WF9kO7x9qq7top?tJj;3kYmJ5B&s=+9 z{Z!mdKr$CLYP~_DgT>H`QSRmS8irx$>cVT$dvQ%@qv~un2aJ+qq@O!pfXV$28yQme z!R%@JiH>F=n7;^1-2H?6es8nNY09<0GE#mg59I)?)I-&sSp#9sFk+*sqYUeYxTV|^ zr(vVn6t>SG12&&mOC8_Qfg6saSr?r6aO2lz_QuvXxay~nKGiS=Bf|rXX=3y+r9G?o z%vc2GCsYHDj#j}!Z!=|*)-o)u9Srm~Ux1bMbwznYR#@xvN0hRg!ba-7wDBU@6S1(r zvA-^c8)Jj3G+k$58(qCq%e9O!Rz5rAUTuZl#IF0NOf6yW%tyL_Hr!kr`u3ywvp?tl zpPv8ssZVBl|G(FMd&W=ALPcl7X0F!gXJSId7rPMEv+O99TVs7b?-qGZdS5wvOAEO> zrPXz1P9sZ0UR9=(S~W3bj@+=nd|RuE7%D@qveoSK zM`{1kn^P3SD2%6cWYQl&?z<^lilHOOrZZ$0hcXTBPn~Wqm^H zfh0GxXYv>HkU%#$o4r(z*ym1J68v8g{pqRB>7;Ln5@C$YKDCU9;`3@JNRC{`piH<# z>{>J)>e2Cg!+l-7?CIh4%ai}5kYjMXmh zu8~1{)Yf(uT6=Q$X<$rya|X%%t>)T0>&QM{^!!0tCB!Xl-tgcU8)6prIu#7CB3kf- zD}Rk2$(7yj%uJn#&bm!e_N`CQlv2z&3t?0TpJac>ev>fD)w!s|tWXlv^-wr^2n9Yi z_Jg%2k*g=Pq>h5sxZSnaLqp>&11=YsA;dxc@M%0W;BL&*`qvdx6+p$;s|puAt}9i4cG3 z3AA4D7OX8+ME$t-j#E32pjs|gNp_tWDmeXLnO@;VY4=vC5$Q1$JySpO#VZH-j~Vm) zC!>+8dQ8}jhZos<8XlIdr9q~$kl4HHpUBKpb+u=_6Vk**PM$sd1SxZq*F2b~2pc}Q ze8x%v3CZ2>3=As&)c$|<{J(j9zjJv1%HrJruP^@l-2biV{jK}^$Ikk~G*@@6{H%*8 z&ExLs0!oMtee_U5paKbUk~PWqw<4)RXBcT_|O z=>>;Gtav7oVY&In{7TZ>@3tsnvf?DXnDC_1U(LjWX0n&>5gq&gjo{Zx@X^JDZ-Ta^s&Rbc{9;2 z*}M`^tU${CS7B!I8c403srQ{WLR#y}&{Lk~gm<6M`f`BuzR`OhtyQ{(%qy1wXJP+Z+c{>XfPafk=xxtX3xE z8Ockpyj(=?IBH_+Ev@O0%q{ImS@VYE8r-YqV&o;hJBp6j;Pprk>7I>S;zULu>nqDf zb!6VyolZzL$WqF?o943?*;_ui7jO}e>M*a3-0L3Xcz7j z^H0f6kK?_}`U3L(_Q-FaA$Oo`PF>39G0Eh8b&<^Im?O`GO)aa$PY`D3)+$Ys-IAx9 zs^s`(7Ws_P8Bgx2BftEyj+4N36v!HDG@MvQlD)>BI(i+XT2N(e^G-lI)n)E0qU7(% zZuO=i*;B~8f6t~moe$Z}0q<#7Od?0(?m%=Q@tA3y-KFsJE%Nm8k1`#7fcy(o`Q0vc zC=e5*QP4<7;byBkea<5&e5oO!@`DaVNy(D^?Q|$ss6FJjycNY?y8GAAx&EpBKj;46 zMNSI(ckTbH=l}b4ihr{^{%ZLt{_(Zno)d4~F&vC~yAqd1uZO5uj-U{_(@!+DKdf9; z_Mu?Nz9cP>u&GX-vDUp9jx2W#H^R0>hV%#Xqy$%_U8fp6#=uUrz1+N)Ohb`4ci`xV zDe-(polE47Wk;;bvG+6DQi#q98&HWKLgey`-S5Q-_s63o*FLKa9sEq2W*!_ygXo@- zyEaLvOq@Huji2P;Jv&2N&03AZ#zSGk+s~5>ipvYWdjyd!WV~lp2@AQ$zfjf`u|nF< zMd2R>X5_pxTw!WukEHkSeN#E*k#KW))9ZOX#Hns#iPj^&etb8C@5bevwr3ga>HpUlZwodO~-UJm!5cXX71B&C3mlMt_73ced$PzznWx4 z-tT13(Mcj!-^W%Y0 z)_Y^9wLZC{FLNH1yU(hsuYHIz@l18uyDTU^G_kcg@hkF&??v<3oklL(R`KY=p2&Le zlA~s)Cz)>;$nwu}B3<}dhQ)JTq~7^3t}YvjTNnmESZ}f^=dai2oH7>^l0g{|*guGoKt_XeGXU_tw-RwnAhU2tOz+5kdw7PaogrOGr!as;eCM ziquPwO7EB(BiU(j?_jYg5^XZK(R&sCsr~<)`v-pC=NXm$&E&f3HA-_ei+nhdkH2dE z+0TD5yY~J4I{DH+bH`_=El(XXrw3=DOLk_lT*S+xu+MB^E%EX&_l~|G{PoLnKc&;R z6EBa~Nv_ko;Ty>mKpFiCe!mXonL5)UKr!kDkMMc~dew<=AAE?QoN5>TRxt!uWZAtH zNJL0Mf;!u!RO03N&>AJphtLXljtGWm;^nz-R#s~cdnJnO#NA(sm*=hKvFaPd%kxQ1 z~$ zsl;7+1_Z0FZjI*ELWtqW_?Q>r1t0iY)Dcf~TzRrn)zxc=m#0l2O`G`D9R(H6-^(Xn zp1w~->LSF;GiiCvy9Mr{I?Xb1EbuJg9U3T8CtjYYZDHdV-?Pje4^T15&> zT-U=dR4sRm{}lp`t5r5NlAOPufO@Y;V+4yncos;sv>|aC2bdxi5NgsDI@o7UbOTIB zBt+j4FVAG?$j<%b`*m4;-bt8i-upx6Nft@?+*|kI&?>n0yAFKbcN!iyjUq(Pj=<~9 z`I5qEq6cJU8IE5#2!C$B7G)-qd9`MIAfntEL4$ofUgydXVjt7XcZQ9ywpQ})i6X3* z;}SIL%c}@mE$&2H++&3MIi)=OLA=Dl=OFzut;hcFK+F_o31 zU%UD|-qO<%5n{d$?>{{!>^2T{HA1^2)V@rM$tnp(t|zk^7hA^VyjY%@X}7(U=}FgOBi#%%$TD z4USL0BFu^>a@=1c)*xoC>wDdG#OpzM|C5a|VlIdqvU2evj>p2tWQ_;n2bDP9Se`(l*0ZsxS8E9u z=+hqAQ8W3(7Uk&*TvuDeNZBcA4lSyQX7e&LZBPx3FkM zG7=A+rZW&tLejna68)bykbGmIl3f?Q2&03VhGsU1czEeEbD#SnExzlv?Lj-F^M!6G zxRF8fZ|9V_4iIe>Z&|FUQX4YjB|~F+4j{~=PD&|j4I+*Nh=;e_N#45>nU@n~*BebE^G#*8#UpZO(0)vjsAhm@L)p9Wb;gL> z{rObE@GugZM7(wyl74|}f!)Hf7pVtEDY{f|B28@h@8$}FJdhZ*F;XtrPmJ6ev!M+g?EwF zWY18n%xTre@u&8G_x%4Z{{I=Z-|oHcXby`W>UXSnil#e*im?7$ZO24Nui5deDcK15 zRp+y3;_f16rO3A*y@v@Gg|X)%CAkOo`A3%u6FyAI3i%6}7D&`!K3(=?5OLP;7fDx5 zW@|qmZplzVWIeUi<5EV1-|t;;Oqxm%}UQHr+a#Q(9>ovs3r@eUT9ZBo7(Cl0Zz+2M34CKMMod5Eh!=Xc!lSkjc|~ z%$~d_KE7X4CaNEak1uv|wS_z?=TpD0ThWd(s&H4u4wCI$J}Pz9Mh>~HjLiqZj;y?q zsB818$ly|1c%HivX*AWePfyY#*^%)c)tR?sN5<*CwON4p_zt_zbx9&x?iuIPd1YdS zpI2$H+KF(bb&32p?;@aA1+MU(LN;;G|JsNNXlFeXSe#dEp(8G9yC ztWw`CY$t{M-Jebl_o^U!s>ni;mG~LOx}tXEXOi6}|Fcq##6+Yggip1|FQM@)c zh>uUJAhC_?EaPsh-AT)cDD8T!R=FTV=xWx>KO=mggp|xsx=M6C4%(Bk*%!^S%TJSO z-lL}PHdj`b3@VJN)h(=FqSPcsr}btF3iS`_>b%^5+~?|DC8|WzOCk3#Z-ojnV&y&x z92h{FKec* zpOZeI$6NoU#6EAd{A9k|e6Jbx8yI}59`c~-a^s4*=jABpWISY1zl@SAZ%?_AEVY6l zCuyI1;m9>;j{mCTjI5^D88YT{#K(6uoz1-mX z4uN|cU(KjY5)JU9{&zaf2+?{QUEy^Ap;xwis(ztLdif#Nz3V3t)(E?fRfLBHaNAm|%=-j(ZA2&t?-&6pxZ z*kS=mcJGT2X0i811IaK6-&1hVUGo9LpR=pU_7dMh0Nq0KKtCe)o>k(RBbwnZ4bJ;{ zgkOA-+5YoPAEKsxBy-fl7_pGj%tq)hTB!zIdW1cd*t2~s8R5nEKaNx@Ai{R-Mq&ETq<`2R|4N7(ksqwD zow#}zQHlHtAtX;BT2g^BHku95uQ>`2^NAB~FlFH77uVrCd5-PY^TWi8!^D4)`w4+a`bNL z#;LyB1fRvE;o(AS_#j%XzJt?c2X{VtOJaK5~%UA7?9_o1=aR=aVxikGhWVyJuBD zNUuc1RW;>Z54;hvbcvxn`4J-h?ETFciEn_B|C7xfUAS+_5*WU_5?*_zCBja4z~|1d zHic(K@TUo^9e4bSzzs%h*NQ|C^toU6>`zVKnlshbhO9G&))BhR`ev2v3P zk~1{w^C6?L2jPWBgPvC$MTE8YX^}I8zjuiD%9aHxM7|4U-o-kJsCXS#13Mn#$xd{3 zULg1Hm+7Mct;2}98TE$ZOfr05SI-{y97I6e<;&ab77)zbmv+C#lCV$Q4>>X}kj&1l zUcKAx5Uw)usdA2-9rEcNN6rx*!m*A`Z|L74iaj@{_%&gpFS-9<{jnC&{o}1&w&boB zTQlf3qJUV9Hynb`C=tiR!E|!xC&ab6hb}J>R=4RZm5YL}|D5}Odj7wQ|9?X5w-3&1 z`1UEI{*6}RLfLmzx^O)TykCvdchYBLb^1}Xxp2|`;TGhjn4Ao-CRq#b7k?bQRYq8d zfl;+Yr=LFV%Up0_0cmF&tEE$)lf1o``9+xpNOH-q^B#Ilc2;Kf9h`)DrT38i)~Ah# zN%87Y@D)S!3R-GEjUsfY`zvNe>Y<@H-ek#n9#x!oLhP*nVqitjAv zrwQXqc5q83HR6TVukB~nL9AYdPjGHFqU+?$yl;I$=W2P5T^}UTSpPQsa^w|MQ@GZ& zCOky>K`W|=<@YF2y(+%G>oLi8?%`8geHpoxuUD%Ro=vvKZp~NUvyge|#FRHT;R6(9 z951CJoPvYSjCChnhz`#&*U?)FNfzP0rJtsVPA@y&cPa{TX3b}d$2bsEp0#O1?^<+z zJLk=JU>$B9sVkP{XF<*Jr-~}8OHd)XLuP_M8l|ywp)yieNcQ%6^~|+=$Unq%KP}P> zIhFlfbJgVDoERY0uSc}31HQMvxRxLt!V+K3u0iT(Sx>i>sUPDnVh!*oL0DY~;Ncu0akPa?;IKKFK6Z%)-^$XI9B=N}1Z$qR;>gAKSNruL|S9;lJ+!1B_vS%|JVJa=J zx|j3K9PUb$s~$U_f!BBII^pXi<9cM*ZC8VO1dz?Lz@1qHY0%Qe*j+`)u_5mA_-6=p z5OH#t=qC)ypuwJPnh2+5JnZy?^t!jc?X7Ehj0j^1?v3N*`!T-Bl$0JtWKj^^HY-C! zN$M3FZt6tT{V9$kr#>KB%W*F2Of5XNY`^Wjz7*amS$QcuX5{|LGeZAJaStL!)mXD$ z4-(IEK>b7Fd5YpbcBpBV9Z@X-!?`EN5v}?(Q9SkbU%hWAg?$k`FRn_V(lv%pWtelS z`gQm_JbivWgA0Lgd@{8yWe7KU!bxN2ZiKo-UD)x1ph@jt} zq5YJwvFSJMWPXf@gEq6sY{+f5lCd3e?MTw(rwl}j&#(U#c82sZe7_cmcPVOUvsFwH(MyEg8kZCy87MNA$&@Qj z|K+Pln9wvrY@+(nPm+R&6QxwSx#v9sv_7t)(xgQ2VUH~waXJV!*o_>DTO^ajAS+4r zAi}F`3bj%v2z&I`0jdZwMBcs|E51GmQPnBS?e;^6PSsFtRA?sm_!>shV8VJi-=kw7 zH;cGk!`VXQ?=0@a*oeHbBVmyQop5}Yjs(Li!tzz-NMuo$`gQQ`pL73r&;N(r@t>?s z^Mgw?<~x>XE{jsoTKsPK$!GI_dLEyxweGT9WC9$GDExUoJSRRa(J%Xm&dRN_rs~{# zM|f;>@_lN05}r!D<2LSW@G@Dn(RKHthKB-{X8XK=Rw% z+eMc<;i|u$#guw8+!lAiX1wG5xW zu=o?x{_t%)vD&M&6Mm`ARX=41;D6JQLz+Ar0#1uFd+d6KK!$B^=`QymurK?V!^Lp~ zxnIl?I->yl17kWYWeac`J;+q_vJ|ep20FRYUBn01nxXml1w1+7zj@rE>L%id$ac4f+{n?1EaLI(9bm!ha(^v4{w|-prL_Pu-tW}-~c_3gsOZ!>^ z3D~UA7`Q9_o@81c_J6lq4#5YvF}R$*iknXtSX&;PgNxMX0fkv9xY;_SP%f{B$2vY5 z`F2@&+PUOMxeSpx%(k>UvkLH@XRv4P`vqSnW5rnS74X~XvR!PyCj56^9Vm_WL;&;U z)+R0i1T359xQ^H&@Y$uQz0brDRLy*K_D~ywJ%m3*?e{>)>BGJUGCSZ*!_uO-br0O= zRcTXVzQO(eI9=(TZg^gace09l0NM`2jYR6Y))V;(h*ups==bX>x|oMwPDaV#mg{m-l!88L zB95)REOQ4HnI(=F1bR_M{lmwLKN7|Js=j>vs(^w6E9EnLULyBSSD?y<6l6D?YM{0x z9-f~am+I8)kzt?pfc>Z*(m5(V>J}5u?aY_@dXn{-QvU0SX<-|Zn)X?V%$FhIQSAagxUBFLV|tkE!_~s4j_8kN&CVDnS%==EdBx zC)yegSy#RRapbFQaR@nlK(fOUGm6@?EL7K3OG+9O_yc) zJ0sagD0ZpnKO%dP?5*0}hd!X!!RN)Qm>%3-uT3!?>W@a7>U#y(y-_ni&F!dp3YD*W zrzl50pgeA3OCs4bl=dYD(!5GSarPGeudFO6Ngc>=UR}vt3tMiZ4P_18S$pU&*^IQVQ=SdSTej@bONj6M$kQ_>BocTlr3C!K2OH|L6)u_~gaV=u(pJUs0HLh;s@- z$4;re+fB4GrkukJT--zxL}_}=gBM|Or)OCbP9xm(+S9i|!iYFL!d$1qfr!t;aaxZH z5t%vYxZ~6eq7;=`&(r%O>QmOHi?Xi}9dMyWagzXIcEru*o8Klo!29Kkb7amP`ozS!@d(oa|oZlrTi4_7~#ZTF*cr~Aw4P0+I@@yT!=}l zY+Er>NqBrQ?jk+6;q`Wk&h~l|{H(s#Jm?`De;wZW0ET3;17&2j&nO}1mvRi_Fgd@X zrzG=_l9`^5lC!@z$>Y0{W5!b)fXJhtUI{K7LKGFvB@6CpM70`(Ia8t$-VQHsZGEQ6~Gre0KOWR3iNcOQ0A;(P+8M;{iYKY9(%nFKKgvie+Y0IGK z>p*go{4!U+P9?cbH2Zy)SrO}Jd@CVoJK~Prxj1{(0dbR3CN+jH5Fe;+C1K!;Aa3NH z`$cje*BLsr#mgYfV885yfC|FXn&?6e8xhfEwsWqF?25$|Fn1SIL`bGhww`&0YBd;Z^rPl~(r|HAA0ckL6l2YH?GpI-ZxZ$EY#FA!hVQ$~i- zP;1z~Y}nE9rj9TwX!m-yjKkSWhjGusDYy!+@iuZvgxlsA!tY>!+XS6``sZr6=NP=p zRAYq4#j$DYAs%?r)}CA!y&9fHBAZ_9d+{={i9YWc!->63Ch#`CCC7H7mh`TX z$3h(GU}gI(vC&~aY~_0{>(q#l4DBWjMb|rS~f#=yDd}E}xJbzGS+~`h(SHfjj+Y)Zz z!N)BuOR8jtw@{Hwm{~q&_I##MEQj^U5hX=)CfLp|P)^Zb!_BD+l9MIua56Zr8QH=E zmq@{>ylGyzw%2x~a9P5wFiP)wh#%ZNQ zDjH9C7AL;AFD?$R>n!P=r{BSQ+lE{!>Unr~Z(yULVuz23aaM%lar4kB-T4l!+l({lgG`rVdrJ-U&ZY;WLpzE%64Brn{xpN!=`HHU|) zqV_<18$6FG2z{~tR;0vT_BPi@1!wXygfpms`!vGg;m6wxw3?XW0)Q9b>!4dz|4bInr3DL-tZ^5qrO^ zQ6&1y?cd`&T*z5+ZE8s<4FT3WePy&ZB2ZvusO9Sh1imbGwe*z!Q~Q5<{@=y_KcM#8 zXXl#juX>{4*t(0hUQ4JPUn<tP|=0x?PpO5Iu&*$!{7Ait=Mx?{L`zA>2O;)q` za0Ut6KaDgmy+d5gipInD3A@A5$I>Z@n(*>8f|!mBqbg)Wr+=0y%06pu@wn5261Lzx zEnm{}r!8g~NRf=k&q^OoZ>>aj`>FTJRZGa6@AHVKCyc7=0;kM+wvqfd&CSIuPDp*V z%0=%@2~x7w+)UNh^&M{R_^npX2B_%7^%j^YcDm z=lOg-p7^-NlUGyqr#$DI*2xmkReRYtKQdo03QS zLG-bau6=b$EXB<4d+dgEnDy=D?RNBOI3(D7bjh4T4#4gn4~FMi5G$6wu~R}G+Cvq% z654)1z0;E+3q@+EXsR?h*y;%-(en!8Cft~Xp0*atv=0jE?OcXGnnIog1Kmu12xRA~ z`+0{*LZ&Ticmr|_(qlcNcU=fZ4lkqTygO!)dTXqD6ShOL@Yb(QUUx{Mr(YNUfV#>( z+J|zIkjX{W^WbvH1LzDkpe+!#fre9tKg_OYLv`U~n_t8zl=Dx~NLrdf38zvu`S?>P znr!(%;H&|KjNzi`j&C4e?!~VL%xlaUJ$Bn;t_!l7WIBm{o`H<{n83wbxPp+Hx~rYH z4^jsroZcT@hZL{HrtY6NAX%v)dMpGv6C$dIIcS7_*Zx0x{y$y3*wg>bEru{_6I-p?>XmpgE=X8!Wi)C%)IjG77zG|747Q?wSWNMNeSz>=W&j&cvW{` z7J~Yn1`ZdjKyWYR&p03J-enLH0XLwRDUzh%{_Ln?Vg74LxZm~9wC$Qwe`l) zuQ=DWvDqEmn+lOfi+@UgiGs-L?iqSoWH!h>qG`JG1KjWoM{tE7Gd_ICe(Gs}U*+Am ztq*b_pf$F6nH+W71l=!=h_pd4-Am)5+>;POQ`39IHWEU%CvP2fVTaHG1uX|_%#TYi zaLMGbg>aouCg1UV7{Mw@nsxy*nY*{s4)t|Fq)o__&aVoHI(&8Zy%zR_r3y!eM|Ofc zYS8kxKy4}nLbtx3Q6LD1dF=|c~&*ELZv_kH*RLd@<*_YyCo zUeLPfwNEbgx`UTia*;1c3*DdOUPAbg?V28P`Xi!NcY?e$MBXkv`ug}Qh}_CP8DjPe zqLO)=%Y33CT4YxcLBtjC6iKaV|B17>D}~vv$B<=mHDp}p`v?SPkMIcK*&}E=*-(({ zHD*s7peiGOhx7Carf%vQ2qRG(PjSXvo4K?C(ygDEb$!yUMRgD&LXvkO;R=(_;Pl0_-pBOE^P ztX~y^+HY#~=PcvT-TBdMj1WS(&q+%&H$j-tv-E9iD+s^b5_w1o{fFEyojYw$;r{&B z$)NaPh@2-ViB^9KQMK{Yk6#Z$wA+PW9(^w$Ml2jtJcV)B7%n8_Q46v8VXvMtY(YRs z6VIb^k>S7M~kY6GTS0JW9s}VKDd%G5n^g?aS8@%!e`9-dg-9SW z(Z`CUsvDvbb)FL5*MR7-m#$-bh9SoB9ydih0mN$DHy`+Z7g_3M6AlH~7FJbw9i?f>2L|83v@M{WOqxjp~xJ-?Rtc887sI_M;1TyQg9h9^H3 zGkPfcz#yws_PtaP7;bAz2J!@h@vfJVnsi6Oc(t6dkd6vWdR-!G*m=P;sr+{4iWrz_ zjtzOFZh$!hrp!4$1oO7XRm8MbVDa?k*_@roP}tMp&-R54EE5gA_pCO9)!AVSi_4>+ zHR@uS|MD2<9%fg6LFW!nH8M?|d#b>YeE%KQonBzX->mb-j022CI>zj7#)Ap(blM!L z4Vcoh?mtlF0H$B$ySS*cz$}M?L!=GQ0H%{K1nsc zhY>6{HbMy!8^FrH=2h__2Y6Kf^$OLbJ?OsDxaJxA}kifR&BKR3EZ7t*P3VM^78TWA;}mxfxNQx5{__&FdO4 zI2a(}GT#P zRd>k2oM)VgIN~W-Fj#r2E-Hb=O2U95w=r0@%AFjrp~1&jIOM@ycd(YyzWdCu8*E5Q z?%(RB0Gl#G_LU!H@Jua5kS#?N3{tmEPaIN0Mn~?rEY&I)cZeO0ASVD*YO8!%vO+Ku zTlu+hr5>MG{>cgSE?bzs^La8m0G4K1h*(?%D_zkYDh{{6T7DsInO+)fczHi327ALZ za`&peanIq|VE2|MQ3%+km}x#gxeRuix%qYy$aiF{`W@YEk6oN%|?xq95Mx z`{)cmBc#0FTV!A^0?GHEvnjo1fTV-7pIj}MAYqd*lI=zZ#IFb2bBlXI+!>J#7THG- z6A-cI;Tz<#((K;*Xebz3yw91Fs^~#A_wG0Ob337=a*tWTtt`xAp;luVI0X6bZMxlD z$&f?OYiWqO`%EJyF76e~UXT*z-9Om`sqXHzJcY={B9fu0%9KW5y@8A%J5O3L#{LUqr5@cla zIwjj-c2sSbVY=G8k5D$7)3@V0B|Ims*!e7%912Yc+LPmKA^+$G#s2&m$l*ESGDDOL znSCSI`+|?6Up_o?_D3e9o%dJYm17Jkbu336P8~%aG3%<;<0||;CeKqEV4j^s)8oD+ zJKTR5>@`u1fq3FJdd+7`(4I|4;3KaN_0bDocaYzKieFA+haR^fBinlDm;XH|riGRD zQ>jo${-vg>jtcVTm83bTBOym6*>$YN4zl>43ESYzF++p0D9_P#2;mLle6}6l_ z&UG*Y_pZKsj$3|4AK~|=JG)UElk$3v=qURC=XVcPRJK6G!5z|YPYEJVY2GwK##hv- zw{3L^$hb~>i^6~qv9)6{;*hnNory#0ci5SvT^RhlqX4uzP$ zoLf}yQXzKi%8ot-)Kh+1>Qn9!$6TGgYC_tf_+B%;3>;q|p>uw%jbao1ln#3rUS7kj z(3zY6s;8YpNXFvYMDz5 zF~hBwlaAONlHM46co{1N$sq~m`RctBZ$geh zOK`9O-7y!azP2l@`QAfaKij1xzP)nh3kc0fzEsFB5yCLU3(W{eUD2*S{;-FT@*Xk3pLji*2&`YQdcW=@hxU|Jl1w*S;T&bnbaaW z5|Uiq=HK_}LSnSr<7V_WcOo9XE43CH)NKUS&%{FwJF&D%!v`oYZ+_)XI{_u7>6MEL zVNm?6(#X2U019iP3ode_zfdk{&6P+U^2DeXGE#&g=kf;k1-+k;W!3P_K?7f>Gh3ps zOL`#P-2{^y6d_GKh;$osmr~hX)G|*av-R+oh=&Cd|HF0v{NL;j>AzTrc+eV}EZXZG8`1D1=vE+{pu%KFXJG4oHJ*zyskQ zvuofcm`AgIZyhtahQs9Dl`)fRk?V3~6J~NHCNkT7$4su*YR2r^n90>g(`|hhGr82F zU#|@my4y_7r=-_TIg73i$5pv*< zGfHx>f$Q}(y%KBO0g=43Bpo~nZlzsfP0eS(U0F0@oLdpxm;AT6-mrj&{krF_kr*&J z!a~U*x(XKigHj{DEn+6uMJ`>rQ(!B}uz1yb3p2T*Q%l&+f#WfwJPRp0aJq6dWIxXE zoW)X=G=^uvMS%9KET22LGJG_(IpPPdU$w)-ISztbVOYsG`&w|<4*%HnX#_kD^d7LV zHw2Ho@H=8D?cjMu|5WSyr(jyNBIcY_fSFudxn|6Cn8}sI+SdCC?A~Zw2_iSiq0XB( z3^g;38$>xqwd>$~lqCk1&VdW}fCt%=O>pHjav^j`w(fiP%L}mk zp!P)Vs{=TE(?6p6^E5cg4Y8;SMuKykmfg;ydf?K{CuX{116&vF0_M!=!EI}s=b4fx zxUY32hDQj1$LEgIR^xZUvo3uzv+MzQ`6#LdnV8@#*RXr*sWEtO-zH}++6_LbP8;%+ z=fRGJNy|B~4IGAto3;s9z{$(+`uJH-a5=7V&?j{n!J?|0$*R!V7DS&~sNm)zg_y#l zhRG_}ThcGDv2`dx{3EMsu~hU*NKsEQhucHKa6py)LIWgfGR|HmC`Pt}R_eljO-Pa} zj*60z!%WPY^Xw!|;G?PYXe%GRsP|nbj<-ld@H*)r>%stp2_9&dB-{ZJLh0H&Z%{)N zB?~dj)H%#=Nn@-vm4#TTvq)goX6B07D@{=keAn~%!!Tbe! zNNkBTh<>FANn&SGUfD`O5}I~|lT9J{WV5nL2WE!PN)TtFH#vynLS$NDi7h#C#&=ua$$m}qeavP>F?<2za#A=eD?h0=lEfF2^xhp*Yi z^&yc<@j>D}>^oZSustt%fqKW&ZbAawkn};QcrPdN^yLVqkLaN8t@)TxMLHP-T!>+k zKgkNgmwg&uTsFhK#ZIXsUS$x$+4kVtuXTvJPRvL|H4ZWSXKEw^mhkM9KNj+S3gUCw z+bj%Dr>r>gjt-79dS>WA?4Dli_cIL zqzvAi*{Q4vsYX_;9%mvUb)%5yV|N$w6DubiDDX_tP5eOR>pO_bH(bp3VZk$th*#%Y zE5yIdG~X^n_UB&d)IuTupdh5*PTn5l&w%u;xmva(e2|eW@`Nf<4Kf88jf8iLKxW%Yl;v5O-?jhu ztncsQ|KCvi&7mIy33T>i9$%lO5-s}2nx5ZY!ufIm&9rZ026Eyq8EzR)i9mXc?!(Vi zp^(yAnCZ^z3`x&Ic?uq49$!%S+WDx<5Ig>u`o{TTh^At0l5E17jdqdd%e@U@h85bU zPtZb$%lU)e>&U8l$@!7$5blz$+$BmG48lAtk(Q-69vNP1K-CUD6f&Ml2 zExmh~{d~l|^^~p>WT;bo9Xy5mTC*z`-i+YhnC_Xx$?wk~@$l$RGpeHyH{{%Z7}?L! zEBY6ddyF#&lpjt!vMgN+ z#Vw}Eq_g!<&@CSSGQ9+HA?aK)X$EAzY`njzFa&9veFf5|wIL;$OIlfv1>b8n%+$`J zW_O(Sjy8ID;%ZwzO%|Vq7)rO%%hrR)@DRw=TEwgr|9k`6U}R^cH{w)>5%c(ZsRn$` z!i&>K&zr9iL8a3mtH4=yC>d(L)Oqh16lLETG)=|VgP8O_4&)-_G~dwRuvo^dl*LnA zK5LLpLn&9`j@s_wnpaz@_K@^L`J_-ea{9!2cGoiHVjf>i53vL0@y)vFTSSCIlz;B$ zA9=&5DG%Y3nK1ZW`~P>=cm8h+|C_&sqf>*7WJK*#2vygnxU`@eM_pM|C7jY*#^ zcxHGs(`oE9I5202T9j*I-YubTv5yis)4sB*w$TO`nPzrlnxEimB(?qevmUrTWBfGx zffU@$wI@Euii3yx1^AFK51vpf-@)JuUQ|W~?8hs>Yv^H+kM~YwGZ;~svm=8;@rumm z15xlLy{EdnhY&2e-ozFdxPmQV&Y`RmKf&Qq-8Yi*O>o?7Z=$B{0OtoP?8eA^bcvnu zD_^4k*B2}0N@UjH_Gb2c9U=PNU#+lBA>Y@dw)33D<00@&TE3aDK?+{aUL-1;o&;}6 z7yl>S;^0Fekvlzc3w&PH)?Jqk2VWPp0ebRsu;S}6&6&6aw!_y0tGqeEp=+y9aq$2+ zX=>yj<1qo}4xOeF{%UaDyH0#;Lj~OUb7aXLuYmh4zDsQ1Ho-%tcV~iBICx%{*==JQ z3ts2k6Q3)Mf;YwM41s3!@_d;X-(-6UJ|&LQ@(~}v*XjmC)35>h))>?>basOE$g8G2 z)C}3*So?J`8*_%OE6Y?18^QTNOGeAD8{lGd=`!gI25zefX2-u4f(OlF z^UfQo;K};N?aeNHoLLvO))uLfZF!wyi?fNxW3IZe6` z_&tAOvTfssJi%^$V%2pB5G3hU%T7cu&vLO{+ih?n^;eevfLUQv`%ViakYQ%m>nic& zS#amxS!W~I0Ul|+Jf;eh;I&7-RZ0*2o%gI>-z_o$pO_hzJEwjkKQQm7i6JsS)-ncq z^m`y+PqKW}vqz|f?k))07DT4Yq0_`Nd=MBCIIO+Bgxtca^0iB-8*w?6b*71p>v|3&f4fkPoDgc-X1}f@+S; zy&Z@_PL4%=5%Vty*?-P~-6|Zpf3pJFJ5@1%ru%Z&8NA-S)vEi%e;&f_?KM(h?!osq z4Z=~Kci)9&@M!3q1t0uQk%6e=xYu#kTAT`WhU1jMYwHzrh-oN#z!eXQ=f^mGxT? zCZ}9#po4n!(dQm&T5{l`e908zBEh|ol%TCy9K5U!9sDq$jWzpRvM7if{E62-QD!+p zfNjLcCO`TLwCu9)sggmEkS~Xhxi|!q$ypX%X@%e}$F4tm>kv0X**(M}5Xw)v6{q|P z^L|`%Ic_|~xjnD&#*-TKGbE@a47fsgN${hR*Gu5mC}?rxR2O*m7ZABh?EoJyn%%GV z(t+Q%Fv+>~HVE(+SQU*z_Gh3!V~J4+?qMz}9zkYju(p@vc|XiohLyfa2$*C-8n)I1H-zhZJkeSh-XidAI7C>3MG zVkY>VU446;HUI)1s`ZSqpl>2nKl;J~1q2oAjl>@rgWwkok1mvO$2z}mU zvZ9Q+4{;vfx}*sq-2Ql&Mi?7J+~FfS6ypq$?8+`2Cy1d7BA&L|_q+Cg_xyhr|9`oz|HsyT^Yl$7_WW4%@x7iab3jdRbDP?YDmV1; z@tzLQX+j^Le~hEgG4%1tii#|d51v+`uJKzAC;=3 zkI!(;q}vmHe2N3rc?#&`)A&4x+`9x7ix&qk38Rm%*XSStGDYJF80l1<(8rf%`-ad9 z{o`c8gyd(@$H!&B?#+UG<@%?AuZGaaNA4%4+Jip6F7^e1ZuId5Xa&i5qmS=&rh%9< z`uMg(?=@+nkB>(#txO($e6mI1mdJ!|c5;#@+lxNFMcbw5n~+FDcK+qASGZdfzN+Mb znIS{ETAb8BAcmNjfgAPoQ3{N_KX>b+k8iZ7*7gF_I#PSnsD6X8phuUQi^zoUIoH!i)Xqc*+oXp8#=c|D(d;;3zQx=?m#5q*50XVP?7(8qW4zU6QR`uHCH z>Lc)lim<%WA@n(vJYEX9t!jWizJA&V=-DoCUg-CgXvTf|oIQ_zCi?hxIcw0av)>y_ccG83 zN{6mm5q*3zG5PT)A?E~d|7odp^zn_|IwB>FKE9HcGufC2Vb464xc4rk5|IcD-HSvY zUrrZ=q&oWelHV%7<$}b!edkut!H6IK=;{9)>Hq2C{fD;a4_+V6`Y!y(@%n7;!st^& zB5*Jr)78y;4o-pn+`@dwu#)5{7E@NnUUSTEVZ|EUY4lIXatDCNwQm=y1SY`qfiB5c z>sj#9{Pel~mK!n{hI4Onp+Emxg~dl3oT0Jae?KPj4mopYq4Vtp@N2j%o0b_2{w}@b zX~ts^z@#XovD^dC7+wb74!Q{rFG{$=Gcl*PkNs}VQW&@d?B&wCKm~5(<-%hIc(!;D z^UTHn6nKPQR4o(X2G7F9xj>d0@T!_W`f8L5yh~jkKIDE4J}HNV&CZ#FubWNO&(me# zr%s(O>QxK=X9|Q>ei(uO>Z@+UTxSSS+3!>k6a}^yxOe1bJp#w`*LJ!_7=g3!S?vq& z_`zkh-khUn3*5{LXzLR`f_qoiyP-Jb+3nghBNvB1_eAB+0xxp#7O40nL9GWq7p*sT z$k2f=xBQW_1WwnZQ|1B#z{84x_qY`c zcn+E}_7abSH^*I5xm*(PQH>^#`)~?;oi!5;klpGR%_x_DI12pJ5)1~OszHEU*2!aY zyb$o3^VnS(bcOCpNax7mK8SyR_ET=~*rBfb zMj!}0Q>sQjE+v8Y3HR!cbf3W|bp4h$?h}0H)!)$_rv!iAjh6?=R3U&rc$4Yu1_ZoP ztgCCxfk3sBtq0oDAaFLXaWE0*OU7O&Kc`?{xJ(NYI;r8tcTz*LAEah@#{c`{-=5cJBY$--McN0f{bI6@l9*8)Sk_)U zr-rr95+TE>jE;8 z2Q&DHzI&^yfckXi0JonR=+RuZsFzF<0>Aq3SO=RKtbMjk!fzf}`^2e!k3HZS-OI4u zQWtQS^-PcCWC+&&t~+Yqu=WB-h~LgPVeP*NyBUD_01uvD%V5$1k6_Ab%LQfd%pWMr zJU#(l6;qih2|eKbJUFI}4S#;pW#0Z-aXil#dQ;9}4L-PUWA)e`{7>&a$MWPb*8b7a za{p2YxL5Ov@?IsgJ!Qi@5UmM*G<@rJ4%^`O zgX+P7yB*-)VJaIME{L^%F4O%I8`geg7GJy-*sT}l92Z2N-kfBOE)@wl&&GKTS}%ZW z{MYGy%=X~U%+j-KTn#*Ii%kf82*9&u$*SvQE_h8zUk;H6Jl7AD-_Rik-#xAyPMF>4 zx66{6@{Bp2f6D|<&%Xly(UR_Pe`Kku>G%;P?t*~M8HekUcxK||$Um0*8h4k5U7c65 zaISKW@%e#ra23l`HWBQ^U15;e@|kMzF#mD2@?sr$4%{vj>BnM`yR_8E@TEdatbNW4AwMo+O%^O$_#t;sO!_sA7IK~{)P_o* zTVw49S-lC`0WO!7qh)c2>~@j*@X#g`cn}DxL_I@Kb8_glCI=(%KCaGBd?_4!Lb~e~ zi>1K#>m@DAuWI1WGr&8kgkEEQA_E>R)Mj;cbV#rAK;VN^|IaJISo^13n9D`6_Q@uQ z@5NvqLAcR^KWd3gsA|A??I6%V(J5;2^I4Z5Kh{~K99dd9r&S&~mNG%6=cRdK z7kNm3G|K;z3pFGOLi=87C!tm&`+mF1HAw2*5O{PH86wGh*RPTFLPCV5k-fbf#LG^s zFe;lsY=Ux|SlJVtKi}Kwy!HcHuf#`Wzr7AMk^*|yhgP99Q>!@7r3{Le24QxduSas|2v@5&%ce7f=z&25PLY9G`^G7qs57rs9mPlPrBd3#1`?D^k^Mt%r( zLS_YJ|0e>>BB%24zR$Z3h4u$JG#9TyzCc&wRi|*sk&2rh{XzqoOX7MZ6}jImXnxoJKj!>@yLiw1#pF^u zOR)Q~48d+S0fOD8c+o%b7}*{8!GwSQt>^r9G;XAvdHvu-(R){j@)5Y);eKc?S_y8a zR7HPMU>;75ww!dxcg(}tG$VURkKA0>TdP|Y;O+c8unm1}J|Xgg@|;WH8yZ?z@ERFS z9=GXlO5^*o{`ppN8syNk4K1ZmYGSSmIhVBO3fQUN|Yu$$=>=si#j zPHOru2n8F#C1#a|$Q|==jH&3R%)f&NQORn>#uM<=QGX#%8wOrEW@~rW+Q9q$!3RII z3czRfkYFZ1_8H%7ltogJ`#&+KqM7;${NEVRezIeMfV*A1-D#HQ-1;YK9;Nt&?W~ztgElQVMI1rc>4NtO5`S%-yl7cgO9tL z{_D1oL+D2z+b>VL0$v`AwbuE_5q!T%ccdf-eD|`~N+7S#@ANXKa$+m^i&Prbv0-n| z`n`@>auNCenO2V2L|5^BdusN{C|6+0e!Rh;h*Y^jv z@6Xx~|LgXDcV3^lvDO=2%*V4{SruO)<^VgTeU+bje}RMdBiA>!Yv5S=Jn8MzpWsv* zNhVr14$issl>S%Jz{P#u%5J0$T;=o+7fBL=8x`Gi+dMCDYfERBO1uH?rq|*sB8S0) z{#a>N+cbEToNJ1l90$*v4DUM?Rgn#89yLaRemjaMI&GWIVDp6jI@uj5uxqf5xkTa}EpMDM4Y3C2FNe2fGB?1b`Ks0tGLTR<<2|km@$xZ*xQSQ}4m!I0a#)@;EXpX7A7R)_|wwXBJ*^R`8TRFuoZkIy}xP!9L{fF4NycjiZ8hZwOb1~RfSe1e8!%< zbK8~p3NkvHZ#s4z3k27krn))I5q5L!S|erRL>9>>CRGI&-2J_DcoIAS9wpYlX{Q|#& zw;p>x@_@hI!08^5h2ORRyXXJ=s{g;B_FE_usw|m(p~|g8j=uR5lmH`zrzay6HqVVG zYmYnZO67uLIs(eq^#X-nV2g}-jScUAN5)hqXdK(AcbIb);SXA%P-E9 zY+THN#0)*MZSrDB$UDHSxbzX?BS|lotvrO-ZHCueMV%1iK13-Ow+$^Z590If_@Mf3 zLdl68sI8gJsGww`gCb?xk|z%(pkPNZ&IXquCwA>kVEZCu5d<00F3Ljs#9Z(X+=-=e z3>%#w$bytCTY-@M$01oQ?oHBTFU)RYUwui3xw(SJN~kHBAwh<|#0|Y6aX&w`2kD)K zSkrHx4!JZyt8|yvg}otAW2lf;%ESYuy=rXLZfS;Ajc6)^j`oXa89 z7qZ#5>h9^KK*rL%t`L0*q%$#nzTlb#sX^^67Mqwob@51Yp6WG7-sA5?gZY7p8{_2T zowS(WLpJr4;{s-d+aymO4?{howxYB{9<)i^tTHoYh1%^)#2>E3LpdAQ;7@7`crIR` zcrT~~3d`m9>D~>1{F2Q?H7|b1=~?i*f$xo34(Y{R!=;cBs4A-1WDIGu8kDT;w;|Q` zkfOL}CjK7k<_$aqkbKDJv4RLd5*?2;$4k@zaeDIeQYk`$-1~2bL{p%>Nu$-Pg9_>^ zE?s`T+ZQT@O&U{W9zrSG$}y??=xfm8O8FQt35DuDV%rJAke}Gt7%Olaa_JM*vMI$N zYlE$LY=<9Ya@~9b%FU1-{g#!bB@5C7vU#!w1abf167>k*W0FVgJO|qDLeijH?IBJ) zlYLWmntCn?ojpU|Q=hA$!L*gUE_D-XbO*&B zHiN&yeb!)!3<$XG+;ZgUCDZ~RkTDPphd}d8CUGa!%WYMT)ZQ_MAlqPnOa99cw9>Xc z^4b*l?s+tOvpJDrJzyZDdfpwdY14a47d)!G_jB{jf!Bu? zt9J?!sQcD8WVOdV!A0LvMHeyfPZzv$ol^(`tP3n9>g$lhs_&8U60^S2#D?$nU?vFn z2xplI&XEhu3-=U%!R)8?gyaLbe@{BGmM0+xAyhFEyY?yil1OXMt$uwh6 zL*Sj-hI93e5IEy4bhV}lg3OQ02`Jozp!K$izOvI0Y^8ksoYFlAUJA*4-#7vx+T&G zEuTS%!N;87FFg=4RpibB6`0S%$0LgxA-4ywt828x!SisG3_NuO?mHgMlB+_|6lJ_IwD+d4eK`&q7; z@E+$AA=gigWb6-uknRI~;ybn=^iFA)iPwDyeIL_abod5@$qoy!P(B3D$%wTRd`93S zHddSWiVFPH#BFA3zTjEWX|DEL83Yo^m0HDRq7IX5eiUCXL1Dc<^UA0rk#ccWS;@i7 zlb?c?ZKV*BY+YQZhD>tNz6g(fVGueb{OST1BZL`!Z#}Uf0^tN7KK+nehH!5#q8+rB z5J4fS^U*%_ckTb(^Z#A=|K#=IeE*L*{~z=IKYRX;fAL?+O2rA$WFl^&LaOJUn~hSM7UeC)lbI zosuKY0KGlV&QFdvgCQX;9l7u+F#5dESR7psCQk|SZqyrsY32KGEpn}3HsU=M`u-T0 zPm|a?(CdT6M>vh@k+n5E)B~%6 zbCaBJPJp#fp1paxKiD91Z~V75BkcC)epER^cL zzFYDF%h=UimVgSd>ZI-)Bqj&z39)0BGl#+EThMfE4Iez4a}PeH8IHP4(w7STqF~n& zw7YqqGT5hbCm$ms0|%k|4l@UlH4|GW7A z!Rz}^t^F2u2_teJ6R0Y&bY(8O2_$n+kE+r(Ynu%QjoD(gZToG);vkT_Am! zdh;Xd+tQTZwD0Cuf>g5JpqPp?kUSBox@gw}NuMUImGl!J@kfzj!RY}=JZdOh>-!6} zM@fSY#pvNxuVB-+zXo-D>#p(ip<4ex`=B9Ucs&wuLMDmA3npM4j-&jQj^Sh8X$W+9dJD*s|$Fr<*r6S;UU zp|`opUFc;JB%L0%9jC;(zgqImLT5&3A2GhuX88*0`zsZuXY`=bGdIm_mJ~|OVn>ft zI6`rCosQ_L3l!G%&sFz$LjiBXhRrWl$o;mxw!D~qQdE~xedl)4D$~3NBL=Dox(UAkfb_3J;xxlNhW2{Ar`{SwEU@2 zFBi_xT{&xm-jRw+=am?nAVO-4;G&EpL=08mRU)>)4DW3JhKutMIYWPGdO99Fv~GX1 ztHk`bc5#8=53>-kw?0v31as;&E9{o^kmcdF@xX|I9fDm|Qth?fAwSP+BiY$_$~-BkF{rcOXnWa3~qs5@EkmT4jD2LwNSt&SPeHf2Cgbvc98& zh?#JLklha;(%z3PpU)Jc_8Em7J|F;5;a}u^50T^9>hb}nEH>~nwYj_Wvl0T@4jo@r zISfIx=U=I|4nr{i+o?gVF$fWGGY^%xj2dB~P}fx_yx+2o%sbp5tU=>#S_UzM+Z|Hy zyKN2;r_E$IqXZ$MZ%N|Z<}^fFHcFn~M}a=b3i08Q6o^WTT5 zqL;Pd*B1yc)E}>NI}Z^-vwHdAsLyJ!9why?3 zOq1eyUCAYgr6?Wb8LETWiraH*J8{=~kNx{)a`;{Qzpwj$RX(2Q|Kn@FK8E(XoiSqt@2iTY^xp z0R2^~PvRyxpruA&<`QPERA)cqImI*#r4m00MQntjsOf29H~lyin6qXy5QIQ(6!~?H zX-CN3=lUyYDH<|~sfidlu0Z-7{>2JpIHykQ&^>7^gOohivHC8|>5F=nN4Sc{2l6H^XEb6iLC)m?qAJZM$T|{|c$1F{GVb|F98N?w#IVv>69EOJ zhVt)Y-rEl;rm^l?Y`8}@eR`&K9krx>!7hfx$&gs zs8jhstRP|l<^G{R>jz!ndF{mGu=saSbddwkzBEwqXl=8!%@T6AcOOdZt$^%Wf}@}2 z#UOJ;A~BwP9x^1wi}bVdkWr;Y@xrbVQY&3-Vvt{xlDT4`cwZKhOP&fJX_7}TF%`9M zDSB`B@hs3KO+)+lGs5!cSfT!#`iC)weyFVYrJ?`pG?ZqwSIwfvx_HG%hn-~<3b(A& z#+8_%z=A%@q{spCtQ0<|(`G`BfsBOh!!5`v?k7|Z?1W67<82yI*C2gd)JeTU5Yo!t zS98Bc?Q`}{qg1*+NGY?SIjj8ywctkG8=sfqB}Go|gA_+-sF?Z5K+pj-)4~?r)+eCC z>z+7U`c5bfTcc*i-}AZdtB(aAa0XoADbnd<2!+Zv?sp5kun#GXY@oded6ukB7gc&8 zr)7NUO)Ed@QSujjqopBp`69s$Cl$;x`Q@;jhuJdu1m_BvVj!*P&Z8f9pZu=<|9jo% z`J3Ib@U(u0xBjJPmJ4X_>q0c~^0bo`rc}_%^2P{(QT5MVBz)CM8HVGS692EsJbMj$cD;jwxWtS~tdb z&ls$}C4^flwt`J)t%k$fuVBj%>6f?>1$J(vkA@wNf_=|7o5#Hj;NV)dhvRZ2<_J7e z^ep%Zj&UMS&ru?4gu$&nd(Rzk3ec*EXk!AWEs{#Nh-dJ0ZlOPzC>D%KE}WshgDj1R z+XiMA1HimLYt$4yC6vd#;?GM{88Pt4Wul?C_=P(C2 zs2_Yw;r|#McYL@s?Wqfn5&W?}dl8H7?(R2q)k=-1GUv&8%M=hS}ZZZPK-ePDHW6D+I9 zpKaM|0oI!VY*T4Zz-}i;b9F^9*e`6z)B5iO$5Qp;?)P87S>u?`F4+Pg+?U_O$wm(@ z`?FFghIqiG!!35Y0eh%=v9Ea3Nx=0dz39;6Fw8k-Zw)Au2Di2OlKXdx!2Qwavgyh$ zcocbd{mU{hSem(2kY&f=>&878FtG(YwXsNt>K1UYVDeay%>pN5^I5UE*T{K3jO*6R z0>U~g@8G_z&{=RM}PXwU^q$dNP* zdfbQ^aqUjm#wx(Iuu|=5)DpPG1gt36;h)25?Tg`qB_PW5)1{S$gU8m01ICM_;8Az- z<_7EsPs7X7$K^c1YwPoDt7CQG6@Ofi$9)>SdG@joau@um{l9yC|1SJv|0-<~)xT>y z=tLWJkXjqHsdE#x)n8xv_kT?P>9y}yO;9t_4#ezg#&gEUv?0vowSwr9HAK`$1|tj# zQBSu-9dTC0v!aY~So}4J(|(dEr>q0mWj79812tAh^cYd^wxw?VYpnWl!_+aOl-MNrnlcZi#vud*S(0SR8W2~X~wfh5vI zGO<^2kW{>5Mf@N-AT=q{He#nC`P+N%OBY5~m0BOf49Qj3wHTQWh}q5e^3Bcj5Jy?`oZ~jS8>G=T=;)KaM+S*g59f8!`xng~hODd(p;#?hf66t~~>D=5ts3JNd3lM{@! zF;gp1@fxBPc^FoCkKx%oR_h4&afshg?mzwd1te|DX=c{Ma|k4+&;wwawpkF;8Ha=KO>eI?rxPP{`srviU{U z?k-Rni&N5FK|P8Hb?a9*Hn<}zK zbkR270@->u0(DAm;ohISKx1_!o7C?sr}z){y$Is{{yw(78g#W)_MljSB@Wy zw)qOBZ{JTCOSWQfDeL?G6ZMd5;X1Vx$_<%=-6>j|$=J)9p0FOLgOqlzfK&GUkTha; zg5-uOB={K1mRj3GZ1V`oy(MHcHH2@lvC2WDpgvuJ?;{9TU?LOm#d~vvz>F)IJAUDJr23Vs4OL z8!chH<0ho)-Q-a7djiQ1PsMs#UxGwGk%{N$${{X)^wgPcEfB-2H8b5efcdYg&HEyG zA$&8=!O*+|nNtG;gI!{nG1WbJQ%(rlJ7b=6OT?nu8`}to(rvzMbTHK@g8gHVZ4sRCHyQ? zr1(|huosdo8p57z9*4xHm<7LeYay^34~#ASQ^`hdlWJL>VL+KH7@;xVG<0YP<#@ ztX@JO;>K@!*|nvv)-s zduW31CYGqsi*t8nWAOMWr0?2#$%Uy7T`J#GUNyaeWZf91Pjfu@`xqy((YQlAd+x3G z7TY1FMQM*{EFVPWlv-6;;Ac%|ZAu!WCOm10@A{r}44TeGPS!M5LUplvjT`MKJf_$8 zSwfdw$(Y=J+U1|f5nkKmzqbJSvN5?DR?_GPzBlkKB?U6G_K5K8;lj_d$F(Y7u0U#7 z%8Tyo3`m}8H@ZI74M}Dz>OSw|Awh9358s`45c}g5^8!a7L=PS8JmNk2r}qEj%>Ucn z@!Q8|%5rp@;h4}i!w*8+Z2t4P|4;YzJ^IEUroTo9Rzn_F^L~bb?WmV$a5O1qa+Q)u z=3zFJ2j_Z1@F-?-nXd7&#^YIfU21*12WE1a^-j%|U?x|Ozct|wvLFYZ*G;QpHr0Ge z;yWA6h*I$~QW^oG;y&k-qCP}9 zPF`&02Dfwu=C%uW$c!7o5XM<)f{8fzW>VSCkDh zH~Az5>N1#(xxj<&LD#Pe zMerz)?3phi1y5O~Yv&x0OMIo&oWffLmf=IQeOxAByOW{wX7e|&pXWX38>ND0Y2 zlLJ6dO<9;rK$nRcBdPEa1#mrG?)$!A8@TPsbC9(|{%*4Dg#mi{+zT6@8CRgM(C8G) z8!id(V3%MPyIKGqPq?-ZwVg#z;x)Z}lR}uuHI#YusUtcu_SJ0oEMsm0gLB$WBd|Yh z#B6MX{yfs4Zcgk65Kh`G(a83Iiw+fgQ1J=ex#o1#czze$E;VJJQrM3S4%fDjpVxrM zGBwtNvpnLLLs1?qb>LCBz4eTOB4&X3nW?_e1usr^Y8EccD}H%+$>bL;cstQh#CC3A zZm?q~v1%VUWUg%8^-BbtgFl}w5X8F+Yectn}x z4v22QwvY7oV-B=D^Pwl0$#sWaPWXrk@};=}_2Zl1&30WXdw>eOr#|RM#iW2w*<9PZ zbGTP%`7GMvZ9Di0=4C{UdC^b;F-nT8tH~voW-Al zx5?sei6k}{1@s`$SmGM};}!@iar0r~NQ2<0)=6;z+$k~sP`G|&9!~Y%a3z~0N=0@EpB*Sa)>8x#KEVu4$JHQ`{dHZPzB^`do=zVYSOWKO z)$V|OD&VC#y~Cha5`5KXIq&NmfdAlrmMV4J;n{Y{q{ylkf~npcs~n)mEY5SY=@Col z9_yk=dxkqE(fi7*mzyE%3X^=PFXr{opCzsKLH}JtzfMKOG(_AD8GU$K0wTAbmK30| zfykuUXDs$5n8isV9K7uz5I-kv*_&z#-j9-Z4NYKX_RXVj)HpvPmmsp2yZ<%>1t09? ze~bKEc*7k^t^k~S>`C#b4ua6T-Upwt(qjMrMFH)jIS40RJll*jukgleSJJkiBgBer zhMaQ~A~{_&sW68wvPY;*UBw);I1l++s(M27*1g}PV|&5NaEA8#8Zyv1^uGGqSI`;W zze?kZeSgPi!vnsO5S&CcvaVhNA-Sey_lAohH2u<^j5`&W#VH%Wv%d+#O(G_BQ=%Z^ z?9Ft&!-5dG-P)J}pXZTJ*NgnMy&%f#uG;+eW{8&5RJ-)zDMWwz@zo&%^Md>-x9sXw zMBek2ABQS(0NdO2`JFl-_zuB*I*S^eWw#@aOrcy z1Vp^U-GE)YA+oELYtFs~q6!IK(Q+ja?b8zm4LY1PWU{!{yZ&iuch`k2Y}zrFU`7M2!+vjU+;a`c5fZzSgN4Ryp` z4aYpb;fXW5NimO4!q9w@6!Z9=JWk0cU>;vroM$$2eAEFKHhOkp9v}BAUl+PFx{ApB zx*9N#Z%=-~lp*HvRc2m~B4Qq2@r7yTilfA+otz%;WP} z<|i7XFYhoT#iO549`Gx!0CU)izhBuOnk$NVe91aD_6|dC%8uRMJtUaNcc&mOY#8(S zu9FIA@JPR0ht{y25qi z++<=fkMHbVCFJ$1T1BI0iDo$Oc{^ofc^UKg>fY{^LsxlYBv}@v2oxxkC`sSE1-W^? z+W9(>knJ%1PK`wzGUKy7Y`f9Pxh6&Rf$|mR@wqA}Z@&+zXV@-l1{+}>pPC3|!U4?V zi?j~O~FcQkPE3LEC}>7HvG^T9m6yoR3}YM9-!vdHmq zALjABBU}^~hcusD^0I0x%;R&B4z+E;Jidd1vew*xYX3jZ{J#iajd#87fc7f_2&GA{8m)*Q|ToCM*O}$kwNP^RqJKl$uCV=3_%(2+Ujov;T z>s0eS;MyR{BjfTNS)NQ;{^`Tu?)9jZPQL|No(Zdg+BEQB7_q65K#yJ*^`ct87cwo0 z%J)c{k>#;o)%3AImdB1!bSLg`Ueu`zPMJrRCofHB{ySJRREeo)(Sg0O@_DTdA#iFL zyK0LrEJDMIXO%4G?oJtLA3eW-{p#SOcM8;z<;e`>4Rk}Mr8TMsoma##`;4_$8OZYV zf7s8!YN}3yi7uS&Gk>4>qcp6!r5Be_$Xux_t znpeV31|0U~$dfOagY#{6Vdaa6-zI);L)SwHzUKz}7 z#tDIUTL8<^{kh&q&aP+w^gKSNUCalJO^1--Whgju&kOI% zN`bn!F(aEVq@Boi2s~?3KL>XFK!z71ZDI_-=XyVh2JTV$x@}9AkbVt*p{0gasomfo znlj9G0GU$Hy3L1^JMjw>PA#UDJ(F6?*P`T)Ghf85B`st0ewOl{c-dhp3@)qEUc z1HLa!FY#62_juAyn@?H;eJ*1S&t`=2tbFZ6Nd9LC?E50ruZ(P|HYIWKD7vs)`7J+0 z;eI&Z95+K(88W<1nFp${)~JV1{^&=B_~nWBVsiU{NNLp3t#}a`Uh&zPZhBbtNSkX*B zsq+jxi77LI;n~RWGRvzBjw8d%9dWS93mIOOBV4A!=;?8$%3G8{hWFCO+*Mj+cn>Mg zJefv@Hz%YKvqXcE^kP3nSwb+&{L#LnZV>GAi8O@aEi$|X_EQTb3c#3@uf@X7jMg-N6;dP$Y$VftlSMHD>H~Ix1V2HNk1Twrr z<3f195506R?sf_TW_MROMVuN1&-Eu~@176`?>F6ihmZAuum6>MgVSHZfB#fMSvGos zA{Y7mu2VzcD*I9YpYjltzJnlRiXK53`+W{e$QOLre{Fbb1VYR&y!{f}1)*dL{^Xo1 z5bFD;S=IL(?kE`~#1?&pun|3dAzGbBfT(n>;( zubGzhxGi#g^qrf?pB1;wN`*#IL%{~crW2JFa(r(6)z+-Y@zM5a>~cbmkHP&MWf5|G z3C+Krlt3D3{kdCT&g{gZ6l#Tj*qc75b&P0$tA?6>5d%V zms{K{yvXt0wAQ{5h8&;nq{Uzha(ubhL~6Q``pPQ*wK0R`L>AMPZmXPD)=hh&gBjV)IZ$FE+A;-u5 zW-L$*IlgZzQ3NjJ_iWd zTDtcdIliwiO1D@b$H$Pt)%_efK393s)%(ctS*T7ah#<$OR5<^X4mm#9dBBJYDmiL= zz3-$T$5+Nb-|dDRpTfk{$G6Dw%?u0f*pD3FGF{A<1IY0es#hOmLXJ=WxTha4a(wsN z2k%}+PVJEFzI~X>CwWg(i@^;!zA!N{;WxGiD|!(ksWBfi}M1%=->vxOUw<8!*TV`>RGzH$CZ(oe|oG3?0P8I2rY zoZWp+2juuTjubkDCK7N4MB-iZ|$Q5AVMue*gZ-zjJvk*Z*>P z(y0vmv(NmtjFzRpf@i^rVqsZylnMy1<7Z=!6o9L0!|tcmt>6~KOHrh13hr44Z5wi| zftdPfd&?Cw@bI48w+r)jJauWC?t3+W*Ac(>?*oj%YqYGRjg%R@BWV*ol?mW;Mwu?M zegJ&NHLjX87J)Berls+X2KcdbN400)2irOUMP-LIaB_k{(nCA&tgXLXwqXFSM7okW z9_%Z(UDT41fK22Fa0gKrvv@KJpk{Nx)}7~-?Q z@3X**lZCj;a+s8Ao}>(SqWl>t_sW6Gc*)dtLNmB&IuY|@46zrCJO&{F-SBqs1Er%(A@paY(b}_ZGhdy(g|4<}`rA4e@w}j#l;41^INfQzhg8@@ zZ74rhbMexj=l<_A|6hgw@7n(D=l_q||F8eWd;gr$KfU(pZ!(N$sDTq%%-QvJ8z8*8 zDV}^y4QDKNaYb^+asTYIb7b2bxMz&CknvcdLo)a1oHZ4AcvUn$TTuni>*Eo{G^9Ao zd2rY7MJ{-aB$R%8cnQ2CND3)*d%;IqF_PSi0({1!brt@qd^PkjB>?IQuTPT)SgYk1e86F_{g__@vkhCz~SHrm8dIeKoGcHz38zI zT*e%a_4bN^o5p$HPkN2u9y&+X1d}tFB%!W?>qYfJEbr!K+74Vzv~CZHfJMXwTCP*Z$lKwa$HUFSn$?(^vPS`^ep|9s@sd=x4u+@Pqe1xj^jCqCOsLeb1CvBkNaP;g_qqY`rja|;}5FWt$7 zY@);gnJ#L`tZtBC>R^V9W9sI#540d{oHwI2<}sw!)T<;O~^{e^dmOm-||V=O&=cCFY9i_i6k-WGoG} zoQ9(Pu`yLXw;=y+($VuYpCEUihe;Q*N7=`I26o)Fgv==U8$~n}kRd!iAU}+K_MbT< zt(u=8b!f)>t>qM?j9lC>yKw~XAV000-OfWYulU#fb_b#Bolb{Lju$k(=+8CxiGykf z(n)%AV|aXb1oih*P?|TGYxXG~iVLzmWc=Pi(V@`BgWX<`-|jXCAQE|PR2vkiOc=vt-A0S<9U$n>;$O@VWf3F>OO1Al7&Kl=Q?Dc+*Lon61@`xmlpH})MHm7<&e7r&2tfB$r6 zm$Ux$UfSm(;94>rrO)mU?&G;?&XfY^vZ(lW^1d4O=~HF$aGvdbJ>rnY8_Yg*c8=w- z!R!nVziW!+CE#cC=BuB6C-`469uJK{$A*A-P&e*l2mHKKsCydyxaDJ)D3j+QNc;p< z(1k|q`JHh7uJaIrJ`VM#=7|A;sYadFfED}rZ7*`2Yp{R*U0UatBxZNsJ0dNBcL~*u z4duIdj)+vbp1o9wPHv0+b~*vz+m=hACh-&eYG@8Md^`#Mi9I2=ohTu|>e)cG3K5hN=#PCo*{tY+J-6+A)Mq}310k_(fIkqQJJ&9sC4Yl` zdn-3(ecY?_JQ~_`WfAj!Qa#^4J@*j2zb(<-QN%MeBb6o5lO6mH_=#Rx76t!32bQNq zRUv?E;{|I>Dg+FltQY+ug&w=vurb;)2s$yeUY@ZGK~d)0VK??Z7fy7RUO-MzG4^y@ z7ZteJN-R}79{_it=V1>4i5F^A+*BZtaOah!Q78oQ(K0#ToP(gCjk|sy(BC(o zF3n}P0l}&S-#Z>!5S;UaMW(zT+_rWeoj8Z*IeBF&y{k9DlXrh?%5W*(VfH7OOPPX? zgj>U&z7yc^ibu4uP#Q)7uTuLwuQTWc2}l zpW8iuh2ef)u<+?kKg=Zvj%?zPQVE0LRkyGwB0b25ek&_Fl@G+u<-{M{0^nI9B+_^6 z7I@!b(Q-Y3=ZMcyS9ucS`>aMM*cToh>AAPv zsT(Zhwf0D$C0yaqv{bjZxU|SuyZ>WwN?5dS73f!ay`}Fa} z%k}rc!A0qWFY|VAR8e-)d5CTbh8AiDLb$jy4b&^RqYQEPZz@jy$}k1n z#Ucjx3>vUoayj3H{$BeD#`^jpbVjrWMZ^ZHgJXEvj}{YJaJm}noO?SToLL4>ag3LN zb9cqVEB+loIJH;KF_{+#fsBXE-y8tbLqF$Z2$b-s`|$N$<2hjQvNLbRQVgsJ^2V;{ zqqqK+z@ef00&ID^mET{<2RnsmS6kzcV6PG{b^KruIGmL$R_CAq$HR5i>QR2+xUx#{ zTE$sq>(=knyL-Xe-E#cc5mF$qpE;=@p$`PBh+|iK{D9D%xRV0s?4~~!S+)0H2lEGc zlvBMQ!SeQtg;H*6u%;E6>o9@C zz-^Ta(}v(!d!A%7Dgc}!1&$0*k0N8Vb9bEjS0FH#oDdg82fAg+RM*+hKzL5k!dibC zTzIG{vunKIkxi2R&EQjDk)=)V@;Df*98T{|m{0_pomv_^ol{_IenC&+NGI5pPV5d& zFai6wH}-oqN`S-H(^nR}lELwdBiqKWQOxf>_2xlSEjZVt?@`rF0D_|A)vTZ#j^LPFfV!_`x8GD^m2mb;TRGthB~m?bSsP}>;;?DVdZGuqhQA- ze5sUD4(u&%owfGb1rEh$i*~&h1;;nCE*~Yw!D-rot@uO;I4{(GlUF){?`!JDt1EYa zFhkm2FX9F+w+<-kIpFK`X;Ddwwt(x2x*k=$W4R_(mgpbCJ{CnpRYvQLKehko%>VaO z|Nlbmw;vZ;=&GHCn(-|yGe2KLd9Gm_7y4AN3SX|bBSTB!@gq4p74in&vD+`RLe4Ge z`<({3Lt(V6BPY)T8NDaelOLNwx+(8EOXeO(J9{*pbF2+gPk3MdDTg~0O0zrxnw*eq z=aILV;0#GMrHLo-jGaX5Pq=++653k^1FDzGp|;AQ?+cj1^4f;?6}dhX z`SL$HCUOY#V1}GTVhNB7ZE|KWk>Nd|;Cwy688ZFv=AXQ=3>h3s2eiZ!AZ>Eo`pqY0 zWJLEtq7mlcPB4g&{QL?jG@Bm{EVp1rqyO#*6&1`7y?x)|zA|*!GkHurdjWN?!qs#Z zw4g%a#fH8EDU@bNW=`#1JdxBSV&}qxl(|L#j8cc3P|6<<@m8pEo4Km?SHn4Mcy#aG^)Pi0&2WiL>A3q8fm^153$7SFv4Vi*14PzGXA${}28+kVeNSk{)t^TGD zof+&!r=6cb>LJ~g$IFb6qVtiNKI0{HtpVpUy%036WTjE!4p8-T)*)Aylkm8ujb-tv zAe7PD$KDG62qk+Grt+GUpeSNbxsu2uD5&ns=v{GxywV*xRFe-NXVp(chQkxG9lbU# zQz$@|;FhmsUpgRjOKCXeZz9JepEk#s@%JZ^ocI;xT!!A(lx@S;?F$s!p zJgw2IK(A!a+V_nh^a!$z?A}gfhTLSUJQ0;>$WiH~)>uk_>;v?cRe>XrwcVrsis=r> zq^m3DxUu-B_W$F|{};jkA2Ysx22Kjo^%{m;0;9Dl_dM*hNvj14Oh&CgHJQ?ehoQ;N1L(9^+uQ*3t zEsbQ(ae^?nB)ag%00^h3xy_rd2jR~ATpcFpPg{6BOOE@25qB(FS#K~xL~r=BTvu!C z=N8K|i(^0hxY20UqXh70^J={zhOTR)!s@%%OCc!#s$7IZECjnBRU1=34k71m`(4_w z#9iXLqXv({Av9=j<@deUA?)z+uNlj@Q(U;+(=MhM!bRv`^m}7YT!GQGI>{{%ad<6B zTD1{*H9LI*1Lnh#TNkppOM)l$PoWNhU6?635L0?38vMOGqZatnk% zI>Yz6Vkd-u-`{D0o|%aI$0jTr`XOQ%?1q9qK%`Q&ik+7-_DC!DD&E|JPUkSO$W04 zxxyff)9i@KBTo@d5dn3JFcc}XE2Y?lfOLE8X{TF^J<%KKxFjI zg(q$X5XI~;Io{OrFQ>}NN@y+k7ZBulH?jA&FL59N-=AQKo!2K%qB}(7=hw|SB80M@ ziSqrH389m&QzR<5!<_G2DfIp)gx~q~?$)F>M9@8{?h<|h5v3p5#hlh4QbplspgA&J z<691Waw9_2qqn~TI3gfw&G_cld$`N&GE}kD;{-8eCNukea{ko*pXdJH?vDQpwcoC| z)NFIn9cmd@H=15lLHWoGA(y!rO6)yZweN&Ok&J`IjZYMiuiLwCfJqN>7C#c^f@vUo z>-{{XYxvwXV`;i9fgaR7`$v9$2S}U$281CKNS*7F*IPaasoM{nx0$Vk6u6JtL($XH9QFA% zDA3y9`)Vila$ZH#_+r*$_M7Wt#vibkBeuQqBIg6hcrNT`BdZ1JiIo-YKWZQ?ES87L z9-S4Lo9Fh(V$W@WWA}2iGBU*_@?Y04L-Ji6+gj>Q=m_lepnd)o>Z!9>FZ0qug*)TW zvtt0I6Ld5OSw*1Oi~b_J)L|&h;(kJ=KnD4=+de96-vK$xXQ^%c(VcPT=vT@?WJh~N zobTfvVn#rnp_C)KM69p9j+C6nEQpVf+tdk=nj(MCs}z&02e+gUPCtPZj*=~6-|j4aLzt1$5k>Na4N79JSj>Icf$zgYkZ~IY6ugaU^%B;F zyfn)xhqK|3^L&X_@_q?q%Y_8;U8#c1g<2&RE+@!%a&MLVzBr^eFL7I+lfcXl(voR? z%&RBuXQE9+h8b;Wt*>I>2@4s82G&{=>$%fh6c$jkt0jsbv$`s1Yd>gzy^WdZvyFXg zU!mk7>67zo_n>I@B)_NpG89_vGD=B!5Bb&~^M-AoL2gGP?}Hpl%<71^^XStIWYaw7 zXKS*8%t`WNW<83KF`*tqpqlJy!>#0>P{I7tMN`-W%D#7GXQS7lR8HkiY^50#&olw^yAUYq3#l^+;e*18 zfy1N!%5S7!GASyvhP*^av$xaeSksdFFn&=Sas(>8uatQ~_8~jJ^(aTk5(v6SbnpFB z`~UUaKla<*LHGCG-oFNW|NhCp=lkW@5BT5U=`}2=cD-_j156(F5w9wEf|=L3lJz6k zz}%Ippd`2mEYHW6Qff|s)oWs!`!W|G(+T#Qx~iVW z65vShzABY)7o2wHL?rjlVdhlKo)vT^IuE>7-g}0KT-pw!Vy|;RC@3_n`CbS2qU|Wl zs0{GlKgT(4LkecoeBJz%L16xE@9kJwF0d@s((I#%1?!8GpAEbEz^3DNz$dd>u;U1O z?sXHLjRy4>i;tj@fSKZa$43rCV0WAVD^Nz;V*PU+PuEK!3YFc)fXy{213>& zk!tP{aM|YhM&#W!xIgpy{q2VmU@HFcf~h*jV9N0+i&mZmrM@wn++pH7yJX&XHVu-Cv_iHulO4`SO$s*7MWXAR2ArO*IZY!<9EN}2+e02UexD1rcSmlx;|F^4CPSzh>$C_^JZgK#( z>-3R>WlrGMYfr3*PXkL*d!NhS--ES1=}WH<++f@4B6Cy=|2}=XY59I`9vnwy65_>8 zz`5>Q`;-Q{S?c>_!jd__CFojVQxtNIn$;zjvu}VK?XKr0l(_p`)l%AFi8IQp=_EH7 zbisX*{>}@#Q6RdCCsMQ~VK3D{qh=BF|J)Co^rUb5Q~Q7S`u<(xn7i{I&;9>tr)VdR zL0s=UF6n@)kZ|Yp9>-WsNPN4~n{9p$lA`-3jSkF0vgDN`GDO{?IeSm{6U2BZTar76L!6yOZ`-k#kRWU7_C`e=66Z$9KTcXh zQk@Y0(Bd)V=fw+n4%tHrJMZE2x&TP2Z8ej4&<&|7&q z18Kc8OT8NC+E%zuwW~t{!mNYGbE8KgQp|Aen&?A_POcd>!aUsAff-%<$4U_YW`1$l zkPQ;c;zcpcvS+$cSu**=hmwu2IfbDl85{9Dk@x zw>T9fnD;-gW6FjkIqnKE%|u8(qsd%?j86*h@tK>Fz&dquuqN7l)qUf~BLWY}kVn)i|7>@Sd6Q05$D22l%yT=@mjJPs53=qrjl z++lo8p9d1g`q}GRiI7yO6xuwF@9UTP&QrUjAeEwXTl0)4r0wL9mx;Q7eFI_?3FAXZ z|8697x!n~qx|>UEyl~$$a)Cl;=mun6W-9%t(+b(NB&jj+&mp@^=z`SBAmpgVUzmF? z1UaL>baK3dvpNLsmB#@N9J33V0@smMvkE_;hCZXiqP zdurQ?uK+~eYtGsm_7%d3L3X#g(BD2V66))i2_dSMkrKvP(0(xf#&dEZs5zvt`)vI! zD07riijl%Oa@CBRSJ?;1yT7}PLcR~O9#fs~Qu_(%&o`Io`&l5>zEb`eS3e|soxDUZ zm4vPcnLe#q1Bm-Hc1G*R7KqUs&`moV0#PETZWyrSLWERRQHO>QvMThz$_B+LDLw!}+s{#--8_ zd5SSTek%jsi?4N3eC9#-=gtxBNn_|Je#7zbgALUAJ#v28DUkMLRQD69M^;VgafeF2Iw{&3)v@RG>*A*}0U;8LI2Yjt0It504K_c+`z=g_1?Z^MaQ)p(wn6TfC(o zp7ZVVV#1P;IndK7O~VE0nT6C>_3$&c?eUyj&R$5_->rX|AqA2$26}Ia zc|t?>Q#+*xYC@zGf5%2j%B z-l9;!XXJykGL@C~SwSF7GOw?rYs1BVz4&JuIv2RlH!gc48&m!Hw(Fgn;3iwKu-Ytv z9NLXb{i%TYzMTc4wM^jN?(2N0-2=?3@>HJOeFv68@6DY1Wx#r(jO>C&8rU6CZRFf- z2o8IWDEL-HgX3GK#M`q!!P)H8%3a|KoLT97^bD~B7tXh@az$mqrRT8j)awu6dapn& z?VUNeZmyf>o>&IAz=0r716Oco4QypmGXVEk^3FZ67lFvyps`iwEj+Ri(^GS02g}YX zfi17u!NxrC0q1#~A(r^t+}|w*4mr|{6=KK0>7i=mE~8Z-Y(2jiEq5CToyQ~hAFKx# zhq)S;Bz#>iw>8S7IdJW|{(2Pm*WB*7Pn6620=J)CudY*#g1ftngm7sG5UIyJ>0Udh^4EBj6YrGoQ401!;I_L(0J~( z?LZV@rI>km2#B=_8lA3Q;2}dlME@=tEML^z>3r1=HWlAJ;^uR~{`fiG{ynweXw3C9 zzEK98O)5WgcH?X`b-<6I*9%+-gx%ev9Ju$Ha^SpW2DmX6PVV^i7|-y0oaxE<{u0hG zYSU-|k++da;4;3?y$_9l?4bdV2Ra9@o?Zh_a?21>wg&JFulVvU6lcaOiB1C3HsBET zJpGC7E^wNs*kM5N31_e)qQ{R+gG*?X*At0JaP|E0ayp_G+)Rq3>Gg=Jm5c=T7 z=`UWb5XS9#WFPKQguV3$x1r;NaF>?77Y^G%#37L%rx+F>qQ?D!&fa8ncG!6|4t#{j zcV`m_XEE3B3Rjg8k1%*?yBHN5`~tof{L{mH4pSvyVxqe<-$Tf~u&n`_s}L&3v`1_n9VN`fa?20s&>mE~DX|#@;bBY+ zC%If9LS{RQik=)q%xu4MT}>GxgNB%hueL!H|32-XOsf#plsel69LPm{yY)_l9ej9K zw`i_orZtyGW#9p82&}qZ9VfdTf=Pm+AoT`>Y>Te9vBgZw^*4J8)|?@9q?FWPkRQS- zcM5hic0;)9@`N-8y2{VZ=KZ)W0TF9-@;*D`(NR(s8iDyfQCeyB@iQwBwL*xhN*9Fa zuvSfHHRLmyMV*3OFyH>3lhK(X%rKQ_oIF;G9N?sUZ*oEhgwQ|O@j(?GDF$@)AU8es!@dc@EL^Nn;F{ z=^EV@|LNfIV2CjnlJ?zJ4YB+9#j~pSg8v7;vg^;-Am|a#jIY@+{{52M9_6kmy13O7Qe&Irm8@Ymh?j_#^Lc29ZnbGsWuw$T&;37V{@+ji z|MKEwf!bR|e5P=5ta%Jb-q>QTOtlW>Cv;V!HjS7nDb5H$`6?MklnR ztBhVm+8d=69i`SCWS?~xDI@VNu2K~07Onxv2- z*=u;f|1l(Qx8VQubrurWor2P>4?x21eXj%Y`4e~RPMpi;GQ{-V3ROK{2^}KWO9#OX z>NwLiUQ6DD$Bs|+Hxe?xGEIC8 zKz?p(JXNY&9KH`V;LeHL>7lZ3ug zcMn1AY9&jMn;djSh=|ScFVW?>HyIcOAA4-P}JoF8Dp!j^%xGC=97Sy!3 zUzRb4+)fr9kDq7JJ*kse=_3f4`h7w+$mXUOH&r=)TZT08pt^o;Zro{R%rae&h2&aU z4Gyv~NGkM^<{Lc&i5AT=+ZV(k{_$YmeBc6f#XK2Ke9I4wUPe3G52rv?f9^G}BwyU~ zSY$pStO_L~h8e~RQBd^aE|09>Jt%mjmVV~5FXX;)qg0lkg6v$!og_C|an8}tzI!|r zG6V-UUn)jGT9c8~k##+EvMPG|)7U|ZIzhvkS{3&z8W+3|zlJ1LEqBB1N1;2G{F0%k z5HyP|?slWR1+}d|KYcrp29?UXaeYi%p!{sl>6o*cP_jueB`zri#m57LPT_f?(8r@| z&~G>7?^&A6rri#?WXIQj)|o=Kz#%>t#UGFv%&5s+i#*|eD}}k6xNq@1U1tq!MH`hwTo!}0~Z_&1R*1Of=@dTZf#2?!wC(`$Thbz0chdT0a+cGXl#bkk} z-_ejGH|fBVZ{I}o!#uF%FnW`fa~mA^6AC>(hl5k*J7cMKyi@3H-Lm2_jGS3c2M>OJ zy9#KLB!2?j0ZtLRRHz7UMQ@&7sX-UCZXIn?*CilsIbuXdBtz!Ns!vNd89a{HtVv9{ zqEqA!eZ~L}cxpbac1e~7&%tAXm+anv<&X3+-+{AW+qltn$0P+Ds`p-0x#^BgXHr6y)mVci4tbk=QRY-Lv2&`|E>%G%Z+5C^Lsq;T@+< z!B0iY3mh{<4kmg>VmA2Mn9+IMzuQ+IGvh}DSB4a0?F0JYx}bY(^b0?_&KgTZnUQbw zn6_>zehx$sjHTh_1>%fofRY^vc!Wzigrpmzi%qew>C-fL_U->!BlQ!!?p)P9IQRm* z=50GVM~-5a*)~HGekb^UbN;>G!;Cn}jGHZc?eF@obzPs!sr9b( z%P<>ob@ZH^q2)k*+j4KGL^(b@aTz=eu?g)8!!a8YPv!IFFie4eBR3hf=_AO^dKM;w|_`J)s&OB0`pk zURc3LW^f*65v9J4xy!2K#;K=q{}E>MOZn;sas}EqKaJRXV!wg0>4|GV(t{@vF9VRgnGqsYnQqsU3)qlo$Y zEC2a)|KHB)bd@;bSNy{n`7m#vziJ!>Z@O(4tBUx+=gUx%_&8=`hLniY^nU^W4a@VN zr@J5k#y>pqnS#JOU&JU`Ss}=+x0Yx2B?NnGxo%g-e01l!im8HV2o-L6RFIqnq3M@C z@BTIcVKj#gRMX`l%xZL(2uUi0JuBnbn~hq4ow{QeKUsk%RpypI(IR+jJxX*Z`v|_L z*eA?|y20;Rz>S5mFF-g4?V^Ng2ngn&p>D)HnTFifqYbkV^z3=S0j4t$JTq~Sp|=Tj z-i~bQhr}V&a7q2`Q_Kn-Yl%NVZ3$uLA`2BS#6ei$hs=5G@x$qU6mRMs0JjW!-gmjk z8ud~=a-*;wygQC9S(T81Z~7-v=6o6Om+Bkk?q2~yDJjFDvv)8fGy2Nef<_1ub-X@O zz5&6Pb>*mTi$RFtdCJI#4iI|W>WmB>YGVp=UK-1;Kp4AU(9b#4289(-hT<+S>_=Rh z`@l;GH_E-3cf#N=j=%G?E$*ZgNQf26(JN~g_b4V^0{m2GVs(7A!T*CL&(Ekb2r%N6 z)0gyuz?%MyGcE!U^ffV!{uBX1SnlMSl%QW=zuJTmzGsCdy(bHwzlB+yE~BL3-ykfy zIqlZGIqq>3HKT>EL%3rcpQY$t2%je%m@HBTPn`jRX$=0{`}-fc#q0#%7fNP++ik%= zU%FAoxB&v>S%)cH4Ir@9p%U` zZ|B$4B5^O;7YG?)61NRyAyCisTL!*|1bu&IqWqu^LhL&D1$Fjf7AXnQtS>;={xil= zF_7ei#-1Cb#et^MZ45Jl(L zaoyqyM1?VYA>+OJr}qE!{J&3q?D_v&+xP#s)_x}=-LtY~!ZA z1SKP3x`|g5py=TFW445N)YN2U&aezZuFAyIJq*c^t#FISr~4&jCS2RYBE<+9LLK5= z^NEo5;hsC8qy{_x5~2tyyvf(!&(PjoJ$!?fw=+2rJIN?^AouJi*@E_p7wn%N9t! zbH&r@h6p4se50Qe=!34yW7~O!wn2lFy}T_8Jyg#61v}4OfHGl9rH^jOP~5?$D;v%R zk4Tdip3o*izEZ`wLv$YG9=yzO%ODTAaEugleHS3J?fB=0_Y~OszwB^4in}j#Gj02Y zel_787K@v~xEnhiLZd?pDMrb^qWeT4`Q)tgzXnAwZKI`Kkx}u>QaW0h4)Pv-9~s3(drqs~#+k_NkbQ8I zYwScdWR?lM%ejENum?{wUnZME`ekXV?er%gO=C~ax8Qh4z2i_QbXE>hBAXr;%&9<6 zAE(|*7y+7_i>}}Ieg(Cv)A56$PEaXs^Tq>bl4TBeD+UELpv2CK(?VYriiRS0H?dGb zp(2-#&nr*JKfcGaa0WB4jVbz>jwTG)D)|ITclGR7x%?7}vt^=dWclIIC*71?DSMz`W$!CvyesDOxtp@s^h0iZ z6!GWLO~?^@Tb??x0$E>YhBH%4a8E=fZ(i&R86BSDXQsLT)c!wu{@?75-~N1kqr2v| zDtGnn84=yOb?Y0@w*SZ9|C{acukHD5x#c;HzxaaVBVET>F;{Ti(U5!o1G20p2|+&@ z-N60F$2wthR`A$d*Nt}Z0?!%J>l!=Tz^j<*dz=WeneT@cf4da`K8L~tOqOweJaG8^ zM||(_y`LLJnK}!86!XPbt-pd_LS$5IBeEX&?!G5*A!n_GE}J#b3~ZYnop>G3ffKWR ziS)cRdQc`?ZWJQZVds7>V-gn3@nM;1S7rxKf!7W?xyW&&C%fz>%>`a>pJlNi!^%5% zZ$ZT6CGffVdeG~vDfm)d6;>{H1K(oG8?p1_;HP-B>()yh@O#x5FA&5A{uVa-M~NfB ze=Eaxzp)zF#p*DKrD=gv({b&kCG?`Kv-QidR)V`S!N&Oo`uHELR(w4d0G?JU*9ub6 zPp?goUbtcb-oj?{@9>`L{r#?=h6tY5SLQEs%KBh12Wqx?s+0~i0KxHeh_)RS1ni>9TlBmNPKIHrOzTPDI!T#S zCg%$72HW)KCu5MkPHDF1753a+R`h~r6~U{2Hm^FE4ZItb zs?Cw#Ag)nFrG^afZwF?LmY-nXkU^~<20+kSJ;bw+0Rh_vIG=RqAWz^mi(l&@IIaV6L^M@ z+1NC}i#hS$#l$RhgY;Nq=Qf+u!@xJKu&Z&12K-zd=xz!U!2i;*{Zcznm%m%o^-V@9 z5PDT9=)ST;fWvIw*D^f_dVyIMP=Z_3_q^z9A)l5*61bmc<|aAb7wTU1Lp@3YD83T!N>c$xaul$fQU>-nA?WeD03uVo8wJ#H+dL(L5E;@EdQsdTebrq%y~qe2A>q7_Doeo&U}tr^&Ue$Q)g@E7~ywgqTnKgSsLRkmwNb_9QY`qHnWF zG6+GC=i|(qdw3!Ewuxx41nw(j;@k#qbwem+qU2QpYuqCcf0R601)-zL_dbo?fiN*~ zWku@gKehj-=l>se$N&1;@8tf;+WzVt)YZ7~T)ck)Djbf4?;X7frR0|HubrKNqEJ7E zs6z!%pypY$mfsAyqb(O_CAlH{*%Kd`AJUL@Y-oea&jB*}rg!`IYC(GRrDobEXCck& zli+q?Ur3GE+q7X+4=FV<#f0zFkUZ~XA@JoUB)P^}ykJ&>&XR%e3tLrCAH+j*wSf&P zd1uBs(05jvG;m#m>?ss0aeW9dWrISgmt6JJ+>lp%&+f$QJjh99j8prj4_V8taod6^ zAk!hNE@kB;a_S8Dq)bF1o&Dgdr{rZw<9@m4!y zsd@(!HK|c+WvYDa?p-L1G3n@Bl7V~`b(5Q(B#?VI!!Mu_zmK763AVKRkY%xBKjw-;BCw45BGY!~B!|G^)K(!^q2}Q+yz12fpzJY!S}Lhf8a_#**O; z{^3=7AD(Llg8AOfw~QPRaOyMTZasj2tsS>)^cEqoMEBW#^Bf4$jx>Li-HB|A&Esdn zc0+LLp$BJ)WFSObs+zX^8Mx3cW}i#L`EDg|+e+zW@GQ$@^=3u(_ClXUyS`c9OJuvSbE1o;kqMBAS5cq{^ zs=bpQg3@=T?%OQ{!3wMob1}z0c=4o9(+w&J@!~qQjp6{f(!HX3GE$D5;-?A2W_YLA z_I>R6t4rV=b*qQlmIQp3HvQ?=W07I9ci)zV2l#U_Qi@3h0b&28Cl9MTfw1+9GgguU z0-gv2+Hj@gIaFg{PEG+qYKbcJ$DJXVOy?}8!f^;L7F;}8bq7K;h$|e;x*_Ca>f5=w zd~j19i5oB?fJYAH-960M=Xg!^vii(np3hm5OYR9c$4)QcwmJiTVI|K5zcV3YJpFOK zIzJHN6O{{V@SJxyCvJDd^H%E?WmfrJ2s*Ucb|~)+1kF)bypS@6;G|uftQ9s8a!K&Z zj+A>4vZkpcikTUqN?iP)ICD%s z`LP{)c<0Y_JS3Yy2o?D%bD0ijuK8EILYpAaQF~#Pp&HpO+!Z@9!!uZr*IEVjg2CVD zAIBtP-eKkGZvD@=zdv#HgucLiWQlNej9eOq(6=v(Vo5N^>BPd!@k$%;Uh6fjY460I zezn%cL=F7jL~NX`Qw9Q82+dXwKLjW~dGSF#AA5MYst)}T2-1C|Mt-ajg4Mg+ENqb% ze2Td8Rxkd$lw;mf+QKK_bdC;z+lKckliKmPUHp8Lmc`v+zMSV|u;dp)HG*3QOz zu23d{t<+87_JtDM{e9TJ?#BrZ#5{SGE+OE^wS6u$_d4$4)-5$$Z-FzbQiw_FC2%1M zt2&}}9bAU%@+^b#{`in?N17?}{{(oO?z>Zgo6n4C;SAQ5L8Kojj)ARhB42iP0@!_2{K*wa00)Wfp2KD%;CS2Oo}ri;OeRNZM&|WooE$=d}RWH%Gh(>G-&6(n=JhchNgUb=uv+ z9^AJR?Mo=S1n$=YX|{$u!Gd+|y11n$ST6Dj9699-HdKCV@rwYq-39#47Peq7RkwK= z-|HL#z8Ngt+5*S2IjCH}2Tm;sJ|36R!&5t3`#Kw$m+5rN1;{9Kb=IWf&-Mp5uJ;T- zdMCimkNanz@hG^x{S{}vmILl*iAch|ac3GGY2NIa0v6x-^Ka^I2dfaFwMH)sut^Xa zJs}bbcFK3sZ7))TeJAND?VoetxLb;a@-r7WoxXMCu^qaF6qP@Q`Q#+&`109V49q zOTSVROIHW59y--uxzP!>)jBj4dxXJWYvIJ6Y#(swX}dj=5C=|s*p{t@UBOwZ#r=kT zGPr1kG22u)f~$59O}vr-xbbNw9sT|p+!B>)OJdZ(owEE{V2l^CI^;qP8O_0cB2k1s zgC9Ij^ChcW27pKW)jKDVeQI-V)A5aX5ZIw!=V#>;uz&MF{%ZVpa5OQE3{av1r(Toc zZq#GA?4l)G*3Aaj(}V2&V!7aUcsi+S68Zim*7ZZ*kqL6tYB7_T8r*YR_n(t!1&@P< zGCwc4f=4hdZ3WvBcx?38pDk@e-Q#+6-N1G5?EKuY9?kNn_W$(!zfb-DIoJQ!)_$kt z=lqy_5~%x(DON`tc+_0dqeVs>;w44tOwY;}cN zy->JmwUhXX59HhQ@qplA$h8c3=}MLY*}b(2@s3Q8We}(m){EW|!4>`}^Fr)(2ffI9 z-635_t*7<$H%Plus$y%1-$z6YjT7?qQeNeGH0hQ=mq%)1Y2_Dan9mCF%Z`L99ZQ;+ zU$s!yu$L$9{COz3u}_XSP6mn;63!`70~F+`U#}2;gE_7lKX!a~hnx}rnR}nYAY1!@ zwfe+G$YL^h->!WF+0?H-={V0r2C<=l{f`+)XM6if@=P+$+h4qJXI_TX_@OR_jC0WK zZp*jp?M-NMfAik47LW%3`fn?5Ntm;ikc(4Pj4IuO^|g_-h11ZhmZ-$AB~N7L56bl$%(B- zNVm~*-jV+r(u!5ePaWKX-tEM7j&>~2LOPl>jyjIo1uqV%9A>C|x$gYEVi3xO#?CNR z=0WMnU%rzur=hsYXn{0;2NV%e+%qYTgMzK@s1xo@=r>4osCkwHxsM0FR-&IV$3u6& zyjd+|-ykk_I+P1p>XU)nZ_q%dVXeA+3m;?4=z0?mYLx(^g_ zzgo^dg?fKS#cpPV0r1RARED5B+oU;>?N)VMl?3N()9m+pYjZ&n;M zky*&OlRTc7YX;#;{Kq3KUqkq7HY+dMOo+JW6mhw03L-x4IbCZVG2)=Gx|a+aQEp zT{-900SL7@9xG4G3Ssc$Z%p=pHf);qfvaqw4P<;&|EauHX)cXzrq?eqjxf z=cOrfpW{Be-RZ2&tvwKR+Qv9wZW^MBsIDGpMW)7q5e?sDWT^P)Oq2o@__N-XKc9^} z-?fG!Inh$&=!%jRUnqo7-lPPBQq)C|2VeV0WD8+W3h5PHJ<)Gz%%%I~Bt!_Nh|x$` zLqrdA#krqEm`6BsQI2ySBH!4_9h}RAC}X-RsupCoyiRFz9+iRUYqONWw-zA!@dJ*w z;r9@8>iwYwy;=y=4m8|ZjCX`)b<61+h7f8@K2ZCY9zw1C2e2d8k0HL~I!=iuYrHeuS0KUaqxtkN|{A)12Dw0Iw~O2X)TuDl|kmfMSv z&=iYW;~gJqkl7NulOu)q5oXJ~4)n8nOaH0;Kj;2`EB_z<{QtGJ->IS9V4#@+_55Ss zIx+;IVvBOMIx!von(?34oP%P+G5>t2B`8eW*otWqg#6P2vJ8g5AXhEqdDY4^WH(KY zWn6p*S$9>LQlG>?rku9nks)Tx>AQUJT;F~4nWgrwcHnuJSWX!%-h#R9I&2@KbaCH( z=Q-^qWOuweB~wy&9vX;!li%&*gvv*H4STMHL)r1P88!;c!&@2hEV_Cd^XO)SEwzv0 zEGLkZd*(Fcah6YF7LPdukoC#wNc$S47rht!*MaYFsJaHHot}@WX(^m zg?vMH$4G;ckl!q1%;RQ2mp%jS=_n72;rCd_4zB8+6ae$BIJnXZ26^B<@he+xD=2VhRe@>UqW2(BH?$ z^){Q{3Ubrz?+Zxc9UwnrPa*SzFTdkL+0{tGema(_kr!Fr22Gv?SA zmONLiYlYrJ{0|ny)S!h&c+kdD0_sG2cYhSGf~sTjKHr@SpxoDFP$)bAN@Et;Ymo(7 zvj48kZj%xydeZ$?@=`w(j+KxW#kL~H`C8o}<4MS?*)8X%#s#_7vm1LRyhAP?rq)s1i7GAP_8uK zaSc?-{JIjZdk`wv?VsH^p$%pBCJd?9N1>FH%isNV9F%PDh#+iSg<^(7o2<9y;gPR) z6y-a8Y`(J^>~|oiV)QMAg2kWO|DSVT>^HmP z_qqPh+V{c}pVt3B?2g}gefU@ZPEGIa@3zkMBy@1E+k3}GV+dH(`8gOLiUZ3!$no6$ z60AKrcFpWXmcxN&5ELo{yKr}kQ})DQzkGILwYv=*1xw8BdoF>KM$QqoGIE&;4Ri&fEZ)PQZoab$c{X9tEM9mTuAo*WF>kAt~-sk^B;Tyu0Nii&L|!PxBK4bm)-FmJOT5hGl_Wr zb-%eNKMJO2uAD4W*?{}E6oMM;_~1dEO9OA_DX{7~{+dqW8rZ~(5(hB2f}KojyC5Yp zOL~b~LJXdQqewWa2h$J|C&#`nn{|=lU%=IbqQG)ZJTE$Yv19085wToAQ1l%|k za_l{E&QY>cQC|od8X}`{4i3HGUesgSeNG5GI2;0w?L!@B5KG*lE8}o~o@OF-Pbyft zXio2-k^t+$-MNpAFeh>0WUNEzUa)@_WW_(81&%2{E9C57fV2E^ZD5KixQsm@F*?Br zZih5qkXCAe+f#ANRN+(LZfQsLkqxs|cG_BGPh-wQOwhQK$2sskFlNB^SKeMsTJ64Q zZOm%7YGzD&4A!uvVe3>0wk<5DTj@H$VRzE(j)F*VI?1$ElZVXJ)5qFqQ*cg1GbTGV zhB=WR&52X*V1`RaXj)hEI_3sm+aRK*M!)FR;RT|r;Q4i6*Ddd2*_@E_b}0xsYYk&9za}B>u<4y{Eg^WgvpS;Q&5r@|T>Hq6M-=sDde5nKjo>VpnbDay#q8VbSA= z5&89NxwV{_@qPaOH#diq5bo?`7cG+lQKuLqyM^*0CMI4Gw*5esgy}vKMH+Y*cinfr zXeT6I=i0C+1V~zQm-)2&2=ey3KNvW#Ldw$Di2>zJNDXzrsI7*KF22v_*+?5Pk5+P- zF7XPapZ0xw_x=-PXU4I%XDmSm--gL9egO!XiK5KVUW5p-pG3kf=aDPeepEZq3w>|8 zq_fQv5brR5h5zXaB$)MdNQ|06(#f$KafhEn^6U1-ds@gGtz=9r|M4DD&BVJZq7)!) z?`G=Q5)-6V+#Y3R&xCZjn?1w}*mn$Z`?=dpLxzg=@ImuP$msmxznq1c-cpvQA9!J= z^}V|#qz|7!48P3HZ&f)E7u}R;y43&=d&J+T#3n&v-N>@wNAw7clFf$V}x&#thZfYT~zpf%P%o>>&Qe2YZ zCyzsB1AA-6>kNpPnp2aqb%5xlQagiwUWm&YTo0ndtZKF&9Na{vkYwyXdNq6;QqEQw z@$6DXHcPw!Pq7X%#~{Ia92umWKR+aQ=0kdSg!L!4?~vgwpI^=L0e6fF+eVSqkvSgX z$&GvUEX(ls7qf&RoA`>wF4Fzz|9>>9tj`2-tmhc_2t0=bro<^8)CMIzn=n{p_k)y@ zZ(+NNen9H%-XBqk9FX?oIV_>hBV)&UX-Y8*WNzF4tR|}qG8g)M2k3Cl()P*Mw5S=f zL%y+9-%o>_ORFxTtG{?>QaMe|8kIm&OzZ%bOwd zpqG5@v(Lz#Q%*`m4@=70?%nJP`yr{CuwzH+9(efqLaKBN9mILpUMEIVxVD+PMQ_M6}XxNeFu ztq^~cL1^fnKYC`Nrq3)3qQ$+QjXX4jNQeDApMKnh@P&ORL^%wR-OFqs#N7Ea^M*l<(GVl*a_8GoIfyb0t9Ey4hlsX4 z%(9zl$nJ;`vhDf=4FO%$6m8B>X)_$tx`g_QThE`56a_+&>SN_L!KaWfYw@gfx&U&R zlb@(sVzQy1r1xr=H>4Y?RXDPBLTWho3z_{Jki4ap6n;7a5>K5>syuQS{fhO2mbr@% zo9k-)$t(e)8%F6p`|$oxvgP@TCm4Fj)??@Iu|QMVh3vJFcBnotEdDY`63V^~WF>BB zV^2M7rZ!Olg=O=_1JnVKr=XUb>dXh(Uc?OSm)9Wk_*84;(IiM0edmA4!w6E{ha*;z z+m}N6=*E*rmm%@?yf{-OKRl$}`O|bM1wF*&%MHi{h+%q_J;CIJ><;@&qufQv?$|zA zx19%S8@y`~;|`UqdPNR5yrJ|{a#jXr#uP`A?0=Or4~2L1EN1pzgFNjsE!~9*kp1M2 zdpINN8Pe&w9EWkX+x%8pXw(nV_ILBtyyAkC)C}?%WhO`}P)*UVQH6wSX-v5vT_OJ5 zNwyoD;(u!YA3gtX7cUz&^Z#!?K3entus!~@J-@}R`;_7*?tnG1aI2`;GT3%@NCnz< zfdf@!$m#n>!HGEL2wUWJaBj)>F-Wrq*Q?1@Z{v$li@9%;2R$e5j4#bsp3Z@LLr%K# z3TjZbYEA~J<4)y0tx#p47I-?k2Uxwtd|l$oxlfmLz{@km?zZ7~@cQ+Xz;O8(SiBpX zoOn10*0&vBzCq1~9oKL(*Y$_sVA}3CNR4@QM*Pusb!_0m$2RA;Iu~Z_Bra! zU0TF#qw)&C?Ra!}TaGWdeQlGmFdLkV|F#rre7tJ3s{>iZz}x6eiWp|4`cPeJojNiKKKbef%I{F8 zaZa-50`7TypP#LILpBBuXAh}auC#*F-0NfK-G6}V`JXzrbGRFBh_wz0Y616lE%Gi8 z)K=HU#K+vq0nf}`yO#PY@O300m&9}iyfvi`6yA6TKAdubUt8kA=bexaGmR7KKAR6H zCiQ`zW~7Qf?sxpjUPsSb$%233rd!i==z=63^{e*>7LAA|c{tRZT;WB1AIpbzjR>g2=w7bYJ$ZLzHD7 zHI+#>MAL7^Rs@Dabm4ASO%G{^fk9F$Nk53`*PRiO&qn{+WgDM1`yr$d_p#Iev=a-GMw*~qjogX zfK2?SI?nSMy(M}AJ0Yr_@A{tNc!<6o642ow2{B~HPj-r|L(Ic_`nC&CAolRx`ERGt z^UL<5>)VmXxaSa~Fi-~EGlUUw5o91Zs=8Pz8t2`nZpP!xk08vPjV*~K48pbPNLcqP z;9lYA)@97%j-u;@Cg_LzC_b7m!G-LzvNsn$Au}bfR!lq>ndH`&6a9NP@Nr4S&Bymc^vW!) z?1{q=lYD{t!q5?jy)asPp|$~H7n4*4&W&MTR!Gt;A`kw=)iwtcmoTgILFn}g-0K{7 z%~?1f2ca*gy7Uj@T%XK#h1diik71ud)jedh(9sl2G{!^ZuL1?vau(c|#EwmAvqE%b zYt3`G$c-E-Vz;s^XJ_E)ARp6_5XiR`yFevLNr@NP$ylwq(F8h+GYY=0V6Cw~g}PkAj@D5md=f0q4hB9jQFXM7aHOTfC?bq%8^i&v|yG~CC|1fGDbH%I5s z=C4BL-a?0oOuPdGew3UyzXEAueS~72GR)sQ`_2M$*OI@~)1@X9K@u(TT>a}UNYMON z^^{Qw;ybeLr&Dx5*RhSKhZyXk;ZV`7`u2;cWqow-`-@~KZT1Lw#Ug|x>(4p8()Xd@ z(<8}N2i)uP)`+4QA+=lShK@J^ znHjva=c8;OS?@_F3<)suk7Lk4-8=#o6#EHHXVk zx|MQw-NOb-#E9;NcJ@G#H?!9PPBSQEfX>jGI>_4+`&Ai*`vsnHseJ`=kWKKZ&bGb; zS^IL?Rkw;Eqc?us9cP~D53Q_w=aJR!bAoeyB>PY8|D)&s?c)8-=J=lGE$iFA z`vNxn{MVfTfBSdN`K|i*bRQql1G}%2B6dHwz-f2uR1oUuT%xS^RIaCi+l@zBjf0?Q zoF%w?W_;brhjZ&dg3aVHZ*X_*?zLQ+1CQ&^WCVQh~=zBT1x@OKI3!+G*(%pS_RZtykLism%<3cj1x zM~@!}06(95K{50kU~BHJEo5*89LYu&t#1#2^Qv<7j>u4O6J}TJ>P2SAg|I%3@=EYH z%PSV;W&@s_MkGZa8ju5ckaosy0lexwEQn;Zz}tEzBkght_;Anvd^3RFpTXCT*Wagr zuf=1yVr~R})b~#JC<|e}pwY(y?db_VP99vZ z0GSFMA$BJhjUf7rQb0sbcw3*KO6y8rmsho|LGlWe6)|Iuj%Tn_qj zM#|vxb<+G2hIbP8#+X%V{c-SkC&YfI^dNYyi#-2$aSOb@eflENJpkTw>FR@x1vsaF z%-*43guT7F?oRbq@OwZsd%XvJixR{hG-4Ux|2^`0_dII8%MX1$%pd>(#_fkUu}=-$ zTV|7)Yz~1N-;cldHl0lHl=O`G8Z-N4yWm(9ne617EJ{BXW}`!LMbHBiCv>=E^S)2N;t>fDLWEB!LJ5 zGrqJaw{*_)X550q;P;W?Eb8S1=0`>|bnW zL;ZZhqdl4>=*zQe*m=Yaf(}%TS*F%P@M-F0Va`twqG0*@!k7Sra;Y9FMm=F@vWi!R zFb9Ou|9m5%IRIgvYZ?b)Qy^@$lB2x)2WCVj=twO*0(aj#@|xU@_#W~kOWr#Jd<;2A zV**9N@AQ$zG$T5!m39e5^sfgfWn`z?c0gci#95)L9}qOvc_q5S6+%dN5LLa&givaI zo8=SI5E|Cr!i=8gFj{uGuXeW~%>VdP@gwGVX9!ch6LAZ|&C2wL9yCDs_&4zbgUC`< z+VPe|F9&N_W;1ZU9DKWtE~gnup-&ImH^o#S;P~;(>dH|F%&Y8QYUqPta;CZ6Igt>e z^!n4jgh&WI8%1;VBNK!^wLDe47y@D1=`lRwn2Gs%TS@^5as~~=JRTU%L-@;1!Ztet zh`7=!a-@D9ImIHPj;QPN@vZNpq&NqDd55ATk;g{}{@7Gi_Z7=GAJ3|KYU*1Efg_R)=Q6K4&Lw~)`+}|kgK%kLOzWlWS_rz zx627R@39Q;bIXtw!GHe2RY4Ez{j2&qYXTs3WCUgfs3B!acD_GM7n0X!i9S{NK$8B+ z;8{6b5WQ#MKA|iPohi}IqRqFVUcXCxvEeFIthJAP`)PrU>MEwfV$55kjjec^GYSQb zDH2aG7blPN5$D#KNywqp&YV869kMR@^G>c|uRC&K^3-x0_Wl-{3m4)c&2%BSKE)VW z6`cnbM22u+_q%e>Khu7P6ot&Oc|8@`8p`7BCL;kS^xf7>=^v1rJacdtEtF9I?fb$(@% z_d$m7z=o079Y~juP2sKVhBQIn1BvKg@e;^m<#k&LWB7!J7hh$l`K&* z2btpbifyHGkiin6AF?kF(wSo!{APYY+EK0Q_D)q~cTk$m3w(uE=Wpd4qDs(k`%>aN zd2^`#L3&g68YNWxOkS^NDuuG{-FrJfg`lr-;K{p(V^ExNaDT=XEqJuldhwy|XDFEC zrOV%qcOnj1VJ1Eq$c>=6Qs;0Ja{(C*xOu`MYvu9O40!@%c2@;yx+71pezj$pkK|A7 z|D)&s&F)Z99wGm$r+=O_fj*ug^5>5x{`T);7i76>QsCbd~=QJ=_ahf@7zu2$$spypO>4b@xKOtV;*t;7#(C$ zY4MPu#=`cimijA`4RE^lvdB=s8(fQ=byyx{f%`G7{toRT@X)*#^4#bWcxnxp(AJ^P zTiWpU>*#&p&FFG)Q};D^PtBO_jl6<V@F{^CTjPBh1?1G(W;I;X zrvi_pU6pEncfm@GPYc;03(|QET}j~Yb-T-R*cb>*ndjYBsL+2H#xOB~IXk>z>HC)y(a(7J zu^Ra;aEo{EZrHNK{G3buEc8f6pcC6qgtA}-!v{X4 zlJ)9#`@z@pS9@5!IQSio?R#AD9Q-DH*w%h*1Aq5-Hfb)%0p`fN@-6`Tmj)k-ICGq- zs?#J1i8+8%7RN`X1E@iwt7wbuH$;BJHiS^ z0|cCxDHD3}3IZ0Txl7yU-fWMFa;Z>5SK)BXUNBzzg`%=|f zlJ9pQV97@14xKav<~)-ToVky_KelZtn*<2n{erQ8G!lZFsKN&tN&nRT@1Fl3?2h99 zvODzj&25bS!|frD$3mx-{SUk2x4-{iTl)^QM%@p!kk@nAvGy<-`mOBV@alX?!d;bm zN}4ost}Y!cazUhYcV8_45X z_{@J3y`Cm=WEroJU9tC!grfE?2&n7iZ=ynu%C)AQm+kW)@be_2qiZcVG^)Q!G_nTQ ztRliq6=ZT2i8#BkDS_wF&G<9-rohXdD`$>%3B2QyKE1{43ZKN2)O&E>;2S{er4S?t ze#Sc7CikOQE%qKfy-#V#84 zufpK-(f_Pjku&(tPWm|vGlE~+ZNba_Lf9jZU#r)}d+d$+mA0Bj2w-~rAzbws1PmB# z_21z`udBZ#HRc%yaWMQmBJ~=ATFX;b7a3wnv$ zW}_K#S0+Ec?R=F2_}qKtHWF|SeC?Y@$1Y-zY`*;XmfuD2zg*a@iM~aG;Br2@i5U>S zkG(rJqXz+vv`*s|ct^0C&X~=|dAfky7HbCs1dShP)Hulo!LGlyY5X_{uA6i;31bhz z{iDRbZ>=o2BQ(0Ul7>1slGlVrxzFGuFO|JI{uhVo%%-@33i!D_N@2V>kDlHbt6-n= zKrmITh!99bkFAjs#R5MBa^$IBh{=M$)g-CPU=j!_zgy6smkGfZ8xt{SaBsx74OZV$ zAXky)$q5-`hByn)+YGz`ufrA3RQ4hlD8Kc_3^_7Vb{uyTnt1_!vfN6{)X3hvt1@8M zGYJH@5$l(w=OMuRz2%75Bm}zHulrm)13{+#pq2X&f|bX(Z0E8dgum(S%U~S{`N>+- zf6Wp?jWm7s7(Rf|ZeO>m@l6QhyG%B7pW{#M|LOVvhu!hNzV|sfPCp_5O3H*>} z7#KyJTJO4*RvNOhe{963WkZ^)u}&1lM@S9QI7cB`1Sw_7^OFYWA$f{BcWLA@Bt4jA zDPL$rc8B!jPPs6s4;Z9)akU95dDN99zr{dl;%;XWd2J}ZcxH^Fh7}6WG-N-ZafG}A zV%4`*Zy_ha;MaUBvMS#7ZsbmmK&G`8^>*h?%%ECkaWk&K-tz7lY1RfvWBbJF{6HU4 zp^UZN8@~@rjqMkI$V0MuoZN1UaAbFg-+Qi@0S#HH-Ql};U_u#P^y>8tC^NZK`g{f% z5kwhZD-R(stP+JDhK>n%@*N%x*R(%RRk`=h|1=9j2*olH?tCD>dUo?~|Nh?%KYqIZZ_nd%jy5@$WP2EW-RCaXKIjL}j2mh`z*SL@aOUJBcnCkaT|M>!ym)2q?l{T}-c4%PY${)YFB4Pa4WUKw zlVfBTlf?5!v&q!edJPE5_i31~aY2BH)m&4aHUv^UV`2IBWb@r1b^&0p+h$YAu)G~s9N?wD6`?LXZl5OyJu=MceoZj`ihK0&Bws2pzQYZ zN7z%Js&sR=M;=~;`em=#aO^KsnM+@s0{_uXqRizyAPl+oahl@)du{dLYf{uDM6ORC zK(<{{l4#et@iJEj_28X|GV~Y9mo1(v5te!_v`w;ulIGG=j(j; z^1VI+24`glI36J|ggS9%?G%DIy^d&3FA?7D!~*NBOgO)Z%yqg;4cG5NcQ}>Fxm%KZ zn^5xMDb(e7uW%K-Ty;esR}#KqN%gwx_ZQ&P*1ai7_6L01{oLh=PT%jr?qrvB%NQ=Wq_{GaDPU*ab zf3kBLBNYkexyG3tFasYX9$^|8I83|AD5r=r51&Kep#LVx@FU-M9qPUDb}qUp0`O zn-ayPJ$kU@k{TPC*aWM{3l^DAsmZYWg4#g(5NuZVv2LSef!!LZ#mnce5#8H>mD!$8 zuCC?<0Y%0B%dtcM&z&t{=c^&b~S*ltucN5L0Rd;Sd-^xbVupCHyBXtk9M~ub3 zuRaPpDe#Ze8)W)ug-fBI(-MGT^Dlaudavr7vbEMd=6M<*p{yz6o)k@ zOHZaaJ8bl}e_<9}fo%Y@fajbE>|(x!ULdRz`%uS%(~hDfmzJ)Y^_edmRT^Bk2ET$6 zqxO${c}h5$YRMUe+7VqGwcy)hui?!9bl0_$L=WkF`1)qoC>RfNwz*s{gPGA>g?9!o zENnARti9q2E5Yr1H@lJDV~WbAvy+st`Eq++ZBaNMHZx>oZPoLFCaD6_l4DPgzBZT1r+$6zG7=4CRR!xy(tgclGm z(QQ+yS0CVFJznahN?0BvwmH*#$d2@^d$4M>C0t81PCw#P`BVFUdj7xI9seC_zg6Po zqlKJrsQ&tuD^|1}_iJKhpKvpxL@7x?C_@cJY*s8vQ4f$$xf~(6F%mh!<+nXPHXtiu z>3ciXHDprHj?%HTAU(@0KUHWNX?CB#ef!Bn7!h$1?XAls|70@pE(OVlss44{l9G5| zR)n{jl@B6GdDW_J!gOlw%;r088H$?r*__54E|eQ;&OF{!g_4N_DK<2fC`u}dJWAMM z`9FmVUyd9_uJIwI8QQ1FHcYbk@yHpO4;>#~^z%lB`kJHv$_7d2<=RxD%7?TqHHu^H zgxklnbW0-57b%L{0t4$p zgYJd_GB#cAz)wG<{R~Y0YGaGkU)`Qz10_gh+Yq?2?GDknw7P8H#ZC10_A{q;yhgiI z!mY2{G*It2R#x&Q9uLZyw%5P(N7?7k6OWSi5?1+{{i90{P!#=ksBiQG3T_9)r5-r?O58jLh&s_9ksH_^3c-RG{_1T^)nonzzqh`L>R z)GZH3QQ5v2yBJM+{?}V+=Y-8sR#eDrOMZ`1s`&#gb425lDcdQw=?0423vX?U-G{<$ zEnJTN^2j%Sp||0}b>zKPA35prnNYT-OtgtQCZ zY!A;ys8Rs;nyz`Gb=_bU%}a~0b6W+9c>)pE*lpb}(S`5>)RD{?ZU~RQ{b+90QG_pt z7F3KCz(cWXNbnMw7e7zkDn&yDKbPg~_Pc~Hm?xGSc6Aeipk1G5Gl<~z?$QRzml0f_ z)_G2Pob0WiN^aWZfRJAcRvwgh5$b9A+dHU@FiZFc4F!%O%+o0Pb-gOWmb8TZyWb+* z@Tp1dz6gZBHk-D8^%N13&vvlS5M8?(AIvn!-6ht~sOaVu`11|%XYcDo;HeWP(hge@ zY5f!&kfEeQZyTy(uNhA?&Ge z(IwAq&ON8{j1xiP7j4&gMv#n;;h7BXa|j;uFcTpr+hy(HGY}oE@vH$SF%O~_2v62&qNVnTgHB5r4SL*7iBF5;t;9v zmWN)18GcTFs*9FW2pGGQtagwaL3ZZ78^s?X_}0&*b7ztfBI(lJ+1r88RS#IpSDz(p z7Kt4CjAf$Xez?(N4awS|KEafeMRJ~Fjt39znnwhG-0Zj6dx$6<+w4@dh)A*MiIzJ= zOJ07FwQwWRavw-GnVQc=RHmg3ufqRib^bZ`|EBi*H>mwqWv%I_<(#PDGgj%7ULx5T zir=Sq7@{OxcTm-D7)9DaYFrm7ksmw1MS{H$xx%&Y)VjVPTdwA=_V`a^R%E*{MfnqE z*2BT_ED6Ghux+y|9zmMq&MlpfR!EHG4uRx<`(qmV#c2(!2uYxgihwc;2FPzYo3QKs<`ZE!7y598eHi!7{&x>~Xhz&5D)% zfShf|&KAlFAQ6ym(`5f$-_g`7{{po}tP6)m3^iql`L zKk}Ikg=4)PT;qbsKkt=j$9A8bb2hY-M~H^hH~TvKE21}GA9+3h-xHp-YQVW zq=x*R1o30jXQT(Ioj8BD3|;4pLq(1lplOMbu2knf>g+RL?rgh^D!%u_@3tRB1(d8C zAb|TEKGn+?Yf)-;O!~?WR+Mb3{u%v-=pQI|R?@AZL=l6$(rfwiD6o}u?>bq6d^(8* zoN-5PtLmrv3MJ&EP(fncguG7v8iV(|2~YSVm2>>W|Hrv6_8)e~S&F~e99dV$obRuB zUj*p|HrD=&)p?d;=AVAw*K5&f<13JWp`6B(v904UdRHbZvvoI2nrgeBA7X-;X~Sjj zFn*ZN7w%N#{RK<)K-(?PGhvn9BPYdq4c5WU)IL9 zHT$Dr-*NxIQRYfG#7fros1wG7hS?TZCc>~>&-R=n#R>YU{Ce?4D!9ABeEgR}GDyN} zrTN_;nDRzj4z4qU**nTbWljfJ+zM+7WF3a(3!z7|QzS=@J3&FkPZ~CAjvN}fC9t)= zt^2Xk8Fp?H2Glw#uy^R*Z@Tpj9Q0Zjjwmm{5z6B=>Lg!~`qzyMtPyb17S=y-KpVFv zM6U>Ut;0QGE=l3h6d3n9W*!MA^N)rx?j@DmPK(r+zg*uYU_P@kn(jjw0>~svwY62@JmiNNK#Zp+s zV+X9bWuC3Ioq=_#`t8+jgr%|WYHTRCC+w7-3m@1*UT4qt4GLa%aLBF*=35}l((+`l zf{7h)Qd45=5*3G2_cV{rn@~6(Eq}E=lKAlw->efj_yI=DM*A|hjKIXZDC!hNCi%I> zl+T8az@qX9cRtM!tO69Gf~^h{znvma<_&W1e|Dks@`l~8m%lAj9Yg$;IRS$T^2BHO z{fcVF)J8akZMpSKb~~K8PmK4Ck)3TeRrQm@*U3F!r>Aq09>GM-P)D z-N^em^nxn1fMkNlAJu<0K>VBMHb;#-P9k}Qb#^KBgy9i*{c6s=GPv!y=o7_6cBa|o zYqMp@u6mE+HmW(YvrYP)D%ULkr}qDv_5G*t|IgSR8~^EZe*e3|iBrbIgp0O8w_9xn zVW0ErpME`r$Wy|*e^2j0^tG)5R_nVFDqS&;r+biG7#vf4?>+I=w=lSm&m*PJvh2lJ5>nOm zbQ!|zkouZgDUX5Ne-+l8SBN0mIWBpB8grtKSJ>)lAe87%-$+O<_P1m()C}7m&mbm`I0G8 zUKF0v<}^d18Byjtph_qiOv}zr@n=eq0*qzgglh(B&f| zqJDkjnuEyX*-k0PV}{H;?t0txI}k0OT@ttB72@R18}d@pBa!yKKzzavBz1egDY8f; zyHUE^=Qg$=m4l}F-Y#LJ@e=$}uN%^L+(u{0;r9IF zYriG&c&>0l1*)`n^k*|DqV&V*YZ-cjC^~FrW?blkT%WfN7Yhh)0zBX}*fqoeD>D2$!vT*9ImA!wV>L)O)VtyNIG1U8ZxsWM6x) zpu_2f1F~vPYkQ^mBE9d$Ho9$+NOk3KhcHL^#1Udl}x@p=NiGaJ=9n z+&}-jTfA@{#fGkZ-!5qoP9d{`gI5Q#JNak24mcyDQ}lM$1HvP|Vl$w-rIB!VPriDq zME3PD2C@{vXOSR&W$Ma?O^8i?nceY47txm=m*0sTLF5JPsFDxj2zS2nle=;Vp+otX zyX1P%R#HAzdB6m<0daDNq87+reSfKUQ!+{nit^V41)%VXNhCi7J#rN(RR=5%Ad83I zLotKgDMFp_Uac6ZMpu7xr!pcrVM6Go8}Y}{Cf@5PUxWBd*SDBydSjvtM_if13AHdMv}Nx`peYA%diH; zbQHx&KX)O&{){=rBQiU?n7_4Zg7AQY&$xXo;UXL$>bgF%OH7p}+kO5M?J`xo(DNPD!4aX9mQ}Ezw`L=@>dY3$F>EpG2d)|6Jl4 zNmMn<&kb-@p?vpab?+@&C|St!y)T)9qS);FRYE<;w|O>X#i@pzyDh!TYle~eVcwC> zTmk9j5t|>6K0xXVh4=0Df=Jo#)+bVX3`rH9U7M92A>p-sonWs#;=E57*VGmw#<v z_T$K(+W()kKC!=DyyyS&?^EQquFqOuw|;wd>-xBV_536M@$b(V{=?ZFMyY1Y8T3ss z+x#soQAG8pJtKsZ=m}xGonQ-Cz zMz+1|gG(v>&eIVW;VPMQT~UD!uIS+c&zJm(PhN z*rIqi?d=1YGqE4^jxC1e8>>ienpLooa#IV}yg`^Ln?67E8i0ec;?>h7gm<`MH`|UR zLpZ(HP|DI=1?Mamm4ZEI;G(mjA3{9AuB<|d%(~=xo0SBY0#?CIZ|BZVQIeOq>KaMK zi-LQs>PWw-JUsTg8wS1D36DzpGv%SH2!C-yQ$v3#Y{OVs1Fyd!yW+INFD?YZaaN&4 zN>UR}Ur)by{(!u%Z}(7l9lH;gs=-Xrq=#_zuTi3rU?K108lKAgj3h%WSzVT7y175K z3tG0Sg@@Z6mHd@al6^jN<+K;^Gro`-j*%oeerBPDof7-WZ2SD-@R!VgYX9$^|KEi# zLMr@!KkGa2k7su*+CA**DumbeAGv4ckHA;{>T6fXa(A3=-{s&>3wi(p1R zL9c;a1TO@h9_c@eke(@9$rj?viu!nFgNF;kR8wX`bWISxHt}r!A``-k+V`FAOd{tk z4gHs!0*Lss`{*}m2Shp!jPQ`Tany#ZqrH3w;TFU~bCY;~y(09_Cv6Uf?*j{YLmi?` zQHryejgCW*+$mwD*Y6Rm;KqL3#{eOR@j7JH3_>^Yn^*O$AoT4=4aO^%5EkEF9NQO% zaAoh-Qg?quP+n2gBs{)|i~$~*1LO`N?Itc%M&`W(bsHMO2M~29qklG^-2e1Cn{QrR zO)?#yCN%|;yuNF^y?cJHLBNmT7{)rXXNX^Fb-5ae;M7jO!9|i`9h%77cA_1jCI+** z&j|}$YSl4)Wi|5LZ!>3nhY;QrwPMb{1`%c!>_!H`h~zvwmv141$i|7>cOK6Xr9R<% zVsRc(-}ja5*jEjYrpCm$8j?MI+hCped_4ROo2Ei_h%b(cvpZ+z6M}gsvmdydBIID) z{oXZs2<4Nr-SUwGVH@rU4-d@}M)jm?fG3%f6n*eN`g9o)COynqFUijpc)o6Thbbc8 zUAUZ`tAHpkT5eV&VMOykVg0SE%899{OVpfx)5I@*?gK zA7H`b&R;PIIg%XfYq?1F39|O4J5>-SxAx2NGDn0T5ifHV;Xnj?gfqJ`FCxCt_k1N< zqsRttaSeuga%U1{qF$gu^vMPq28y+ap8Jx$>7y26GDPp`J!3$u;>f)6;x+{A)LCNU zC%HWlFK+(G=|b=iubACaggq`6RPU2%N#^+@2dzid5$?$PafWc6BfRqhzmWM#r04kz zqfdQ^vKH?+!sUc$?Z&Lr70QT_%+8r3Y_-_UciXzhiV-{P%PIaY5plV<(AesOc!O+x z-=`M{TWw!KM1anp+W*t@|9$HJH>mxVd6(M$f{my?tv!|K{2pcBOo!EJ_oLY8;sI-_ za1=-;{n)7Y9XTV`YB~v9k##nBbp!ocWQfX49n{&1G|OV~%DrTc|Lc9gLedc=7xizk zR!~Ed|8RU$jx`dQa~u7Q`VfDDMP=^^!tRJlU4O2+0x`_t`+r|{Mys=${BX4;YFPGI z$+W%3{dkV+8+|XMgi=rKck3DyLS9qt#B=0!Y#N*oAR1Qo$mG_JtH}5o9q5unw7fi2 zfiq6rNR8){dPVmBDKh;5$I@;fdF!_BqTTjLqFWKw-gXKJqE!uUZiETxL~o)XzY8(b z4A<`-%tzayl+hnYrBKV)^0MYxG0L4yra!1`LP^W$c-T4~6e&#VmRFr4+1E8kZhg;3 zj>tom4G}V5@oxMpk55zPyr$T{zj&6SRelZ| z_x&rIr3IWIzb~-YXu&D7b=_x2iTJ?m7lt>9OkEYnS6>M`oUR2 zvpONNp?sqD=o?{RIvk`(dZ%7Oe0}OmxzDpnCU|(be-2@651!?Z|2hbl)MVi^?c8v^ z;>T!uZ71B;BnL!~Sde*gf<)f)MYv1%q~}yw6NZTJwxZMOwaV;Y->FpR;zWxGf*&EU)qkaOCG-Er~3@6T80S@n`f|nfxZhnN!FJ6P?1mSE;wmbmy4(~ z!db5?Y3lg{;-{=qQX+E?SCQA6H*W93K*>va1q#X()TJHM(6%p!Tk2dfTy zbcVs>%^r_#COLRIQbkUs<}I>QyepGV;~BX2HNIu7@C9-7VU+u#ww zr8*E!G{{$}*2GnkAg9}2Wl{n6_^WLE1rzXem6aX(Np$<%C;iSpaD-3D=eTr=JMbMm+fG|5 z0e?p7juR!UB>RzbWwrcm1d6?`TiI`bpslMP@*JNh42ubkN|IF-yy}jgzCP(;O$6?0 z*%BT3n-^baC0Y=2(uA$GtA+6T3;RPuqTt%p?a-6G5gs&GeV&iB!Sjnntwi^3c>6y& zU(?DAUy2#G3fp7wyRZ~ZvuFW-Z#t^AKKl`n^LpO~zfB0NHm6n56F`v0Q(Ym!4+wf) zYnNKaiD1Rk*M#3*L~sM`g~Kw52-)ki$V8ahA>n*qe8(Tc`AK`G(25PI-6G*kX+3z< zhB4mTX9}-aO0Ex$$BEbYTidBat?(_gH>{=~fj_Ok`P<3{1e~$0-;p4OKvUj_r#2F< z;puhPGh(I@^yp^wv;=v*<#O63T~rbLFqCe?3I*xitIj9w-hq&u==oPa$*gfpu(-3F zEnH*n2YZVc!h=4&I;i6eytp5GQ4cM{`-l6Rx+{|Kjc~SF@)ChR6BorEL2?%|>6jZD zUrnBO@7IF0N(i!2*Ut|!B>H@*9Q9N05FB}9{xM;(hHOg+7-5H5nm(zEfXuR_M=G7Nv$#aG` z9h>MvU`LB6SQHTy?MTDa)PZ2>s9lT$KZ)k(ZQuG!LkMvlxutfEiTs>P&t%^cj%2RE zqKkzw!bCq$7r2r=O6_OE18MIOenOxLC&}HcK}aF^SJa={|I_pTed_=3d;WiX?YFXe zvh9o&L3Ox;ds}fTnRClN&r7vL@oTl4j5~>zgzssEmk`mieBk7_R62@m_5Hzq+hdS< z$)D>&z7NuK&ImXxI3ex0Y0)OP14vnU_Ty+h(eQkJ;es8_Ncy_7B&BpOl9;$6?PLkN zBbfIkb#*D?IbHT`TE30el!(vVGJ~kmt7yL3qk{WOMDeYA0VQ_@_TexUinf%sX~cOT z?=j=|3kF8W5te0))@DT3Hb!CdIelbkpE*GHLxA*j>t1)0yFqF)U(%uObwoSk*W@ov zGQgeX?dW1d$Q(XOex*nTiGf$-2DI)Hejnc>rO7_DU9$Bq4`oNKlZ}GuR1wO@cwIyc zx>0)g@IXk+Ary7?mQ9_XMZw1T0IhQ>$i1>~eq5Lj*?TST>a!9}kvhFzUbi{YpZ-+( zF0g_$d)@w{J8vTOeDA9#GR8=eUT!W9P(rfuPzKW~StMC~R$01fO!$4mzud{3p#4If zuz#yA>KSZOyU2Xv!C@L1`A54^rg3RQ{2DtH_ii2O^gM^ciM)Namh;Hp5NAWpOERzP zHgqvGb|LGjDwCZN$phTqa=0?&JJKJv_!J22C!Bnt>W>`aNY&T;NGp?z6ur+H23vL` z*F#YgH z6g&D=b6gii;d=+o=tOShtJ4lXKB0hIsmY=>Q@O~t?sBB%9w03D5BFx|G?C%I=W2S* zFw#}zUp*pwnzW+^VS^pFk$U77ojM}uRLj*S6ma*?I}$We}3VU>Eb>ucMzq|scF_JnV^KtQCDwYIEsorighdxp>S=n(~lOSJ)A1u zj+aeZMgDNQQZD|9aAloM4+p;JTY`(eZ+J6vAY7#*Z!$|Oz-_}(zGl*Uy0t!WPmAv* zzB{S@soc}>*pi;1nWhhqGTsiJ*CFtfDHTwyZGz|X;ft<0M)103RwXtIcnwk>E4my= zG&7q=V-JdwUZ1_Dy@_~%ta$^59qQoZsd+neGx4A#**EMG(k1(Xo9gH8)4Pi#qU&vlis@7fA8ay@bqN5Xx8x#URzdE zl(bxgSF-Y9N243?<_WJ_&tVVCAy>x(-EoA8U2b4-*bR=j}Xli(aihQ2?H2 zlx&WS^}_R`T+!hO!kVxc*?VJCJG@u*-8qzWmGl#pdpjG>!YYm z-^y=Kf6xDpYRk8P(7r*Ne6c-sfULS=)k>I^Xa=) zg!Sbx7#C2=2T#F-PCw;k@_I9TVBeAjFVmCrK4uy4rrVjwaPBv}6E)Zl4%{Gqe>eUo zSzfU95&ocbQ5X&%9d1el675lc-1)T;tKq_A>MU{bFkJT(XSBAp!fkiGoi2|p-08J? zsC?HEZk{lWz60<-LzadXT*c*T_v&7=FSlc#WA#yCl3dnER$>O5wvLE+@t;4xc^=p|!uK;p>s7RSx29 z6%6Tnbxi<%-Or?Q?UmrKziZ$v*+JQ!0@ZVlIXLa$_jWee0+&^XvA!+^uD%)qBg}l{ zy>EI{@@We0i^WPYU!KB~O89h(trR@J`Wt9*TEVNA)~~m)h2+vp4IK7~hmUT`YX*tS z@a036RpT27JLCCPL1!=cb173B6bHh;=5<=?(lG3fbv)1>orRNw?)8&- zyWw(CWU%~x8C?4hu6{)_uiSaQXL~%0g2&laUh}n%@VuD*OH7m+UUEirT5BxeEx2bJ z+vqF!Pq^|u1mv_IUk7cmJ?bK;1YH!0y*2{Kb{R_BA-Oh44Px1_=_>vessNt@~8nA|{ z86MdjTDw1x9oaKp`3l*s@ER3cevs%0?-3mZrG3O>`(krATaPMyE54nf_2GnHV9%wV z_c8F-5bkIYaYMkai=`812~*=EXMDw{O9+fr@ZcCGcO%}DYM;)u!KJ%m&sEV~aC7z; zYrUUN<}#mt-upoKOFA<;&r`@}X8Ne#{Ly)M_axuBD%A*|(W^>6W9{&ryurMCniqa! zX)<3Hf5ZQ!=%_TqIRsP>8w`B1Ltqr$qE-m;42#Ni&?&4Z`*!Mi zU#E}cKUV*z_W$(!f1mpQ`=0+FU;C|mWqLPri%?x#)22;)-S-_iJe=z1P_p6IhSS(W z=Be?9I(+uX)6D#|*25OrA73f%>wksJ(auNGKWC9~BE2Z)p$XF7xENhA>_=+mgGi+= z38X}_ecSZf1j*^&mla;p5}nqrC%TLukhIR^@#WWq-H|PorZ@i%t);O(0_UEhCO9yl zzLxmM1m`bv?Ky&yG^c))F$4c~sN2SUKpPWUq+O$_ya~|Q;89VKBmqTLK zknuKh{ z9dbxN^E~}R)Gfj?QIUB6@CV@sJ~~y|u@@vKP+k*P;9)v%9_%-NUge|1G5o!dW}YBHH{9c?^UcCJS1 zsSjs0x2K_tf>ZK@0~MNbf@rxdcA>Um>YfSpFI1}YpUeqlK)LR?>=8i`l!@|NU+gzR ziL>64wG7GN)!YuYYXMZ&yf{ziD6r&UB5z?@kWGbycnYp%&6phi>0s{xAUUgZa}} z^9YY_CF`+xX9_%5Jhp2%Ov7s|LR}`t6y7yqiSq7L@Nu!)mVh|;9vgR-a%v!4wyEB| z*}?D&W?21rLYc5MBJb%lFT%fr)!*CT0s^iy+bi$d0(&*#NUEQOaF(eLNXra|t7whq zha!8pH8~G@vbMp4ft`c-TNpeK=xk_^b%xhL#U+l8gYf1sx+Jz)1m52z_goYWhfmEz z&8^=n;cGP_>Y-!^KmOSDx3`DG@0ni9_|H4=H?uG5k?uhNeQ&%JC1F0K@;&W9716G& zx}}lOOYRn1fAv4`hO6_E4cpaRxYJxZp3~C{4^<_zoJaTIX*sy5En5m+c5Eu{?lSPc zwR=6=2YdKP@8VwH{1Uz!*Uj%=*$LmC)N19fXYg}-@A2MbFZ_j$Cv>xt%#9br;7OW8 zfa$}f4BuG<(k2h+5k|M;(}gPyEsJnD@S(w_gRs9>8bt>0CBWTr`!DA^Hl)vb@#(?r zDl&T%yc<9D6kgxBy_=IY;r+3k)+p#Ze43&S{Z2%}H*}54Kye=YF5UdSQ&pPGLIaG? zyd(;n6K?a7 zd~)T|@X+V(qa7r7mfTXhOCnomZp_F!g@EoK=L9&L5a{Slx#yWJf>@4GtT-2xx4+pmj6gWwyyPff@w zoACb5*=b&-gTJXuZ|}__q6gt`4KSEQAP=FU4bCI*>*LETg&Kqz!q?ch;|PK(jUE`e z5MDHM>^Z4H9|Yg|VXoi&^iS>o-Shvu@c-iR{l(&(-#oA`Yn-q;9s1X0{Oh9s*FXPw zR;Q6?`+-TNYcS2Y?49Q(5A*SwSNZDgu)KeR!)3(-*23GCEv;o>AfG0 zi9d&3QRx@e&6BWC5BaqE87~~X8Qc3FtRh|=(Wz$PRd8a;acXyJBdlcF0*hSuW{ zmg1upaOSzN?e4iRaJK$Y{gPT6MxS$q)A!53bbQC5F`YSB?AkuGi_GUOCu;MP4^+Y0 zz~j&x4_??*Xq+*c?1AkN-8e_f6znDy*7T*cz@2Dj88dO*X%=?EDJ-#}gjI6ti1rf?z(I0F<*^VY z;T>u^cpUX1UZKvywSCrby8Xpjf#l{oJ)SPtI(Puig3kOU`h<(;wO94@88xyi)O1(d zTn>|qd#W7>&&ce@+~Zr`KViB4HS=_>B&;58Sr*MZ2OC(2#tW(wW=PWGdz$ZHSG$p3 zV9ROP_ZHq)snvo*@8`CN?I+;aXzQ=2Sr4ZR;W2wopMz74SLTP_6gcnH5B0wPgy;?j zw_NfPh0%Q64WmtD@m^wWx}aqJpc9KGF^IxPw-K26RA*BDs$$esGd%>`R2 z7uR^MX4nNg-n%=t5B5#_k6lV2yTCVTf@Z>G2m6t`{7{(!oD5f=tuiYjy1#cX*t1ID ze1XwS-h^b9RbP9p)awA39ct_f5iVT9iw6VA-@%TFUZR=)9XoO>p3G-_&lFNJNvG^tC8905rrmK8x zfXt;t@7dTy!FlqOVsH-G!CsHBno8UTm#1$HG3EnT?7C93i{v?EK3@puOd<0sS$)Nn zM}KPnPtX7F;;;BudH>Dn{lB*MTTh7hZkTw3>R*x*Sz3&F{DKsaRy2j zA~j6P-_=VLDS6rMm+7}5`HfC)qVEnQbIqUK(87Zxvs&tU^G39Gw}us+CRvzIoG+T} zrXyLDG?d;`o+$a=bI@pVJ&JPo-i(W3MFCy2&FQDn$n`${YKw3bvOQIGjE6TNb5KgJ ze_tXptT(Vk%H|+l`bwYG+YLw)<@&s|v>mCZ?W&44UO~#e{9~q$WdD$VrQoB&9Fl(T z8)v`>)K1mqu8@6mh3@sCP!^I&`mp)y6Z!Qh)-k!O#mb1nYk})xQaq7Y zYoy-!s~b6K1EE^qE0Fd1QHNl4C(+obyBm>vYQ}+RVVcpmBrk~av~en#Z?o%2W@UDf zp1XE9x{d4~?zyXs^OM}5a>=YI!nJF6le$vror-!d3#$unM)07%deuPiDU^NpE8QNG zjS{oolFzH(qbNh1u3^0q;Re3xI(}v^@)QO$_TTS7jzwNL#r~D0j zr4sM1PQj11DU#QtHsg>>NA6?>?W6leiKjWlFmum)KcsZ;qf7qIh)x>00?$04ab37G ztI8~@X8S90lHZ~H6Vve*nj9!QoXNnHl!Fp!TltUgy;0QUnm~7pc$&9ZXJxlpkz5?j z>I=(+AE>REA=1c#>`%eFrtYW{whP}nsw_KXTD6AW9f(JUamXg6H%mx&z2bEH7Rf=* z*&KA>H5Iz{c@^A|WJS|E&UY25C8!h1|1i0iDNTIH$}2j4Zq!2?nd6us*3DA!N_@W{cVAu z7P7OuH&_fMAS(umx9*XBM2h_SGEd__wf|pw{=XgkPyX`g{(H{<*BAe#?YVWAd@%eM zt`GUIf98JQ?L~BH)xjI^N@?G7@YH7bYV}M%V$Uaed=1xEz2QXQIf|L3P38H5s=N{47rL^u7~>q0#z%@SYjT)xEjr`Q03Z z-n@K;mcou?ORsMA4Y-0Zc^5gG?QRIWpON_E7bU{kIeET(&O*4I`I*&kwBSB-+adAu zV|Z&7QP*%q!FQ>9ec8u-2wAU(V}i*d7+bf2Vt&xxsAhO2%DZ@=D6|&;g@XQ z2dD8NylV86+6dW;%=RU>Tb@$ie=B)ET3^r{Le@ZmYw`PwRcauH(L_xvrP+f%GJh#^ItscVq zA5HOYDL}X!m5`YP$tf&zQ)oMN0})%_d&>!s*S)FM%VL-XzJ9smK1t$)<9l&{<}5z~ zyB|7II})vJqZO5M>@0%aB0Mfp03jlg{g;1#Mo6Eso~{lDLhre}+p!pq&?TM|ygaES z1EZ;E>e?y7@NoHXu=hH`ZB%vUW(d<`a!yMsdIb^clz9es3=z@3@v;%|@%w%n6)4*z zg8+%`+M;uI2;!=erj4dW@an|RtBYPC`1x{f*fP;HhiE^k`f5o2zOGwcB>djc;gkT` znl%V>*kn|ez=3dvL!sUAgjEtfG680) zF`8t&FD@E81SS2c{XggaUqwz>oqyN8DgShzOCD+tzLQVtn?m{O zgWIb81yPdXzRF~iHj3`GU9?;;fcz?L!MM)V$W{4uApZ>ovhU;x1Taz|bKsz-u$UP# zyq+vkNgPAE?p(V@dnd`L_-(s6iZClY<*q9~iA74C(tttz3#6?3Oc_Y+j^rDCn{~fv zqII}nW*vP1YS;Jd)I8{n@`^Tkq4MV_760u&(np7)g;he1MJ*^Oc`EjjTL^iF$KD*d zL-yO4IAk11SXD_rA=~|*BlG07s#Pz9k->6NYIs=)>GT#i?|AwmZTDFBb(zCRRsEu{ zHJcA9F$aZI!sU=Wt#9L#&WpDAmxkT)Ur;CT`-PtlD=M<g-{VFxy*)KMQi|6pm0voJ_CzHkYF;PQx1Sn_9??hT zx@(F%s*`bF%Kxf0_eGR+_(dwoz976{g(?cu339#(Et`u}BA+=-#{1}Y)>u@43uh z%Wa0jnavF2CKAY>)9Q9RG(q~1Yd@@PHX!HCC7TR6FJ#AP`e$1|MV7rF4aWhZv9cpB8)of^9eMUmVfDd(nCO1|pZldbgRQ3ZOZd54y zP3}8FSSCX6ldQ$`Q0kMoBwG3!B_ccC>nk&&n9U)}v^xSte4%Vb^iNSx7$M%@{uucu zW!1CI1(3T;Rdum|`2Ge?{wn3TiR|afCpR{gB5Sx^_nlSpziVGqQ%dvCxqptF=tQ$i zYJcNj=l*rwJNGRA!{^r;dGZziZ??xj_S|>hc;CyG+ZR3#ujO0|Ne(N`l9jsCX_6sj zV|e}A8HAp9OPH}fhp?|TT&|mmXTw-`-)?f=MD{56S`Z#=H2r6ha9QG^ILP46Tc(ZJ zW1|;eE}A1wfb~~8V+-P`;!QWbmqdIE@80$O%}6lQsP-Z`xQQE6cP;vpJh$bdp!uH} zgro11x=@pXz#n-m@>&AqTn#j=$`e88OVh_zkNXhrc4F;`u1G}EnIDT$vO|=q@!`lo zV?Lun zT_k?m>2`KkGCXfAIhQ>mv$@KMdB&695tQ$gXWX?JA@#y4(XU=1Ea@q8rKf~2ov3*yeiY`GK4N~ z;D0Xv1mRzu2DiywL}Z=1*v>7V5Um?HFe}E2m~lpVrr93Eoz1?(j-QARd*YMb^cxA) zKPUF*g&?twXTNH(0Ftgr6?^O?*{B@?9-)#RNakM<%O-h;$u1n!Ij_nQ;B3$JYLWrL zBZ|&9*YzRnLYjo)md%Lp&x%iCv_Vut^QUv>Hi+?@;dSWQhB$d{GXd>)h@Uv=DNtUC zL_MST8HebRq-~Ni0pv$A#M zOgMYo)lr4?CFeQRKinY;Emh9kZstww|B z;}U{HqT_o^k$BRn$w2iS65kD{vuWGHQ`?@>y`Rjf%WN--NUcUt_RR6%|3Th+xb@t( z@&BbXq>Y54p&d;mJQHaWiBc$|P1+^xy?3AX`n0FgR9dK1R>=sF6rw?qRr$TH>$)%Z z{r&##-yd+@KgZEQIu7Ym=lgZO&hz0 zVAmEjC7IHv4pMf!D@1B7Tb|1aTBN;i;D6W6feiY<7t^=+kx6g#i?!iAGT+xL?Q(QN z*46eqt;|1=z3ryQExkcxhrVUs_-j2vYX&0Mh4mw9KAK8bB97Q6*4&k;v< zlWehTbFqr_ZBCxLve}?EzS_4>;u&&X2M!)tLi#JAqkg-GiO%!t`izOIKajs~FXz!M zoXGD==wqK8Lc!)CPx1?|P!0t!C@64iYALcPiErw+SDo$A+^_vjLg;nSCcBZIK#&siMm* z97!t%M7?r#kihpLKIQyF#KsG)e!f})QEkUplwb8g*fjg#BfA0wXB+IF+}e(Sh8N3^ z-qb@!XH48}F)lR9zj$%YM+?=)Zki&VHmK+yFOAR}N3pZb%6sF41L$naJtD^q>fs?K z>6xX-iXA-2U9$yg22uPyWG9)_@#wVhJYj^^4_{C5MengkN45};FLHITM zrHeR?ki*jk-pj`jXtGbrEA|^Y&2ByqTtAAY4b~BbKi;EerL~6YCRJ3@o@(IPrh^iP zmQCK7r%-UOWj7ok#6?AVusAZk}Iu8J}n{ldH)Z0j%5)J zQSW;DiqHpygFoK#$b;nL+%}cTyjzPgL=h?TeeB)+}++a*5~lL>Qx*-J2X5)*(tqUUrGVL4+kaGXx1NMX;XF z9i)F}gXYEjw4byr$XXhKod7s>FSb__SiU?z*OB z@FFf;#a$#J4GC3hB3zRrpkyp+6|;*Y+2nv?2J!Z!EG(XhcAi3-$CYV^>0CdYVk^J>IzmleN%f)$CDQoU+J>yc!VE%Crx7b#LD6!l-tNPYNP zKS`8IkmVia1Cai6AEn5P6;Lbq2mJ&r{7=DIOj=TYL_-T7hjyccq`^6o8ldXJo2 ziGEMahd|wFR=aPc7}R1-trDqbL~#lm$=H+G67GzWOGm{)a+ethD9ad@LzdpCYx!w<(%bLK%L>1YoF)97k$ZO` zCtZYFes(*k5}lp)Id?#<`1z?a;~jFv+lyEHAQ_!$Tl&^cg(KGK;tXrhMkMU?Fx9+i z1xoAOi(w~Lq_EUV?tXP1X%g#S-R>VkhTxLHl+$I%{CdB{<+UZUk5+Q<94bbRU_W>H zs0wmkX$3yHkqc`4nq}xGjP`9j+t&*BBe$<6>*|3>!U)~#oYNgb7^>=?i9{=%Z<4Ak zTtx0t=c4x8-aLa8S)L<8;>6>9V|Q6(bQ(GDDLy}a2@grp;%ki=EqT@*c&j9w462H2 zdy67rjZ3E=Q*n8MJeDu~VIfk;dv@UJoZNNfXB5UY8-pdn4=}#uaU%IFfm;smubV(*0h`p#DSJd!OP@ZNZ-MC20(;BK z2E=I0o%v~JM&{-I^v`Uq`;Wem49dgQ54S!NjZ4y~ z?-i03W63ik?uVRR%Wa||y54$6dmAFfhmEh1pUEh_ zgN#QeyAf>`)+OSRg&4WdAF7wwkY4`4lkykO5xZ&_$JHVbdue!f>VrPq>$XPg7rMh| zlY+hgVRZS$W?gkKoFyJ)iSNXtfS|Y_-tzf#2sx-Fsn{)y&<_tT^{0d*+?v}hSmY}r z?tX2p&?+Q*fg9|ZnP0i#xU!+99Ulqxa)`dk`y6jp`6Mh1k58XW6%r zxi#DBhoyOs;Yq)EseGDvlU1d6tsW#g_QDQRb>?CO-o94N^Qaxc?Uy-H!;T;{8l@B8 z!iaWCC_8x9X+(4@AMRPc8&S&NnJd~E5lz{d4BM z+ZJ2N=etzUxGx!Tft1Vh%i0n5`P7qb{uYGcd6Q{}5*z&8ZbyGgYC_;^(Z>}rYQzhh zpEMnjjxeFikMDf(C3%KItU1!7h`dj8RB_!cM7uVCwuWeCeD4jtE+Mb$_O~BA?0AT; zuKRJe1rOpva=&%75r#Kk!3B*E#8+4JqK{wv84|?rJ!*Qwg@l|lJ=$$t#A9u?Pat_7 zAp*;H*KZs_7^Qa5e+4}v#zv1bo-aZ)M^S6)8F9o!-0xSS`XbghssGk}vgfck&sg$< zFhu~a?f?!XFx{bUwUb3chjZi4;zcAnpDw!mnXtNfAKHlwOo4L6Cy_@X3P~!#W5PQK z)8yvisLWcG-?jhuod5T!PiB4p>$Tqzc(dZ&q5Wv&cR4Gk6^ZJYS=jF+%FAj zlm}dLkpVB$+czwby04->Ds~f+Dpa~&wX8?t8LhX`4P+;Df?e@r5z%u;dkwv}yo~5E zce}0uesq>6Uperc7EMnwd*gmYlf8QQmZCxjR4s8l0T-70+WXTc4U zK_YNMq+>a91slG1w?!a_ao@YX4+F@&*mfqP<`vRTxfYFS1|hjTM*Gms6i~EGb1by2 zkg%ylrLi?1ar=Mu?It|CnCunD9QE4K6`gzXoiQ_-xAtq?(7uN{?jy@MDXUO5dwW&; zTcVxS%@NZj`9CFM?_&&JlDo&7H}}!D1bGnKq7+&QDg&kFO)|;$-f+G?A;cEx-?u;N zVg8BKwIN@RR!Si$Y1B~u@Gc~tu)IT|dxQ8>+5)l}V~9;{J^t(HG;R%k@45Uf2d$dj z&)ZK4puRWK<=T-OsJ0#q?h!9Q<+&xz*JOB<)#sU6$zV64zchru+B{ z$#Zx*WR{+ap1?0ReUKZYxU+gcvV(BiVW&B!o? z=sO}d9WQBFjiR$5npX@zA;0;Y@1|#4k?S76W`t=PIRSB(#eOIvD?O@Tmw3L?lcIde z8VGZCe0=gk?E;bl^U~wCZ${$jQ!$n|1%B85fAsu+xp@E0=+;>GgGq&kyfOV?vL}Z; zhspm4qdWZcnRo$)Cy1HcrnIs76yif|UhVXvLt;ljz*4U3NV;y_&}f{Gl*sN&3Pd-S zCcmLXVR<*w>pnDgy(DvGzPTtLQBh>saC?04WI*=8t);Qqa>#!9aid`&KXS~OGXoEi zUVmh@@>u9EP>&q9F0L^Jwaspoov;rgY^=4_Oj`-B_N87kBhdlS?9e!<#tX{sZc@7e+A+BjM^`V5uR;}j?|M2O~{ox^4`2YACaHm8f;fSPwqM_ zTi2OOAfY;O$1Y|%Q07m5yx;U1Da_t0FY(kN^HbvYtaIaf_ky&hS0 zX3;mEyCFOL@~Sl&A;{TmccS1PJ^8$ME0S}`eEaA+U#0gXk7sb!Ir?-7a`h8eHLjsS zZfC|!9phHS?DC7e{y+}#dyBFe%r%hsN+9+7n^+_(Nbv2QyNy)clWc~rK1knn>h8#g z7-TZuiFFbu^Yu!LbbBXRWM`C!wF=K7=V5H*(ZCnty7L*K^hUHWz0s8Xoq5# zhkeKKG9PRhVVp6lV>|SyI}mqkf-cjBeg>`5HNk zemeCR?`tG;e3RP5l+!4n{NTI0c^-v_*w{N!u> zDDKMai_hTvUHgCc{C^hyUtFG#tg}pi^z{F==l_o#;6JwKxA)xh>Tm}goYN-6=JwFS zZ91`N`??HVy7kbw@@N6P4$<9F*YSqW{x8==tx1lY@z|5_m)(ExV-(GHbHQJ!f2+}W z00P)|Q(_7l5%4hk8->D$z$hMuz4faQwB6R1;u(aX!7us7p9wqBR?*$!rYnNK(Vsj^ zbbAg$!@PnzJ#dj>U27lagNy!p)?bpv;c-SgBWKegczxdecuqPLK6#`5uS%Z4w?1up zo^Jwvwc9VG9U(gOoG8_cwuBetvGJSgA;PUucaI(QEJF}|`QD6y1H?<*P@}SLhUDKI zQIy;3gy2uPmxj5x5#p_(DZEn>j%%I`O4+l(m7P}W$%hYcKP?o{y6*`*wa#xeCU+h0 zx81(_&PwpzSg`uRURwBJr)|(>!p+$mef-t)F9_I5Bhc7LJhi<2=POyRAn?QO?ecz~ z5p>yjqXeHIg3VQBZe_1W2>Xy-(#uN-DbM@%mL(pcDz1ta_t(RzGQs@HHD0&{jq7VQ z5@zKS(WhRAa*0Oy*YMpH#8cZGVrFEZ1>f)N_fFPL6JF2PHxmL;2#`{poVwA2K(Uwo zd5f6{TG72G!r}w*E}w8)eNdd_)ZaWiJbxG=UL4!=WQhM@^YccfTEgdh7|=Jj#}#20 zKIVNsE(6zo`CXIS*W!}@i0`5_3%nk(2w&HTg3l!PXVGY)jXe}6wp~#j{%N1ga!D3u zz`Y0QMOozQofaO|+6)B!%uWyBT8-eZ^r~^$gqJgN(rJm}5`;EKdwkcEMOfJWwrOQ+ zglm3~dh^5%;q!Y|>u=tJ2x?a9N&R4Wtv6xjpwlCH1)}Qhjy5ENykp62hFrpbQqYvQ zpdc{vv3qB3If5o^TBkefh|a(JDEAy;eOOlyT0O?v~eDu%%yA_===HDfbp*f^S))8b+_u%O07ui(uFBUC1FTOa6~L)qH6 zV7~g7C^F$sVCzjmzThmv~NjouP)MN$7D5%Ka-hc zYtYkqdL(UfU43JShh%bjZJ{L@Uh&q00~Yruh%T^$hMn&UI@``vshPRs8uN}{_cEWO zcGHD%la8&ZQVUehtg%D6hE7Dt7f%$MyC1GU*@l9XN_qn9oydK&?I`W?O~`p7VsxOJ zu%LN1-J%5NAVaJp;cdn$qz2rS(-4t<8BDL; zC=Te#58f#=Vu@zkkkj1SpHZi8Tru0miz~arr+%7^qT=h>9AOVBl)S1m3n2Qp!pp~W zPZ$u+{>ot}zx4<8{rW>Qy9hU*U1y2bGm@JyF)q6%jsfW#*b}Om29Q#iWj=PF?9kkl zI0Bd$iB94o%cYiQWDoGj#O0U>Zp}F#tK5=~)~LY!8?yS)ur_?f#`D3b?(pR^nlVFV zpWK;W)%_^nCG6#*NpcW-8mW(YiH7>8hjq0ZSeE+M?j@+R5tpUD4wJs*yRkq(2eJ|c-uW1KApO&{soMfOQWMLBm4c&@?4pns zZW8~y_Wz^j|J%hY^Di%trSl+@Wifg49c21L&dZVYm)BpnkFl}x`K(3OB2DD(iVMhY zKT^gXPO>(gjf1YdF9dbniC4n!ctEYclIx=9-3^qqOSERxK%J&r7;7LW{%wOa?xx_gjYF)4UF z;tle)y*})sPI|-^%s%?vm#fO24QA?2Z{M+*`MQvo?UK%n;^ba}7+7{QiUy!@Z*VU3t706}i zVGTARy?se_RaWQM5`Cwc+-cFt zJ|r{MuRHo6;WHGiUeS_V$$1R zf9==voII;j0#|VzMG1eTL1w`#l=OU&9vQoU(sPPeo6mR=?=kPQ!UQqWI4hy-!?vOd7F+119Z0Wkbw7#jkhToYt^G<* zz2N~{X^o|-3+=FDjve{(WEJe*`Me`gb=cQ=Z_rnN4hLuVVNdFEIEq|EZMr#Cz z0V&sqJVS5{&AOMeLK04LR=Oi^Nv7C6`@EPYCpe$hJC=G)j`$Sy=52&~;bQs8P2`9q zPCenMV5%C2*+mYaNBw(Y=~KE*`A`~cgs;gAbL-)}uL|FY-xw|w3LMNKemlGS2J|J= zF4#9p%nL7-gF{^A@lo?Da5U}-IF@n{POBLVL)<0c)G6pFCKd)~OO?Uo&&F{6^*zS7 z@dR8V5)W@$qf71>2~E!njBsWjS?XOl1oK4|dmT9VA1W{m;fLc{IdPj8!f;XyOp!R%2d8fnpSIJn!nvZ1 zrIOVZE{5Iu%KB&FGIv#er^i~jhA#f9m}!UG3P0l?#CLDLQpTKa$R1X98WBkzi#TUH zHl~?=0v9%Z)oRbV4!geUZ95N?!v32@?F*-HI4;|$aITj44w;$5R&Qy6(_HrJ#irA6 z9`KV?N__^G+=iedx(#qO-*eS&)(LKFX&#NX#l!8!gE;f_CR{u) za>13}wr%fi5x6ZWS}xuCny^+WimTHJ^R!PzyIN=t?o_9T33@AV$y`-)+kPH+@U!mQ z@ZJO-JuC07EHQ+qjmp-Mi2pL@|Fii2tnL5#+V9Af=Jz}(kH&L}@dYd9P(A%xxt!4v zmFnv8c`@!NEsNErJ;jb9-s10E?v_L&`7`;8*g@oSJYPBy_W?PwonO9vZ$y@2<*K1E z8>GA5u=`v;i&XBz2iEtUMbg(-#~EXYE{;c!wq%(C63%fp9kW(K+(gnQ%@=FXDdKtk zv*vj;ZFbO4C+x?Xh{_)h>|LnreOTtFbP8p0ue5pY8KLO)x5o3dhA5zKQUf3%nJ?e@ct%APRi5AU;;|OxU8S~(v^6OH zykai(Bw>YoiwzZWe2%<`cibvmD?kl1zvIUqziw(m^4E4 z5U)yBhwNU3q@l|_pE^H-!qt8;VZIItE}eD$diCh4|LLZBpa;$AQv+Z7l~5P~ALnRfe!Z5Ep7xfj&S#50a|L(a;R)9q+{SzTTG_9yDpXw74Hen<7XmN`NJ zMP>U{MLsnrl;6FeSbX~|O1e1?og*B=BGt_^kxrLUzk z`2#rv0s9jgX+bUBse3l#9&#Plbe@h1B3u^R06H}WtVFY&%(EX^?*4l90`lUQxUZD2LcXfx z)6rG~9{Zw~O3d!(jH?pJ8`i7KG z>(vML1tGmY|EHXRJF?{1Hpa^~7^p%us47B{SXjgI z@1$1RoCQrbyP){M^1%V#(@1j6o7>ZohBVsE9iLbwh^FQcm&!QF_VTMax?=~??DVZE zx!IHk_@*hpSi%XfPTbG7j0T(ay|HMyi|!}W4_P7Go^!1c`F~OA zEW6^grjYB#d^YtV$rJ4FQT|kaka)B_spXH@QK(w0X4x8yqT`#5zxc?Z_>B8X#tnoU zbgWZDSl5u`BflP5?@K&iJiLph%T!S|{P94{r)4P5*x1gxHv<*>H@|+f{~;=7WO>fn zk~mfwnf-t0`G3#){;d2zRsH|-wck-l$^E?45shvIw<@O1P`wzM`Hp-KD-CBj*;I>A z`p{xwc$Ynj_Nl5kUm^E7KWz^kcQR{J8!|4sVok7!1VVYCS zJ5iJVe*6es3MyY{wBE8VLD@CIX`_Kc6muTh#3Zu`1zS(n6gn0o_uZqVN}b8bS(vq5 zb&BZLPn=N*A^VDqiRstUnoE&(iA745==DXzmJX?Wmz69Q3G)t%$L?^A_c^X`gqJ?Cug_%~Xe(NEAv- zRJhN*MPBE?VBA4tP`joW9y^{u&MJM~=%=#C+RZDVeZUauwNZ8@{pv_{W7_=HLz3L% zUBw-qE`U;-yhg+_g!CV0@+gVp=xU*{@ftQH%&=9TsuQzOm$CBJ+tb6)x<)P1uk+J#O-s%89Pe?ejun3nB{x9z{qx5nbPkGyJ!r zh_25d#6x+W==$myj*wjRm};#`rbRBI>$|oAFT`+BC*i~NwHxqeJLJ47F$ling;RAk zRq$U}zsXl!on%|&Y^*wCj^Ky}@8xtJ2<6L^3GvHASZq&b*$FeE>-%^E(_V;_kaF7+ zEQhGWr~L8<@(^vFAo978N_2f~G678)MAx^1`&=S9x>l7ljpkoPtOu_=)o2&ouUOH0 zx@*EmvQTjAJtp`?i>H6KIz%!y7CU`3>k$;=EBoX1ErcAXn27$Ah|u@ZO*@&1@6by6 zaOEAsAicNeZovTgdU+-28cpaBty8+TdyOp7_2s`4m=__szFxa$uL6m#&v6s8+6-dz z*Nv2Y;wCz~y-Nn1J>W_6bYzqw4qugENjmvE@XsG}7CPIDz+S4^sR3IAw*{;Sk|iwE zD7Ah!qfmrzcWwR1;ev=Bk*TW(KOkync&)eXW5TAadsG(5g_!fX8PC(Eh=yudxMDzs z==y5AB*uvEo{e(dpn&N6g4X5J5a3YU=ML_P%^QfWZ&=!EA%N)mID04fb|Y|P?P;SQ zghje~>CdYJHVE6eI=KDXK18qtn7&qZM`WM3>Qz}@M7w?Fd1V)l7=N7ykMw6r{=x>P z%OfUf=8eR4hVaeAcx2%mp#5-Z{R9^CWa&OpjHr5;<|!oY zKD&OI+B@R^5EYxI|F?(tU$efy3jeQcj*tJ!<@vKOARqt3*!yQL&;EaXobMlA`=R0| z9HkR05v>?HX4xT#IF5UvySPipxyV;1rbrKxZdw&XN4%@|`RR%cNM`ccJHPmsW+C;d zi`~8hzmTrbkW$dCjtpl$fjV1~*_^#pYi>dlSv94C1t9ZqBYq*F%^t|U@A07Vo*2>G zusAAz8YRzTr5pRKiN{A+=|mG7J7SjK5cW>5MqI#JhXUbfBos&2ga(C!lEfL4c)kkB zhFSH?Wt5TfZE5z>ioHm4-6_1EHV^58rbb;?7?3I0p>o}~7+FVGRdbrHA%3~0RnL}R zLw3_A>W`WhnM2QV=h!srM>wxH)b^y7BzErb~^)BkUT!$^J63_}?UR zGQ2_dCgc0!w&dJv`&e`&*%Ub%<0H0&+mqA9qVc@S5LD$pB?cZoPzy&CjP|)BYRN2% z?b>>cjP zC!D6?M3%1Oj{O$f$m{H*`+mPN$wf|QVRs@ryVS#d@sxB>#f6MwkCXnSJnj7!10Cdw z8O(0ZFFftwl$jy}j( zvt}lPe;k<~OC9!a?nUQ0;YhkT**m<yXyeG?GL; zMe_1;F6mt{L$(|w9_kR^o=ViB@Xm4KhZsD#{mez=N@i6VbHorP>L%uMk`l-ptgBtF zdlLC+Uany_XHal(bMPXq51G%HKYsBmAB9Om+)dCYcOQ}AenD0gRnSG=TUY=4-2c1h z|9$Ec7U%zZ?RNypS;dg4R^$2$Kg@!jpgK#@FT@#52B8&2j$b0PHq74zc)6E zS&e5P?xy}5_F|HwLSLCl#W6&^Gy7Gudx2PjbkO)BoaW+3 z&!6rRLTOCB%*S7ZLwj@ZTjldoe`WA_1P2m*cfr&TS8mRQ1%ok#hH+j97LG-)i`7F{?c2-FL%zqhz zrl(AkdEAAlnOh^z=+KWUdS>o33XCYLZp_n9y?~RmU(5ePI7NPo_ z=eE<|2rJBXWy+nO1}HOQSAXP6SX{&Vn$kS)p@3#KUCTd-XnOr~G-y8&O|PdCrBDZ1 zAukQA-3O6wqgLE}L7VLLeXW9yFCpjcln%qO5+ttK{V8V2EaIik7hK5`Mo$o>#`ZP~ z+7{I8ZtGd2k;{7dxywgTdy2gzVNXA<2pw;oCi?%&mNaX>c7G)rZ?2YA`Q*-Vw%9Q! zLV##`L##G))e=o_fhgO$y~qi)Qq@-%M^?sC8E@|yqUjyK4YKb^dEIk6Pxv5`e8$(m z)oLNH$8L+(s-3@U|37;E-#)&Dzp^>(7^Rs_lVq4p(`1+(NQ2M8_7}hZ;6I(u?|sD7 z_hFGM{8wIQ-t*}s$;~S`Z1RK?!3&>G-*ulx=&ax`ojW23uiNAmJSd7t{lwBoKc*4& z#578XV+&$7yyBms7LzO}oBouf1jL>{{mH|W==T|3m%s2NdA-Ts8tGeaBVJhCR60M5 zcsd5_&yT7hL2Q{qq@E$^weOU0Bw|rgGAK+`l8<)A5Z8)f)**D+~mA<&Y4ZojoT|4WDrx(le8cY9SFeb!U>TapTjD zuOH1xMwE=P)|&MQXOMpRk@FxTo+!0H6ZJw=i11z29fa}0I&f#s>oWPeZ!7J!Vmv<6R z)DOl-nlBKexH{TX^CDuk>sIxQ5uU=~=oQ7U?hu{?|NSu$;?>@H$}FDg7ZL^Aw<`Mi zA#qV)sN#nnDEAfKKe2E|Qpy*Py;8MEHf9?)$9|-&5HifsID(W8XN4#e1*BfMF8zMh z|IO|&k^8go|Elf#|H|F@fA##ap1b_6{ zkKlRpfHt~xgnsh2xY|kB)3yDTqEiN>_P5FFK5;|TU_BoF!%q&BK=w3DD2S4B=@niDr ziaPlFtYojr5<`&anQq;vB!rl~NJ~8&jWE*{RX<|x66SV?qVUpQ;v;+cBg%X`qL0mK zt20E9+V2*OIYaL8D`Q*bBV7^On7WTDGP1ZrreGW3=%@C67nj2N$uB#@w$-QowXZ1Z5`YYxXD!NK$;bTJFKh(hAa`b zO!V~I@MDPJ9^qW_k=(OqpKz8BUPW}3rOuo8<79p@CU>+X2(cH|?F!==CC{8e2d=`G zh#N9ed6X+pd>Nn zMT!ttmZ|1bWQ2I9S1Tsp$&ApX zr0}o|@j9_L9EZu*rTidGxIY$&0(CTv69VbMdPUP zlSeIFsPSIj>El0z$`9KOBt0StpSL1;@rweAv+Rtn@~WUiS zE39DKkd;f1d>biNlf(4L4Kg^AH$wKfWAq2Qn_7{5iB58|%Lth+D{n_-5ysSZlb{`Z zB1qk;I$6YX2FYi}j;GeKgL2hFef!Vv=wj3?JFjGmYmM)l@8qSSj>h?F^Elz;$vb|y zCzguK`sdH~B{iTl?cT=~oMg9DGk#y1X8Bo1Ppv**4lyGRjjfcEHk8(BMk(MtfNFE{I@jK;DQCRaNM(-Ta zI1`BRP^dC;?^D*Sbn5~Y`y_?kWsp7Cw&G-`4YHJ4Q%&j}kiOVCcJsX}Qs=%14%_x2 zh2MVETTTVtC%5I#9xFrJZqGN14HR6J$zLmP`hnbiynpGgoxzngvMM}-4{`ZxSd|3P z)|BObj5w`KGC?Nx@2lohCcN8#6W7W6`DJ`7Z|iy?_sez;aYc8+BYvAY5Kra+D<*o) z8=VQyVTY8SLjf{;?q_$j6UNykRhq3!tpo0fHS5Fr;dXyUvCN!HTUVQ+Is zM7)2cI`l3QQC+2Nxe11d*;y0mTxE$^CiP=n%Sf-?k+%#wI}qm@E1cKz81WmVdfg~7 zh;NH4bsDxo!ZFHj@1KOl@!|1AxCVJXyFPB1iT_HT(+j*83jjaeic=ORuOaZ8?Ni-_ z9SC`{p>reYEyI!K^0>_$k;mva_Y=Q$wDR4}Y!^Nu=F(P{Et}ROR-@Rg)-VEb%$t_3 zZks?{%LF^aI|js`+p6(Qs|X1kgCC7#YmiXx7jTy%io`vJZ;Lv_ITIfm#rH-{%%Y7p~~ zE?9!h&|(WOo{vfPK-{^-YY*10N4)6mywjge5I?9D&{?~l_!oREMGT0Cc%#gUO~^-L zn@@DQ7$tn+<|M*T z9C5OZ4@Qi4Je%=lk|%88mb^M?E#g#i8~hFsEi~(4QAN=~#NV?ss9duf2_YIEOSd}^ zZqB2pmvv2%_*!VDkmMCm0vr5av`QmMU`6Yb9g-?8Xe`|OCi>CMg*#G}ydwy3ZySdJx z)$p)km2LAm51;j_r}$e)E?-Ugpzbv1A9sNzkozjf&xZ@#c(3hi(h&aMp z#Y<<2NCsu@##86uCjPSWvC&d^EJS|l2!9Kovnxzk*2u&6htTO8w)yZsUU!^pqaFg% z4p-l+xR1bAE1mYeHxYDq%W5y@hX}sCUxdDtJhQIJPOpC0h|uf{Lb@aF2y;I?xZ#@& z@sCL@t!5`XDt5+V0V@h&XGP9;Hj^xCzdiB3<|eo(_pV-()f1kB%0>mBYvI#t(b#sA zLp;*tzqQn zIb(#$(AZ`>^dg+yRIwo|6X9b~t{QDu5K+B*g5T{2B3;*ob&ZdbUYge3!ul!PpMPw+ z6HyKCy`OJ+9q5IxtNRn~*uC&)6MpgFJ|_a)TozVIMkDYB*HwvxYY6&6BR!o-@_D$| zht)58ickTmF85R22opG1AG@gz;VXB!DIFk8l|`*L{WENcc(J2_H%tHQE^OLKoC^##H^)PMF(X%ME!8u^aZnIsQr`O^eb zT0~Wivd5%8L3Gl|xG^11#BApjbD|+T-#nprq9;iHAj2a_a~_5N8!7j!aZLm!JzhxG zdWv94v!DZ8o+BjB<_^VxHIT7T`s7`boNbUfKP^J*okc1TU>@8v}KZO`iCItnN; zm*AH28ARcY)^*t$s>sjrIdr0-8@YqA1^rRcpz7CTIJ>eDW|V@}9v&HFRRm_ZS+7Gz zvI+Nh#XCr=tA5!^_R^`ej4`}LaY(kir+c=Df=+vRiY#GTHcd>^$&l|=?fE2CjjSkC z^(y%em)WDDvzISAaR8-iB3buWA4E~a(3(TSVWj6yPinhG?jHUc7TWLjf%-|tukp^X=3fgf}oGQ8Q$bhIIN{ z0lr7mkgESyt9Q8`ZmoR0yZSvdT6`8q`jvZ8AFmv~D7PNfd6iPlk{`&vVctz7jtdns z4O?1W$51NAc)kBB(Kz4eS#JH5Fu0qv+$(g%k+*Q?6=j-eY%UfAMiDL{Rj~9HBjpjY zr)IxWsoKb@W-oBJ6-Gw0N)h|Y5u|<0A5cB5jqU)PrGD!}o9zi!SDpK~n#4RiE-r~$ z%Yha1UJr34>8gF(A>!-wzn>f!7W0S3`F_DAqWKcs&-6v`1IZ?Nw5H@tA_|w-es^6* zm>$P+=ck{qLGG(>N1IH@T_|>?@=c-*$~i6MD6{hyvJdq0A4oSwX2E7B<{@VEUJCJl z(Y_SdIZc>r#KUmS`KnZC{2SDNnYh38&SuoSu5%xWxQHt$efOTm|3KyKoQ0>RPBRo@=i$E zDK=2x4O%S zyHafCaQ8Uk%Pgqxh=(;HuGenl*D)ko^?q`tBmO`6MFAty>r-Y`?4Ru9KvMBU_m(y9 zNDs*Qes`}Hk{7lvdms^ol(a1KxuZ5nl@D5Lx>pIo`;H5+{nS9Xt*7hNW0w)te8B3V zku=Gq$Uby#1L4ksO}oro1so$g8&9Xrzfm zioX2Y@?cOd3Lj1L(nFH2?cU-#5hSm9+kSm19g?39C{U!xy`HksTv?+UshYgqT-ONq zZ&vH8zH2+ulA6Bd$1g$n`8y7K6=V>-q<2uOPmn1^?DLCb%=3E?udDTm z!8e&`e;zG!C?!mhmkje3q7#JSEgBD9O(d^BbeJ{l7gEIbeV@5kfmGqTwQ*JVkhW^% zJ!P8=(iR;Og(J9;{=9H>GI9ser0ZK>o*{f_UsYNuw|Qi#r=RDx8Adjp^D*VG1HWtk z@1Fn9!Y9>C&i%jr`=?G{a54Lf&(CB>KJ@psK!*JiSSg$p4lg^Gp$HeL_0`UFG;rlk-?Y{G z6kI0@;x361-&SML?4~=4xahg`2JxWC=|_Qu^?xD-Pzs%YB{54nY& zfzRTwJYV*zRJR=G#ctTPcxA)R>gM%fCk@z7Z8K)nS_a2m(&}F~<-y6SG*|ZPS2(-> zKjfYFKi6;n{!!VARAz%BN+KkQM@eQ9p^U5|WbeKAeA#>Nl|8bRnN%o>M3hl>NkjQQ zuJ`D=KA+q7A9!D%eyDEw;pO$p@jM^rc^=38uwCGOTL|{{hZ%3RXMls=j%SvWGTat?=eJF7 zE%bq_|2xOENFs1M#s5(>{SBCW4WVZ^t_2q2sg09Q)WAx&x$qStE{Ts|yZfN9^Y}F85Wl89!gCM%>`t*P3Nmo$xiG}heH0u=3%G<$r@(3ajjWK0 z6F5It5Qw1c2A5W01~{8)!1>ap zx<%=E%;%js>ut~tu1+48uA^t$&8z$b3%4k^yNe&Kc#sPomK|c20g2#wqLwsB+y^|< z_*mBT&B2S(E5yIu0sH%i-kMDk|JMHRng1{G|6kC47ty)J2kH~hsKF@fe?JUrDC3(S zhi!w3V6V=K&tq`UxywW`bO?%%{K(!tk`4t?=NHFD+ab?mE|IEe2m0En_qhEU!mfqR zdumf+*t^3xVRz zJ+i*KCb}eZA-Rv@)9KH0(0$IvaFAyKnjy-F%4-p7lUmZ8oR*++%KrSvZe(?vdUq{* zO+l$-k-&pMDJb$h{iXCM_PR;x1>92`f;^-9LhW;#kTYa{b|K(9WZP8ehESBC|7`8% zNuh6$$-R1bQ>z;?_$r?N@_Ykn=@gPbUGV&W+hAtpK_Tc7aO(8mgMBnTVcc9RQBXHS zwK%bhhrV))~WREb0Z+{ z;O8qHQcT!$=lQlnmj$x*{1~5WOheW=ITx9^MZA9y+a_(h4KgIRMOlv+L)rifn;vSd zw;(mBGdlv>5;hA=%M{@L#>P3?6(*>8A!ICk`Z84Ql)arCaRc`r(x)D!o5H=Zr>o=H zr=UdUb;PfC%TUDbWw;i&2?g?tDplK&3s5Ea^)~Sv$dz-a8?tPI9CD5pZKg`dn)ytX zv{sCI`+^S}eS;LPviPoe2^-9gqL;ZW~A+#-Fe5Ncev z1@$ZnVNVlN^}K^CR5(49RyjKa<&>dsC|+atcB#xmY11!IoFenuYl;C1pNT7x&13H2 z?B16#JMeRPA{PF<3hzS#&L8pPLym*C*Gsb(*cYj&_HAUI>$mp*W9I)O&4Y{i-wf{m zeb)E)E#TFEI-@&yc?YM=l{O%p{Ct3VTL45|U`ww-_C@sa+Y9fbkZ|Hs>28=UP8VBgY)G zE5zP6J}o1o@fA%uhZ+;4=7||5@*{7~gocgB1|XfoSv4<73DRFqaJj7oKdWgxT z29olkY!?iOAUS1R=XBXQNbzg)ZR%NoRQ+$%wtI#kO{nhW`)6MvZHp|MMAsM6TdQ4! z!nGjd+^Ia0{9y=pt)@?7#h$+mDHYdv^Z-TujP&A1rpDQIvhIV55LeOr-r@E)NZ2;j zavA#!5=GXMIFFA&l6vpJ{>fQLzH{qF+Oh!l1jK7dbsdCMH9y-kR0f!xeR}R-5&ACo zMLo9TT7>l3XT0aqkneYcH^Qq2wO6mj$ZJOmgmE<;L*osICch!$W}6N%(x=wr<8R@P2j(jw3hLo2#wx3AHbA+i^nxCc6 z(>-ZNdIsmA^g(;m=0#o1SkY0X+F{1|G1=`;FM}ZSbl}StbyvttnN}*27>4LKLM+wrdSf&UVZ^Jv%p( zft_#J;6;2b#P+xLf3N$0<@o+DXupf%;VL|P4~@2DQJcKyp@u80ruE=as3;Mwxy0`S z_c}TlI=#+8v7LtcaE&JRz0OgVMIsaN_4&>D^Ky{O^~5J*5;L^5DV|gVVR)AEO7q96 z7G!F!WwU2I#jd79BfqH3@n_$M;o45*@7&qJ%droCX4k@lR!!=mE83@ubX^3RB&V4= zdAOkF`ThrvTj!t>gfGacCPGVAV2lISynao3u&79<`cfKviEgHAm*)Io~g?n>S6iz}$ z&A?8&C*L5w@|(&@p8-f?JJP%U$QXJc`{iI44YbTe?_!;mfO>L;W{=w$Ok*(bI8x-jvZn<<56RoHoR^@tj&M_3$pG4l0w@+V zexkQKruU%U2-FhOv5D+lhbr+fd(-7+s5oPo74`BPl*yahJ#|orlGbFU{R(MNlyQ7` zAo~#%JnKBeeDg>pe1a)(5Iw6DV6+?4Y8> zRh`ZD0+e5K5L_y%fP0tTWqa73gA%dl-x}y@pvWoj-Y!b)CI0a7@$vh?kRM>?9G({m zdD00xU){P2xg2pH-w9z3b3fTJgTu1Fwg2yRU*PW?-{1TF|Gn=2(Zh>-g1`Iv{;~Ug zE0^yF(_bWmT@v?aFIWJ_#jO!j^hdjNzo_)CISuYt)qi?tXd$a}W%{0b2Y6}G?<=49 z2HvW*U8PGZ;3JxL$$QUh@TL8neleZ{d`B*x<*~N|KZ2mah>9-wi~4*>$ZrJy(KUA- z^$uipekTtK8Aev8YxwCc2C!xiH~Mhy7TELN70)pl11GPy4Poyq!ByXZ#YkKk+&?`@ zYNlSrn&~+wOdJ%p@Ie?j7TUSxIl(7X3w&hNrD7KUCD@R2gqzm3@#-!ok&nq|&| zA4`H7`5r^?Ta3K+uF(+uD=Z1tk$4Ar$6BvzQw{=Y=LasGegc8_tjYRZ_d(DF1&4 zzwszw6MWJbjlPH&gYUp6n9;!8W4nns0=$=37fY4l%2Xp!j;LaAaBP0&J-ET7TckR0h z-odIarKDZp%d})~ZOaRO5npa>IwOm8$#!N+)e8cJ3Fic+sUXPAFz-0yJ_wF*=g--3 z3;Ua2JHHB2hR}49FNs`i5EjnD$X|_JXXd_yy97h*o+)g)v&aPmk{fvhR#qU~{IN6p z=jd^)m+=3`{r!I}yCX#1C{D4p6$mqRKB@DX5baeo`HLEG22kypN zNm(Q$`prDdOfQ1u<1hPc_hds#nU2}zIzLEb*t?`aJPGLra>Ho@OOT-u>aNtr4jFGK z1x!WNv2VA4=hDR)%y}(s#grmL`kMTlfIhM>T1*OmHTXlQ?pB#QJ!WjQ9`_nt^n>V) zmGHMRoe+0s#WLjA8Av#nH>^H~*%aD$RIdk)LULOG#gs1g{9T^0;&|@~Y0bTP$(-2L zNVz+u=2Zh^6uj}clCd8$&rKUMXGB0|*I{q}<#ouq)R1zx0@uMN?Uh#MdB{FyWBK5q zG=$A5FLiy&hNxWvM`I7XgP0Dq)EA9Ci_}QNz^hs zW2z2m0$)DcU&OsjIr09z>>7{}*nfpIbPY0j2871DenMvF;2zOX%-URQ80MTnhUCa2 zvGLu9Ol{(Eh@W`VM~2#^Z19}^7?aLELOUc$ z#oW)FL?*fr{gFA$-=}W5O*9tdL3(lZ&C9PDAR{^Ar@sK^)KxgXCktyr=9Ytd&sXH? zrPp6pYZrrTK@0kp;~yZq<=vhQ`BCKh_oS_-Bcr=6L;FF`V~DCtIk9sTy*b(Bl#lk| z>t5K~7L5#-q^!{jy~$-rdA=>MGRqm#UVpu-yMeR2E?;MT2>LiL^yN8xP=YM_Z_1-Y z$Z&bt?d2zY0kR|0E3e&;M}Cgo`_wLb?8|xIj;R{RwL17Mhl~?)e;BZTAj?FSiapZ~ z8T9@34ELl--Y#h@sQX&Ps20m^RfZ0yFk9c;pt2w85@`Aa(fI6>^<{k^@>1%%oCKpf@Z$)`fg`yA2BF4-=5h zcv9Y18Z)c=OHS=lErYZ-39M(n)nO0sd4-uSX-K@Ad{bo`c7~o5u5gdK0`?dyMem-<1dPT&ekIqaRXFnK_euT;*TR0n4}mlpzdDf9 z+bGuXQv=c#OR}wWVv*CE#qqconWIHEqt&}mW6pUd1YJsq^$%NiUI~Nf&X5}}%Gd$E zafNc7gbi9FCa&jde}ww|2hPaMUV*Ca9rUMKpFsKG)3^d^J}5nJ5OD6rEhy}_uiQ`{ zg}k5I{W1NR>&$+*Is5#qs^e&ioGcd%T*}4uPxbtZ=rRJa`^bJ>D>m9R8YWn$R!P znD29(pw6@h->Xb_wXg31KZ`e<8eQRp{-$X-7-|$ zx)lbV28U1bpC$*dAKb!@IyK;Pv$BEz%~SAAxk&vk$py1UDsyQW*TDbjwO6ABUJ%f4 z)UNTO69Sv%UPy#tS6HUkR(xSGdSf;7M4z975V;f4hYK(hvum=EbDR}I`}H5Q_%%Y9 z&n_jW6k%`@j4=6@Gyra+Ukv?o6~XhdA&n(#40!AJ^mLZVfKN}?($_RO@S|4O7~S&< z{7>&b^uuBqvoCofTU7?w)uj-0OwtU3q(-jC1W-aSKa10{lNp52T4oowkwM6ejet^# zDE9mwD9n8#fxf=avRO08jTd+pdHzTVIKN37e0^B}Jfzy2Md$s%%Zb|Xp%k)9cJc4y z%z6jDPQEw8GAF>VgX10TGb!-@LdxLjh8%fpgFEb@!a83oc7Rr~jjg@jLbgcEkKAqO$vzuSr6tsb zzP>cGudnsNn~Z^RKztp1W<`7joF~CA;b)&T?i~Yoq7Qo-EJI+f@%o2W8we&})zzX! zueZj*es1$m5SpCmvwA@Uvt4ekZ^K0({HvMjn+2x z3;gTr|5)vNb+jJ_9?X#i)G<}w!|beCek!TObqF4sy^^1O7((YMu3W6T3*ns&m)jY( z0pVPAO-6w{M3P;gxLSS_B0HRe(#GW>%HCjwCM*x450VVfK2d_`R1Pf%iHaGxIi-x86fR`b*7#bJGVhdvnMG+PW_%?*MN!#XeD2O02B zsuL7u_{_qhi?hxUIwiop6MN|*$aK`@uCW2ZT|7@= z{}@CX(%z*vZigr!Np3xguS@m1&2BaTqMs1G+}D_c`Na3PZDdm+R@lQkZ&(Uqr_Q-o zFY7>@?{xC{ZTlhqkaR@46#iK&XcA1d3jQ7U4%}GJgrG4i*1m4^T!*w$ez#GD@Rbhl z@`|fKh!U=EIA(X_4loS4gg{g^eb~;g^bp)oZpjKwdciLu-5Dg(&|p94M5 zorM&Jk2lHM%YJMB_ssu?$p2(;|E29eGQ59%o#^k!z<-1GJLjd6Nm|;_)7!}Gul*f8 zy)7FO8cpcw6|7Cxa{;CE&y|=8!%aMzEZ>nfFdl7ni>xc((ywTG;&a;lQViNJmY&MH6oVh-eOm<43 zr}y+)L{H7XJiUji=%~@t>#KI-DFHpb@`}_{{E~}3O&7w!`)nWp&*PrOH`u; zJ-vO}-wiOY<>Tl?Ej5CkUOk-;5)J6-HQ$zDb{ajsK4}z&Dv(B4x@E*Ngr437r$JmF zl15`9$roMmXYYINt;*f#={*|uk~tMUy+wV~zc$g+OP+A1Ljyg%{qEZf@1v(T!sYV8 zU+C%O7cryfhr*2Q>Wbo?=;=L2(NG|Xo?fl^{hZkG)fsM*y3B;0-e2GD%(y`2UM8kb zN9plyjb~)xeJ6T)Z#0xz9YIg;I;(EGHYBO;+7~?TjGo@HSeYt%Xz`*-(k|DBx~QA! z@qNSS>3wv~wF%GpcQ6x?Cnch%cVinnS2}unB^`BQo1ma$r)n_Nqo>zLVFztAs^$_v8E*s^vP+)BE+<_M#N@^iDo{eR&spdcPI9ET=$e4++V~L;6t6 zmh$Zjo|_lU*ZJH2NI*~T!_YwK67=-;yuCL>i#-9Xp>r3pw;)nP)b8UK^z=&c3u#?M zPw$!Sz!CbON z^z?qTxwX26p58|1`TKL|=~ZqP=A%JR@25IP=GW-yeZM2-9tC=O>)+VwBDcq5-*)DG z6zJ*w!7AU}fS%r3(XBk}5V0#gFUx~{5;rE3#%Pj$YyUrH{y%+t=AnA$FBP>*BM01zyLh$#4b%VHG+54k&{a1&EP8^RrxCVKKOM> z2IVEmfATO*KlK1H$~KtiwkOAzatnpfk20Z@SF>rM$d6hA!k{3d6Mr~3_R^r}C^M=dk zbqG&pd}6cV0TFr0@#zD{fpD$BJd7K2pHI2#QX_~UQmRvGci?`AynlZ(Oapm^Tyuh- z-HE|hT!E0rY>M|myla;e10j%DBQ>@|9)fae_12u!A%xq<#Q!HiXzco4{y|a*ThdyL zzQO(r<1_qs$M(Pc`~tiRB1D&o{)eBR_8+f( z)e{5z^aH@t_PE0^_S< z1l{Z!pQtzq!M9}BXxzhbcDugkBzp{mN{ahL7RNyt+nV3eQ#T-N{kf9oO<@RcTTalC zNA`p-!`CAoAAoT1mx?$27`QUlrHazM1kbh|%=1TRzOF#rC98Sj#i)j zZWcK)EBJodrnvoT0r=Z)_;m%VKtSKux5fIXfgQJ&>t7&SgX)g$_%xCUg3%!dYJC3*u4^tMD6>P($8atSxj^PxI`xQHj=%}alHb8MBLug z@jDL!H7RIRFUbwk)9GsXKkdx#LMdd#iEhxa5avu5wDfzZFI zzI_lGDmvk8menJu{XN$o=`=x<)!KW(_P59;bu0BS#xqXq@8LO&w;*~kW4Zg-+HdXu zp85Y;_5UwuziWH*;zJ8QXf!x~`WPKC)G$0E;p`}cibQXh$~ULrUUJjrOS$e)EMw!t zf6N^U>YDS)1Y;mCZ^NrqVjJWvvy0Eq-iK`a1O(W`LKZ*ksP9KF$lSdqs4;eHG6<8=9l82fHvsvcK3sA|! zGPS0B1j9`G9pbXFZ%Vi-W!CZXYLlV-Jzg-yh5QNkW?IFvJcu3i;qd|S11G+C{@+D^+ zf@XO!(+^h~ptjJ2D%u%2acdJ!1c571?rxr;mQMktcZqL@@3{a)1tBHJFNQ;bxsc`6 zJIIZX4kZZpUcs5W)yeNE_VlG~QOk9p$H}NE@kgUSGEExnqfb&mhWf|XNk+f$9Nu?7 zgYgoi%83;YxW_<`h>G=zFj8n4PHcUA{U+wu81CjDn}=%p$Qz>`UQpq&cN)$=gEEoP zitl}oq2x;8sTRRzC|s)u^!%j_`9F@#1>YryJOxEIgX$NMGZG~@e;PeTVLg&{QJAm1 zb4i+GhazO&%(^_#Uk4ecyTltv@lKXWudcq;3wp~2J6p!op)FH6J^6(zG*F%{CDBAr z%{*VZJ?0Fm4u3V{L%v`I%Sp8syM0hbCBnJQTNg?kMfa_aC_<64s@u9~E)=*D#YoHw zLf(|kmU~ejUFVI--YI#-6qy90QJdTGy|B)t%ysKF*E=UB_( z{3-yYQ?sNexRCe%G$H0$lob?i34C9?bPWm&8M97y`a#}C=f%Nq3CI?yp}7@;y?q`h zlCKVtLAFU-M$f$AZ|(ob%>Q?shxRWX-ya8jfBfU0oZi2`PWX%K^N*eRy-JouYF-V4 z-_Zy9+kGV@LcVNUxp{SlvD?6LnIYN9X-ktZoG6buhRWX*1G)8oh+7L-+@oE?Fv z(zs7mMhg(lR@vO4}WMlJMw5WM?R+Da?y!Ef`&RlBx-&A1=-{)kkB zV82_6pDv;QK*n}oHD-6iM%MIwo4q08f`e7>#xo#DQ(E>LssiC{*eu&>5JXys&bJ=M z*Z&cFqWo|VM7a%WlH`^{)OW6K>d<3MI zb|a5q!{W@%A_x+qt0|p14I!eUWEM`85c-R3e_#UcnIbt%m{Rs&kE}|3i=Y-VyOxGu zryC%{L~{GH01Aj4*Bt%4>;_RLnHp6#RuHv%lG~Wb8((*PMd)J}u5(%wRBo*hbNe(! z1r-Iv3=f-KyNuqTR;!_SiT{65dA)Ks!tr&^X6v6*W`gJ*;uGADh#^KX zpU$ej3}OaP_Vjo>h1jdD*UoLnv)4yvm##|Y?%!O8M0#Z=GVECkS)$ecgrnY38G#^UpONgh<+5-qMzH5g?ePMhEHD; z^M((rPn~RqSoIN>8P0NuUAXp$_h>BU9gkjmM#Ka0#Qq9)K0y%g*Atzlcj&kF|DO5( z5cdCMaa!&=L1DSfLt(VcM`4db|NEEaKb_TSc3MJH-f1^jxoC@fOP>Xs)cwL-6VYII zt-nOT@)X#2AItysy$u|x+m}yFZGaR1ZTs>XZ*V?W@T`Ga8e9bV4vIKZgDXpCCkbCJ zxPFpEDq_pdQ-$bik*ExyBhc7Pp0b^ng5z1X`}^DesKJ~&>E8dccp04H0bcya9ab@pCK zzr?5mF7CU;XN|(Z)mX)RK9(5Vq}ADzurt%0c`viTLNT~cQ+=j(Jq8}x=1zxs(67ep zWA1gc7d!(jI%$2x!D5$(cK%L9us$x-#b&k%wrA#qI*pmJw=d!Jz}Xpa&?)`)@Wu)_ z7M*NnKYSLPUR>9fV_*a4xlBj1rd{ANXI>un1J5m921@h4;|I4!x5@;~Byf*D-Nqpv z03LcL%iqRc2Tyt#>z5-A;Awq0y69aLa`6L*C$jv(Qs3gur&v?4eyN$7AzXvKa~ns< za!tYB^Y&oSwi0ky7fu=Uc>zumWtnGx27t5m!wwFYE^rC4&HeK2DY!<4KYM;_(E#}tJ#YQbtl zPML8W`}}w=@^(0=f<48cEfZk}I27vfsh#=&PAs`x?6QU6e78^DnI#ciGBYEKpPvBN z2Zk%1t5)DPc!qM?G92887V2jQ4Zx$PVng#HJ9w(=yh-nP1D?J2ghz2r@Dh4BV!qG~ zUTHCWwR7g+y-kym=6NsJ4)~m5BxMErMj`p;B5!cC5E-sB4g#m|?=z`Sp}*ANUZGcZ zFSype(u_v`hubHz+e^-N;ITiN@f@D3c(UfF$J?VXFz1-&8?XQ`&dO6Zvai7_yZTgV zxFmS9J`z;Ssl~mlMr-aDL+~LH=Um3F86S)0JE7DozqS8+=Kp(D$8L_lwEbVJ{jS5L zAHCa-LStEp!k*KyP-Fh~^sRX8qx+Gdv&?cF%4{Y0@}`rZL~tA5UO~){)f{`^?7<58 zHHx1}nd=~rCepdXsSI-R$QTaE7eluBc`e%^?Bmtar|-)FcJuszuF|ag1X?|4^5L3%R>lOi`#F8o=PaNyGQgO53v+bhiR__D z$PHw-QBgeD1V!P~5@28p1#XGgbKKCU-R&yEZBYuj*GddGG|ofLZnEOTTpEzIVV6U@ zF$$TRyU1fqI3bgn;<}Iw_V!&?KGV;J=kM$^W0wdl(0xmVnd06xXiilo{ys<#b>#Ab zuiNCH>gHKi8_NwS|77X#C{Y3K8Ew8RTX2Hn`^KlwHjF}HBw3u1l@sK5yRMr%;(7Z; z3Rd$i7sy$g?em{rhU`8ccDx;@!~DWCCgcrn6-uMmE!}~3v|)*^5*_< z7(f>7@)e#r0`l$)V*?hqpm%(4C9#hhw7nR78@+fN8a#g_7UtiF+PhOd3oMvxsMMrr zTfGbw)urOOlI~E>UCsY>jT1^=G`@LXu?vbHG`KL2pik~slIfMQw@_feeBok^7UUne zaA_o)9&$g5vC+)-;9g|gTKb3(WRH0qWYojY<%?aVV8lo0`#gFtw*CS*blM-sBv{rM7$f0^qthGz*2vpwu)<`{@0_EQ(`8a=iKv{+@N%p~E zD9x^XpIEO4#j|r;J8Z_GNUyF|PIEktQnY0I8|hO>GUZ*6D~#GFmfO*CeOSaQ={cgJ=? zY?tzlWD(@1IouR7O+O6r`@L67rjt!LQ>HxbmPO8P;F^D7%sfN~==gji z*MXS3L6HH95fCd{AD{8e2x2!mDr|)5A+D_NVAr$_=Ix#kVhsV}zdkmwNom4RU{wJCALR(_`b8O~_PmFrMD>g5sFC1E!Df!1+7RwA zu9Xti4TRp6mPoTJ5XE?e__+oxM2id>br4%4mp0<0@{?-h|H)oG-SrjUw+6+nlwpYL z(EIRKaVNxkQa?FH&yGIA>n?uAtB~-jO{2VU2@;*1wcd15K++yHy`JV%kW|3Ddjz{H zlTT|g4@&@q5w$%Dx{-q%MyqSY)W{5}qM$tb0rQOO-GSkyyC8Pm61nlr zrx}vjH;(U4Lf>agXG6QkUPw{-qIr8{00<>7Soh{sLlln*SANh{WT_J^y?ASZoW)}y zmRt-F`;aR6syr*iJ*@xUCDH`(Rnm3_bJ!ahQ>Cx27y^kV$6Qt&6d_4SdsxhWCnRm8 z)%f}LL2_mBnGpwP%r}1Yt>8$2)ZN_@_73up+HiVqy(k>gG~XVH9QgNs|M$B8caHD> zfcCri`z?Of(?jF1#+HzE2Gk^TavZqGhG%UP!pg+KP&SbzD0jXSO7bgc$rlzeKlY`` zT22oN&Un0Jp-+Uo_?LrErT0UwFo)fzFR742+EQ@~@5{4(%)KVuCV{M7B*!H8?4a8U;6`Sa zUB_Z8djymw%1d?2J%D1Ghc>sHj^OiLX?RS16Y^CZa+c*-%`!EVTnac5my=Y$-a56%k$>6qtlTW7gq1X*EAKS_0|AhY?y1=1G$K8Sd> z;?nj&kJb6kz}gjP)$+MsU2Y8Z5u)5NmdQ}v;vab9n-x@wbi6K*$cJ*nc==QNRH5|O zMG@TxvrybDYW_?`8ww`^C*N!-K!IE^?cnM)Ys)X-{`$6BWZ#cL^ZCrx+X{P5;ZDMhylA;_c?;n51X1WR$8i$@<`*aG* zg>-IMR-T4?4sIeWFLI%zOL|)iT{E6d?>A9b_s9O^%Gn6sm&iEti4CL;LC$lOUU*Lv z*xr$P^moYx^xU& z1MgOS$&v%Nc_UZRuT0>9MRxP5*Sea!9}co@kV9D1b! zo_d34j0_9~6HM*+aRz+C=RXvY zY#=A8y}|1<71$j4$))-lxkNQ{NnXSD;IMhGk3T9GoOe|Zva4r<%csRqk6LbUtBRfe zJ~9p-*MbfhH|T+<$>zJvG#>Ce5TAP|jsm>8lLlH(ZwK$&_bHd=H^BRgt?IQ4kHE+M z_~(AEN$feKEb+Hx2Va|QLoc`OKu%A9qblD$u(KR)RnhbUhhMACcUgE(Qgu4a-v@(`OPnV;z!`mvRzTmjTamY)CX^7KLls)GJ6ZH z32?1XpuavK4<5{M@4LDIJd2dn`^P-cV}7pUyq+<5mvi@SRKER)lOLm)YEqso_j2u#&uHJm}#D7Tmc z;mQ5q+W+gU?@!_XsqJTf&Gi4l?D|vt_2}*S51!vYR{Np#666BN(ul0riXQm917b`E zj?7huLR^yteVDxvBs?mYm8Exvq?{r>og1$qMa_$6_|sEJouTj_3=M(w8wz)V=Uza@ z^(YOMA3Gr9OJzyz9b_SfoZz8&DFa!1oJMZsIYCy8Sf|Gk>~g03@t%Fl3jJr$-7ua9 zVZF*;Ve6g{#osP@(e^FG%uqC_o%4ctQPzglz9C3d4A)8h{2Y=`@!a!iIt(d``Kx3u z$l&xR@uauE3K>KX%QKBvAfx=ElI>zT-l<8*d@31%%#W9a75t4M%TYYB(d#45A!;YO z3Qj_{ol4|As+W*GlMyi0paBuuBH>Q_*r_pppx4q+24YhtNCoUYaL@3P?&lzK{TK{0 zFY_g!ACKQpRz3#%_xkx>RsDi=E1~6^c{d@$MfTx5`ANv+yDvbHFUNiTqK8;@8~Vpq z7bg6XpFO|1z5L-LNW|*k5=I9|ZdiJ>a~Jj+Z{9dCkh2aMb$vLmC?2ts zG=|KX&nJkr(Z|@l(7o``4YC7Am4EV!K#t`0lsryjJol)+(r}m+a%0QoM>=jo9#7xOF=vLBr&9eR)Xz4mBgibtlnZ_g2I&1ZrJ3whK{v8@nM6hZoKmF{t_Wz#w|6cWRUH`AwerHMz zEy=l$(4Y|!P+?LCRioz}Mfco+@{@EA62AH)vqQJ(VTdr~k0tFEyM!J|>ZX}iBFsy5 z?^*IL7>A6hz2$yep^z4ma^1L64w4`CO{wieHl+${^g(tJi02dGxKzplv9g0tUt_i< zI{rB2)$_{O`T4b|Zu2p89gHh~dx0Ao_p_XLYhs3K`wd^)Y!WE14Bbr@NC_qC$I|#3 z4`BwAeSSnM5^|gGZs$nD{xt)IKrOal$h4R;0?{By4--`7NJH*=8I{e^dWV2Z=nBt&)v%phw<+3&O|fu9q8(59}FVtfu;jgmEThpp+@=x z%{F@E6zhMwByu7WN`tp6zfn5@g=L0$f*0>VUda!c$-737bJknp;s6o)8D7RtD33sf z-N62R6Je0LtTb`b6}6*8?)(j(m5JMJdaE|9Anx15qJUoj#By#*GGiVu+NW8j#=Q)> z%Px6*>AVTe{(E`ZF7ZLFB~d{}QUX+JFOqtChC>+)_$#IW6!Rx9nNqSq!43im=Y=N7 zwN!f~-d6?La?vDSqnKy3pS5wZE`;<41CJ7`Cm`k5bo;?M%*GN%j&V6Wg9Ib#jhENh zA6f9jsYj3wL~Ctr;$C>i#0@SCuxVOg|h~H=PRN7$AVk$ zzE)(H3?5tIS%;#NYmc^^q9FfH*_StXx0<^rVNY1?MabU!oKr{{eI2?~ghM+o;rCHl zPUJBRsRwV%@$Hp^q=zfA70nxvP<+Xt*#dKoy#l0Ck!Ru2!{@8kp-a$yF<)4MNe@-4fivCD3xaKjl7=)MZ(E7TkT&Uzrdeodl@t2 zI?)rTR_;P}%;&prXL0RW+?jb0y=fWNXV~%x&Al1gZ8^1F7O;T@-UaT%2vl#=B!jXg`48n=u_tN>1=M^Yyv@d>iJ`uKv^{ zMHZ)H$TAi61UOvDKC&>J1kRV=^=DY>g6pF@Igg@*!Ts~=f!*_Xmt!3q_A$;9yi`>y zS+3@Q_tEpKwn5S0{jtI{a#uX~W{Q1(j6aQaMwUhjzlYw`E$|o2 zO`MMv!7hrHo@18f5THEgP0Z2@NJ{(rm)!# zes@26n~IcyKdq2%%j0P9zegtBp79<6F1;(~zqtznhO;Y6f-Jx;S|H?A4JA0yc3cQa z3<8(c#>;5~Z@}F&)t;Ra`x35dM%Lw4fY;RzRyJGj!CTCOmC5xI_%J)l+?*)~pAU}5 zF1X2oZ<&Am9h2Soy4yK!k933o0ir26XJn@It`K-`Z$oAZ_ZOmQ%-J zeNK?B{gennZq7RoKOKSKLl##vNne9&?z5vtHpAdSsBzzz7ssD3qFAlGc+7a^?IENU zf{*2;YW*Yl`Fc^%yrMe;e%^g5AHOGpzvHp6JgR62(2tRj*T_J>>7lRZY<(e!b%e@d zB?p2&K5gRO-2uT>^S;s+tZ+9?VAos{l>sQ%C2EdS}+_YolXC1YNL0Kw{KDt-XLQoerv^p`Q~8X|mC z33+mxByahQ*MQ(a#%iKf43X;dKQ?IrqK;eZl&W<=)XJ{Ig||;)&)(>~xE*>duKN{h z;kq32^Ll^3EcU`A`xiX6NrbplHRU?G$k2Z1s@IUR3Gr7{Hd@Pwz~^CZ`N3;XAt1kr zUZ=nmf-8n50;8xPOhiHOtN{Tc?$+K)cE>)Piu;w{KhI%b%lah4Z61g+qds3NnGVr{ zcJjH&q7c1O^PK6^EV7-a!!!?#K&*ncu?Ryp#4a~U%Wr+Vhm@ z-+_3tgX^=Y$h6KFZ1dc=2NDDxy4Rqe!EbKriB>B!<_9mIIU{-wStevRxvm*P_OT`7~6Yqr9CV^43FFTG8_ml}V58Q{8B8R@&>^Ml(?WU-EM)h0!zi0lxSAD$S|8LiR=cn(LHSZ;$@$CDi z9%(tKe*gYghWZDn(4F;97WIUC2d;12SVKNs>(cv>*?UlMWF^WXK@IXK>y#D`o`M|N zYe6zjt&r6_qFGwmcYzxWz?vV1^#i${m!uo_ao(Ldbh zLpZ^DSMEHV`Gw>Sw~sj9+D&E=KA#QMgGfk|UsTVeBK|dpPIH>Gh+TQFCwIIE(FVE) z_Gbp7ZSOrM+Yc{M$GP~CKH@ma?|D$o&aj}kY}GM40c{i>l^EN^CXC#H_cbbX?Z~D} zwNa6Lii{SGI#=H*q>UsTl72zvcv*|b3#z_IesyBib}D)#C2IVv+H)LJamG(7--$-+JRI!U9dwn{#$dO7J zBv(3y@AYgX+1N$4wG28)w4*BfU^$J1wH(xEbGIPwRL6X>{1`gIw4`ptWZ=Ft6VjZo zqN-VPKew_Rx$hZM;QjIj#XTLLX@n(Ec>m<572{sWmp>Tmcgz+!xoNRwg%^-zx9Gp^ zd+>h~IEJfPS%PjW{$bFAb)QY9J2S||;d7|o`fMm8aUfLVTUSe&?)tz6zBXPqG zF{j5}cogCsu9KjOCL#TDR$ngE7OxfiB76arN0N@v#&Mxc=#1dg9t#wY_YJKm-ie|u zb<77Q8&Pnvk;~BOC2~jhJSevy_fO55HF4K=B6B*=_7m|fW}IV|stKM!+KXA4*HR-$ z^==gJoK``KYWScmB9Sb8Q0~gJv_G}~A3gs+75)FQ%ln6y=fMBL%kvk{?{C}lTbQM! zE=N|v=DQ!~w74uB)Z92jS<*o9<)0dAB75=*LmsUqX*f41+>){zf=gb*(kH@TaP{=_ z;U+pYw@WKK{O_%Y`-a~aJhl&z9&@)VUwIT^dNdsOcOt$&w#Zn8hmG(oi!r1kjB77N zTcSAeg4gTQSM)Sb5x#$J6SFeW=NagCYY<(4Lo?0s7QTJN+e5!NBql{XE|k8iaxJp2 z&blXW?LZh0jYo=RNN?Tp=Ki2i0Ng?&&xL;RfV=X?Dw(!=crZNkQLdPTN8Ql3kACD_ zyR|h;_|SXezY_|oTJj}qkM|*4+FIa!&@S>GO(-nqf>%g05M3TuN6=x3b#T;{o+yg% zA{kXT!!|bt!uc3Op!RQlxSagtX*aly^tvHs;j-dz+fv{0!u1y6);&l+9GC_7O4oB$ z^OfXv&yCuu`NEUmO{YOhgY>#rWEbV>;AP$FL+wTfZ#Gw*0Ol{Gzll4kI;aBcE1nG- zl}cbQ84+7}@FXZ={2$r)&cn&CiCS-UIGk%mHO+=kz@;L_%_p*1k(GuC^4CNJc9S$=T{Y3e!{zN z&$Fm~gim1l)JWKkM#)TZ|A52QV}!q$tRnJm_5?hwC9dg|^Ad)MVgJ)h{qSDLYa;~) zc(H89X5R0u|FXN&PKz%N9P zs!(hd$*6Yj6u3Ep00YBO!}mG}+~K(Cd36{9{R+zu4$32Nwl$2)BMm_pUr0F`yo1Ap zn1J-*7jV|pnYr_HGvV{lJggFxg1ZS{K79}2worFky6iawFCClJQnfMg&I#%Kwoe8= z{o;aJM>|PYsPu2U(?pvyoptA9De=sX@tnvZb7??N)^)+zuL!&{enunJ34zV)94U*0 z<09zF;c60upn#1(qBtf&Su1UqY^ew5ey;lk+nnJ>bE^CCk7say&Q#*EL>}KjZOM%x z4qp9vb8~$o@Zk=)o_oO=zKR*UPgy&{?~dt~!<2LIH|eCKJd{L$;W@5^T3Nz8CwU*e zWL8Oz3NV-^tPiFqIXfIkcD1eWK=Q^c1dX&=-8hj9%HmoH{S&iriAXWAdXodUikMvw zm4@KqW>KUr%nUD%30E0=CwP0zfBP8W3ZDV?4SZ#L;72c)?nZQy{`=SiV1ma+%8b*|;y<#><_Kp8Elh<$WR<+(c{jTqsJ#w-i2ptx7kuo#3}sRv_om zF#ID==Bow}mdTR7m%b&LLDLRAx>BQ2Lj#&+Ud?e2)-XLa)G}Uz{Qo;@zjZ^(iiWXt z)VM#`H9Mt&GHhg05$8uy%SXk7G?`pq^@YPfAEhxrp9Bd>YY=_-Hf@F+~GY)%;)ee%c4g@6gOkC)iJ`F6m|;l zBr|}=QkRQY30h;d=92`jpjJhTB~W?_Ws|vWx=XbvR^v$P;vrhr6%nTH-+7T6Pc44I zI}q7av0{A@TaYpKEtScH6X|>tudgKOBQ@Kj)w_~tX|88^rO3}BSyH%-{s3Wi9y<`( zWE+CS^SrqydUqiH`|>-%#Dj=4XK=|BtVWws&->9+afFvAzH&Bo3gvw_i$%M8iGNLa z75&*~C@d+U)8E#Iyw^>-2PBJ-vy)bp*5L;-XBRb-Oc;@|Rfxx9hbGd3`_Jr1G9deZ zfukkIm&sjbjIdM&$>#VmUDr`}5J|LLx9$~@yRzNBD|mC_3D3?Wm+ep<+E1UOq)61E z{#WfzhiRhi;jNRs(ba*{ljYoL+J{k8snEGDQ5FSdv-2_bp2+R}c_%`L?As|Nqn0ua z$PCh*idgXp>63;XE2L(TX20wB?=u7Bc^JB?FeM^|BVLz9{|=H_Pw=n1LKvcg(esA` z6OeduLY3$G19UXsX_g$P!Trit4&|H=QGHzMW=K*m%6C%9$*d7a$*seeEyV0mq!rP! z?&C9}p)GV3Y1)81w!>XFOMfE!heWS?-w$N%xxKxvzX};K9~&E_kTKI`}mXer`R?}+8z^rT9|R~vPgb{wXSe}c;Q zj9(^&)}w5E{+0#rGnC{v+F9tzkXi8Kt@?AqDD)_iRe!*W{DO+-M#Jxk_AH>T;1q#N z4$nu4%970ZbG-5sp`Vd4k!RJn2&AWqjF{~gB=1j}OuL{VQjJQ}ba@~Dsr~=x`Twct z|8E{%((_-C{cryLnZG-;%Rxckh-N4n&gn$vkv$}%>HMxfoqcdtQfD{me?&NO zr{7()35GlCz0SUR5qP*%XC_F@!Ly0}=i2i`lktXTjY_06yhlH)h+N$XpQlC9VOMX# zw^*|E zgF>IrX?>k=>PiMfa%M~5T5o*1R=^YPy1F~7Pm%q2zlWB$d?ma#7razp;fA+Z40rzS z2>6Ki7Is?PhOcl-f?3{w$_oJUU^~9YYjTMofTg=KStQ*Upzh9>q*~njmqg9 z(F1tJJiR>WC_=>spw<3KEPe? zwZV4(XmSouWNF9q!0V>?{kNwU;hnA?6ZeddJg((~)~Y_j0Zf|L)VG7*@W$ZuRd3+` zB*nm)oTmZhqYjr(kR04oZ8^3})nrHOY{C^le3mSN8g@sBR@zcn#(WiFfc4Ee#;+lq z0=D&3dlxj|{?$T&Hu4_eJAiE4=o-&fFOB%Y}Pw zZMq42_v_SWjuM``@5DAr)M_|71@AT3NbZJ2Do!r>I)jp^H$LpX6;8A#6gBouz)8R8 zvUZ0L(ScpgzES@Q&O5Koaoo;>iO8lsnax5lJ8c$maK&C&#NJ_IYR`a`%?0jC0V&up z?Af%g@&s&+wj12(*af>B{?j4xcVPeMuHU!LQ8@Ig?5jL=8ID~P)@^yppjr;DF26euVWX4Fwx;0?Y#(JzZe(h(K`xEhS*!ybR@ms-glrU7e zzp?-n?)A4NlkGqWWf520d>BrvK1=j#)xgQvqT_iu6P%u16^{I51LvdsZO;hn(>dxK zmCn2%?iKe_=m_uD+<;fPBA5%7(XYD~T!LV&9~b?W%?UQYjDpRI%t&6r-lMksiLg&| zUe2LxheNl)$76&E?l^iknA+<*xdTnjJj&Dv%G8Ia@dB-I(%NkFZYdg0J&W0j1?R}) zO51C=9fWhD#f+XR@v%`;i&&pnfSFb%J&QR#EV>19dygF^ue-=c@QE#K!V-mRQte>3 zt>Qax=|}Q;57^H07?8)MJ)y%LMEscTTVxmoK=C_BubsjGr;WRphsUVygN`QEN=JvMN;x;g%vNe;Q++2ZAQxdfK#p6nWC+ORe_`6Qiz z0k+2%R!ARz3cE4M%AV*zINaQMxQOgV9a{nwP36^y|I)wnSI|y4ZFk|_duT1u`yJZ2 zV*fOpkNkT?4B!o(?+ig|y2F7seEcj-Ah7)52%MYT$}* zy6sc zqWan^R&yxs#*@J~MHD)**MyEvA+MNsHucO6 zc`QFEJ}mi;y6zuY8`J4gA+<-4W$HOfViU!r3#>>UP3;zTg;X;8xaJGD|0Y_a{Y^hK zi;;b_zH`;vMP%Bre|E0yL;A9EN7sR2q?KFrT5Nxf)bItnieGn-5|O$tF`De%i_H&f z+$}-U=#Ecd6D=NOuDd(~3h{Xkr|s75If}Ht3p8(i$mcU6$gbIKja2HLH@RLX zpp)%pu^!nOH?;(JdX7hrObe99Dek)o~(KnebBwU)@;i zOoI@MM$ak7PK44OchwF4$1X+l;#d>m({4;OlOuP0Vg7o<>BKkRDRx))m==;|OpuU0?s1Fqf;A1-d3&5fpTH z?Nc$rh&a!q_>_;ZF_wqr{K7dA5+9_hmdQi%`#w)x+*BeVb%rueOdpCx;NRj$^B?lY91OHIvcVBpcgMb-3Q< z4MKkmS@ICAahQ|WMV@+kq9=6D_`NVp*b$k(uM37E{8RNA`zFG#RTmZdOeaBdW?DK_ z-V;_wYURV_EW++qw$SP!{Ys#G(7IYD!UVx_dWnfX1hdYzFR+na){CFvYuHyK#P66d z(?dJ*y4jO5b@dS1$sqahOAf-Wy`4}XSy*A?)QJ*$#OJ3&nU5V8NBEdHbH^(SL|l7f zG~oCg5k1e!sRs+;Eg^Wq;;t?Hq<(*_R^vs$13I2SCc;FSGZlB`Cp_l2qhlefI1yZP zn{rv!7a@*`M_#CJL8xdf)2rNUguXh`zT%@J!feB6XJ_~j&V0({XySFk&kfi)#P}8w zyKXWa9wc1gEdS(lypo9ID>y~NT7$?0y&dnqrNFPHrg+0W!t53}{4IrA2|==!i=)eN zq(`S8h+-$)WxfgB^ymVFEGDzZ9@s@b-xaTW-5w&$w1>y_J15CKc*E{l9z*<$e81m5 zszZckxszIZ8{q=`m|wUnf=Cym;1sGDM6IXI`=)pWQNb2hhC_*ubHk4pua5l}yW`Kf z|Cf)Cit2xS?YExZzu^^+A!;}5aC~{t0%dPTCwbo(pxFANdg3t^6v_y!4Nn|Lp4!*Y zfi5>>e}276E?XH{D^DH`dwUfb`p;_$SXz<3scQut$3CQf^4wdiFM^awHKFUvMM$B^ zd?{Q{{QO5oy3|%VB8k%HNp~U?iG!zw7Vny)bs%2vdWAA**-S&}?85GWXUhPL7r!{ZZ#?=4^7$ z9WC81p+bk$0CrJ6C34;+n@zkWY>?#ckO=Atk|V>oHQ*8D3(=mobG0+jqRs!yx`4+4 zsHZKzJNuat6;3lJL#Gd*JH@W6WwUM|`x{!*L*o2;d>%?Esr&dSf zx(Uf!)?|GCa0cxGYz#Az3}{I9_|*5v1C_6L297>BhO*6?okE)%2q*W|!C@gU@;<2d z+o`WZexF>D(gz0QCaje2sR6P(bAk zGKu*^>Jzc=DMS;U@^h8k>3exdIr5gPO4bSwSynRGKfQxSR({*Gfm^8AaKm@V^ermZ z9Zi$IH;Ph?^FAY8x+uP@=w1F+9)(k;T&X|@C4X7r9#OLw6S?jY^X7^ z6>~*2x;`Om^$?W;mk=`E(UdcNOe5#)`97QEe5B0>Du!$rK^jk@*2BSJbV}YmuD)hB znkG$soH~!7{xbLBnea2HrrOLAvw|HJn^qs!laNH|^xGk&ur8FyUhV8&qlTi%`|h!V z?1bCvkz&C&ih`oNH;VfG$Y0lDWtgRh+$b3}^|$+wqeQi}pG^_j{FZwnPBSBm>)3^C z(LKoIzsxF>DENOk_r?A?*O~s!tFx)FJ>2$#MnQl{=Yr5>vpJ6$lk!+(C>eu zntAmRjMwBCP&b@hll<<7a zGy^YNtiYYyZge3suW;A@NsZ;;dl=vF@DNw9#XZq#9;UZso4&ldyZ8JNzU-C!Zjm@Rc$z7&HE_Z4j6gfDMjbxRH>ZV0E}!S z)C7{MVKShdNx8Tlre*swZdx|M{6?u@>C8MVruXdg>K%ub+HiKFR0FK@WZKtz@xx|Z ziNap$1G{w_CNsWJ!JfNOUYhWT9JpzVI{iqVAf42>)NvI!jvrj$Hd+aa8h#&aSHrbQO3IA*F~9$v8K1#c%TFB(t1K4=N6>6aD8+udPf z&@SM*M<2FNR$YBbG*0&1DHRKGo8X{Kv+c&kheRh3`!b`Y3>2ALV!2gPpgf>_I?d4p zrz7`k%8}C#XZ(g;x>HbJ^!llP4JAq%QPZVPy}=E!^Fj zXMc+56Kq2>U*wbgh45eR8Li+F8Mo=3LlRt>T}y4LU%}P+fzkYtn}2Hm-@Cql)%d%A z*7jEN`#*a6|63lP@ZX);<(GN-!&}WB1fTk-w5!P;VNB~H#XlJ!!Z31f;xHYeyv!Ti zHVGoeAb{!m#$SjNcpKaCJRk8*8+0%DF(7dZEoTi4xkKyxK}qfmC*GR#(*kXSNT%t% z9x=9nmjuBllU&A_@ADdlIcLoa+kH*QYzv1a!2$mP9V6s>(cV>PYCmg zcDA{F1`(gV=5otI2-Z)#Bdj#LBpr4vC4 zEiZBa*TuU)M$mnhLGc4qFQ zev3o_la&`AH6U?@{%x=9C6c$xtWgk9NpcE;rwcZg5Kd0~)yw9Gk>W))RAI)6)QyKC zM|KdVXGl-ykMl)HTjyb)I!d&{F1jsLr)CgZ3Bz|PAXuEJ3NtO9pCJR=?ulRC@WD|Lxg;d4!eyv4H zZ-~{s^_569tfQkp>5tUk2ctKyDny#w=h99-;(MdsGH$o_D?<6$n2+xMjtGgWT;D;G zdA)-E3eQL*VqK^vRpfpnzUSqsi7YcDT4qxYcq|~vj5Rdvf-#acmhZHz7bpG0V}|1W zMM$MptWbOrgVfdrQ2|a@!s=8m?sVox`j%dQzS3NzS2+pSIy^^)yi@c&Wx^PJ%wBA! zLiC;HCNp(Y+9b36sMqhEEJ%>>PLjAn78|D5{&#pwPYTl+1E+m9ci9!K>Jp9o>A8z>p$ zwc)lUJDp?AD@}HMLQb%-ko<@U;lY+3c6qT6Y5RJNg??2b`O{{<$&j-|qxq=pg$4`a zM>)dO+A9&ugZ0j(hKMT3{vPe%hKP_hrYCv35mvND>cs%@s;TF3C1-X`&A1D2;B3TsWG7pZPLfR;I&B0N3 zr1&=LbF|ALDaIf@L-R4oz;G-O-n|`h43hIR#V&~U|KWX1-xZM>v_YrL&m#Qp`toAG zc!U-l5n?h@Ciy|>F_Ia-(c0PBy*(`(wfxF8NAJHv*`*`#^>Vx@G7))o?ut3`vgK+n zUYaK}2KRD1D15l5hG`yMj>{_}yn+lpo6N zTb=xDHBfBWK78TqBNW^)Ts&P*jU1KPJJo(9>spv{^FtT$t;Odsc+i|firtaveNV{G zfE?ZFBMyQ{WGmi$m+2AW?nN`&1@R+>PT|hC6J3ZLTYur5-x9)qh%SmqZbg{PO4$a| z`*zT+h)a5U0u9y5@tZ!Yq4I2~9si?dl>9z&N~BT;Ma)<&V~~KnhuIk(BK*kKeq^jK zWs8jXi<2D!M8kWwyH%e_8z~nxZ*KoYGFKDJoqOfT&!!z?X%r3_#AP0D$jlx<%w<74 z?F3Oo9e+KvV$(-NXisLpbnn5#&adfp8S`j7%h##*c^1_jo0mp>5>YOAZc!9=D4~k@ zCh19d$tjF06pG06@J>F;L_AX2j$W7iQtu$+Yf@oBiyYEAE~*NgxJq&oqm+8=o*-E! za8+eK$!P7ooEg97DH2v)yMOdcI%3OM17GHsAUg5YIpcoTKj;2`?fS(2sp$U?P4EA$ z_6z^+%r2v+Z7tl#Enq5AL>%UJFt5OG6Kh6Twt24Jl+%203|_@06hl~)*QaKa2=kgU(=m|# z{5qU$R%E{Cz5%CSifPoA6>zp;dK0F{0_QK0ErWwJaJhMkai7|0n9voD_@5Sm8CSMG zzb-8-{G=S_h7ZCjw(#`MwPmo;JJ~)F9u7OY4I7&G&%@qzwnXdeA{@q=7?0OjfWrD) zYw3IhC?#zIp|7*xbkbwrRa@e_>k~O{sLBjywZJ{~zew)f%OtiPhWp7q{^a_#V!d$b zp{ZKEiyQYi&&JY_++OpQbsxnkuCTn(cRtF~64u6<@A7+NVJr1D!C{OKb`wWE_%?tr zG`23%%|3_Yv$}S(cpp&0)t)?d8->%M?7~Oy*d__ z2`=Uz?S7Qa!DYewDQ!$8VRv-r9Qkenvn{WGZ;yKki}ts>dhM^ldc)MVvBQF}Wgq_< zFU|6_zOX6o_OT1^J>HtcAajJ>-EjW1w?S9PI2(RU+vl@lp7Rq`ZP z;6u^HeWh?^J)XC!?D%!}k{01FZMAx42gz}f{v24(6-@T8u|<1XB@w)LzHKR$__@}spB`T?fRHD_ zPs4_PBQ)Ut80S60zL24po24H@*eAmSyh#TT9`JN2Pm=Vqg4En|W37m2h}tUjxfhXV zSvFN)?T72rZdC`ZE_jYHKT9>tAeos}3|vOd@Gm?!#}Gs^JZ$eLF%~-@h;l46s_Pf= z?@feq2gD&n-uI|~d<{ZbdaJMUlKj2F9sZkZf)N%VR`7YHF2W_HBdc3_5&mwnbM=ub zM7U%bmu@sgBxmy~bz_q4otOP8;Q{$B=3Tj9wRIP~!V-QcEGLr8%$v(rG`g9q`34@4Sq0egeH42gOXI{TOEruwuP4R9Dd*QL}^kRNPJ-ll# zroXDa3%|D5g_uXl2s}V@vx2!BL28D3CTf`xd`D5tcN^jNX^cFa>WD_DT*cJ$Zaai+ zY0lWKJWW{Cyghb{dkMEtLs6Zv6cM^H$u(i`$?JWzO@8BZM7DhtqpsLU@`(@M-Ld5; zqUl1qvlVX;e}l+x-BeNdt#q@N;BZ2~ryJ*LL*J8})D03oWi1;=4l=i+eA|E_eF-VIgoWjBJ zcJ^XKA7<}&TrY{}57E&tn~M+=Ed1qQEj3}jORlQnB^mH7%9Fm~BomzP*>~DyHiYQS z?w2MYk)ZVRy_}eq=(#y~P)c&8I|1Tfk zfARYM$JTz!;^_DS;i%Qf_p@_da6;*V=km-8!eupEB0;I?$Ui+7r+M85*)y$X>>u-x zsrvAvY56h2rM=<5=~zEf-BR`9-zXu4E@i8W&Sxauzy8Z@#|RSRcLgbH&JfN_l$Tvu zC*p3Zeq1ZziI}>LlgFnYAo}Prt8>H~+Uneu7(DR_wQS86g5OG zt+|E*`CrlPTQ!l>*Alks0@>5@ODtauuSEtGzjg^f57I=9TGt2>W<#!?d7SZcl7}~u zF6usvq}|heCVAy#AK|6?(1M4s8Ta3>yBmtwwA$=zcQO&Pd6}{Gy(!wnM2(HyuAxpS zDEC;K>UP!T!{=mMnq4LRIWqogT#LN%x&tckxVq$G!BbMdpr`YL_W9FE2lI3C)$ao_;U4@TlGj9IcwoY zPkeKIkv}v|qLJ{Rd&K^dH{xl|b}xdkJL1cw_S)^jecvBXh8K6D>J`%so-YqkR^iEe zF6=jor#Z#XzFv*O;coxUTUH`J;BD}o&;jIpXSxygj2c;;bF0iYav)=t<7T_>Hl$w~ zZip&ch17{%>E}q+OG;8qU)t&eNVZeC>&~l!B;$*b#ajoFXwT)EZc~9riFaw<^+llR z80%Wju|ueRs>-HSQ-w-(<_q*XEGWD1&fQL(aFdxX(#Ji#j-t~qk4TW2w4kWgz*s>K zd9thucAZ|x*;8?I?ajN$y4J4!zTh`9?#I)0Z?HkSlH4bSv^k`$>g2Ce3_;2>KNa

  • OYG zO8L~qPJ9AKMX`%Kp{ek|pLj^`<{@ygv8Z9xz6x%;#7Af_cgOuKjjKR04S1-~di(Q| zf~P!VNB1>G@Ddbuw7sMWUO%t5kzO+a@5;gRXJ6`qkG^G_bF&-YWCwZ{O{V(q0^!mvO_N%)uOugL!PAeu})+$%wVfqcO17qjF zjp&W89mx%F56BzXdpZF;e(XN7vswVW&i&dr!6^gYW}C_9t_OjS^JkB9-K*exPx)xn zeg*K;qL5|5Tp)k0Xixcvm~A%B{l*|W4*~+Yw)NTGguo-M=0D{pAh7go&YpX3;h{c_ zC8sSrxbXxMn?6P+JZbw4b0$h;cAxP(Jz)&q+BHLBbF<(xz}zDl(*b@$p6^dd4&z-b zuJ*@c0SJhS>c4kL6#`StOb#_`LQo`;zP+g<1lwrwsgqA&ZdC8-UEIkKvaC`s;3f>A zioBQjD8J#pXv15e;4en^ADZ6ZJ^w$a{{IKH|GXw%ifUp5YUW6{3sTcU1uga7DRUw8 z@7asmE}w#YQ^GMDE*Z!^Vo`th-APD)dvjmZ?oCKNHTtaJRT1W_Hv6o}?Si)Rm=tAzaV3-4t4I7wagzemq=MxN3g^WN{jl3DdKyf@YpVrqPMh= zlOt+E!ucFB`36tMN8rA}NN!*KXIV&LX}`&McN-+!{21WFHVm1Iy|Vk3-lD*0-hf}+@H8@pum>rH+Zp|L}~-uV&Vs~r-MuK8>&A)OpjmUq6Ur<{kxg{3G% z883VvhR>f5!rfj0(TMBuH<%T|JTLFw2H{)JD4J-HjkRxQ5(n~rf`{6BQr}*N&Mu)v zL4_;O(5aX>nQaG8UVqi#q&|omt3~f2ob8s}`jzEz(gf%1**gZt<{^8POUwklR2gH< zANIK5OiydLi4vjy|=E#Rapt_6r6LsWQsCZ~!n=vm8rBg5773Tkh zq6Zz%PA7ap{Xn{W`(?ZXl09^tCq_P@+&z|`>!|tpIi|=zc>+?%XOGWpVHQV0P&?U8 z28eBJwZ56N3DF`VNnhBmL*z=rV_xwlA;~nVL#%EEnFr2E zP41|@5X;m`YHf#f=b!C&ScB2C>tuJ98Z|BX(bJ?#Umz>?cG$0aImot9AkFIyfgFL? zO;h=(!+w8E!R-+0upPC2s!xeQ-i{*vxT|T9=T$a!Yh)e5J4V?kW}iWH_$T>124pxF z*xeu9=LpFc*Vb=dLEgW_qd>J^w;`>JDr_J9ETsP&A~a~AR+g7Ig2&SrwXyem7P-P9 zoA=t-yo)>JY@aiH_R$1#+S?^)_gg{keck{>r;xluw%+9p-m$JbDwRwfhji+T z=anqcdlDYF!T&=N^=$?=9ur}ZEs)D;{7MY(7{4;u<2@l)$--b>)Ex4}TkFM4cR@bo zgS?TCRyY@bah$Fw5(@6gES=oh0foEeHElfepfLHT<5Y?q#JSqsG8oFoxyAAiRcF*J z=f6nt$Gb>+6txDTQgDBx{n-U`ZnCCCcZyc!L(bLXCJgxukehsnVdu#K$m?vmAZ)CF z+S)gIrncLmpilFtSo1U#KDthGQau=ooUipCOFst1C*Dk$J>!Jp;eso(+{2jb8=^^4 zpN!A&U=4VKsi|OOXx?peV zTeNw(2^^YkE6iJTfzwbHFK1pWJgDceIvZ9A4{ccp*LP@w%P&?+b4NjNEfcodvJwHe zt7d)gw&CkeksAiNX@UFg@UVvkli)sTx^n3o4F+ zRXu^o-i8irix<>)Ob5a}Mfq2>#F^lj|A4zkV+Y*tA^MqB{sWwA2H3`f4uZ>r?kPFs z;=1}BO_rl=1UJbtkwwX3aGMEct3Y3*yR)Bh^~hQ5VUJqyZcKoO%dj;4>@s*PIAbrx z2A)^f?Wcky!J;HagZ`WavMQ>pL&dqlo+(L_^;$MKGzSKWTZ+Pc{<3vmn`_{#AH3a| zt_NIn!%2j2pYBR9pC><;1#Y(k`%RD=LMS&!y-ZW0@(m~Y#ruu z7u>)*(Rq7A`90vdL~ge>&jMaLnt9zl$RcSz<`ar+HhbbblNr9KxnBR`{q<-W+%G>b zc@90#4}}Cjnm?ii*E1b=8ZT%dOM7HK_@Xbki~ICwQ4oX2-m7Ve8DZcts&`!66aW7N z{_acLgTd08go(%{uwv|Pme4ZL-Z*v$0QW5%oNox?Mj!Fefq;zqe9I8>h+V&Kz* z`*X34JNA3P!@2H8j;wxgeY@kzOy>%?ACazqxVIBL)B<$kaR=ymCwjCDpWR+}i9J`v zPJp*&X0huk-mL_<`-Vht|FpDs>)=&I@XcD1>*xyszsuZD!@m`P-{}4$-(TJVe`AKT zY92She?qc&_{4$Vwg0>4|L4@l9p8U@?LTkSS81v1f|`{TyBdK$s5o@tY0WJ|D0z~t z|3jh{@}sH3ba;$$zN=~Ya6%d~=oJsioHT}1`>R1F`RK39>YQHqJP7f7CKX(L(SJwp z|1~v-AEI0YdEna_h>%HD^nQ#!am}8EVmi#iQWTLRc*a8Dwae#UgeyS%>CkqPrtMIh zF0SDMEM4ncud-K+j%eUSa@$43&v9I}=z*>>JN4yh}*^vvzQ zLULeP?l+Y!h@YfCqaT?IF=T$G9D zeg#42W84W=ccFdo$H^1sc~BR2hMDPTCpYHHY;FL*ey%6qD@zkTd4b z_~WiG&iLZSY%Y9-w5*?pXoeCX#gN}bMtTquHs;P{l!-xX`(-epQGp zF%GCuqs2^*dA3V~$04+zV3NxthIzWQeDhaxp(8h$F7DHFsDJe&(?*a8DsPQ&IE?v2 zSwbs6zj+@N_3n{sdx~1t!!_FkwZ5ZQ_~A``zaaEVXFp!CEPzz0)E7;sE^G{KXxf5b^Z6b%@(b2phb9?fZ@b2tAf>P8Tu{o&6?# zBzM~}3$#ME@AC>gnXm6&+7yLHpK4V&&g0$BFQlz8Ocx3cwnsldi|6s>r?s;KK9DKS zcQK>sE~J(3@umJC2`PmA!rNOvL1K(%a0|l|%>FRtPxDQL=rP_;D*V}a2U8*lwwpqP z5C0*j1A8H?R{L=taV~VrJWKj$K?O}a(&}Ui`=EMBc55ST7Ao=#7B`d|plmM_YZ-?H z6iwX`TxAG?ypGsMzaAe%p9kf6x81mJXnV8WWz7Wj2ufxJY=9o=+$ZN`9*;m`UQA(UFY;yTjW}0W z(8FUJ=w6E4*YwS8&!sF1Aycc?sV-UqvIv*TZAGx>E~~O+HEo8RGQ$^ZCyXICCPvXu zm=}Fw4V>b+F_6!0S}pk$|LkZ8xi3Y4f*Tc%ql*ks@R>~AI`jfWg;e)uZ*oIi9A){_ zw?mM$Np(efBLh<{U@ltnM_{LFX~&D3yy8nO;)314GehHS&@q;qu7A;;T2 z;?j1^EeM?4HJeC)JjY`OdY`HxU!mH?3KE{{h|7n6f|MA$FR3!<)eYmmel$QBGBi$ZR6II@TAo`6AOGZo zY=d&q?`w;YQ_M?F;q3>x@8#bLzNkX|<4aRA&N#^bP<7FDyB~U5ho9^yABRHk#1|0` zTu^jcRSKkf7B^shWuQ^;CAXql_ewHf$ipIe_=*5k9L- z8%oqlHJ*DTjCUw4;!n>7h9GyCcl53HH^>(yKUJ6&4+U1_Svzm&Kw&UtYA7W&6or+r zFJwzV@%`QL2c7DmgiB@7N3R1)eArBB0~?@ZL~%T}<0O>wpQ?~rVS%*wLfNR$h0KdD zjgHrPLAGZI8}HsW$PG^^>c3qM`8KI)v}3!Wkhg^7Bx=rzYREY@MirriLAW!+@B)-n zZ9bH3Zh}&!+OltMjHrj~_q|#A7|JyFapqBOKv{b^f5SI5cy#&v>+HfOz-_MMMT)0V3x=UhX1&k&1o8zY6fQiVtgW)p@V7hUWr2O>+n1{{1 z{EkeHyF_+O!MZG9afwDuK+F>?#e2zLn%973gC6a}oAzKO-XjInhrue_(SJz|`EL|4 z;g_ECgSC;g(YPl&Xz}q=-7Gi^*EPPdUC9^%!z1p$l-}yV&7{3rJ@GzZ+<$slSAP;r zUVUrVv0n$X1mB%b{sg#lDo=#xb1U2}qb^vyNB~Qs(xud;F|dq1Dn%0L1y<~jT(u{H zz$$5;@9c|Bu%;1lHLCdq*0#?Qd?@7^ zXgu7q(sVbVs({-i$NVxr-U8FdiM~p=X23kaL+z%TGTaruov^OXjXk?7%~wuku=GkQ zXI%b_uPe+Y$-Wn?N_+&GE8W2QxT6;vV*prZoDIk?5(675TJ?>5Ij}L$v{`xT4tjAG z!4~iUZrBai2>Ujged)_21{1;A#nVbE>g^I#LgJ7Km^ z1vZ-%Ejle4U~AyBtGl}!ZqOxJik8>IP3~9E1Qoo%c=NnV@&_j{4dZHhS^NpiKO65; z5V-&r^v!;>P6A-*zUrT1-vL&}pc7UQ3)Z6Jw{%aL!xn@j+E?nisQndZT`L@Yy`KLboF3Sv}_uD~7t z^W(yezrd1#&h6zld>=0#TT|dE0c&Fo(P;J{u(@N`>~mQLY<1}xZp#>f-O2NPt0MYf zPvo>$bzL0nD;1VhPqD#0J=^IK><8|xSl^>M5(*CPRY$EAgTayb!!CoEJY=I+8u1+65KjpLV=BTMs2~j(Vz9V9!5h6%osf z=W8x^-c+RxvX0)4Z~UZ$)PDJsn$*aovUcc?(m^ild`)|SrxnC3vjrY3Y6gP&Xth@V zDa?(N$m{z#0^u4Lez9=&$_MtA}^rK5O3Hu;?1pUNNwI*lI#}@$thU_gCBVzL5^q}^(b=^iKixbi>KQkK$LCv?A z_L=PCZjdxJXW4a@8F{^G1#u3KP-~>;aPkCZuM?F|6Yn?-QAESXoP>Tt#JQJZ-=F0} zx9;rxu}AvQ#P(!_Q zEF}k83ntvT#n-Biw8z#JZq$u8eIc1@fC6pr7heyo zp?8yNE5#6d-CV0N>Vwu$bkgBf1MYF7p2W}|_>>OuJOkVJBp|Eeb=xbu4n;`)p>u}X z6hRHpJPYZC?UoskZ=0xZb6XDORYC5~(({)FabIzc z$}%hQ0OYIX`+04Tg#zUy@y1-7r;9vL)$4GDqHQgC+*3|a^fb;@eJ&J=ZzQWK`>R0l zTBT0Q;x>p4Xd9fGA%moM+o%Pzkk9k{)@^4YZ%7}1BTE)EiZ#~tIj!CTeGz93ZCK(U zcYDM1LcAZ|X+HD&$ZJ4;j`r3UP5~%rcNGawwSmGO#|w!DrBGB&lkAkN3dO*x@=QZ-YxlZR?2!&gy8dn9!(+@5=$?LSn|BT}_*@$_P~VWHLX_9; zNsLUgRp+AJ=>6R(l3hH#6Y`T+b2?)bp^)C{Rs5|~C^9hLh_)|+;?USJKOWT4E4y5` zmO&3rccb&R1~w=?C%NM@exFJ+B#KrYd7z9~L-E371Tr_T5?=G6MvQBuulI=pWL3)_ z(#t_j6!VZaTUS5iUvlA|A0GsLQU zIasZR0O1vja141PL}u<_;M*So;Y|`#^uOXEY`?nhKVAk)IC3!eY6be_&+;^K4MJGKyDPq8 z3+PduCNP^dAUk7j*?8y-)IH+c47+RwkAnv%uN}m`WBKz*DSb*P?DeshI#Ug~1|fUZ zml`2+rRvchVOK~8Y2HVi$h;(d#_e`d9g@`j50r@BhxijEY&3H0$jz_3XmNf3J-j0h zhZA}r@8cKd+q`d5WMPHSPy1fmz3YIEC#6~D?#XSTv;4amwnLP?)`LnvGKff4wrxFvT4y4bJ=`rm(D}*ge%kv! zXjnjC!o6Ei#mi?mD)b%7kFh-!(qDm+0TU)SMVwo-n;AX~X2NHW_|^wC|30{-dIpQunoFz{d)HdzfVnke9BTwmhkjaO38U6TX?)HPtAVSC@OQ)fUZgW{7ZtlhBlM5R2)p9xoP&lABM*a#V$ ze2vq4(Z6ry_j#BKB0q{0k2-ckRE!L}O8yS4{c{%O@8g5ei*fiTcLT>cWh%}xzthqWir zzpvG|`_V7-@ALEzDG;H5|B64wh#h#jozm>QRu6urhjoRzq#=mB?-l_wMneVZ95%Aa zA*}jo*mYAah&a6e#x>a{e7zj&z?U2lMX;h98l?k52Io|gb}~dquN{viy@WI4gZ_@K zPa#%}o6PGQ=JQRp(d;KGhB!B=9w$Czvh3=X^?kMn{rmIL0#XMdh+gzb+zWhPz4YuS z&RjN;{$2P!GEfa&$%m-6T@UZRu7zmn+)L4BqY#t+Oy(BlFNhuAUMLOGxrjPc@WBc4WfWFnv^h70v+fCyK7BQo81)7jtzUxW&f&QnGJoY)>1EWvNi5zdM<(Wn?UjMK zL6B{}a8>UZ-c>%fmRzdBIl0DtUwJKjhH2&mRX!EL^CYabnJi}l`Y?U{yQP3w{e8P5DB@`tly@d?S%+sB91*Tk7H&j zwX5!F+*O)0zI5bAe*g6Jxi<9mr|xulu#W?X{D>LP82)VrciXxbwo7<3|L+{iMc^Cb5xh&m* zyjuE-H#Q!~yxLx!){iw=NWC2J%mR{=x5>s1GDB)&a4wHkGNel#KTG|N3psr}`j^Hx zaL?gAyg2F(*}Brnw*@g1%t0zdUgRa@+OKm6?v_Q}9p%%CW1NuBamZ@gIt}uN?$qtC zN`eB1E#s=D2Y3eyFktxj5ej|gtmJIFAZ6S2%q251NE2dX9+)?QjI%;5HS+E}PZ48=E% zD)%FAs)W_WJyrJ|l(_baUf;qju|alWnGEdb*cG-5^YKCHt&i0aE(5>M{eOD?zltBr z8SnLf*S5qT%&z~&+JBz#!w$5JpeADH(dc$ZC{J;fvpHe~C2ZS2NKX{teKt)0ozDlz z9C$jCQ-*u(yRmIYG^dd<*dFSH{{PY)1QTWq9-7xn3J70|;f;e8kAC1c8UbZTa7+ zgKzkn{1tP|$aMO)WV~w~I&5W698-S=^|FH!RvZ-YxFOysSOeKo!yn&u<|31dg^hz% zKNs28-$@VMM_r+ln+nxo?6K`HTYs60g~SyEXysrA+VBov)gV_O=*Q4ET)}<*ooj*a zMw)oHcmShNd*T~N>O|KLhM1$c)Z?b8mu37tXgbX09K(BK^CE=O2`C;JWU zO?5v**_u7|>V^Rnt&hJ@ekTRFgSI!GeqV*mp_uD62E~w;c1@0A67v>_m!<^0B_KX| ze!Dm+<{p1eTyXS6-gBqc=E&MKvO6rxUi{L7kfg4pYQt?1kiy$}IO99`^2*qfp2~-= zUX9W%vp#5aQS;k;y9cToIq6N1(apY7zb! zf=O4N@7Mf@euioR0q(Hhwf`SI|DU`*to`GEGq`W_zof9t?xMKO^pfIFc8B`AKc5X> zlRE$1K0dd#b7x=etpacTYQejVpTVE#iAasL1O)MPY6+)GLdd29i=h<@gvmOexGUy#WNz3xqqe>=3Qj>fMPx@)+LFJI`o+ zgP2*ujM$5vnA2w`ajYD*ACg-P5_MO>y@-A(SGfv&h;$1~lAXXmId{m+JOzTfNZ#D2 zzXPFab4^TTmmn;2XX!g8G2+ zTbIaiwnlh*UE@YP3q+R@o$NpN6=GtU69#aP9BU=M`|R`(#GT=g_iU(!xYaxtCf8dK zpCczz_}L!3to(kOe8DX200-mht8oy-#rJ__6|+)=zmiE%VrEB~|4r)XW{B8h%V6;g z*`Nly!-fu_|1ajE+2PQ$K&Z)G>0X{doxt(xD4f>D^j39tdRap3Gfus$#(WT$rKz}C zhFl$|YLkxk-H;%$--FA&5E53myDXFOf$!i}m*l}X2z)W6A)BrWp`!1^&-f}q*f@PT z+tPK2uw-S5c-#$Er1+zPXH6uwln<1uEeB8#T9Bb~_Y#PxzX0_ZS zrcJ#I3BeM$SmlU_f|Em3edVbn}eeM7FXrue~3i_q= zjU7nP=e2q1D*vE81Rc3SPv_GGAuH3im!{EoEu+fQ)PDrR9dx*YsDmIPO0=0FsIuJf?}bl*P}MT?HqscPOFVyN zP|ZM0bmPmZqg@bdd0~yke+=SIpLu4qe*xlFM&8Vc9Yod`fviWz3B2wMuOC!N13&M= zSS_=w5X8>-Fq9KBK?UzckzYlwQ3*GhW}PlX>^_im1m+-8pW_K3A8Ui4MAOz$g1JF+ zC4Grli``M#{g_V_^GbT#id7ZFHXk-9=MRUtOk>hT^iRY)zB8WnkA(!#Dsmo2<)h~dw^Q0&=YQPHB9p8Bzn)D*%7HuYaOlS4%DaTi&IR1U(Q-wB03+UJHck3sG$pAa8ODaeWmHnBX# z2x0`2pw3&Qt))#89+w8Jp1yP#%9OX4NFMQpqMoA) z#j9hO11KQ;{Y@xjpXCEC4g_LNjWorp&h}rei;3!P)6Y=SAxFBUa~29##5cEg|3KdT-Fj|S zS;)F8mPTB(3)0ui+0TuhhSZ6iV)y4#kV4n?-Dn2~p6er#ryvdD_{mfhbtfUZ$m8Wb zb0VDg-*IQ)>wpM{+3ikyKSGybIcrMP7&JP$kt#_uLbdw29v10eP;s-k^*rul%4lA! z=UQh&@o_2E*e`eoQeKmZJ%akpqcpv$!|3JmHfEEj!k-7}$L}wHT}3~>!1~jnc}N!b z3Sgtd8Jq}B`Cp?oju#!XK0|QuXXa{D^I9N z8mf>^WP?iW{L{D7`{B{EBW`**lu)`i(eB$r0sMK?oT6@ChCH{q7pEI2AUl!aUb!lI zoH%66es#Qqv|r6v$Hyuml~udqo8=rN&FX~I*kS(j8m+I~v;)LmV~`Q;6b8ai>WKMi z-1Hqk{-{tp5>(=^txIQs=Qoadsutwv#HoKRh#P|-t7<9Q?{6UV#<>;t8xmNfs^Yh6X(8fN znomH+U5LE@T`MWg3Nt*+JyYM!;`}_)#G8P5eeKTNr(7_Ty7}n+?w2niwrJtptdAwc z1;y7#E(k!pepSehRm`vB6nC>^n8yt6o3wKJS>R26caV5N3;aW^lDn1aAlQIdi!a^@ zLf`3I2k>A%q3+<>4*O(?s83dUtdG25GU`#cU)8v$sK4u(zl{A&>WLphvJi8(J$O-b z7SDMSxkwvkh%;pA36GhCc-c`2D!~{?V0*EPe(oqF%nuN|cHmC3lrJWyiyM4imPl4v zT!p}+YdUqUtH|`ae|Vt=pN+X(uZey%K!i|A?Tt@C5Shf+FIS1#C5sx)S=V_WT3|+2 zdD}sV(K$JDhVeSYIviwTVfqAdo^}RQ$W@B>cz&XYRs#}j6^SsqjMfisQb^)| ztr1>gMdrSr&Y6CA;dz%?J{bc!w4MwuGL-;A=h=ZRlFRvQ98$O=AaH< zC*kseQ*994C=?j(SqQOoOKaNKk3yWXL?ATc`|2WbX8qkuWS8cjIy<}z5)0ndE6esl zQsHODeqRnqPL&8^BvXbIDQ2^}wEd7$TIR&zk_%x6G^;*5LRL9*%3^F|A4IN>as;|z z)_8Tv;-x2~n9moR+8*>BGu1`PK5z9xyse!?8G{=njH$K{y>>v>2{q4sTReN*BR1}y z@_-cGyOOJ{>&QNN6t^oFwNDSP9X2#Ww&-^GBrnW1OLM>X;Uv=*q^(VGe0W0fyY~O- z`Tyqi{Q>U?{k!({FDmH^{rUIu|MTG=cY?n^k01U!eSA&^Yn2iNvRZYp@|5wka_{`dQ#G>URmJT z7hqh#brXDceDzU%Wd(j@yo9^KZOFjjR8Y4Tg204L>fqNr5PX)e;JiBpgtR|0-Fu7WP^KxJx+w%>sWPRhzdd4>>CR@z;@6FGJ#kL3$D zEFu?izkblEa`1F$o+xdJ(QmU9O$A}I@~ypJw9ubV>PK}OpOqS2Gh}9)IF~sZ*XaES?}i!R z8oe8$#F#tEons-Ym1n7Y1=$?u)&-)2-0`gW&`*I0{w;oWrFmnJRvPUnhp9+A;hXvZ%J(Un8$3oPreLJV{5)lXS!5L4Ouibs|CckTb~`Tsig|Fic0> zJevFddJOzG)PB1lYX-~ZCs21_*<>p#8XmiHY6@ZAZrP=CM^&n-p_r8ECcjWPvZkJ2 z8JUTI?02K8L7xR6Q=NV+$Qv`aPGyO1q_Lv+g?IN)yhkV3ZQHtgauxGb^F1cC)oA+huqh|Crs+4W%t+RG{Fo^o+R-58VCdZ#*69^`XmlBj{Q zp5ZK;Tm>lpJgt{-U;;HACWEB!koOzx>OYlT37J2brkd8>A-(;_zS`0gkh-w0u=iOi zq^L0nU(Zi~#KC2~1RlIst1oPO&x-73CdbqYibFU%5+5rs#GgG0P601VO6br{Vmy_Q z2leB1cdHA_pmLFU={9DIK5|oQz0=kLC82ZUy(gxjV9-^*(ZCkjUmlC*=IOYzyqfb` zvzAS>N1|2_%r43t{jw290921WYs zMk04LLEf0y=P%rEAcs87Z?XI^?otE7l>AH~J^kH1shu>C`eBAvi25ylA6Qj;PR~N( zr(a4I%(y$W=by1$;>lG9~ohSt*rmC}H@~Drbm;AOvBLnhCjAp)?CP2207RP2&C}dt>IN82r z1L@iuMWGWKkXrm*;{j@IQiMNL*IwF$#QJ<%kw5qh*L=G@=uvyb&qHOD5e+>$>O;r2 zF+#Hl<-vV1;ZSR*)V4K92~T8bPI9FNU?!@o?tHZy+L@ie|AxUM_ z!^Ru)gg-z1d29Ub@7n*5p8p?mPsu;*4)pE+;otwm$8YvW%@g?kJ2JSvp0Y+MxR`-| z-FUPP3C_5>R3t8|;M|q1<&(ZA@=davLas9{A@}s&r-{Wcm;qtFEm!C{5S;I+eZySy z=os09rp&^anGjkf5tIb6iB?By+KnO3|2~(+a2CXy7N*(F?}UVNZ`LJwao)Q#$F;HI z3~DbPOTQ-L0&my4XwL6!5O8a{XZ#ougxv9SbfnCIFq>FsaSi0VZYfY09^`^ZBNqz# zZ(W$xdEQ30P8kT}L&nCk$i^hmVLXtohiq{n+Xx}NW9$x4tyEZsc+yWfe2X{}LTKGI|~J1#T)d9i)neaB4F3cyY{9Ve-GUpsr}3~S5w#-m4kTjrgD5=e--;gwk<4M}88m!cLZA=#LOo_q%H5L%oPineBu zvN~}2+*T~4hVC#8{lx@ntZ$j>B)lLkXXi5|MdKS z7XIJtj=yXF|E=r$AAkS9w&%CoW3b41Xb>E~hRxF}t%LLX0*6;ab>NyXBKDQ>Ex0q% zQ&9R1BMZV{lf4Exad~eX?!9$K9ojL$pv>doJ-(i!OXvolsdpb<(X)c@&}bdiK8Ujon-u-o`1OoYaosEr_A#mElqG*Q**u6HoEPLw*IEATEs~(Vt zhxg2))!9CQ>uif>ACCmGH>|d$4wZt(Gs@&}D+%x-T~`h-*bm;v6T3d!mx7P%Pz=AL zCHN{cJipj+7g-JZ+9E?S;4dmTU8wyI0`_<|Rm9$hfKS^lajWqnCr(E$r|=#GS#a=# z(=LPktiUM)e>=GE-J$H%Fas_(o*tM*R)m}W&@oQSFW^2--E!xf5_n!X(iy;P310q= z?BNx;;9aAxUoL6`KJRotdDpap@7%<|#H{kCjx%-FXfHt!+#hukq_n z8QsRjrGu{?>cv{cs_nsR#Ld z1y(Uc-Z|j4mu9Jb(Gq!t|daTwcj0Ya4Bvt@P*cDrAI9e`LI+2VPP~iZ6=HfcI0;4gGsp!I$Np!o^or;Agy%ucCJn{L>{& zz29;|K+is*-jHDkoV`Ao_<#k1R#}|dduJhd)vC!*hZI6SA7-B=sfSRLdXI)peAc|7 zXl=~pMD|EFZxVc|NqM$A1VVxY>rRp-L+JI!0%pT82wfUvrqAz&FqcV%m0r}J zl8RjDpGbmm&pQVgd#oUQbBj+nJ^pv?|L*z!EPgC!tnL5y+HVK9toXBBP;&nfA&P8g zF|TL8-29ntM@We>r3t_F5|ZvOEbsr$00~Shd?rrlD_dziE|n>Y+1`p0hpT0QaOHyp z=V=*eZ@#@0b88Oj$*L&jiZh^6;fec;T;#=(PGxbtSAvogE>iDKhC_j3w1;3&8sr}S zK0{}Y85~iQ91mG?A;XSG`Lrb)=EUSQ@n0muoZRzpt9dsh8|Z(!tEmJDU#vaj8j&aX z^w_|0)Go&~NTqp6ZwJEnx(6HkE1~1A{piNHJ~ZqoSfy$?2u}`w57A}Kfk#iL9KTn_ zKuK@475VF{P7@*YB-p(L|16O*!RV z)w7Tye{+>|_dZCXcKFm8X$0}Dn$blUlOeXU!LfsG4Wfr{MLWz!K&NJv=ixmEprPJc z-IabDRH@6y%OFFvyos|$)WHQxE1gD2O+}#Sr*h%qbL1cd66g0;pMadkXMMU=Ban5c zvUZsS_a0X9Qxg;CAnnP(%CfvAq@Fn3r(=ix#S_KzJJoJNqQbrcZ+UHqXCK<*$2^=ul-@j91|_ZUSEH1moKfk~ z2Xo9Z*1Md3bQ}^NNcQM@ctX6U)AdM`2Iz5h6P_(Dfo8o2B(H!DYI{$z+&gCmPYTS{ z*;%`wLSUeqbG;wR;u5M{vx}i5qWgZY_&WYO9I{tCWFUX{kC_Y&bI2+G-K}EvLRuu`*;n*B;Nnur)N^!gQPsA{$HBBs4ZE0|4w%o z_`aCf(&vv;&=r@^EaKwDUnt|8h$L~R;x<$8u@m+}Oimtkf=Vdgfgtei3 zGWOgV_4@0+5F3*;&|5?baTQPVU0F%mm2yZBFIT}Y&&{z_}?z) zzIp+Kf`=>)`#K?pX5oatFS0Oo`c!wZVOBUnmnNbL^X=-yYj%}VVy`bIMP^G3i62-E zEqpf6AK0%Qx$QnAclxUPK9s|}9}^+6;Z{g#`tasQVmt)vSa~JE@l_0U< z2HTYJZbIH~TS<1L6qTLXKp}AmS*cJOWRsC1viIJ5 z@4fdXgjDt_3aO}+%!oqK?>MjPtn2!IzPH~WaDKk$?dEK~OW}AukLPhb9`{E8<{J4^ zJnCa?LT$3;#Irfvy}7&WFj2*9)32-VJ8$oT$j0@qR^w+EB}>i;uylDKv>-Gi*-L&RKJJdjo6J9|if1G0r~&AwtW|5N+Fd;WhF z{{QLGtv@kFR?jm=7C$vg_Agdv=Fhsn`hw&CbPw;nY_adVVK3Mes``*-ii7>~C}1S=4X zoc&}2wgLy&mG}d|!N}?CPbOJ#<{GV+wa)|BBoRIR_F!-~O_L`!Y6lMsvM<3hV&JKt z9O)y7+&{U*`RZDuh!AE9^QlCw@cWU`I9;L z6<>U8<2(cYa@T_#sTaVSy^5*~*&ep54O-sg|IA5nSD{pIEsg>YyIn5@PDz7j04?vcixc1#Fma%n>n?aZeY`BLW&l3A zmRcKP=HM$jt{h6PjeCL+fk&M|;MW<+RhBac{vK}p2J+g-xYl)%$+LohG3FyL-_(Mg zmd!r7enW8V+BHsAI|(kMS5rF9%piYJk%X;t4|v303Bm*<@O--UP7O0ny*^}1i9AdO z@8wNuB?U$B`C{zGl-!JWza#98UESc<(WER9u^;?1FREveEkVG&o_#TSo)9Rqe=}x> zDc(tHmz*R{Kv2#{wbM8ka_&nDd*H(g?gY_$@2z4N>b7@gytNcOr%z=3dcq0br+5rT z1_r?Aez~A=;sp3+eyf{m`~`lGjNfYTGT?sTTjA;=c(vZ5WDgiOa7>266pPc}$;Os~m7n({TH;!EAA>w3x*Z-qH2qesGp z;x-_D#a(hskqY8Y^F`YIIuBhvjVGARqM_x`?pqD7$1w%^z(V~3C0Z7Vv7?sLQ4GCq#`5dR0AZ|8RmtaF4y6wXA>a?<;mG1DYN%Re< z2N6dag+i!$b(T|Sy$j0MzJ~Yt89+&GP!rwu4$QnbSw#LBxxB;eRrijJKu*?}WF-r- zBRaS#!Y;5wCXYe22waBr7Se(Q6;(*xY&0@8ZieIsErg;IYLHmvcUQxZ2jV9Z%-eMp zpvQo7=T9GLXzi8Jj5W9k4fk#JPp0rf^@jv5`yJ>xc>`8-=$$FMd^jRDt{aMG_?7y| z&OrgkG(10tOs$s&L=vvqkUeFv`+$NbWF1wEv$SP}jM6S=9z#t?*GzNe-aiki*V^d1 zTJ#|K#FkQglq~8h4R7<$5JT@Hw{wQ2sHdMB&^<(335}Yal$j4ip*E;aS7k*5s;=ml zt7%I@`TFHIw_>!RR5p2aYo-vhID)4JN4X)tuj7)a^JB~-+{-rNPzu?jXZqhCxdmBb zzqF*eP)pmbN8^&H4e1^S$_(DWht%>*tc>WZOOC2y)+pQ!{ZUmP$w?NV{l0a~Zg<@G z5C=T24KIQEcS}{r&3mBcw)#opd}^p1pD2=kgM5I|&^=BOOHg|I(rWKlD<~=%Tby}- z*(+B$qp9f7tEK#k*z$oMnqxnaih-M%y2 zJP@r!7!pK;S=FR6cX*gQ(P!qalExN+-p}txWRXjsc-h3yI%OB?*P7pFT$LNk4FsAJV(R8GrI)hLT`~^UWG52wY39 z$Wp0-@T*GAaUtg+GQVjl**_4XGbf+E;6;z9-Z3>(+8T&kJx?f1coPzgT|%gG^&zp9 z?Ailid(@3HoIS+m3MogK&rrpALaJiMC#gZym8tJo%n|Q~bna!csEj^HPtPO_UmC}G z0Gah$J4eWH@i8gcmkh!1=+}G&&W7Gy5j|#Q15pBX)D7=;Kn%BDH6pw)FR0&wn?wWs z_#Xc1bvU=!=AY$wtprIoFH{N)_TU_UqfXMb1yaTjs;)`>#N8h0)l1VP=%>HX%fIsx zqz6hzuP&pGe20#)q6PZ$9O+x-7SBP(Oj}*h2=KHRvyoL;p5c8!fZOF(@{_Zy`0hxym@*hhmKpx=T8?{Xi5W%Zq z(JJN#QJEJs*6un&%(FTj%IDP(*K2w1krwVolb({9y@^JR(z5#6_B}`*mthoFm&9FJ zZ%PQcEu_^lSRbP_f^;vQL`P%v&$EdHvk_uGZo^*3tHL^vsc<2Z`(q|#4qCZ7j5t7+ zYW|w6GCO4T-meoEMvWGg8K#J0e($wjv693KkYK0rZVvVPN%w9P3MpW|u3|;{-ouTM zM*2l@uD}s@mrr-7khVj{LHQ?kq>PX;ep~KIZUSV6Ovv5o!#`KldE)2?{C521h_DbV z&V%$_4_-h93YkaI*CfmojR}a&&f2iEc;vy0aF7to3DnHV1Bqf z;jqtMxa)h#o#!AsSY8jlz4X8Z?x}0k6=5c$6@<#!e%=IYCS|toc8g&BvGLh2)V$u$ z+j>coS_(GWC;7kg)PXIzZ=Z6zH`taGiCKQ;0y_nX&l}O2U^o6G!&Lqg=$F5m`^s_) zZe{z*b8hK^>8m5gd+Rj8JZ{}l>Z>hS5XtKNQl16Nc#o(@G)!l=xIWAlUJIb_#7X@Fyt}uE+ zOxX$SrSvvqUCY5Biu5ZZW=0tsK+z74onRJr+$sk%IPNG%)V1Az0~SquH#Pd1!E!U* zil$5z?)@qduls=fxD^{m>D}C5J^bj?R)#s;FKj*}S9}d@?!WdZHYEewlZ5m%0sdh7 z`Ke=oS^(I^u(mdw`Uv(Sg((4~cfo#W`l69K8yNnwsJf|>1SZ+8w?sFN!|m1^8WaAd zaMzjJi^R~UL)GLm3Zlc%@PXBf>5rrYJHdLkfIL2`67DaF z2&5}5fX%eh>KpueuUuw154VwWP6qf;GR!V!|~F6VD+|!==29MxX=E&#Brtv zY_2{TbrkIZTL;Er@hi8%F2p{ie$^lBBc~1?53d1-pxe=;!+zjsx9&;RdJ|F2=*|N7eRQZI`s z$Zv*+PCLZjj_!ck<%#X>`YfpIyFtQtnIFpc?b&U6_!kt*H1IO$8bQI?SU1u*d~Uw* z%I{x%jq}v+NiBi+oMjPdW91cqjJ%8DI!m0Wt&}Qdt-*8fo=10l$}l9~y4#$>IFGq8 z$|h+WB@q8XTauPU3A)A=_st#WhZY&(fwkh7P#4|sT}uml?rUC}=^7@etr4BFpE`nG z)@`W6$f+YCy39>4=jqB_&zwYP>axFj9*o<2{dVXDn zG>s0?J>1B0kTO@4&nJf@&467hY9}Efo6mCpya9CkS(2yq(n9MIQkfm`qEK&%v+zXg^aR%=mPCAP( z{AeI^<`$*?E}UigI+((I9M1cnl4!H$LaMob&Sa7uYHWLKOU3LVvDmHP;q{%+Vil-#MK-mS71D`3VK%!ssPtA@^z4=!Hs_`J=uEhM{cw9Z|Zf0u=j6+jLL7MMm0% z>xRp$m`6YHi_xM2?;g)@{9HecJ`1ys%KHM4>8BQuI2a2VXRhDAzKPkX43Y7&n8}gK z$S?SV0J*>-)USHDlAw1?@MN&yH)LeL+Trzh02&iE5;Plnp>}93_S3*PRAuhwbNfsL z6&EZWzKDoI>Ca~JCe|x=4tWo!T8|Uz;4KCryP+jYliIOs=KTH+9n{Z>#&j?cjXNWcC1*@1j*NbQ%Iia|D8f3>YJ2ghw_Pxu%^T+W1d&y)%a zu?c99+IjKHtv%?e4jN#T!>c2O-1Y(P6fw|LFSu ztLOhs?P9_D|EuS}Abj+KAi)JSS!DvFe}AJ)aQ_x+e8OD+btm}8p8KIRy|$b!wh*b8 zGM?4ViappxA<-W+5O+RnlmYYX5~Rg$9XR2LS{cg)+heJaoRQu9!H5P@4^?ka@nLOw zjQ1T@Mcdd<73Se_TV#Qv72r_jSYva zgiN=gZ59ZlY2e@EhTfJQ!ul`MbnnztFrJuP1t-nLKiZ~JY#7$#UP{jpxV%?RAQ z5{CT#;cI&blvUBsw!Eiqq7pJbOH-;YqK~{f(uXfy1JcgsIKO3e#9gP!{nsZj%Ow2J zcUrY7=y9bFdfX)ftqVS%X8D7m;nAH3Oc_H^Bk_9XM=qZGXXnR~PQ_vWb%Zgi6lb0z zlk~>rGf>EJV=-y64>?4$kra|bjN>h=F+ttFs%m}g@junX*dV) zGjuh2;R9*J?bX^>SRsXHLwaMZ7J5IJu};5!1CRC)cM-&}Lu38Lpu9uK5cxVU>BonD z!j9y?AMVpoVS8n-?`S8KsZ*OaAiK5rZpF{kphYO$aW7|C_^+J4a$VlEoscUydqRNX zGi2wOWO`7G;KxHXe(N0zWRlkfJ)p0G^raY*X6OsMK-ueO{0}=Bq2v^O z?O+FHc6=4Ma{g5$=0kMJyLJXc-nrp^ZK-pR(_+7)H}DufYwa1TG?DAaeXh!}jUO^8 zB3W7&8~@b)f6jfOfARY8F#lcq)_O*ULV|bi=$x<=yQ3p>N6-GK>0jr5`QQBhf9$z` z|5E2yZUtOvcT+4AS6&2H7rB}D(-*CFI)qww0scUa`)GEq9lYkJZ1fW z8BU?BBsT;aY9X{P|8V33bFew9VZ53)1dgTo$KS7yfSUnL*P1wT?7B#5_zn8NtH{Yw zs$>g%w4$Hy>SjZ4QA6+euX^xTDiOYLMje?KUp^G-{(!*J^TD4pR3ND4P%C5OJnnYZ z`wHvCA;iY|lw_w9gbJVM;86F4&`EJBl}$>!u|$INE=jLNlV9Mj zzo4Ib#R)t;WVd5Eo51^aSSdRhW=S1sGrRm8ca%->uiB^4W5d(vqnttsf%gSpi?WMC zP#RHgllLkFm-3}YTC6}wMqurlHZ6LbN)E8MorbWpRrH;U&FItf_uueER;c30`DbOp z;6UoPbNwr3QC*4E+!JR29>H&F?7UgPt7hPe5JNopl*p0kYs!J2od!$y4GIWg$z&>Lo`I#AY!{o;|G+tbIy zAaeAg_qX9(h;mS!)VhzEn-m?tDD|QtI{cPkcVRpFFIT#j-vY$gy~gMJPKX&Vp74J0 z;ZN=VJ?r~-;jRnLiv(m4!@Ik$EZ=J;=H}CP%jDhU0NeXNau$O!-#;lwjiMysgQIpG<&1o*1{A^GS@);(LB{%Ote#zFA=d*91 z;8a^Z|LGDas7UJL%0_Kxnsa3YmjlEx8a*Ex4S^&Q%@BS0ugG|$RBFW@KK(^*Bsb9@ zWS$)sv}NgrtUyW!JB2OCt~`|9?S2h%ng${(>sTSTgtJx$77=Lz>f0%Ohg6B^V4?e3If4vGoVb6p^)jZtw3OV;{^vD%DU8PH$(xoT0Vv0p*5JF6OBbZG$ZD;`dD@ zYBNy5AVJFdiMP~F3k`!Vb5m7Iy$f0$jrd;Z_2{{IWL-&xJ2S7+}D zO@j*V4nclUy_miJ^pqQN+;xO37U!U7uQUO>w=U#91yc6Lb?oge{lATKKze5fxn|aJ zND)>cI=hnu62dm`r?bfr^>Uu+sUGI=MTbqypp3o&A`HYk65;S|{T_$hY0X2?>lj^Q1P`>nY<~xr+6b(s>(Cij~JfjCZ zFP!&aHkYv#y*m2#&PweLE5aHjQ#^e36FnrJH!0th9}01YW1r9d$b)F};4)+V7Kq$$ zuCn_L+IocuSTd52c zKIiUQZSI8Pp4Lya?C2jnBfsMD37MePlc#U86G6ti2X=jPMOJW`WOK_;geiYr zf_Q>U%6UxV5OY#Jf0G;;XMGCNTJ+@*UdQ~>*aN*YJzR%(I7LBVQXV(?9O?^Vj|Ryl z;H)#Py7cGmPf*9hu4}Bm36)oBBr`iYp_G5QH?o=#3d|WCGqUpVS#$53p2$_aUx)Ad z$%ehW*2;mTr3E8XD{|@+8z7z!4NgHlm8l9Dnz7Dnk!P=fspI&@i*;)Xq!pa1hjhUdFz3OTTc@7kT z4{wHPD&$?aRNH%F8g+3J&u3oajxGIqT9s-g?ij}osFx!@QNDya>G2(iXLmSVce@Q@ zERCNUI?6)SzP|XIpKn711^-fK6#5EWgGaB+us|P^%}Z^0b!dD3N@yrO3Ldz=vOU)k z0X5tma>D%{P~kVmXU52k87b^0nF&njqkI-qdQA~>IaSo#s@pJ&eD^U2B6Y|hp%nCf zYX+%%=-gM3`IT69u}1O)@)aJM<|WO2fS9A-KP0@_2a)p&!<6zA5WcGF#1-WH=iL9V zp8q$w=l8k)|JU>XW_tZ&dwxe#P75BzWz=yka;lMJgXhbLtTnkF@FAcM$!U6ky0o#W z`{|6}UvTc0Tr?d7o|6?F7c+&RCqkNs`syJ>z|VAh#S%i@rNSKTn;@*E)SmkA7KGd0 zGylMX`(u(PWE^YzAtLDX4-30^h$MezPg8FLk)CcI1p0-z>$wyub@B^=Bhy^+uP@dE@feWlyqVh4e(ulm`>|bWd}gyTZ3yO z5yIfyW!BKG`2{?#$6ovLoC3T?)LCC&Mpig+VDW45cJSMD^jJ9&1p%GHPbzb7L68ky z$b{hw2-(SdZ8@E%RE$=omlKZ?w%C-%q< zpgfwzNct86KWgfcx4A%Y#;ye`$uS5$%b3o0fE~iRIy5r<4?uY8$Ct}a zr<5JDHRm~6gpOCB@AGES38HF;WxNE@gc7|1Y2?Ut3|aC$D*`d1N~=%fT_C2D zdq^r&34EnF8N|bmfd7j!O`DH05G0c=brie-}O$bok#qK0SR4TO$>7y}L#Pthfjxw5V5uLH4aNi*5v$i`IfwLOl{<9H>it6Q!#kZ`<&iRer)B$A($ zmivZt+?PqWx}}~&QljoByBq^ZRy~}TJ?szO3#I%~Mw)mI)9sP=9)%EdvS~O~0^vGJ zyqj@^AKj`+E4 zeedLQTLKb_7vFmKJ3(SlZs-@v-H@bBQ8jgW3z9h_$YplXAopdF#sC=|z9ij!(|M5) z7(~^pZ7l(znvyS+FpnpEOiMKD0zRuW9`INQA|s;7!uNm@vN?C~n4bHBoPV+PxSwAS zK%C||7@*XJcymJ{y>Jdlxbt3sqy*ou97SyfdxKM2cbAua@%k&!S+ z`7RPt)E|jo)xV3}o@QujBxurV8E!O zvC|ode&cZLwP_*5-bgc5dzOXgs7fl`wHuH?bLrUCJ5!K&v5}ul7ulIEd-$8~Y(jEU zR<&^9CZrUx+`hjoj%-eqx#sWJAuThEFZi@Sa(gb9i|w#RZjUyj%Sk_oI8aRLu%iY0 zf>wR{^{1E}c5>|Elr_ZGv-47QyoPu^I{L>_xNrK}*SyoD9FnZAZ?0TtgXA|vvIS3& z3ncQa{F}8Jq`5a5&A*O>^qavI)MrYO+jCl%l=={IdoK5eOF2U(ag(qYb2VgotZoO_ z;eKL6)4fwc>QC+e>G^+G_WuL5-*tj}uvIt$9x`Y3&Ikh3x_otV)!hq~3bz!uU(_JG z<634=(_v(HOy8RF2tr=^o;E9r0AzP4sH90{Le^<7y6B0Q$nLm#SD7*y*&PNeu(jOj_eM>cSH;| z$nH=wQ@Mkjxc-ey0nF?uTOi9Ak~2bfhtonNi4U?n9?*?a#6ixQnli=dS!8#N@E-J< z#e4BFrDQ?Ou~nCgvz)~H>*x*5`t2x4x+bUcVF4Ll`#VTQvz?Lsc$#JG8#2eFF5e}Y z6GnDNVTLY`F|s>eoeFzKgX|9RrxUSXWuaA*Yi=w zwfRnDcSID*c&#J5qfMyw=N@EtNTem; z?AqxKacdz8_c9J6yTeO3EpQas9k(6s=uaTKBQ_-P{v0$MBCppVIfCqtqb}Y%UL(6B zf}Bz?7D{zb<(x9%06<)%BC~AtjYu#lTXGHr*1*| zm3=h9-p!CQd(l*SxHmTk<~2wjN=QtHjPj$~&#h6{D9q5TmR1HS56oSq`J0fX(n5Ip{SHXr&EWmv z`~cY5FopQ=Ro|W9mwwR6q54ELUsqg|JxDVx3rdbFdT0{cE@Ic#Mwq|%4{!7<~ z+Mf&ma9*FQ-Qr=%cZ}efwM;hMFb6(5e64l@uIPCY?(Xs3hJaEPs|_O;2y)rl%!wjI zhV%@J+Yru^tKApZ)T}YD=6-D|Um}D*=1Fq(PRH5ZsGIZx_SU=ZO&^$Cg~;@rq?l)8 z5Ow^MfC_qKqaL)^j94W>w4|#xu5-Z6nPFBikQ2P?H2>4SAyRA#V zhQK}Ql!qxK(SM&XMAoGZA&F7~d|H0#fwdNkb$u@eqM+cS<_xVG$puCh^EccopXKz(Q%OV4u@v~rG2z%!=xPu!R-c_Zu zPn(P&;&#s@(XR-IWafiIoYN55PRx7m?q`V7SA9Fbw-=%|$J`_+5_~E7webfNa`YR*M6;w~$>TaA1azq^w^%RxKe+ zSIYu&|H#2jqW{Vmm4~mGr#|c!SO`Q zxv4)!#Ji<|`91ni=e9Q>=G|U?Hq#y8wcfg$x?>G|pYLO%FYAT?O|{2^$Fue8-%igKJGJ`4bS^)|2Qsj`>8|BD~8NeuBR#q0)nc*CB}7 z-bU<>7=(B)h|(qaLD;ic&T9uGA$l3 zBNGuHiMAl7Vp>$t26@dsx}yrZs6kf=y4ZWz4&v!k&V*MXD}KOvDmD8DBzW1r{mcZ};ic&7W# zL{feSAI-Pxj>FU7mp^LF_iGvg3V*Gew4H$<$H&))h>>~0@l@d%&n^fpzp>9UTOGpb zY>dl{W+D8MibQx8W@VY~tLnVd2$4IV++*YTj&pP>wU4g@uy-{JvM5Hbf5VNr;Unk? zmf(KwH4+GJ)?b^!{4qL(*-sQ%jd+OT|v6H2KkK&B)a$FModJN|8DjnGt zkAf)A-3QrU;S7*Qe#9-G0HR}MUlPd3fV(|4GfB)gc$JR3emZy?e6Nn~Cu2lLMP_tK z3qGR)iwl2!iZ}?t0c?jAV*4Rfn$YyaaRmr_qrZN9P9MT6dNt~tCGp?=%lOdv6ht0y zbNGpSq{z10v*u@3Axih<{%Uq)Zf+d2x90$KE^0imPxFI0zp$VzS0|+W#4a^U6fsi=k?AdK`2)lXu9KUiXgnPaZiFHCQ zpt5EHug?yMqzGhNFw?>JTVEeZaRze)YB{9ZS|NI0Guf3stjXF@6XFa}@3~>;Y(Za#}4R^Cm3o0TPwjr#FABYl{H!&XT#UfV|??sKY@^nBk>GEpdt48~lw9rkYlrgdp-KPO8ay5aJ1tF%~Y+KYc9P=TF z#(wTN1#y>nL-qWEA)d~lK5S7FbNCb=FqY0hf+tPP7cXSE9^0QSn>F^Q_W$(!|K|1m zRo^Oq*Y^L~+V8qr@{!8Q7&R4KiLwuxp>~x;fs1MjDhE6~t(2Id`~WA>!{ufuR*WnT zGgE;A*;vZk2K|sbQnjUJ7X{h<1Iu&lv5>VVx0$go1~Q`4qdnDJAYEEq?F{iZNaZ=T zOCs+lB$Eg5O|#?u|Ii=_LlyFLwf%^Hpx3o)f@SPh@F{4Ks}dJ_g__>@SG1%wPEe)! z&_l1N7|NsR7EbJOg%Z}=o3l7ODMUpZ0ypQg9W}t>- zzaamPtJ9F)lq~mgw=<+sEE1HYe}&|U4FaL8P)K~|K*QqC2MK#xy5l=5pgX{8k5O|m zv~tG`?0we=_4g?>$A`nAdhch#m5Ftz5UHdZCTK_h8s+4aPZAVS91!wULss`aCc%5% zwU{|JXci|4kZpeMJZTQoTW8HS=dF~y#c&U)~4QzQD@e{Kpk#3AEqQTf}@ z_9sZBJ|%Wns2_Ulz7kVrG(zk2wSM0f6KE(+AgyBM#C#$u79E{@sN~#B9i2%BWpmQa zpDq(avA;?%;gfJE=wVjw9VUW2k7iRu0z*#d@tBDcG01xL@!dPxILN%Y8p!Z|7(GO+ zKMvnag|uwlt}hRf;bp(GVMm4)Bsp*OoqTNwy%XxeD=N$Ih@54th`t3H)30r0QZYg8 zi}`gqi7BYck(+;et`If7t7EkBrcnBAVS&?H42lV3y=ig3P~dMFS+=+r^2~@U<{}j# zCo=Z)#CP0DuEggH^bJ6!o9B#9!#rf1h^?eLj~ZvfNh>-}%oCb8<|&N!P9G~_Z$s7 zT!mh%!u*(LWGsJb|G#?v-{hX-Iye5M*;SE4Rv#C>ozmWQ2cHSF7X|)$H2mTE;CD&! zjTVZF$xd+L{k4z9-8wxRIfV!=k@>d*L(kd{qNU} z{QdjJ_WJP=Q7Xa2-@iV|@;E8y{S}z{`_Fq{doH89)eENoe*LHOPxF>iD&h0rzi)O* zzI2b{6wLqq``yc*Bm@R#fcMpuk$D&NMW1O-IDTjndrs}`B@tuf@p@KKBw(+d#kosp zD+Izcqo=Etc0;%$y`po(Up(4+y;t!u$hR|W@(ZPc$b?P?a?J*alDN}B6jA|EV}h~K zi>VNOS7~cz3p2B}*hJocvV<64<{lX;UhohTzjQSlH8h=Sv`XVW$j@Ws{R4MNTm= zEj`BU4!@j8xzNWD%{Bas@P`*fx11I}ugecHs;UR|#M~g}wZm(+b{_B~*u6sgs}g+7 zo2T4*Z-W2nFH*0~P_vU!s=~3X48d)Ao%>xbKxnC-K%;#-gr7Dzvto-gG3rxmG|fX0 zF;YERO_71~^l^>t`$r*4{)#_SQV~RbrRDvSi8+I@FSX~+qd!jQu{kGcEW~tQh|nS> zgjkIsC6b~D@REG;RHQW-d|m2X+&&jWz-jJm{U%1tK;2?{QGFVjBKIBd8mB>6{l~Oh z=Uee^^`kz`9^ZeOfma;48ART>xXgIE8Shjj?%sMP5H&kjV|&09qLY78n$|HujC}M? zhxf)1Gq3kzOTGtU1L?(c;_3I`HM7-Q1ApS}UA|JeaR*RY8QBg-_p{fq^f_?-XMeT9S z5hG1)otqHzp=G%y6LWkLgH?_C(Wj?)qBZ*!a`={|yZ6WvKzvjagL}9Q1Rf^XzPr~D zf?ws>s){3{+(0dkpi33P-%OvE6~~$9hL$}43NmC!E^Z#6RD)GrjO-|IFIA??~D^Di8v$ zC$A=I*ryQ63)!*VmC2(qg|**Or{R8q5b?SIJoV->ri8CpmS?0D16L88Uu9 z84Z5Pmae_@li>eso7+}j0|Hi?4_NP@fgn;@MxS3v5KOi?^m4iwLI@&8#O=)>WI>80 z)$J(A#9ulj0Ivk%+`pC1tN-wz&JzDlnP8^P3Fu|0eax8hkreW36BB1^@lp7c^3mA>azRCzAy`7mj^W&*;TcNcc-#QUqjH$o=N6$no-w{9V|2j_4Jp&#?e zt|<3BBYpcBcs|%|B4-=|-tG(6-%$vGFC*14npV7n1v_(>KOX`A>0XktgbxtNt#$c3 zr!53&Xypsql0dL?K1crya`__Ex|HiTAvBRL&Xf@KgmHOHa=nis{M=g}_XSP}Z@h9I zXdglZU)UxOGl2U}!{R}e&)|9Xz;mL@1IWsdUnzcnAAHth8AjBGz%M$*dOxoP1kjlf zKBxE&f%fMDazE5TP`A%nXD53Ip?Gk+p$NHol1njTIBy9v6wBCYF#+L{?hLH{(s)OR z-TG$w6(ZzqPEQ-nL&Rf)_!C8Ukjr#b%1&+#ylxIo$ycFzz@Sr~Pn#9lF69(d$O;J{ zl2Mr&L{49h%*2DuW(YoU*0tCDAcPc>Im#qIhOphkKM=`W!8oiFJ8z+9MF zkHMOX4#@LM(OgYOPe{;$>tr4>ktUdgLVJ;$XXHEfa2eUiO!c~aIu{{r&V@(C{x$mB z?`e?EXF<|t9a5xw!7575!v8L;kOHU!&YUOoY33`T>_l^9# zC4@P$O5UlH9*}<5vNyBT3Q`+itgysmCP<&nw*#V2A&LAT;mEOg=uR1(e>rXkt!Edz z6UJ1azU+nAanBD>t$BnvXjTp?yz0|;SHwW+Z2N_fjUQ0d;xo-1gc=h;l_Sb`OCgW$ zV+h}0z6MzZi}O1OAgiKnCM4_$WL}aC?qF$#j6J98P4kH%ZP`s?*Y~H8vLu~zXD4z8 z_V~!er|hPi`f~RNi#yP&qM#@SNgDKd1&vE zaWAM*hKG;*;wpozp`jvB;;9nc^Y;?>xQ>1S5e>aB-? z+2E52gm+!ZP{Xt8qW{VVg7}S2Mk*bF5RG^J?>|;S*riYT-=i`iJcvlyD-rYYv{)il z&1xW$b$a7VCSXn;?^WLD6A%^n=*PuU%+ERTN$TQs1w;?s{V*Mi8P{g~WnWO&7W4D@ zL&YDMnGm2;DShK9cwV=!ec`hTzWW%~_(rH9fO<^T?@A+{qq?n~BV5??>MRqZb~Nk( zHJ80q56%=z>Diyz1;&(@NFr&d6WYE0VQF@?>yKc znBP#D&2JJyb&MYu`Gn(nz0xITTL}^SVox~I<6ip9_SOO44Tx+ujvcndTp3pn%QHE| z5PgcQTm1MuM1N%6Yqs+h#DpGRJ|u7!VvjzfQN5T6v7O_eh$(|0?&f5}ipU6f-@Bas z)glr6UL-qB@?#!hc?Pj9`q)GGcj&e1e}ORlyH{@YKE-{)b@Ru#M~KLz=?PrG^UOn~ zO*mH*qAo8rGsfP;yd>YLmX}%(J(itoy;2M@VF@!Q*pwhvqLO1@IO-s#f6BMgxi0DZCzEAmCTo{^w)@5ZuK2D(cfHgdLrT@Dn9~@ao}Tm#=O> zL{mJG9hn4i#6!ODI}u6DWL=Zx(~^ROa6-%AuNe^JEYCZ<3;UEyJQ6Rb#gNx{!(Q## zbBM^7bR@jN1(BTvyh?@H5H;$<)ZB-&((%~17q62cX3)Zo$t(@CNRR5n;^%YUv$CYPwoHi z`Tte;Sm5|y_}{hvR~V1bzaI$y_1*tG8}Oz7%%0zd^xO1%<*VQj$gQt6!cfH#?@CrE`dF(+Rc>CC#l)Cd1eD3ZxqsdxkFoIW;ORK0_G?=CWDH?S$$ zK3I=mi;hf=QpA^DgG=&r0e@#Ya6f;zYkUCTf6Oeu9cphqA5Kb9dDVkgf9Nph9Yyf& z5w;>R7X+XB&m5A9OW+$f)cj;^0Q_z%)i$5L3;x2R3A~Y2;J;=gx@R#OYub#XYw{=r zYMVwF24fa9nTeO_`TJm-J{i@68XCtbm%_>eRp5G!;QSl+VsOuHingf`1&?L%w^HA= z!Ruf+B@-MLoz>W2ui$5n0jg+?Afn8P!&}I=Obn*FP)~q&A0Gc zudFh7oW4bVkFgUx{X0KMAkWULA#Qf%3~HgC`_L+%%mJTQ&|)}Q;pS+xGmAbA1pf8_JY;JO$_I-K7OKMiA7QKVRc~1%ll>J0jzC!0}h@ zwZ1#?;9AaR%8Yj?_cf-4%$`Q@yj8g0Abwn0X}ZCCvE#rQOg@ zm@;Zu??Og7|M{LkqwC-<`0mU8l+WNX@k}=Hnm>3MRu>-*aR%@HQz@qvFe{wxf_9OZ z68Py)jg~l-f`633Zo7mO2&i%Lq^aeGz+U~&(;T@F^rCCNqhluozvL9!vsMEk{iGMe zm8c-J$xDX=`F>%Ur=7w)bs=2Ju7z#|HN8a+*6rSbe`^0v&;Os||6ThRgmq+e{{D5$ z<@x(D@V}t;yR5{Rk9K~BhfkVn>5GS;PBAAwjKC181ercIc*Q`upM0Mz*Ip3+r%B+ z<6H%)?{7HUx?=B7@mUEGP=VHiQn5bp|++l_oli!RJBSk z43<|x1&Q9^%AG|hWjyqGj!hAYb~~R;c_an-nM!w`f6;>6+*QRY+ekFS>3W0BL;}iA+pLA>~v0t*(qFNajCzN$`?7bQdU@WR&+p zs|xG8*}zq(9}=Qxuo6RU&HfuYLD5iA@{O)3#SzNnZ&0uCe}Q85Zs8D8Z+ zeG9WkN@_pa4lxNq5p^PQa?mp5k1h?HbPq!A_XPPF31QS+9Xjl?iJGgAQ6k+F$PY-{ zFL~+vL&&hZ(fO2N9@5Q~wDp;lP=CM7(OaSfeY+Uu#AGlFQ}V;|hvZk##JG0k(Ar0+ z)0%%=jLf!bvCtnCrMIDCagl~I^(~Zh8y;QqZi13~Zw`gA*+LOjc9)^L2NV#KFvovA zidm_2tqpr;A*Vz)dCv+7WGj4s7IuaivdHAmTFKQz#`Ja8!$rsoewEX6YVk1if4}KR z6y5~wAJQBjd|HNwo9kI)mrJ2x`Ih0kk2O#mYz98$%`I!%I?v_n_Q! znZQCj2THX>22?ulKrtg_rSkDWC_GsD>YC>S-i02$^3F1b+yTYwnXS=~V_bF6gPRMo zSy)&t(PN&uR&|*m;?|$q|F53^U*w)!|JSVV|6+Fx{>||I$DaE>!k4r*SV$nCy5L*% zeJ2Px*17X4e*uJ(&ba9lU4{sm*H0PCLm~3!<6AFRuS1l^jQYeIHi(uTcwDT9y%!5_ z&hgv>5VIgO_t(cbw)Ba`xktqick9Cbs4;7ZXE-^_U}^~QO98k!TeX(Y(p3`yrzI$(#IT8S3zH%4_3;TZgj+U7AtMh_W&b5FqR z?*_i6Tc{24mSyMZ>V+8nJDfqbIS?x};=5($2eIo8%iM%VA+AbOsQm~H#2ZBgrbL{E z1X_a9*G!m6RV961cm=&bDkQq6&tk^Mm!(vC9f(;Exb?NdEYh&mr1%iz z=ncrxe&HuY4+!a2oJH)qO3nSAKqkbr3&}8`?hZMctNa{aAx@8Qr&$d< z_SDI=_a#tUGOEn^PJ96p{7x3SH{*`;SoyTrxhO~+d{V1Wk6LO`*YFW$a|mY7`NSje z9>Rgkv`0Uk?V>uw*k80PC6aW=Uj3M1vBFJgJD1)shkl3E4Ab@4ffld+!-ZHc3&aqzFkRmDcz5 z8Sn1T_db5V@Bi=nb{ri?xsDFwy3Xr$zRvUcd_3ov*byy3iSf9{h@r{*eI!r`F$1~3 zX}2CgY~as(;%EI4cie1jiIwE{d|di0v(1%gt94wd(htCIG5flvi!OrPR!s?Z5UoL??(`}|2OC^pm+=fS zR#Ya>#J?a`Nl!@X1j%CC@zzQr(;jitngPF3+-BE@EFfEqmUKMciXg(alMU`X6)t|35F!-=5E}Z@a5!jqfEG zNw|FSv3v!Sxgw*N(UycyH)G%7G7F1Sb`mEZ&B4-|O{rnaeptCZE}kd(t=100u3c`q zu+bHjF4$rSTM54hKTg`ijv5}ctG9xxtx9sR#>?^ZZoSJ+Lfp)7VrQ z39A;ZQHw)Vux`xkUuF=1P3{JXke!*Z^>jWYFMk7e7j6lc>Mp>Zoi=0#YGMD>Bl>F5 z8{7_V6)|6V4~L`1E-ECG(P2vb%;^9_7_jFbO{nmPvD;i?)Q$}>qmHrA<8XnwU&QWV z|9)7EbNCGvU4a!Xdvq?B1FShtYn^5y96%1rv9ZsDhqvL})>7hOwO!aE?^S0GyS72= zzDMP-51!FgkE_IOwH-YSw=3bWVMo5Jgf1MKA2Uq7kAtJpfhaGxR@}_g4oq3f#I3IO z^uv30!z^hj|HEE=SZvo*&msPLOXH>x!QITTO7hKMqWui(YEz~dhi2F`eDGPz;0@an zuklBu_qK}}P)~cz0(c!tIlBY->rM=0Ml>^UMKPI1DD)ePJ?$qrcDXbFEx( zI+}7@LD`4=+$=KBMCoC+v*)qJl@^$H@Ve72y@jQO&T8jVBd`h(;+Fq*9oBbWo(|kD z37h9_&79NsVf*ee`(Zsb*u7JbnK{7^`{%ukc^fiuyW7W+1d!WO*0tXqwj)G4F@{!6d4T!&bO`Mk^}m3pE@xB!r&&hr{u*j(2XvT{x-n zn?zDS22rNU%jAs0nU}5aMLW@O5n#L5@jY-c+B=qe^b%Yio_;+}QU2%L|I_pTv-tnX z=>8vH`<lDB>8A3$}NTT_kE5)Nxu&VD{lu1AD=%ve%=^) zAFUQ>pDK}_``Nqli?ztANjkL{dKQ_imnBSg-bZ@HZZrAy7YVa_f4^1?$*H-HiyyDF zA;n-y#4nWz$r}Qw?QE-%XnI-2`gaSuHVPa&EcFS^ZP#k{_di3;9>)IuMrTy4sXBj- z==(~QB^;#g`JkwNSWiXo4f0#94&9!%L9W)@*Fs#xr+;I&X|^skGV8f$a`fVmaq8An z!{vIy?0%Pr$`Pba`fkyem;-hT{a2ZmY<_lM=*v3jTE{xEf z7WN|i25vJ4t0H7N%)Cj9EkwpihQo)y5dX#g8_7*WM1v({^+=+Z1^pbe2bgPK;~s;Z zOycv$Xuh5nyi=?hbvm1z&eJHPdY#`cR>Nsj1U;Ac&&)(w2Bk>+&uu8(((_`ZmkGyW^qMbms2J( zLM*IOx#IrR{(toR|D<-2Gk@`C9L+z@{jX1xX}-Qmrdg~@rm-cTCv*OPtN>T3{QlSD z46YQ&+f5_(35&4t#027cP7bQg5xrXZyXtO2 z-cBHB3Qm3EDblf0{}8=}=nIo5d2)G4Zp^7I^;_N{IhA*3+V&UlAGkXwySWk}2BY=c z21y>!txZq7IB`vL3PatZ}#4e+14lx{=zWXxm2v6^(@14VQB$JXh z+2Y7?#7py4UpBEMbA*0XO`)?$+}PyRFvN$%DLJuZRXZe=#&m=b{%^94V93s>X{2m3 z$>3lyC0Wz&2dSIo5X#Y8bLYGo!s*`2>iZB)Y@-yHn~4{q#BS0>YLgtIfDZi>VQ$2< zFMRGjx&^T_O@8)qhKTzne~8MdnCwo^3a`DZN_>K>R8ialNPH~gJa12rr1Br5pFVv? zvd`%y)`B%i+5NpVP;L?_{!iYpGqVuCXF=`!M@do5@RIu zGk|s%y%kbuSXXl?nIk1MT4uMXCsG*=+cHUhbZW?n3twjzkw#_izH)LM(i~5`oFRV5 zw7Fk(D`NZq)c&7y|1VPK|AN}@3^s2`qzptey~gxZ_DfW!|4M)MJqYD7#xk=n-=pN4 zmf_nfE)*T$x*)ydIP%XuO9^ssM=q_&OOwSPgoUWRMXL7$GJ`A4p0hY3W50;F`>_ExhShMU(TGqL2{Nc}Hls8uYj<|E(7UZ(kqyYxuo z?bg|T7)TXcSi4$f5h+?%qh(($qx){8R@J@NxQkUuh0|A1=PX54^58S7=n`KGq==(@ z_u&ywPQsg^-_qb8B7mYfBlj=FXIY@mt^0-f1@c6DbohTz5C&y$_X!^=WR1@B)t)p) zrX$=WuSFn3_D8?f!!dH+PHNwIOT4Ti&eIP(cOz9fzDL{Z33|nptW9<+lu!ii|ghwPFJG8_*nDFrKb$(FX`zN_^gpO{n{nG z{xSMRx9T;;YT=$>VPkqi6PjZTErmDCqb|O{>Yly=s%3RK4o0Y=VkoBM+DXFOc~?Rk z(Mq_%x=;5pRyU%Ay}sbAJmL3jqA0r};fDf&<}*iw*Am?U4^Oq%Aabc3-ZBgIA-mGf z-Zq{YS#CKaBBcMyG-AK6pm_aH?f=)gFY-@bA351M$$|d=y6+2Z{#SO#-}c6nU zT2VlJ+5tG0E_|&5mdVtc`1T3GF2S;Ai)s}d7xX_Cg^>H%HA~~k%lF{MMpCDeSHnGz z-M^OXAsc;DH1SiO4@KHfctJj?0fYrkH7dsjOARJ9+(gp9+V z$?^Lw#qIEK`)D`kxe)=^j#0m(y@r6rxBEn7+h8^8<>wouN9F=cs%oSAaOc2H)pe5> z;YwpTVBoU`Zr%Hf8mbxKac#$*!C8_)^@s?U6h6b7yVJLq+_!yHPpl}Z{ebVaS1mdW z`S81}6JK6Sc!fv8PL=VRB4Ev3*%aqg1k`+Nbx;&T;FTCA^UNg#F3L`APfdU=qw$I7 zT5sX-QLe=A#8$ZQuiQ9&+>hk&TC>>F2*7>u+pYvH;P>wuvlUnmJ9FDznyB*YycE(0j+gF2V_NDaf>%dO~z}X-U4cYzUgA z-=6h|`1L*Jw(s3_!4+LG}bLZGW@(ai6PXz^)A|!>cH-qZ|LS=fM zdXD`h8f%9qSFW8x*yXs-cO>``HmsuQWO0UgjU@No?Waa~Ueev#rTvIlSHn7Q!S|>3 z|2^ybSKT{&|%BjUxZ;OR%W!r6tP-NN9%v{68)Q9 zpFsmXlE;KL>`o`W(;2yXQIh+X=Ic`b$e7%xGgq9X+6$18`9sF4DIS>tr_vW%k0a~y zkcP(g8e}tn-n>)yH?kYWBK3I%k#kl>{&B%)L+{jH0npr$BJ(5Wa2$>I;gPD zbB^G?`*&{oP=K(hilJmTT14N>si{xRLcA7tUTji2lBV}Ip4``tRGQj&ZC7$XwKEth zS87Ij>u=Y6yQ;~3`tgVGBUh36?VL~oVO(X+U819G=t6e;RCdclBjosPy|!_D4|0## zzR1g4huqP<<7%m+$TJXcWO z$Hk|q)Ff|)ch9d_Z!@GPiNp?%Tt>#yREWrRU1aU%Y@anGeUCJ?e0jA7awHT=q=|Pq zcSq>)4x4hKzbu|*s3p9_=uh_pqxVtyn&OMpqFT+AONOX9bY?_H_J@qKn8@a;%}D6e8Tkl<2Xh zLuB4im)U)D40&xitLpf)kY9eDi_5751pyv@BxnJJD!Z7sGLU)AswbU0Mf^~day?>I z$72-lUzRuAevWW^i&`%~QbD?4<^h{SV#t)p5`~5~quk9)4#ZZ)r zc8iPdA^l_2xt9k!uA%hZiPzoX@hFoR(7&a5?oaLi)${+W_(?gF+@1gFwf~?xl_6p8 zIy8*7ozvq#ii#C4pHTC)C<(M%-~T8O`J&AS-0sjLYc}>ro81S()$gRJ)t5)gC$D=3 zSs6$SdRNY{W&yDatxchggh}yC@_E*|5kyG#o?p92yq@3Be6slPk?ah0)|VSiARt{w znkIFOoL8F?%e=bLDZI}5W(@@z{kx_G<%&@0O<~wPrGb)P@3>Q*Z$rVTCX!y@)PE(hV_=%m8o16$QEIy_6xkHSN1qtIi_g@#>Rr*Ab3@ zM~BAoYy8CX%9u8^nw-0C-mBJFslv~+j&}Av`T9LOKmX|CMw2Z;;%u}b! zp}8R0Kj!=;REu}%hn&5MGX13sn#3zybU`{xt7#{4W7`GGPB0*IVIAk^A>z~f?Jbfx z+(a_ZJLqKCSdqAEy9C2$!tbfKE1cHfhp0itPv7&mA>zzq${h!U5Vm7rDEYS_LPUL3 z-z)GVknv#x$D#+ha~N7!5}#yC6HQ1`*E!Tw-2C-RAQ0tQa#}jKNFJi(+{g0`GGvBU zb3yIbeq@I~u@XAjj|>ZZY7{w7xP`h#=hb&0+4kA0#A!9eKXT69oV)`u<&>9tc;6$6 zqWMLc5Ajgv(F`AM_aNL}=R$frCIlxh#LJqzC$q*&?9Nx(arcFH%zc(R((9)v?~kHH zrF`Si5rLy9&8s$$A+y)Q@9VXPQ$~>caR2CRJ>mP?Sv1jKj6-_S5vzq`Bo9Kn&_wC}3QgIIZ;LhczDK1L0aCPq=O3%0mcbCGBJ!K^S z^!TT#2w&1;kB|8ZW{AP(;-Jfoi!Si39_0(#@YLY|mnW?ql%W)mvvL)MuYrEjnQQVvJR2+W$uBym2lNxfq9owAs zfM`)GId|{xM&R`HiL1U!somw)sVZr>3Bzv+$EM6Z|8*Wk(rU&9wCM)Fhagu zRbkG3icrhKt|KO|5ISQ$=k=Tpj?;Q`(iWTG%HWg8`KX(Cm^xxDPh24!h2MPr+-Ko^ zfcIn;MJs%!B7eN?Ujsk;=lMDlSKz;#d1==RQ3PIHr~hV`C;7U)^dAQl2?PApY@94% zs)W6#35d`ntn582dnLvZn%}qa?r$-&w;wE8&=q)Y%ZTRk5E^;LLJHOeNwbbr+5fH&7G9hylLA&@nKOO%>^m4k5 zoZ|)v@%*6v{eUz=g->3Y$|djlL+>=#hY+5CiIA_3X)D4hJW?0M6cHYKm@cO`8{NURK`11Fse>}1Xf2l{IHyU&iC?==cyoK-sm@P+RAI2cW zgmW)N0nr%CnO`uFRYVw*<`t$FHjl`3n1=H5A*z zHLC3Rhewy?Gs(&b6Mby6r;H8ZQQGV8v^WtxcT>jIZH*+WvLv{N=*y#dboS?&*CS^C z713WGNDnJ`J!VzeEyQh8cRi!XkGK`heRS69i0@~~;La68LM+$*OBzI9c#(tu#j-NI zeD~a~8{Y+g?lK|Db3{MC%5j33r-r@lVjgW&a-EVw>0-?W-1(nDV9*$qV z)Q~;l{e1n!2B{5*QhJ_BQN&0zHQDk9hkqfa=~B)s*Gj~W&+yA`ZbjVu;VzypgcCSF zUtpk^j)do`=RU_$BJtj%xGkQ$kd*X2rstY2l8yCWhwtl!|Ikm{&w*12Src^2cKt4d zzImtlpjHXtDZ2BIgnS8Gv)wi8Aq}Fc_MCb4HWV>zLv%bAYKS$X5qYFYbn+$5UW^mk zh=1`_ERTxhCQ(SAX^2xn5<`8g$sUsDvt@m)x5sa!P#SP-^z=ZA!#&I8uVqM?Jt6-^ zg>ZZI9T$`ua}j5|0_M2ed=G#&@TE@`+s`=e-{7$j@s`Oy*jgK{sT<{Qs1S$_n<1s9CgaW zD7zfIUO|T3%Y;&L+Rhgve^2kg=Y!hFp_g@&>trUZh#Qj!ib)=i%^}zBlXgfgJaNhJ zfgn;i7nLR!^^xd%c+=ga8pQ9&Xi}Paj+mv7kY>GrsNb*TDAv^=Qt~2S_1in>tj$T2 z9Vb4*g+QxIntrI>A8|0rgbrnsZ;X|`TtLZ2W)1E@!k7PgPbZB z%N=^8IYY7;++H2L_!V8(A8>^DiKF?Wx~=d-qAys}!0!2=8s$-~JY%=aP?Afb?$Q=Z zIP)GdjQe7d+pr^YUq})uCdRlhX_CK}Ps5)=c=(Zw=VkrK@1g9#qZyeu=vK739`QH_EhUQKtI{S=dmuXS z@WXSch{+EavKm3D??5aE7r8ITj-AOk=!X0qZXH_y-lg}dhoeAq>k8bp2 zwtn60vm19iJwFbqQloxD$Sq$@9#kFBJakU;Jjy;Ch~;^H7bOAw!#+nuNIueH_4iq4 zd*Vat3bGyvE_ijFt>^gLA z@sLdRt;;5@)hmd7_e5QB7ws z;BC`GU*Jnr06HDb@aM_-eE0oU1cdBcGkdfcfv-!_qP)Tp%=g}Z{d3aW?iu+seunt5 zn#^RM9wm%!1ywnj#Bh=^y>9D$qGt_3e@WIEIU5B0R^70Xmxpk5*#&fe zS|K>Sn7zO?3n90L7jKxHN9gL5+ifvD2n|WNb-2_YVJzy^HkYOl7AF(1R*YnAZz-%( zsk6eJvGuD(I$Yp(Q)hgR?4>;>q~+mW2Cwfow+3iA!)Hvtm+{yw_=P@s5?_3rutXRF zKI?Q6fBq9Mxk^(6uiZqeyG9Hl0%>N|72OEwF*07|PuO94y0HUm^AY+bdh`XEeTKQa zN`|qK{5xvLtYX$v2=^VyqZ8nSi?_+?)ney~kKNRiGC&BP_l|8pyVsfAF}CcmD^G=Q z$H9mDyUgM5Da9ztc^-i~KS`QtWFRP4JL*^k>FqasAH2Xt*k$z-{hUMO>t2gI_Lx@| zVH9&u7fXMTpZCT+YP&5YTYPb~-WB3=teyYNwM>}V(md=99G-;L7LyTX*9p&K?gC?@ zH1Ll4cIg(;cKepvYlo28o`164RLzJn0u5g*J<^j#Fsr`!Ly^}Axnx|T#VkYaZf^an z%WjkTI(vB)%QC{ePI6eg-Xkm#y3u83!rXZHPIUMAHbj{6L~ste5Y92bNIo0UZA1>| zYI^m-D_@muvwb>zS+c8DHjur{rNjg08|Dybb=I=J#1+A&^psDsP9P-P_RR*8{}&oS zcRJ?kSA^+bxnEU6{Dfle90t2dKe$R}V6tACa00Ktk>Zj-|{$QREw*@R1f(x~;GPd-_fFY7;2{}JE*~sjj3A7TeWbOS zczesWC7EL0AY3`-y~n~agikGL^2kXc!g3{bPkjsXA5`EWiBE&o zdhmKbd&BSzJA9AtZFWCM4gYh}(o~fi2s~uFnu7TLfTQdJ3&`&0dDv<@!h1&KoXzYJVU@i&Kr*W$ zxBNPr>~)H8?N$`76GlnYi6nQwfcx;UmyvVlc7^xViOTsDfB3%NYMys&h3sG>`d+iA z5)U)$+ZQB9DP+&7g6N}!*}koW$?&c@LRa+Go_kIhq5Yq0Qxq!^o^X^VHpc=HT8h0h zdZe#n-S&EQ74b0lo`@2ur$m%JhYbxg$ynVXq9D>Zis(kgeRFM2@OjtI@S9r;{;^*j zjy;J$5HoG7@FS8Tc5rng``HN}F>f)7yK4eFCCX`jQ-k9(nJ04wke~ZYS z?=Q8KuOMpG@ZqkRT0{+NCnd>|z9!Y%LXG+;V)Rce))f*z$IkoRztho z`RHX`=_>eB`+s`=zl#5VLG5>5`MQ^Pll!DHYdy{7rE=W{a1EZcj>ikv6u z3yxtL%E6?^JKS`fh)_~D6uE7FOf)>D;#&QbV@ULB*|jCPhxoT?-%sAVf!KBzksVR1 z(G}A3I^iP8!W7OO_GToxG`@EjR0i&%V!wH5v&$e#&r;mK&!C7R=S8m92RMAw6yK*?=|T?$;h>amq)xi_}w!6=Sr#x7_%^_$X@c3#Y9-4M!!q^)CAIqwLtd zU1cgH6Lrf*Ev^0~6!ab2ZV*VcE6k-?4!;zTJup~Gv2d64x(D`(eIk2^17=E_@)yXx zHbvHK@EXxn&zuUVAo;mMleZZUlD)`b+g0rwI*=ffslYn65j}6p3l;pgp>@l()!H13 zsBh2>JnJEis^!z~ECdBf7H4ayz@2p@(@OnD?^AZ-XJkG6k((X`7wYf-+WHZ>lUzdM zZ%J-aNB=bCdJbeRZ4ON|=SRjxr8fE-ABcvBD%+3TZBpZ{%mZ>42uo!+#9}r8N!9$F znLA_AcmJ{eR&71B6=rWW&~ibOOY$1wMn2TulpTHUcpR1A9Fv*I^Hpw&-zKIzP--5S zx_9~+iZdSCmXRH90ZE8k40wRND2p|R2s14wpe87op&41tU$U0mULtenXFcODdx*A~ zqUyx&QKV_5vQ*#Mh15MFJT!w!e`^0fdj5Zsd&n%Wd>s9JA5eDj!vB6gzrkQG}Goc@ULP@sj9t#z*Eb{oC`JxYUO-( z{M2TI(97FqAL$^OQxwko$bB*N+~KjeSuO~D`!Vv#*42dLx@F>A`4fb%-Zy%ka0SD? zwwrvm&_Tr78^P*oiR9cLRP2007+oHt#oFS}R`wFM#7;p|LnVZqs>u{)^FhcbJGa2ZNs=v5LV25k^tyWj4I{^l z5Y|+g%DpBF;inHWzFav>*d7_Ou`*3_=eOZ>UXc_p>eqE0LY5p5^$ed=k48=X%y zU9%}X8KrB_e4rpqvR&_sDE7j4P{*>+`U(6yfA(K+yn(wZCx<;piRu>ioiuFg>cCOxzM25)U`e@D1{K0)3h0O2$ekjQhg*rA{C-beXg(=U z{GN-=Gq0=J5E)9*;?CoYC_x>M?s68w>lH0$n{*?4BmTRVVr16)wCmo)$X>!M5$`&D z_zi;3vK-E#eN24zt5PeQNJdMN`M_u02jorx;YLz&i|O>lPu1E?fAt1+m0`}`=5G$@^)r=g3L;awZ;?-AOnz;5$FJmoS~r{8k!d z-iH9CPc2o0sR%rtACfwdgrL0{VFluH2;SH<87ruZ;8*vKH1ymi_r+cByY61aZA&?Y zL{&aGznEEk%$@}|)++;h%IM%eS5>}`aBe-_T1pDTUc+lKE_vzRIDF7;B^bsAX7 zy{+!>OGvX{Y?UA^YXfU-lF1QJ1NuR#v zJ@91GyS<(G-@Qs~#7ZxceE`2xMj+Aj_?FIl!=7UeQiQ2`d}GD8GhPVcy8Oh#Wf>s}{7n5MJ3W*!a#ABT2ce#aoXr%U!NpB` z>Z@?SsPxc(Y^1X7E)7ZCc=+pof1dR{zUDr@S$m+|-o4kpRAb8@;#|>ncxpmI>$x{=A#!bciZn%Q5 zZS-e{=1C2Qxq4h0@q_zQCzcJxdhnc<|I&Zeg5(q_%)L#lfp0*?4i9F+8WCdd^0#_} zfJWa7!xke55}&-e(RL$(Q{{e|dJ>+I(`inZ5jwKV+#S7~Rfo{l_qk4b(+Ja~{k3zH z8DSrinRylLh(ASWR`1hqL~O7#=_(mVM3_>b=c=+lwg0E*|1Vf4Cn@TGvpWB0)_$j{ ztnpawB{Vf1;+6T4ifWh`1iz6+IbToV(<1^Xxjpv%w3a)`J>{hc5<2Cs{k#jl8AMpNj?M>feqYwLMc4nY5!0Zg`{SuT#r+6265>~oJt@bJ{B$_4$bQs;~S=l<{L^3ItRJkaIn~=E~1`50W2ja*B7d^BGcQ1uhFm z04awIB$#BEkaWjp%w(8nQWp$!v+;UimCUgR`ycM7rKs$-F1CN z6xAplk2?13(+!j`dz*4>c0r*6!vfwueVhrY*&Wo^^xq*R-fBZ(&13W})_?rEV1TyqT}oZ|{m?Wk z$Ssn38nt8nem(Pq*JnGVn#aO|^3NZq+**@S`rFTybChV$4AvZ6j@pbOUN|=G7DN8n z^}eKF8RSlA%f8$G3_05inl9;fAvmBS;(f>8DLq-PPkq?uuj(&pg^hAa8UZ-jbKiHOBl9$59u^)~fe&}VBlp(b@H77yM(^f|0Q=j^ zQjIeRIurguvakoiEAO*pB4a^V**t6p1qgL9*rP~tWWt0En!=*p$4!X0); z+-J~31hYl}l?9nY=d?dhjiN!Ms6FFVVKVD$W~dNvb%i_V&78+~!plH8l+I8Pz8f7V zj3h;`mNxi?qp#7jqHe{&Vm9NjpS}2)qmB@J8f#vf3f{L`1ptPe&%d zA+tW72kJzl6kYjqNTalk%EU?c*f}5zFtD8&E?z= zb|l})WK-Mk*B23fsWfRoki3o#x4f|YqKZhm-8qLY+8}bm#K_qABcd{L`d)+_L-h5y zyO-9yBzlB3&xfZ5i4Rfxy7cE|#5%~nIrZ}z;pq9FszL_1IWHFr^pQPC$;L!k z>L2jvpxi|(sRhrjQ`@Z_HQ?1aacVlK7~bi#yzfr4!RJoc{p6Q#2%m61zMSVG{I;!C z5S1^5-$?PcbsOp7AF@FItZ5nnGWX|?t2V=aRhDuIy+54ThLV1?Z-R>);~UzGm*LuW zoc;XFdbn@dt^LM~c!^G({L;gxN%DzDvRWqzpU^BfaPQF-!Y4efd^|56KI+ZOQ#Cq- z@4tLyUmN+lj2dOsWRLAPId)`6T0Q*pjtfi8Nh82e*Ses3Guag?NwgF1ki#qaPxqeQ zg>#l$rG-iZTx~rjE^EAj+row|LZM_1a!mZd&(S=1Ijy%8Df{t|8kaN(P@5-SuWE~6j ze3|F!3#fSCK^-xEkq?4Ycf5<+6v>+#8kJ0zD@ zCuO_UEp$<+@cz>8M{`E1tWe)q)KE!vil*nH{Mp9&N1%FTbFo_7_BE*&^lJ@)|l z7mK?t8_yu;-O-ljihN|vt4ey9wIlOzJB8Ud!pOdB<9gO>8EG~yM)FqYh*!1Q{g%8T zQsiQja#2BYVY#k7l;%LfQlUW95o&amzOi(U7($E2eZ`cRZ>X7NOXON7gNj5|VrZO0 zX|Kf3UD7ou_7C2<<>ECI+&a!V$jO4-`GZ57-)16vWNVSdk&Vb=;+4{8dykBO&>g07 zg-Ab0M|0z#Fj9Z()8CjEK+2qF_Kq7ZNS^fSrH&s)(l+%wUkVJ-omqa^m!=GN**;s| zq)|lO{*NJ(d;_Sg#{JR30F-sCI=0Gp93@$X5?Os6C|Z|rKqz|}`PVGHHl>auSJ=Yl z5#c6gpVP^Ta6OF7sErQj>@b-wJR&r)R|>eXbb2++e@-hSuzxu=ntdh z+;W)`LmmnT&M3%wRk5(W}Q^4MJya%c0y%ev!KZ<_JiQobrOSFAaX1qr-*PD4x?`r0uLfsDI&4%An zP}T69X(xL$Dx^1Z#{Bw#GUXdX&BsSk(r}(fx3mC7kF^^P)a0QcLU~31K{WCbS9IR3 zTZ5d*R91P(9mu}moFMX@ygoi?^?1E`iHrie{%Ibn z+Z!J?AS$2ncMlC=Qp-xOzVBCw=#ih=wb2!1@A}Q=0rxI=Jf&9^HQfrI#i~!E*Gq_w zz(&4DoXm8FI4dcx6eA==aD9z^FG3@q(6l|`Al{sX$dEa*H_$4$lr~U?h&`^R-;aeO zV!rw3=3ieCndZm3e*ZYpz9vW-`jZUEk5y`?v~-CEcCe{eYX&j9e9PEB>>*mw>l02l z-@&t7vO)Y_KYUZzhStT;BA|79t-L@af^WE3o2w@wWbCTKoBbrqVv0_bmi-{Y9tBo^ zmHUD4vZgJ0W#FKv*mb2UPQ%$bjF+ltVO zmHFMj?GbiuZ-onk8^SNx3SU}yKzd$-x9fGA5xJ>c=yBj)L_Qgwj*cPB>ZpY88&#MQ ztr+s6mv~*HS2BOjm)%E9YKlqliaKJCu~(fA7a^8e z*oC0|!`-rmM5hrPLd{)C@`k3$8^6jE4L8Sn@r6KkqCpDRr=q$?@{~S&(oo$>+O* ztS2rZT8@HuvFZq7_I|wFUn786+SZJLM}vrck$%~<@d)Ay!!Iytij&OlH{O-ytw<1l zeusC4_)}+Poa?R?ATi;)@0DBCe`^1)p8r31eT)BQab8xpk`Y}Vkn-yK@y*nKT#7FG zUpzlLn;W zmGp+6S$u0@eRe@qk6#ovDu-5iJ-rEA$-Ln`XFtJitKc4oG zo6l7eo*U2WgZJxU|Kr3LTk@WAyJ2U=yU&E{X8Cf^v-ScUc@Dbud?b9g-tx^{mYukH z{6<4v-(B1qUT2lD90#*T$F_h}eptx!s=hLK3Cq;azeI!qt6@*K?a$L;y}&BO{COHS zA1tiYaxuiT84sA-N1D0|YyDOk7TCDYh)ghTg>67p#?IIx*tvas;`NIY_P2V4ub;V(+luil(e8u~$R*JK z;}#tp=414J@_WIt_@4RGzDhV5nfMFn$Kwv?A|a?8#;tlKACH7qnDrJ@20+MNASUL||9_Evc}W3HG%uLd#cpal7cs zk)AI?aEN~W=1bXGINBcdoKJoLr!(^{t>XJ}hmGED{=FjZOlD1An5l9addU^sCm|z(#caeCL24Y`x!a^YCJUUHehy549n%Up!dxi#HVx zwAo3TjKmAYO8J7$&QjM@pqdD>H;b8FvATgwt9O;_Lu--2 zxOTEyZZ*=zvbk=GRw4Dl4-Hzz9Hb0}t-LQBLh>ti{fMKANIDhNn7(Nny0#eKtQLzz z^HaU=v!jIDbCR9@`PvLra4U&4trI6&4#sWYMwd{$&aF=MY6J@CRMlRR`E726f_kX( zEV5fpEAvWTMAo*zqLH}s$jJZpx-mWh>BhUfjAw|RTZR7fX$y6vs&d!U?jbw?!}HJG zUW6iff1R(%s(y4mD6oEVNCqu7_ri>0XHmQ72z$h9FH}r_=>FYUk1~$6r6wI*DBRb}^4JnRY3eO`an2@=BBHgHB}ZG?bgBeS&nFK}CJx5u|N+ur*HjD^ht_YgKzn&?~}lSEO?q zt>yw|iNwd);LmU=RC5&7V_%N55Dr1by^6SFm*!CBslV?neJM&h`#l=?wxFnUyk97m zXuj+rr#XKHd9I5W-=FUx9HZCs%M}91R^DwnA9n$nE9SHw{A0*?(Cb8%LP7NY6k%E< zzc8)Z?F*ky8Tt?CS8d-vf_r?@&lH&@(HyGxx%P4`>cSd}HR`%hEqRtzH1ZbVC%V1! zJ1&Z{=Wn*FyEvlsd?;1B4`Fq0=v93nMzUJpGX3=4$xi(JzFh86ge8A|=_?nl6JeNN zb88v&Mz)J~!p)Bh$dX^sU9;yCG6g?(mQVElsr~=x`TwGJagqc5|HbX0``h#S4hD!^ z9DIu)?Ffz^%EZUDH(WK9QUzhX7N6OhsSqLLHu=ekXeVxlU!UkEnhE#W&wkzc#3w&+ z;@}FIpW5;nd+lpPth(aqlr(q5?aAHdyI~n|%bSWaPPieya$?PWAxR|M;_lVowhoDG z<;vD)t&!L+8Ikj=1imvG_aqeQ5j2yqd+v1-$+A{_8L++y;p@ZrGu4neTBi z?(5v=-fMlW?}$9Et`h&i&bUk@=;w`KPU9z<-C$IjXv!tnOwAAX)h_WzEL1o%|45qRz5p1u71 z2>Lvv_T7Mv>?58~sI!p_Yd_wRqqm5EugL67EPXD*>lOX^c}^grwnL7R^l6c~&GKf{ zPKXK+wezWELiC-bc^xrf#0YGUQSeqFIlwU^n~Sz1w({ba+dKw{Gklc1QhFAC?(Z7q zlpZ0VyhxolTdg<@; z2;U&sHFknPjyQkoB0ncqtdtp<>B zdqIBsd^ghEXJcMT?ec^pIvpHG@ZzYUTW)hZ7) zHz4tCoy{1p4id(fj=S0uMkJjCKP9p2#0%C450{YV_ZI~EQB~lfdjqR!_9!ak_JqCs zc?u;Pm3GPbYobs}v#_6Ji{{JS+0J+8Aadf*El+|TS-b~6Q}=&H2CpK2zT*d^IT;4? zk5wXdEqlcpM#86SJ|{o4l9KR^RF)%m6JBpZpn2N^1tgSKt$(0IJgvjSRlWlo(AIf5 zIlzbb=q~fp`L)iWGI34%%P~om3f%R#%gsWOY+03*{bLjeFAVQkDn_29!^Pf9?8tt| z$eBLRfy`uH8mV7>NFUs5zm%~WX;OXcW!qmPWmeTGY}Fi+8$W$X_&^vJh36AHB0nOr zV&PKiJn>x)ya{`-I~pCL$rG0)y-@EO`}1vX5gt@s>wc<9atYU;lphs5gyLTX#|&CX zMo7cQatXrxDp-AYA@)%s@)R~$R;juoXRYoU*$W=TU+Daf+Ab0qMl+f6?CX)XaA@OwDscp7&66L_nIoehCE`<~5~JGRVJmq?+NgFLD!i z?0u4a5ZMhc!Xh5tMAn{@8Di!Q$jC5TbxWOOm|T0A^!ei+!ULk_(e+-1)J?mXB~FteE<2TB*kAozTdMzsefK4zx3a^O@6EY!keQ*HX~<<7cu0CUvDR#ZC+*^b%lH*|78rBq5nr5_gziLO?kK!^ zq@~nmAHeu(vf}DmM_8PG(v|nL2-Xg-`QFYa!=5#gZAjxM90r;MPTDiU={mK9c+Tm!ZWL&$>RGo z*_T_Zzr<5`P3tK|k^CWV`}xZHrev5zFKte4*@ydkr@STvuTzBBJMv`8jvJpOyRc_SR7sA(w|DEE1Dg5Xj z_sa5c!HU`^bBZGmcJ)dLEevGWwr*Vw)#q?Hue;4Z6YUO{cMRv7s8it^CElp`v>3O{pO9huQtb(2n#CV>iF)}di6*Q^0N%HbRpgdtq&rX7Lc4@Yu%zj z`21n2-DAxiNY&zz85tKw8cQ78l~Q-4wQzbo4H889b+6Fd*o5@4uMcKUm?A^H?66A( zB?2m_Ii753L8!##_53G^zv_67>t>m~h$%gw6*_2+c@HJ^T(mHEyy%^jOjo(vN|ex}oz1T!S1?=75^{Ep;h-h}q8w~)&7SmId6Go)Rl3~;z9 zg>+FrANtOAWN_~7`?R|l88hD7OMJMIncEV*YSe}Bm4Do#P3uI~c;lY#^#aH?Dr`T{ z6^4kz7p6_atPzuH+i$H@hWJ+;+v;mbwpzJQTj^90lC__Vl}Qub;*?facVRTr6eqZI zj>;lE?nYmxMI|yCn>CvCe;~8-eR{d9II?PfoP5Yja(cs!JYJk-L5_-ggIb6`a@S~X z{N+1{+?=xwiuGa0JH_=&d+{dXXS=S_-z9oGUe%`TDZ+h}xcE!f@-EVNIS=n*Dna^8 zUWog;d1MA32!w4qvR7BB?vD&cj^@;_s=Q_7ChYVUq47Z8gVU>9nSUZ*t^JK=MJMum z)m*w1>QEr`^3oOw(kDa*Noz(jqhP9-GKSBUc%+Q?yoxRVQ~SSr{y(Sw|AE?nT+SP~ zkX()jgYs9o*~lJs$@a`*wgK|}x-wlRW057q5>a%6_@XA`Z?`d5ASwRFl6hl2;ujLz zs_)GrCYI)glMG=^{tB<147^A<_Sw;)dijJCSNb~G^alc02Qmxs^$=~vNV_OEVQW3g zs#ahlET|TP*1a7Hgx8mnFV13uY9EWF9ZEDP@z~OTHRS^eR@`ncxwjcv^V_Y0XsVGG zc$W5#63KTvm~mm7FyXS8ddi#MZb7U$tx<(6(FoV>&EcAkL0DMOM)@G(nSEbyzhA2u zfuX(f;h~N24_3QV@skohX_{-UhhBnbFzE`VA_%W8$jj8frdpSXt) zP!PW3a1rtHWY0W|p`3k=biIx8tIQ0M{2_4jlK4v`ZV#{B`qYMGTCdzGF-{nt4GJ6b z#?=sRPm{Xy*F}VsU!<;R-ija@w+Ek95($^KQBdvIAbg(}?0ji<7hV~|Y1w7$XpLUG zAUte=+T8jen~p;$6VwP=O7=vd_I!=y_Qxb|Zrf2s<1%Ca?Xnby)DOz?Z+sNdgagANY1gQ6}gP&X1E)_zd}mGL(} zIt>e<#si;|LyD!iwng=*!dbZv;B|NYmDI_-YGm`XaOhT zW4n!a+rW9D*s*9}7hHX>x2~l<4R=cCUs>$}@KD`a8b5!EiB;?xJZ0%&MKah zy71a{UD)U==?Uf2bjR{N;bVQQZOT&vzJc%gbCL$&7a9F2;t)6S`!QJQSZl-oVUk;% zt_K3RHyr8GV27nqL1d>y9PE1e6E+2tyy1gX;nOd!!g+s#-70PhxO`4lVm;CUH=pn= z&1PHS!DzE-^hympEgh;|$~55B)$xvx?FM|-KT!0e7$rXY_e1eCL{Fw6#BPvG1^?qw z_AZxt;a_oeqp%9$8}PK)^SR$ZKk?! zezqJEe42!zB0v8;DwJq(N0Al7Ek{{Wm!~ff=Nsg)G2ng6!%yKIYfgE$5M`f)LSV`Mwl-XM+Tt z#i$X~B*3>}T#M)xRT;EdTHzX#PS2S^bSCZR`T7go;Q2hAdDAbF7u@nXyT`r}zVUoA z)v9B}i`7uLnavdeRIYC+XC5P{$IfDac(l7x%g%^Jd%emx5p7SN08altWg8}&9C&|BAStaX{HcC z;iH6^(95?l8-ZZ&vWcwQgnd|Ic5?NLY=pM8ygK#s8N!}8%6PdhA^fpsM$2~=L=-6K zM}_er(#LmU_y%EjsTO$WZ)-&KJ}u_gW9Eo{b<%&FZyYWzlOrdN48v2D&LyY86~2d0 z)H{t>!T(Xn{!g1s5h$j|OEm}tr3FXGtQ;m9p8H#4huz6}G8CFay@)XWx!YCi(-404 zs$L=S*F^}|c(dAYBl6J3SV_wLh+1pimc3RBQKNCb9ns`2le?*tXY($^=(z-luW*5D z{K9~yRxe>gQm^ulnSdBpHykXJgK^G#2ZRW-Worz~?zTEVjBBGP0DMCXY zl5EHoO53l9BKBlV-^Yk#xa)@*@^fu~_scy-mG_w7ulP3HR<#X*YdOpAks1$*x*{(> z-GC7KU0+TG29oSelM=n6Ov0u}dR}REjAU+>lt`c2LFVr9Wm|~$I&xW3*4uRm(G=HR zJlaY2<<$N`%WbO>^PEvQo0~khDAjYdh6dssTx$WLS7z?I>0G6H7y z4fx((ji7vKf$}uM-jFzRYBr8=Z<=>%PqJ_z{4m?Ol)OGfIP~v$X+^k*^))RiB`Xj$ zb>ZIZQ#r(J7#nG+&}Qnehm&86}yniYNJc>yILAXYU7>ujvSHo}jw6j~9_DBI{gO;t=&xVcuFQ z9x)R4R@mER#q=K9o$Jx9rGnX||E^*cT!R3N3YR2qq=*Qv-p zeucz`^y|ZHJ&|-m>`C^Umw#&iPtX6)0snuY_FDvSg2nDF;q`f5ZI;MDX#n55pI%2$ zsMhpeta2;z1V;1U`DKy0_P~PvBJpc&r(IONTtx2o{r3V@FC)qRbVGSUAz@X#x@To< zhWLZ4KTaFGKuo;2dCaLnMDd%N+P}<0#G2dJdv9qXZ0pN{woXQb$X70%dhdjm%5X8t zw~DBloVn{;ErPORmX9KioJHZ-nW42bWWLTgB*Kwkk8Fy{bk^k_q<8Kt@IL+%sVDB* zTjn$&dHt!7t9A=W6f$0T8^=#LbqiVQS2rNmCdJYjClS3O^;@cY86qAl^N)_MLs-+k zz?nI2giN>hM;Z#C^=22dUidoHPJhd$I-G!VnttIyp=~INlrptSeS!QO>f=?8smR%M zJ+{pM4#|0|Js*|mMRIta`#Y~(kK`BYW8&WqB60Hjlw&a2CrFg}-d6mHxGZJuE^I{1 z=By_i7w8DH!)ubog+kfco3m%-19b@Z*uIR6mjOlZ}}(nq+Rzt1HX0 ze?g)k&Ky$Sg7|{+ydhl;#Abexo605GS%P{Bz2G6cNNdTRoTCV5px?NnlMC%>=l7lw zS3zBy^fzM4M-^k)#v|sUWY54LdY77LXlK;5ol*$HC7@IMti&heXl?tE+5ZliDzl<2 zR@_MIiMS{8pa3bUqS^Gk`ABNGQ@bzz6S)(`*LQs*wVuGUy=fH{Vk0=$TMQCz;PH>I zJ+#P~uugy@QEvx2bw~7`tZqYtXkYAdUIwaTw({KVdW&+Srhz8Y%_yFjx%N3PGo>Gw??(6!&EXf(-Uft(@dTL& zBJkX}U^GoQ0zXmwDi9-miI{J*aB{{Phe-#fm)zc2YeUy|2RXW|DAJwJC7Uo zJMBu~bpGZ=zx>B=U8kWMyz~X`T6*qxm3|Q~YdTZ)hGyci%odQl77w49b`vyd!_V!u zWLPfYxY)f&no4j-z|k8Qb9U&F8tbQfaWVmcmaH=?%ZXNG{pdm8vC{}jIXw0;nGeB7 zceU+pe~RETraE0Ub=XVLHMJ%*!l~#}Ua?gOT#dgr6%Urey=9lRoMb*c2i9-*KAS@_ zneJJycJ056mFhTgS^*9*=~xe4~S&djZkchqa8V zS-?YG*A}dVNpdfm&F0f%E?T zqDEw=WMFgSFTcUj>~+_|h^MxvL#3uR9Km`^2Mbdo5kisqVa2Lcg!mrJ`=+r4j;HHr zw6@=ei^h;9ZKDv}>3CNu7#YA*HHg1}TN>V`W?Szi9U|JR&+BMoXyL!{quNofAozdM zDit0nC9^Z#Lr-!(AkeHfxlzCvL5H<@4MnUG^lFM@#*@5XmtTu$N5)>$Lsql>xqk_RHgU$Z6Mv;R~3 ze|rA^6#swMz9jGUbJzcQofv!h{{Q=ee{JozsL@Ff3Ib{Z*Oe#N-b5MwBeo0o8&LSs zr@3O+732%ZzrHEuifoV8ggR!ztnd^NU8agf8uQs$DTfP$iLj*f)mairch)EiZDT^h zr~XwD);|#+-SeJo4EzvA<_8CiD88dBy5*0x^}Fb_|;SvnH@z6;`<&>~A zDUT_joqX}=h3Wxzuoo>yVZ4^i&3XCi!(`1p82O^!%)qCg@3+T-?Cj!e}^uV*n>F};UmZ&&#?B}gIpQH0gx44FG0qSvlsAy98x!D(D>rvw*zc?_FquZdPHtY{uN5j zOJ&~PumOc)l_ynhUmo4#BVLfX)F+GUe)q)ePO`^IUG zxoh`C=&6kGJkZH8)|}r>c7&xV9ZB;foApG93`LU>6gdSCRZpS{c2iN_n{r~Fu|0eg4VgBz-uD=iae;|Ip z=lp+tHZZRJmuGR>F?Bxuc4-cdZ)aatZ#IOB&(UZ-{&~37?oilV;X_zWZUgnljNzs3 zCH|GI2;LJa_PwHkg|kTB#GTSa(s-cqMP!0p zS(R8iy~mjlFc}fCsz(!n_Fn2+QYjHcZ!NKLhOnyrMOlNI1bvY*HInP#~a){;JwHapHgTa&(PjMc~L{u(H4`f{c``jbq0M!=y4- zH7FRt)^E4wf4vBY!76X2Fh4l=3A1ZSE5S{UU0ueY1Rf5j%a+Vfk-kG+^;#%5$*0NI zyf8qRT!Xg^=g;N9{}|`~_lauc{4ea@Xd8)usJw4H_Oqntw&Ucus6=uG*VXH;tVEEl z(cy<%R}hwmQfsEZDuNTT*Cc);3~R2fp+2*DaQd}!?VgNUxK>`(4{noz$DW(jPmia; zOGM5^aK~l%oYeI+m~)38Jr_IO9rANlTQ?Ydf$)L3G(5L@lbPt3?zQBxqJy~_!6iez-GsRkVy0;3XlaYkBRz9+OdAk7(hyuyNoK-+E%zQtKR~#E z!%%GOFv6eJ<)8Dt{-^eT_xyj?ILYE9#Vz$aXE$Asj<#Ko&T#q8|1Z1a_v`=Gp8tOL zKHZaC-LTVoEUK}e_?tfNKCxOBt`cU5^k-rx1s~L@CB99Oz z2g@nxDZ+VCo4ssx;1Rs^4bNXSc>wRb#fKfAjKfFs%ZrSn74W@$#Y*4WjIcHP^}=G> z;ZK=TGu}z|{W|QCY*fVW7JV_Y=a@U}X*Z}TS}TxT)(tJ23`F2e^P%@=&Q7?*G0=SQ z(TCfb2Mkd!V&SeDCa_jw4j!TF`4$>-;aO!SHYPAfc5UGz{LLlsemb@~xkCp&-5Xa1 z9J~qN+M7>#)`Y_^`H__KwqVjrQccc1CilmTH{}9PXJGZ1$3aWsFyYo^oaley4aby~ zr5qN8a5g)|+G4U6u8h$)lEkjT&8hU7>+XEGKl`>P-<0IgZkn@fb|QMgGusr+YHQ)G z{7YNWkpe#2EcE7SBsW0kLsfU?Px#%iE8~0P2!FPwW|tde9uR)iqw@Gp1W=1F%Cktr zCN1VyCD$!DNU|Q4l!=6sdcu>Qy*6+;qGdZ|K*Z|pS~3$`M&K^8|5<_Ydw3+wy<&L( z1)d+QKDQa%h4;>9c{?ucfX^9q_U2adTt%6SO-D`=zR}6cKdulj@X?*ER~+r(pS{B> z$omcg_C9w}Qr0B*^H?E)`75vwZ}QAo{Thx#n_u1hP66jvD+~r&Zo@UDxTRM80o+B` zG*;_WlAHh`!}EGu;B}vI*e|J!1C_`$<^dizoHgQ(q*x#;F}Yq_z_s#D)ER9xFuQJ@EeFq}C<)%Fc`|2u8y1fmfBtVKUe8Y;`;I zbPd_r)+JOQV@5!CQn}M-!VcFN?v#E{c7Mx}uil0dJ)fU4PYcfwg15g|q4jMIg5$ql z&p-7)`~5#X|Nrp%{@2%ji=oNfjgKEtQz!hGk5>g{=hmp6R+C2&*JSiLrZME(S?Qhr zyaPELBA03nvysU+F+3vil`t!$g=%|9j`^+R-*>eL5o4@B*<+07Sp2`#gZ(zZFVsP$T??wxQ(*{8iW z8akhdpJ15d>(5)r|9R9RER!&@X<6wxyfz|p`Ry*Is&z>BV>~wH+Kg29Z7#cQ&m+03 zC*YdyIFe*`l+l(n5#JnjsdT**;`_a*-(=iIT)@Yo<43&^lb#=>n?kfWA2TBYnu5@p zCP8!S-3vUFOkV9uvMS5lWDLf7El_N!_EYtM4+>Imh0LYhLmt1!okIp2kj*6ad6t=n z=mX=Qh3@Y~ngIl-s0@&jqGI!*m1Ko(Js5m0K!@n&Zzr(TZbO27$JhAj4T!&^Vrx4g zg4o`iu$>YVh@p(%Y93F>qY+irecr>UTWek$YblG$wMxdHL_|>%pxkOlD42yYjP`qq z;*oEWB64Zb1UZQ>`DgqHw{R{`HCpZq((AT-G)z)P>Z_KN)@2u>bG)cr!WV+1$C;yN zs$G$2$C-Ka72y|Nb#_egA?yxuL4B`Pl88+#*>ffR5ISh2Lj!p!P%oHZ_{`H6514c~ zPKvsqO#Y;0=foGnDql#`GXV_BW-6hgLGv%QasC#IcZT7AK^#*I!NaJ!yOq%s`ZdiWg8TjKz4C??sIAmEa;rl zwzb`DiH6~W><47c$i8RD-EFT6D&8`^S+66DQoC~R_$5;m{kl$`R|E2 z;{e~pi^ZE}Ho<@0wW515zVNTRAk?CM3IQZaLNJDWp0854*ZFX?&r?k=CZ@CeSKIVT&A{eqjU!jtay{UirS zrh+k`4qj2R8Rs`#B7H>r#546}l7nMF`D2>o)}7<*LFWX~g=^Z$tl5l!r0m_*`6;9) zJg4mNmgxCj%Q9>EtwhkhnD169^$1#iYO1by0>N%}v^c_dDFXihs(IZi zPr~N*?xT7ji-6gVLyl&82#nE|;p$!{`Gp2v=d;2Q)LYhG_JHUjZt0j=a~vSd>iM}$ z4poGh%hAWGjKYa7{CETh@dt329nq({2=_+YvSr@0@LC1_M;_hqp|ja`Tj3&nUv{>X zZV7+Gzx=b2^v0&50Kuw zW1=O~*B8D$TUe~Vd%<5}t=cIqUj*=REj^zcB%DAa)ek$y5!n8K&Vpp326-M5&m9pV z4D!j={(Xee{n_(b!7ZW#36koJyX=5ao~fD5TPYCQIr#2tBoD%FYCTF4Bf1i`9Jctu z7lg|=)}q6?fn={RADFn=MKn=?{a2n54VK_@pP19L^M=)rZda_sr}zQ|L?*l1yhvUjV8PJieF77Lbo9Cs!n1ML+L(zX zaz|jy^^^>OS*q-ah4~Fw9dD$fSvLyX{$Bl_?j+cMEwB%58qqv`LbV-kGQ7fH?1>JI{axRkE9P*YO5n@taD_*yu@L*) z2(qsbezW;&7ReMV(b{V(VK>HhS_Xt=&OQMo;64cs2aW=pvm!96PS zN`3V>JoLpf_pNAwC*Lj0t!F#oIa4$pwvuF;8g!2|v&L|rV<2?vQ3cpY zghs}fI>TOVoiWYu3>;o+iOY<+lb<_>b@9gggr)kW>~QK?!Yrp)IFa-euHJ%A2e%Oq zm774nxy>24n=HLx*+%k&6l{CnY$m)u?nCW~ZAF{&nZUiDlH+HBDLlHB&WydPf@kgNTbr_C;FUDW(ex{qc&0Q` zs;^SL$eB*$s^;c*;df=*SzuOGoURjz8fonSG_YlF64%~$*Z-;gKRy5d z6#xJF+HbL1$!c~#12r!<2F-stfU=ux8B5jTD3U&#tJ-r3`RQGgx_S4J<8ZyQ?CX7G zhTeZ_5MG6Jympx2y^Pc=xnCkIi8nJd_=y&uE|N}tu@6wVj)b2g8yk)8ApUjLbj)>L z#8ub$ZDi<0%%=i{=7ABC3-f;Se#LcYrOcMTN+pfjbb1>PrmrYpeNOqcRym4Z$E_2y zn?wQo$)7v?gpqqw%pta~23eZPnQx?dkiN*qs9jCwx$^`19&ad-BK9+W)!}VO>XzOA zbz345UB3S+b0@sM>lKex8~PBhu*RNS*9Ng2Z!}q7(IRFGr(e!cC0dKFUlR)+#6y*^ z>ncNbDF3SbKq0LK#fi*2PX*hdpvQNnCny|wVO%aZe!L{CiYES>en!Zc_v&xD(TcP& ze!=IpKaje2(T?jTnX^Z)TWqpjMOfh+nvCZND}!;4LU4W&@k=QW*qCV$Pua64@LUyQ zPjP1%UwenPH08__8d0d*{bPA|qy;K@gW^X{tD)q930u-@QxvvW-`JhNh5YQ)>Fl&Q z#-IC&K}y7(rLaoY6=m4FP=Zt~PJYTQFg^SOu~#ZDwEck~NBTSC&-H7=Yx zRgo}V)7BjyNw|R;MHB-Ph?}O65zm)J#}>wTZ&f|iUlB>U63T=J!t4h7=k-zMwu2(v z#015+D+~3S39oNY&h&VR&|=y zL3RPDM;@vSF0LSVF}|o%2Rx7z@Z_+{fDsan8sfGJe?o$eGwohCQFPJgt?_vF8Vw7U zo5u^=P|J0x+J~JUm27l*ey!h7+O*+0?}!14#rv+ENw|qZ#T?%eq9e(Fv@xe#&K9}j zExr8mWbcsSGA*j?h|Hpo#q@bGNT26_QOhrmH2sXjKbyvpN_RH7RE+E&8oAm%d<&2i zy_3aW?$V#y|F53^Z;vjS_2vJ=;7+Gkpc~e|PM5xLmCpXJen9xp|B?*u4ZMbZ_RDY= zyL?A)MJ2o((Q|1c2)^tMf_DuBLrU*6MY@7g^<A9J`n8WTJM^^+Ho$dY#W^#P7x274 zm)Yq-a)+$Cq!!l8!GBeCI?dPyGEb*pSr_3#7~ridMOuh%V6VS*xQ9N1DGwG)%#b~I z-$jLl8{~aQ-WEvVCw#)I5`|m$cp!|;AcXQ=he`Q*guHaneZ87!yEEVC#h=tdnBkg}RV$(qeo!rE^~ogiK99N5 zMX)2ncPb^-H58Hj(wjIP84)?qdSJf;C-LM8@f`i32mc!!vK}%q2q-jO#Xw7#9v|4B zPb>8ym|E(1OQQbr#{(jOj7LU&wRZ zcfT6!K%^oc-A#c!L~YmkT&S>wsD7P+-Qjl;?UgR}&`p}KR48i$T_^w4{_mdu@4`Ps zDxCQD|EYbA8wO^#r1UiI-lEt&n@)IrY5#fsH^-O!(tqcDU#ppKW9R1G(5ovqO%aKJ z;e}_j1Mg*E^wNdjH^mReyv_aRj45Gq>r=ovqnj|bEMuPZIRmr%Av?D@+=BVdXB9`C zCSZXPuR+&)xX);Fe^Y5P?)S&v34Kln%b>6xnl4*diC-c}dJ9wy0NDvcD%SX(< zwh6(kd{Mt!%L?Y{7sb-7G+^OcnPVv>h5IV|rZ%c?gysJ78UBDWSdP&y{*p8#`8&rs zP6_qF`tnkU{@pHE&rR(c+vE=I`=z@yzHh|c^@(u<8on?bYO{T?jXYmhl=A40M=*YL zFCl#<943ogs&_MEVYboXEJqMG%(r^3s{g_c3%cv}{hVuH@m2j)pdlmfH&cnT`Uk@@ zMC!2hNII;P_Y_Oj`M`S1(%2^05%YkGbZ zMkcbl-s$zYw|MN6`t4Gf$Z2Xw%YKKcw_sHgg+I(PK3Q%^&w+W73a4Q2URY%A8^}7m z3-?0|FQf+w!qOz$@5?U2>61vFzihDu)|*UeR(oc_`uSSQfa~936T*7Mh;}t>FYch% zjgN)?54m;k;|yW=g?qh8x)dGUe?2OJSbbRby$yVzH0(brRt~ddF!{c)@amYpUFBb66dbGG*dlhV`jM?_#kHusJ>0-u68fwkK|D?$-!_ z9Y^*)x3qTHuS#T`85x1SxgCKqT!8)Pu^`F=``~c#ds5(Ix<9r5r|17o=J-EQ`z?O& z*TxusMD046zM&dVlqKYJ(=rX`^b0sFcQDS&X9i_2`@Y(H(0hKel-5|{emdO zQ|&sZV^NA&L)X^jH5_Q&N@L^d!H?P@4JWtMew1Hqn)b22j$-ye@nQ8q6qpTOJaje? zdFv0pcxXC^>|I~quhTw;jQSRB1v2YO>x!9T$a#d+14#kRv-^?!ppnl!-GDHmLwir` zUWddR+rH5Y6U~ukalhnY7R1}!G}$jQg1D=tqKB*-(As+YOq+l!9$LJy3n4@Milciq z0*;V5{}=O6sxfC2?%%dT(~vN-_ZRI{d!URQA^lH6Dn-a_U2&9#gA?hE=kG-Fl6n7{ z+h#rQh@Lz!ZfLZJ6Um}?qVjIUB5Ah#J6PY0inR3=-?=YfjacZv`xr1E2 z^X9TRQW?)K^5(}O`8BVqq^3TSTE9FS`a<%XI^Tu4_JyO1FK7jqg%cV%I~zX^P@p#A z_}8oh@u-Xv+Ocq15oIDqF(TZ#DDF{xv@dc63dh+lP|03Gfx1laHX5@3*h+J!!J9DL zDQ?VPy?+$h+v9#vB@*q^-FlGc}x1?`hKKp=B<4~J%JR_FE7sf zDE_Ja|LXbwrgo9T{I^Hf(8`YPw4n>#X?GVoEAo9Z>-*d1=l^f_@Om&h*lCj;h%b-m z0pljp+pjk}*RfItfw{6#d!MNxcui5>g*}`IIVYpAww?6SN;1~rthn$| zVIf3W@<?{|62a- zvnz?u;e@BQo4|VnJ$@h5y>SE~?C;MWI&=b|nts$;#&4b_M3S8+ z$C~QiZwVyxlGW)JuOHdJGgR(jBXjf{;Wtjc6hQFU+EBrj-3UE1Tiv%k0bzErTU@{C zAUwq?`@7i~BFa}C@eS!gWM#m@tB6WO<$176)!jmL_@fmZLClCT4;L`p$%oiWyo>{D zxe>SRnoz_ob;P~SycQ{S1o4+=bfyPxAW*HF>w5eu1n;`a)3SkRj|+FP@%56sA?qrh z$&s}PzaKMaxc&!u-kyOruJc3}>ZjX&{5_(6jh>j#T1L!j`KNyUG>Bc(Hxa^Rh&ZaN z4llgP^S%X#aAtE8Hfy!(srNfcERtJ#{Ng83n94DoT+I$n`&NG=FUuvMOFI zIq-i$hFPz~31d5?MdVw(P+mkTjU9FKm?+`o(vEd~VL+1QU`<>@8WQ!{^ga3$kYHuX zV8ulC%kfi6*93kcu4w4$^OHhoWpz59>{o@_Wf@TUKSB9D?X{Auk5Mf1)-_S{BH6EN z&&GRRK;Hd5qEmM%k?m8~*6FW{%o8@c+jM|*$vzVskr<@rYSONg;Y5nKOsivc1CrN1 z8(*D@_&A`Yc!S-7fDhX+w0`XM-q{CD!y?wz8{ zc0zW~%BHe04`h~YU3E#@8|h!C?;Pqqj@h5Db4h|kQ6FMX}0Mkx-JVv1?lWUqiAv-osK+e zd!xQqH)^1Au#s)c7s8M9q)#x7EJw*s%P;z!<0v|5$GUf$KMG1DvfM7pl0CnJo6mYa zwz4{8oxEd5-!n{nmkLD!>eNU#Q_m@pKZ7)}?M24aH;{U)=%-$I z@1NTLpL3u8H?NQJA3p#8E1SdQKYad4$$vSY-)K!j#|ozzn8q~gJGxQfzKco4r9fI( zPjPbV)BlA13hoA(oyXvyk!`dt(H)NQcVoVfUV~F@jnvLIqQ7gDRkZ6 z;p!NFTHCP)ZfC6353zg0?Tdw1MB_%dyB};~5Ft6T8{YV>r4)uoz=$^2t+gDL8-A3W{j|iyJtV{eYDdu5)&5lkeWc?U~!@;zq&`OnBq_n0T8! z6pqY|75l?uQKg{vrawIW?9`sFJpr#xXVqgQt26OL+EL+k1zP z!`|RwwjAlF9M|Spyfk!!llvaiNuL*Re!Xp^M~SdhHg^eCNR!MR2<=O$X(_+paNt9+RE1koaMcgz;ACLF&H(qHJW6aAlW z&}{C0Z}_ne)~aT2A@}gxm#di7;210Mu|aGR&dDFK%9$OmZVPE@10Tr@`oaZ;`91J> zd(QA}=ze%zH!^B}8V&C%#T~nZp226Kbe-}OMfmL!DXrLM2>%V1bQR6g@b})n|5-u` znOQQG$n%yVK<)TF3+p`MtJGIgI4VT^q|NtA!@B;|{y($6{}ldza(8}bZ-l>lMr)|~ zls26DIqhFPKV#y5Ug!R|XLAIwcS}^<)I&(O-OSShlAqFeU)749u&jl|22!TZBL099 z|CWOdNbqW+HDO$h#Mb7}H)bT$=wr*4=iE1u{ONsNvb-`<`c6%?UnQTXDP?xMtG|(a z+I&i(n&U{Pf3W!fkoO+`SoiP$zl^MmWJH=WDzl=j4v|8XA|quKLdo8HZ%$5okJH|p zjL0S{QXxr0B~m2P_#N--{l5BsexJ|n`v+W~Z@1f3*LCUEdDD3wujlbRj>qHvctdXr z1=)$eWa~qq@Q8h9SzQMsq_-V@SUpV^Gh zq8~q1jQnH3S;(ebj(kb22sz5LiKfD0K)UO1KwInxxsFG?;~P=mchhtmOBQ;JBp)2r z$idy)MvLY)+DRzL%Y(gn0EP0meA!Fz92lw=_U&YZBDI~$CamcFJobX_*iY&AU zngjdL*LP-iff!v0Nkf@6uO2c(+GMK0n~%$o*_vCHdn^U#74xOP7i=JB)<^E8*cHe< z$t{<<l4s-0C}v}kNm%7uLeYt}D7E4q)ZN|Jztu7d z#YxWhzRE{HiRiN;lL?#`G#Zvn{(gp>z%K{0s}vyZS5vmO4L@Wt@G&&JX@Ts8F`5^@ z)qs?dko7&F3G(nkO~R~<{Fv$A8uyVOFvU7Nsqq1d$T>gXrrrg`3=f}*9$kmx-=-mY z7v<4&uxQ5kGT}`&EtEuG$)}@m@@h?{~8nx?wor{y%$Q(i644fAB}(RL=C0Y8kEi4 zt?Q4>gYxe`UwlIjWQFI)nOpzsYrnUNSNT8%YB^siXq{^k!yYd{qjeW%9;CE2Ol!Qs z{JZ!r#orT{!J+?(m_h|P54iTvRP|!-{HxCid;7G73+JUzRzdQ`o^j$wbx4q7ZyJ2E z0I{Sd>KB)TA;u(bHotWV2)0*9FH;L4!o!To2le8iKHIM?TRexp;}i6UDXv0G{ML0r zzlTs8vw!CuX`JOSQsy}MX+Q}(P4BH-WPVn~i>paX14*69PBxPOSt*6;QOBzxy_bTc zVQdXjSb1{4s9l4EPw$zBf^#8GzIryDBnvSs`9rHm8i4TS_1JSuKZrC{+uml<0AZSr z4pNNUpl`&YP+Q&*THUW_sPJ?^or+#WS2|{bdJ-AK) zlzGi}<=(+(SC{1huZ}H{w@+(AxtAYu#C0bJD?K1{Xz;Qma*5KCWlJUmFdyLr1!P3Y zK!UogcEu0$(hOf}8CtYN{XCNzx6c$1?hXCqFN}c5-Mf!bm~6s8@0s}Sk;Bl|?W9nL zIfxCtm%@w|7@)fOlk|Xf4peB}eX_4n0gB7YnSF@JA|H`{d2SD~*sFXJZ71=?vq)aS)Qub<~P+Atx`1MrW>p5@O|lK8pB={Dc!~yq7M>0m1y` zL-%X_FerAqnBHp!+7~ZMh&77Cy@ra`+ask=YtHqYT51j|hjz!EZoLI%=XNf=Vmb^( zJWA1WX+n@USWjs!auG;dSF5(1_J^#7--2aKZqYOH0ic~t! zf1Ud|AMj`V^;a_8KRT1kG3EF--r5u3HhXDhLhcE8GZY_4Y4t^aSt=K!Yy$Y%d)j%M z&4T~@wUJ;UW(bs780}K2+kD<3zERR-In-ozoji9w5$Bbxw8%scE8W& zYUyVPFVUC!&fWtN;c|yLyl5cONc}P&BXax>MO%B|PRhwFj>-BohNy?=oJdu+L|xmv zLJPjn;4AFTMT$f{-GHU>)l*gwpzyw@Yn=fZTltQx8>Q&^=pMCIZHExn>gz|QKSJm+ zns3(Pnh+-U_1mC81TvkQPBXkMfrz6+DUD{15V>=UF$kmH>&xL=FV>PE>b~xzzP|}L z$M0g|yvYR~QGAl)`fcDnJtG;d{04l>&V6_x&<6hMa^*@Ea}Y4}X`42BnS;a{jr)bW zkVQTau!^&>kh=4nt6sGbIwSdMFU?M5fbV=eXyXRqo3VQ&mdm(xy;O8H?NVD}21PHl( zZk{fHz}oCnzS%Vp%(GuYOVbWQT;nY1IOrj?RbW`Z{Q`t7+mu{y--g^kAb&C*4Uv4) zAyRXq5OqY)(RKu1Z@VxBO=<-Y0*A9w?;XYL^rn*P1YYnw7Deb4Lp|SB&dEC-n1gH3 zXe_aY`oR-9#+KrDA#kDPeEuLZRD36&TW-&Q&@HLQ2G~&-d7E=?tB(@0L}KqhC)GgY z)-@UrZ)BKAy9vy{=EFQeb^S*nsz7LR*?$`I@S^2By*#7P|KIZE824>2h>_R>58wm% zK42G_YGMWdR~;YjCgI)f)mDQ-ZG63s8)loD{16(PuORn<1;Qm5P5i_yA!0~gitYsR z5HH{Vs_Z&|x&Zkv?3&m=vREj#p}!>ho}#C9$8U(adb9Z3MKXN$?=^C}`T~f4Gj*(E z=;dMP@$aUngII#vkCMC-e`^2lp8v1H|4(iIr}qEHeg6}F9koCEu>SwiwI3j#9{rf2 z96}`@$#d^SJ+(wzhI&5w?1ox-KD|I~hdS90$<|IFmRcN;etZ&QKN;y(@7M}hGHi<< z+9Basc!u!&2qa4TX2hQP0!hd1wVyl}gXF#GzfI2BL-NnH-is8bkTP;cYaYFusfn`p zV|w~9PsM0gver`wq{>p4Pvn5m$?y8dXV+0bF7AEn4kJYG5zACD2th54rR7$y4Tz;a zl1Rfp0C5*Ni%v~kgm`a##sSRgPDoD(4+wq?i6sJcA5?MvT$GZ%Y{n1CDf=1?I*}Xe zncI2Pe;iV;eA%}#EC^`_O2!Sg?u4{gR!eVV9z)PUQDW&iL1c|C*@{V`2DHO|wkD(r zq7(Z%*`Jo7mS)ZOp(bif!um;~{>Xfs^cuUU><$S#bOn3e#voCua%m!e8ziY4)fwb< zLb8_b*$u8GNV&ixp(KE;tdn(kk80 zHh>66vLKEnD)ig6rtf)R2Qj9hqnAq1^ZPBy_z=@&%tcB$SWb<1gajVhC zg&&=Gu9Wed_9#tj1LLNAcLR& zAx|Mn6Ii(c^_vI4{X;4JfIR?1n61--p0;8lu}XW^)2maIe8lM%w8BaiwMHmvD}e z;J{gYy_5%%4p^@-s3t;kLq>Zm_5rCmjX>XI02w2r{Uig_sSZXG~!3VVnFV z$n0LXyTgn--Rlon=MUiPEFQ5_>OO|PeJ0`Ww-Kag;y8N=VKmi%C7H5@_;pD>UKEz8uZ<_k~x9epVpsdcl2u2p#HSoh%zf`H^0T^ z@eUb7#X;lmJTKLtd1 zEkX}pm7%7W{fTy%3p-Sov{G&+h(SgBy#w~-kDye6d14`u77D*v4@9`)JYDsRjw**G zGC?2bkiZBKzq(0J~0;rh=_sHu1zvEGC15Yp@O?B)tk_Sh(~ z;tn|!8(5!vb~p?QqGMDC8y6u@s?bsGDC!!dgkUWY_Zpr*C_~vsA#fQuJf3JXt<&^hWFK&bOabkGM>=tO^+gTjC{~pv` z`0?$Ha41x7XS#ck+7T+sW;JeU`a)^$GcSq5!BCX(wyRpF6bd*EY(E)tLhf?F*Jdg^ zATf6-?Q#r-Y>RuEpNa+{bEW92dU+yb$TN-^{4|5qH(Vob`@TYQ%a2ey>L3_$l1QfO zx(6K^lLT6p@6d8jer$c|HZ(+kzo$eM4z(;lzaEazfhsqz`()AyP+@yVUnXD;N@GfG z=FQomsPE%_wzw!LxD~FI#1Q~_n(DhBPm}}6>&a3j?{3JR8S9a1Z9xt3nLUg(0+7i$ zzPQZ62Wg|fCTPsL{?z_|>G}VS=fa-gg3x8`uZs8*?A=@hSIa+eQw7DUUjE#hC=Z%qrYdn8yK$a8P zX7Vo{jdFvhckIzD%P8=fXx}X@#{vG-xB2Ir?m)m7W5MUFFCeI>>gC(7L;p z2B9^g33*#IAbf|x50iIE5Mgj9WH^!=xq+ozMlb6jsz=b=XOA8b>=d5+vxVsF~CzUq1w)3wbZhya2+jmDvUrU!oRwjzCJPgDBYxc5iD?ldBjRr*2aMgx9}w zFK+z}(H@HdawYl@!(1d`79WcK#`@z2V_HxftekW7)gTZH6a{Y1)PaB3Cz?9j?-2N{ z`MW+cJVMAMWhEu!A@t4ZpGNmo@y_^Y>#4A0h}3KN^vE_FqSkgevu@)2zV+Q_wr>E@ zuCe9w!G|D5xYtEx;yc7l?-h>RaKIj)O{@CK7{v0tUPHMN#NKyq-mr`S;xm_PcgN_(rb5$D861S$ad!b{9Xg8ozNb z|b z*e^VWxP7+YHcT_YyW>*6(QqO7pPpa!b;-aT-NFZxZ=cZz*HYmZMnHeTbB&5`@epxy zx&8K5cHHGOciLDbViwc%F(QWoMDOK^q{{pS(T^EV9(G)U7+=fe)LRFD$lqWep4y8X z_#~iQm4?`B)f?TuJ`lTTCpYMhJ+#wzx-TT0YXoUzrq(03?$s#0(IaUH%IE2kOkjpk zD)NH(@FED`bBOGGd(1onhrM#(03sK;xF3wtK_O&}EF`E!PE zP9xW@MN*%esuu!wat!pC12S2hf(6SQAT*z^Q=DEK!hgFJ4JGfwUEC_6To3Oe(L|Xq zi|Bvzevv1+w-fpPN3WmQF$qMea2EcGhj=GjKah53Kg2#f!&LqI1jGePZli6HfOwf9 zD-vp^;y)M?mklmL0)~{(>oG&((My+`MB*WYIJVzk*bl;$Mg--5T|gd_(blC}9v~37 zhaBv|cmTG(~I=a3YUdxjrpfys(2Zj!yKki5pzToZ2lr}qE!{D0H< zklXXWz4rTV5-H3%WuP^KLrtuA3hKGK2j+60LDkQ_io7&3P@yJwbGOW8+zI{CNJ(pk z!qxy?sSEU&&2+;lb6*VP>gI3g6!}6qD`s+Sw$FCtYye4yaYvA;F=}W$ z?@E@XK$g*ob@{~_$Q0%1^IydL9%=IF8cHEZRa$1;=SYNPUysPa0So9)v}!$c(;3>N zC1lATbU{PLr^uj(^-!a{lUd|U090Cql1zTQfwI=@NeYStD2{48c`F!seZSiHuAiuf zyy=_9)=jSCZbntd?3yE+x^Wu zU62yKPv6cJd40hvSKZ%NLECr6i)rh7pmF9yru61I)av!=ZQ(Y6Dx=Orvg*5#o46^) zvLzQvIlNQrn^>Ue=C|S$JLJ>x6c%amK8L)MT0vahu0Vfs&q1)dt_)4jVk^!a8-;rI z&#Ls<%}~9N?R(n#B~&I~{8`Dp4CTo^s}8lTP*UExy@rwjilBs_F6KQH99AFO*PaP^ zSKH71dbk5f?Gw2Noa-S+b9}nZmD zfsXsEG5L{Op*7!(Li+p#Xe9Pja39QtI)0y<2RN6YI@9E+zfKVDUzQ)T&#giQEvau| z_5qX{w7#rac7kHYH=k5!B%qMCEc^xAB;?D5G6YR1L2dz?$7hEgWXlZSi;{f@+3#Kn zuv}b3ro3yCOr8Co+W#*-|9{9me`b0Aw;bMzf4YC)L%gBqot6{$G}l#MTVnwKYDB2|u3t`{gm7Iwft}sh>n0fTy1u^&kq@3R znh)c-JhSROE4~H<$H~lt=dlM|S7nb2L7uIBwQm1>H$*Qy{p@dunqB?7hnl&Zz;l<@ z`FW`n@Z~B{d$+O${DY__bq7i?2WUh6iU=~JG_Sj4j5k8ahbf(jK~@OUze1w;bp*oe zzFd>;JP#2+if?@1`U&%4oL=o7<^%%2({K{kS0GddTJ+UCLe|8ucWMl?5M5KLY&Ob- zy`k;5$w^(DAtp^zOZ9`7`4+x|98TbywQ+0P%3TN`|3X*y78xEi)zGqz+}shB&&yYL zLg;O#tL>NEAndhel8Mz;h*0nnyz~LJ&=KjAWF{*R)m6&lA1aBNCZ{XDoxw~Ks%yMq z=xvUUG`rE<5stlYW3#3!25oVvg@&M@blR`@K84e0!B;Q z_dmxy%Gh`5HLjP)Fd5nQv9$`bL!1NoQ?Ek!{iZ34P|Qr{|GCDKfO`YCU-n$Q=r=S7 zXH0u-j4YFrJKV(AAv*l>80G1Q5X0#RjK35hCQBfzo823TJR`#2VzYplL-=;vF93XN zPl{dN{{VRm!Iw-(Mi69o#6A=?ULlq@l0QH4hcL;O#R%C72%meum$^$6eev4L{og)7 z)ck3ivs76?$h9xYm&H5WMenQG(yS2ud1`3zNIk?vbniNMq6&xykIH%);heqZzST?O zTZoktS|Q(xeM0vTL(w~Ap__=9AB@MlWJxlI&(31hSa%veA_PNtjq~iRW(P$2o{u3Q z7m%=PQ8ZBy?=T-TOl@1%kc&v;!xvA080+ecC5~z!@_l`}R(cnR6HeT0yO7D{P0sbb zcL&7rBxtSR9W}1KWP^q?1LE~OKVF;Qg7{A)dtFxdKehjN&;M89Pyf}{FQ*OswZr=# zbN=i9{`%isANeaVkC}!0$&&I0p@i|WL6iwS28VtfYtIijn#V) zWc>Me*wRl3u1QF+%^+bd{=WVGR4;@v)Pe5ndK-7CVx(2snI8P2v6Pl?7LcgMa$4WD}`3(yXZr26xi2c)A`itP(DYs{o z3cbAwDW41NpeV@v>+tOx5`3zW-N1WLKms$lyqk}l z)qM{UXdN2*;SP}jg@Q9myb$GRdi&?zZp?C`=pM6vg&esH)bokRb)&uMZM^jxxYuNL z@#u}Aey{%cT|PVT6TexpzpWVp7|UuNZ+{Mf<^22R3hW@5Z)CZe`zeHYwXG~>EI?=* zX;)Yu4}>ilOMdwF1|sN_6o@-=@%w&NA;_ZQ{I*jT z5Io;7c0!^KLeD*!czbUaGr9J(p13^(;XThnZL7~g#A0;-8S;suwx%nGu_yw8wnHK@ zb_eq8I=6mywuk7g{p%~&QX$$?JWbOj8KS?4aA){D1|N3MDC+=A@VoUkI_4@q`z$M7 z&T%h7kj#1Usi(NZeMv6+hB^X5O{%hsqmj8X@@kN`T@E7ngN!8G{UP#BxS;}1IYi|c zFe^^u-rkg%>gw24Ago5*{eao3(H~2Sh*?hZ&{G$Z; z+n?gP(s2g@Lu8YfMUjzeM>Xy|rxpvD(d~lzU(fVbn1-Qx@E*~O4#+*1na zm&81p@iUnkPxb4D4d(KcIlJF+<$^Y?(348LBl z#s1jy7gN2Yh;N0e#@j)U?=$23;D6_&46@4K$k}F96QLL>Sq>i=pl}-}N1*#0 z91V0oz=LyFxr2Q}p;>TOk?LtjsJH!MY*?BBHR>U9&l%oB6?M#Y6Mf|M$vfw?L^eWc zL4b%s&^#2MH9PE08iGPuuDM-`$06T&m}+qf^K?H5(CL?^04dPt3^(yLL1C6m65RllByC=l~f*J&GOp4XvEQY=} zb@vQ}iC2Z{=Vd~8CB4mrC7y3gRC{!m8X!uG-BLEJ1_)<*G;Jqvu07#swj&XHY@=f> z+^zWBfAi=OhpimM7_YhQ5qJVIFZOD7Y2YkOv*vQabL8{#y;>2>I|6=2kt|FhV-T?D zlGq?OjkBlm(2rF6QOA8_Q9$)4goQXWb@qq!1DOF`i6(_U*KLfHr#dDl`XR=J*rQbH4Kcs3@vnDY z2BKH;-5*x(fw(Cajz}uxBI`+Ni3@}O=zc+m)M7kuXN&?OJr%M0Yx|Gv4BRz*%m|83kIs}JIBV^{I8IfMdPQ%#tx@a9Bo|!I z=N0gUm`7?15PmW=?8OHzh!j6CE+&lGFI$VUFUXAm;mwM$;<6Fy@kQAj9FIYa zpJaw4F%pOhG3}>I9fA0xl)Qds7-Gv3PFO0VR{H8u{UG0~5chk{P|lnM;xlvm_RO4s z1euhp3Uhs!qo}#GqSN!I_W$nr|ElqS@9_TS@BfdUKkC0Ry#J{^zvD>F9+99=;6^ex zI<;>Kyv&$oPjx>4A2~MFo%6ZioA@9hvnB`p`d+|AgLq^(x6`yzF+jky9DklM83guo zCyv~H2|!3O*jDyS^2!fbY2SO~G?NklF1#Vm&?u z0lV)aDRKn@_gdGFGbKRK_Vgw#&1Vp_rl?-UEdarTOH_nTWIU(%JY=RS#o84;*z+8{ zGu%(-9*<$K{780{Lx&9S2%l8LaYp6rdY?8ccp2O`Hg5&81cJAkW#hAnFSv6P+}O6$ z9sGn3dkt4V2LGFUg7gp5Ff)txW#3+P2=wY!SzhQ?RAfTvbNhWf2NWSJGkNve;}!_l->e!vx#0(ayGC}sleB^$fdDp18@!9~ zCUshFe*+tBf7Y}IQ_dRO=zQY~7EjSt_9uvTq-O;J49~q)V9af9&$m{!fHnSxNIemxv<9-N> zLeQnmH$04}Yc%8R2$8)FAy(_tdSc2r4~deUjz14!deh05Tv#Do-XliXEgK^CS;`&M zcfvfUn>X%D;4`z+Qu%}n&S%1fe|=rp3qB`gv){W;g72dCvdJ*!BY9m3q@CRc0iX1Q zrf#V~&^h~^kx9FNmPgVGmxFfk?XU z4u$(9WV$MB^)Sa>@7F_~`}y&1aJR9GDVqievz#MM3lGsNo{pJw`~KAapPv8kQy;ZG z|2fzH*VcZYPp`H_%M!FMo-%9e7KeIghxauvP}5;{GnSp12`U~0$6ITEhtkG0Gm@$# z6pe|}=bGC>fp>dZP7PJ$U!e~T;C z%pQTt)8mYN`<0+9U4Pj%feebXRqbEc)j;8??IR+FosfUJA~adY1(_Md;)(+;*y~>2 zEO{!6z3#R&1t!#WRX0h!{Ivx#OAAz%U&up-SG(WDEn7$%6eVZy$b$Z+-BMEMy=gN& zkY8Fd42_hDW@@1=P}8J&MqbGPDwj+zYLjU~`Pk1MC-yKXX;LaRf9-?wZM{%UD1Qs`>)A(?b?qQ;cYj0t&f7q$t^N|3UyXYQGTRmA zILOwtn~73nf~*T!4B$Qqnfh99w?6$1gZt~|FLP1C1HGoLOv`!DeD#i%TyF~0=Xow$ zI*dR~2wh|4fmcv_MMja`Z)yw1wz>YPJV+RUrCp zlloco=f$K&I!Q$u08!aX)B{-^#09zB*c&1c>p!MExgRr}IgV=SoC@$8(H3;cKExBZQIryNi;W zK!mNAk1JSvM}~I@gON|CHL=&^E})shym;b}aDV)g zAH+IRCEYxR`)#(ihr#-E5Lc#4zbGn;42&2q$zR)%QO!iQbh;c8u4r6w@uq};%I&qn z1E`_liq`t%>J4Gtm z>8`ry_4!=;L})?{f;Otw8>!22X1mYHiunLURK-=4AII;P!uH!EI@HNCSu=`~(DO=p z%(~ij1(_chSl@Er1vE;=$?-b1RBuP!0&V59gYO_d>w9fm;SeO8 zo0z`7`zIvKTh*vsZh=G})m9suACScM?)#QtYY69e*6Eh{0Fe`6iO0G>D(T3j(wl{Aiz}ay60?X5GaDgA@ zCowb1b%$EK(iskLoqBPr@gpU;rBECROE&}eOJCcKF@wWni`(r>m>1(wB(E#KLj=qR z1}oH!pTphW0}PSu<6xuh>5@=(4Qy8~7`;+q2Ky`Aq}CN8I7E6^P<%vAU-6R&LYr*ZK=$i-H$dAi&O}gAr z2OhebTcX3BgC~^<#d^6gSPW;|iIWL|ReVeKy!chHsmQ&hZAJxlN=XUTx<|mi#QJ>$ z`p+Ha8^rj=l)!20vCqxuA9Q9k=n#r(02hk5uqVZn;IeR=)@9ckxOS&cs~ivpw*(>g z60v>Yu5Z#bWj_oadl*~V9U{Twu`^fitwZ1$$RPLFkOA&knAd-;3kPd!&QJkIR1qVs*m(u+y;OIntMpfPpoRaKvl|mT7Ij@c*!YmV9vf^96y0(F9 zR7kSMoHV%EutkvxRDiqEzKZYH_`rkhLzU3A-QY2Gr|X^KD0n7W`|sIl30~?6hNH*g z!Rn@LJCD;vu&E)tzGV6d`G|hH!&t-iE9RY(yV;7P!~8wM?Wku!uPvDRPf8r zA#i#4Vx&=A1YAdbn6|u*#C)R~ikCZH;NB$qJ6Xd+@QA;CHTt(Mc-|c);|i1pFQ8pt zE=vP%in*n0E7!o=c-(DEHYeELTB6dVt^j-f=e-skJ>bwZ6kXfq4o*V9M9QAt0_T9Z ztimBfaOpmBhCwI=TvrA7ayw-ri0-sj! zG(n*%J!j*Ww~&A5eSliw8st9Fs+kNI29nE2``ur4kaNyk;FMMlWS|N^^mpx>+=W}hxo-wQY7?lYGptgjNmFfBcGFet~m^4bEe=PRbe4Glj`Eyk(Igdl* zc>xCTm`SLmYf!mkO@Jyz^bq`j>81WJS4Os9mapqO*wfen=z6z(Kwf58my ze3Rkk2a`u3cWJps$OL`Rdq{8Sp-%AIjPDr@s8*tWDzH2bl}FQ-k1G~H`7sO8 zdiqT$AvrPfnKD69(2he!D_&60yx;r_W?SToGIg?DOhcYRrg6dBARuKjUE|-=4LMu&w(XT5WED?-zlu5agYtt9Z_Rv$2fk;QpI_60=E}m4B%@$xpibs@s-=dS z6^7Y`2xNuVUs{^U)`E)PR4>kVAV*0`;G1+W`Yb+0T~f1r14TcHGwJ>4HNN2b^~ZU2 z$RC^OJ3ssu^8A|b^8xxluDlL?EcgOQX9CNAis0Sw{H{ipwKp()R<+)%pb|P|10Q*e zjKci~rk}LyW8q%k)a2X`5vX^#WAxi}FVs@q&D9lYf$II+!mKyGL1j{PGFf9VRA>lU zK3YzOGPlbSqwJWQlr#~0?b&PO7R>EV<;8uBv;Wq{9%CrrG}WW_3gtm{$D-4+Hqk!hZ}bGwnp|QWNMessFJCY*_-0|{~wMo{w5WLrSJrdQ#-ZGyeTnTm88c90WS9T+Vdlx<5Zz6K@c&sPK z(F=2@=S4pS`mIz3F8o#%ghVcfs_pDT3p*5#^I^uv(yx*rK2L5t(ey1O1X0~#Q$`J^ZXN$Ao{NyQPqW0>BMy?L zJNG6Y_yWmAwI2#oMefmE;Kp0;h%$hYZ@QXRz}+rg~;nb#yl z(@2@=58_=SSn1dMU#EdMPHBJbUp%?*VYQP?N)S(Vs4o5-Kg54exa{&$6%uBanR2IR zAh8y9TD(Tzx>xI&wfD#%k=tFgSHK05zvYtMka!3w`IoNL>6X}61jn@_Z>*)Shs7s+Xu-rRHig)*^rWQbfCbN5>nN} zMJ}+2LF%t@AM#WBkd{|`Mq&c<5|6cvo*q_$XfhrJDvmaY$yCtf*>V74w{>-2{XGqF zmp?O4Q|m#z+e;B|AxB7vm>(!F7>C3NuaYl31<3yB%F_DY2FZqMvSynmkaBV~(6$Qs zh}3CQmv`e_XK=JJWcECyg{!dp^{_*_LIdmL0pGhgvDOcjF zm+<`@X)ZhE2WdBls~lgQh4fRC5!4TxAcN(knCc_+G(E4q>TH0!)=Pq3u4y=bs!;ks zxp3i6?f*IV{|~S4e|_!uk=n`G;<@1dSyDS4vp&?%`FL!G+CcS8M^}Vv19IY46rSD4 zg|bP4rtDLE&eIZpp1Z_``|Tiqg8K)^U!i|}jKLc6j76jQYt$ikTZeY*@=4^(Xl~ZG zWI^@>2ZMBECS=Vg_^NtuKo&D4?VVXA$UI*X@y^l#`i0$B8cr)i8~tiW{O&<$h}@8s z;0uBp+f8N`BRu!}ssoPiu7L8cIHf}{2_-Lb47QG#KoP-{ORNZaHH9^ogV7I=<AR zB$kSM+(gY^qV+&>{1h4QcLjMc-h~IqIw1RQsb7uxHOL~|`rTk>h+fYfhl1VjLjNlx z8mT!CXiGd|c_J9IpPfqH4x<*PR_0*d!BJDFa^GeaY4RQ_{Ia`cz5St#D#-X3-4YaM zSn@68`kSVo6msBR?=@a7^y1Xrp!aUfI1Sal%E~v4 zkXvXYu@X$V9m*R9rIP588z|OvfN$#@6z>{I_oD5GLLKb~=JMEwjS2nO2*Yd)PpeON zDDdw?-Sk>y@h{Bg9vJ5RjQR&PaaUVMNBsM6eQTw20S2`LyaNXq;X(2~tM`VI&^*t# zRf56^8sshGE6OXN_UMNGn05(NZT?QupyGl`rJa7eB08Xae6xMjSp-UnQt=ayoT0do zDPKWK8j7}z#k`F@gRGOaebYBoAz$&8#KF!v$lLRpC)h3-a#;^8ZFIx|X}`$+#bfF) zY_$KdwYfQT>eYx{8Q2AFWUtn38s9<_L&M6Wam)q0A7bynPaSHtj7>+oGcb$$qL%rW z0jONvaVPpvEoQWDjvviVfpUd3k7$h}P--!D>rsXm6c701`>1q6(NzXfF)>RhWF(!w zeIyU^=du?D={g~A^bkkQe!jnIU;NK34_EmYFnt?;;JN;%_Qi$q=vR{<`|sy|+5La^ ztS>b1gPd%HAVi-pUA1g$huA4~;Sg8k&(fb(m`S(<$+In8=8whE2flRqwG%h`v0ZLx zI`4tZwr!8cH$@;TW>s#%>@{Sci&_=U!_U+!Wtr^_!&q}weV4B-LCzEHy1G$hMTKpoC!;~KKOrYz*R$dnx)pN5>D zK2OR+41i=SSblBA8AwB^g68RUkh=@t_u~Z-Rk%`s*!&n*$Iv|5*;&by#G-MrAct0WH582wzQ}Ji! zAjio3hPtq8DLGrK|YIpQ!TUwBLJ>@sG-xq7D^eU&?J98+wn%+@(c@PQ~74H#m zpM^rRdm$%6G@x+gjBS1BCn(~}YE)81hS}ZwenrSgN!Lz-jn-J1aM%M*7esRK*->LF+YH%q2Eiui!_FR;r@d*2wXdUAc60<8U-v!+ z)V%Wn64y~fAC@{Gna>zZKE%wZ5;3u~NeKx1nJhx+vV|BLhgX6E1c)Q;U~^u;T$fi& zp2tWzkka1~cEU{s(yz|Wd39pXrj``G3hI!h=wYz;o&jWYrXL};G(h%PiHeM$7v$Wg zrtFt`3^||s>MOcl14+C0LE;t6Vrp1WOFQm^o*P=7!^6mpRV!|?)W=P+>Sgm-W7OQ7 z?2p*}vk&6m>O4%PK^F80M{_QgWJnXWaJ7+>hK%u6o)V5i$YK#vJ*ZLz+1sg3IUd0K z^TNfgOUV(Cle0(WLen&I`J-?29Mi+>r;F!d@gU?%y%Gpry#cxDC$F4KTZi1wJo9f+ zpO+`0cxq~+8ln#zq!3_~LdF>VM<(KNNTMl_={$TEQtj6$eR+34MsPsV`!~NKYrjhT zUIhxszO2bbFwcb?-Tk++Io*MDva=#&PXmyC9|)rSW&^n?xA+XqqajaFr`-;DLV0=T zNi+iikiRYJ8|zU+$iK1m#rVVGhU~<9&8KB?^jm6HFD#i=gP1Ue4SHMo8ybwB@Kn z9jM9$t~LS8QP$f*bEHHZa)mkkc8nTA-b>5puS~8$fiY$NQA=qktlQd4;MxgAQwht$ z&F)YN>ocgaCG6+!nd!kSMjx;5 z$tx}paKFVu>bW=gtbX1+XNNiS-iAy3Ui-jVc0D%KpbhLkI;;(jn?Y}pE6alue$ag2 z#HwaU9#judmkl2OA4bC0U{l0Ns z0{g4CMutZVkQYeaor$}|=DEq;>s+l+qkH-Sv#lGHJ!z2SZ@Gp0dwTL(5e>+>@Gx9f zbsjQ=1fb=p7bNL+ZDEu}k8E87W#t-rO};;WkwEN(u*Z~SRVOx@__OU zx`A6Xn^4Gd(fpzYvN^UY^1Pw`j(nOPjaPPfzgD(zE?ZN=T^C9C7xOEKj;T`J_;d-v z-+8SUrPo7HeV=|P>I3~;F6)OSG=SGHm3y>PyTDa>d{mY095^J0%QLXNf&PcLs&$4b~M634ad2`W&voE)9Jj}unp>&83cL``a@-4sm{@>hoRUrE1al}xyANVP9Jg3d-j2$Q<)Ux zjqq-fr^9>{<;gw))OO_876@#7`2qw<10%VYnCItr^X=^iKOqsAf@2fgafYLRO67Am zq(|wiBV!G@{!$cl-NKMH5lAk)5A*F8Gk)vZp)MO&$>#?}fz+Eq$CHu?xrF|spYRTn zr*Mem_6X9!~>Z45O=Y%21nALyWs{9z4}U_4b3vIkNeJ>@RZ2|)U%*PqKyDMJ=L_4_z=Q^vBY}3@j})~tkL&ddLV~3b@puZpZe4UX`Mr9`$d}&6Rj&nES*LzRe=0&9 zer3+hCOq%ElQhp(2%vxC%5KMlwvc~~FzRd_2L(P14v0F0~PpPqyJ#SV5@~sXr`gt^=()^yj zref2d+W*gf|KElGZ~p$@_x=ByhxZ@P=XW0z$yr<21HLy(xb+UppojG1Q>l%42%Zi3 z5UiR4Vd?zKs{bE(?;Xzd|Neg~GNOz^2`NNHB%#5HGLjJyWfK~*C3}zT`Fh!V?@cx# zD?}2d%!m?|Xz4oNtLyXoUe|S8f4@K9j-%-~ypDLC&&T;V&-?v$50yjKCBw41#aHxC zb$NOPT0#W>k$3mMA>WO0VC(m4Pt<&vZq2r{$@`;M6|Rt?eqAeG>tQxTWLzgIPVa}vh%2?%m^&fLG~#l50(d{ z$V2pCK)c;AW@Fxz-mu=L3^6PB**G8W0m6-LA(9zx)Vio^UG3@x5AN8K;{sp7XP4*G zZH5oQKYZ%_gNj-RtjeyXq-&*Y=@eYUu zCq8qD-3HGE?z#i-_kr(#a{kYh7Px=)sP~Y_X$X#35-@TbhA=P7P+iRxh}d(3Pu^nz zU)NFYqx~90-Fja*%ft=QH{-RN(9;~F{94{DHxdYJHHnm`wgO=!%p~on91xu@Xt*~l zK`f191CyE?#D*H0$L<)0xGi0(3EHCI>u$R+{~h`FH#D=Eome4+!uuolHx=|fmPPJG z)>XuVBjM$_C-7V?9IqEsM9!^P3h^gqu11GikWI4!A^pjLHFM11B%BskOmBl&tJ*h} z!~PI=a@XEmo$j?ysGpDv$7MYzgIS^;37ux~~ahI<#umAG!kZ+#-4V)=-E|r?sNkaSY-nUwrUGA4@-JvIy_Rr0so8i~qTPMyz;~CFt zW0-&{&c?%>Z^ocB$b-ypBn@Xep7;74H}E|5-CrZd3h8f?mxC`aKq|XXlVFhu?#_Hf zdGmZx&(-w$7(MFS()ay}^{Ru21#6EdcP=1zCWKbXxd?)|`fY^HB!hqXc1r2#NbspP ze4M*T2i^ImIcf)Vp=nQh^^NYsP&Mdd9(j`u%9vdi?pC!y!LxHN+iR^M=jc27r%#Yk z5Es{wj^n5`9<*B#3v1mPTFByR=r z8O9dql!f}kfM8{%kohm*dzbo1@kdMOv1L5AXWRz&ER{}&Iy|7dDa%(^M;gk;j+19S z;X$qHOKQQL3y>>5y8o@^82Tj(R^`_GAkF>&ZPwZ|NZKf62ty|pHQU@&hk z!e<0g786tVj-j_|fmNIHY!QUGXx6Q4=pa~ zT<5pmf?AgTr(r6^P`<%&G_$s&%^X+bE-aKLQ2IeMY#bBrtQ{jUB0==uL7_u#$1^lu)Y)gR0*ZTv|yLwO4u zd^$RYKL>*UJR9(z{+&I4cy4wKeUb>ooUnSJcjYj|?doZCxO)l`9k25}{ipyb9->^V zxc^Ptw<54F=rN>gP-=(%oPrFC)g#ua@{no%Ok_u?A9DE1ahxEYNU|2BmL~kfg*^wJ6-h=XF)Mvo#@TW^&oCS z9S&9SLy}7GR1oheNImXd*ZE}z(xT~z)MdCw7*;pXp}<+!CsLCLyAjCzqBXnk7aL@~ zPJiAykC_!^=EEgleK2?ZhtY0JTgYYBc^YM)1i2+Y$DIvsL7o`VDWw7P;w$9azXW2Y zcH!%Rq2s%Oc!;pzl2-r;43{4-o;i%1+4wkV#W6@7mzr1bu7q@%)rFB7oJED4In&Bv z37M54+iq-9Lsql(Bhi9D$S%2U(mkw&Ss6D9DY$AO_d<;ojTmZfHf~$5-NAc%%*U1D zl0f9kQ_s_`%tLo+wx_m8gf3*b68iFt?Kqmi2o`P&9WL5gLy4swB9OjE=X776-_v&$!@M%JxOX{fQ8fKIF z?R@p?)E6kQBd?QZC51wTk&~tF&QP?2@oCxhU?{5Z5`OXhCi)T1=@%AOLh(F7?2#B| zkaX_1>I%X;+-8*7w%%8eMf=$4&GBW(-niu9{Hp?TYttpJEd%6V7QUHeh0lPVzS$Q> z3{b?u`z}_quTM*pHc{o>V5kl^jta3BqbC<)(tkw1m zgx2VOlA~z>dx?0aox2}{Q{hZ#x9$wM>u5LE8O6|*e^f|HZHKOYx|5Zkk) zwSMRcJrPzXdJ?%auj@zN>F`6CQ@+J9g(bL~^Lk5bnj^SSu&j%W&Vz@6qwbAhdGK<} zxv=uh5PWWA%&(N%gCFq7+R#QP6Ez?xiR{H$&m{;h zIC|CN)HMi6?lNV2tO=n`%3oC9bV8UE){le>ysr2QEMp8w2_9;Mt|mjXlvB}zm-^o2ndhU(&Cw#N8d-qDcd`!l}c#S z%k$uY$nExrPy8x?NDFrvhgU%m**$rNY9D5e4eXHrVjK^CcchQ_m!WriPWN2GL;QLQ zh1?TZONJ2jdF?68Ar4bgad+^Jhw#wg>|=$^5MeY`ef%*iL~^au+fSjVcjO0!VJ!Me z972xF2=GDlcAvdpwiZHknAh^e+h-6%X;JQ=+yycAy?QH>q!9CbVWs6<#qZkx-Shv= z>-*nO`&|{HT}LIlpvwLZEb+L@=rl zGoA+gy3?()a5uGRc}e{PWPBYv%YQ%^(!kTfn({a#lPw+3r3pg)V4qZU8_vu)j@;u3 z8ieROCd%u8o*NdIM22U`@M08JRxT-k;9T>aO+2&6AhV(DKlKv2JKH3GJvj(X#$I0} z#K%xeYur7Ldc`tt*)7HV?oim1800oO1G%T%B$}8aAhY7b$yTC0q)i)s9u&`mlmkPz z`cV6tAfvlFw2u11EjzX!TXKaM5k;FF=uM66_lI*`uBcahHvDWZ8bW%{4Zf*727!rw zVuw&0)f2rU#*aBV%}F;a>D7v%=Fqo>0>`URuJ$m-G(#PVj|iN+bK?-?-83UJqA-K3 zh8(9m+oU1Ao8|%)88Wc-n2w)ru7SjIQOZwN-4G}H;1b!lWFTmc2uz_rFKQ_8a!n^Q zM5MNhTpMSGFsrpz54#lz-g~HH%du+ceXyWGYbp&bm8rH?nmtgPJn+$_Ssp4vcd6Bi zxkBkdPXm{>87L6fJ08|w0y&zjQKu@*A@ihpSWf#1NK04kq|EsQ$t&_lq9Sx5;esU% zL6QVwdCQ#47d#-w*04^45$8ZG&*=_&P(Z{^@mWV!^zOJ@TNjQPz+;x7tM{H6Kp zbzbs%j{De>jbD+nkdr(#K9nA0`0pFy(y`&WuJ=rtYaIYIL#6w*&?h^z@6gbdGfi?!Pe zAu~svE4e=pvPx|N>V;9qma>Q0&}2L0*njJ(Q^lTJ)Glt@zBI_4%c^!MIg0O@CgcsE6BQa;eA1w3uHU3i0w(lJU*+F7T!jfhpQy>mj2>N$lL9q z6JD+gd0h;0;!c^6f6Hr6idZw`|8Vvd1?jO{8y|mef=tHIwIeUlH(^Pt<4)cT*}2!&sfG0+r%mOqJ7%Kh zw)7H$0`V@B?ekP1A3pH#@m-&33RH@~#j4 zyxi~>?<~rXCZ}&ep+^a_&Cf&8xZ}+|r1DV0DC4catp`f?_K>Q*kHajv52V*_OF`ML z#hs^Ksz8}Lhq1vqQ7D@X*w)@P0OhA>?8A3_h4TAZ*%P~t{I30fdj3BPe+jSf=+pZr zlWRTU&pfUT%;hrs^CN%#V)ggO@o)dm`@Ut!d|Qy@Rj~c;Um7rC4i1sykBg=kz^Tz< z+u#X7aE-9J5kjcPtghR)U9NowPocEP!#Y>N>x^|{`%eOR9~q;J_=>Z;txrbxsJ%em zdX<{R=63M4_v>fci+k?f`)S)TL((rpuqG2Vnf_eAg6|#@2miRRa^26mVC626PXF;U z*cFUbMwvFlJsFDxsw=DDY#$SSk?a|`sm^Xze3JqXTagQqc)oe2P=8$ef;j?3JI^s3 z=mhUHE0K8x%!_qnl~t=ggt@%81~=W6!S}1KqWwY;_$9RF^R<$I|1s0iTF;;0Uy;Di zTS^B3M^A3ar4Rt?$O^k?Je%#y3^EnWg27S6a@kyW6^8O$Tzv`#x)R1bbNQyg07jlf^u z$@vELe(+zf4B+_21_2(K(k*oi=wmSZyd-}aY;~Pu4u9nU2XpTag*S=dOzX)xt+WPi zTC))@V@}|aFMKKL#0&7+mauQ`*c^CE?GZY1atVC&U-H_{M1k*hhK$dS!r-Sec;MZE zF7W5p<_O8heZ`yV%9GFcLI7c|^5#`!Y>1FFP4Cu(`yH}L2hlGPD95R;taS_SZb%t5 ziMW8%di>n$St)SKh>qfWpah;IEB8dd?E$Z#o(QEO2Jl`x%&9wMgl;I>6wwK3@H5{Y z*<6@`-s=sgfNz%|z(Hrnru7!Me~qD$-*OTHd6Id8;0pv!FYSKkqXR*~d;^M#m;=Q9 zsC|8y6!}l$6``+tz)fGc)u3MjJP#*RFraVLd)v6Zzlj?7w8}VK91+2Mh5->_qeAe1 z##ehQg$wQrMU}*^l0cw!erI7D7X-zmt%p8+0>P>F(_9OI5E3nt=l;$VLTwH7Cyg&- ze!$q)^6r}u_T}neZZR!{tDIdhrJBHf(fSg*torZT|K0QdbL#&usQs>n_+>KZkI=A0 zcI@g=NvPUcy=An(8%i_nUpI<~L&2?kcjxHKA&1-YN*CQ0$atYo(syVW(oR3s(y;#p z$vljM@^9QAKCJ9O`|v{``t38TU9Ch8{vC_&dDakFYFg@&CWl!H%f*pwz7WdTem@5} zbV2)EiD9+6&@E!Bu<)4!8siJ-f)yR1DxT_d`xZ)^v){_|I=UD0c%I2Ed?Ca0#+gu% zk2>&MEyw1)%pmOn)h$PKh^3IP9A-+z-I1m%rAj|5#GX={3AU1fn9y;_J~Ca1l9sqR ze4hm(&K(#qZN$usZL0&7dCe;R{U%wdm0eN+)EO9Cwwdf5pSyX(~H3V^d z_P^BMtO4QHc}G18^gvPmxRko83lVG8B! zydOfx`X_CYR-m^}s4sJ35?Xpy62?-9P&>SG?Aa0v9 zP^CwfR$0i}ibfMe=8%j;H5`NR@mkvjIU#r~Mz!a=fH<_SnVV2>Q$k(e)}<@PWKiYi z@O^wE3d-gNhgyetq1dHw&Ll?(^#fV?n<>aAr1bbvfS*6}qGNNq);37noS|N``UR;} z%^&xw-h#x!C>O~X>}y(kH|8$11M%dU-8+9_PQ!YF;^b$X@%|tUV&8c7yY~O1=l>hc z_doUge;D}w4?w)d?ZK9IjLFjXJN0|lwFR}U(Efx-K3$xwQ<^?Y5Uk9zX`FsK%R? zd#G#cR}ri9K|YUouEv@$3*_F{&pdGA1LReGn$lhvh5UAg`=T!|KtWBT5c_Zf6h^i3 zxvG#u(KT;x4O&4c-g%L(oWL#c;%1i4G$^1an=IT zTdG7KVlHzQ@BZ^!$o61%`Su-uz})mCw3{J z*HH4EN7f2wrlsj|LqCL)piHcA+oPZkC~G}*F2a@v%Hha+=Yk3-FC|%t2U`-VoAcTFyt8(Nr&`8L+l2Q4u{>;W z$H(-U-F!7zN`=L;x&H#Io306N1w&xX7WTNE#tW=lnIy~2PJ)g4y_MmiHLw};%zC>` z7;IIuo9adJZqXz`%(?#@>^NNKGNX=wPO{0s)@{XL_}bx6#fNQheWhCI^iC5n%c6aA zG_4M9(a$|vpW_5`iSeyp3eJFq@W#S0Qw>=DxM=k?DHN=F22)wq^}*UJX7*@8I@lae z&||oh2R1D-;u{L#$l%a>al6D3Y#$ohtGe6)JE3gzrLY#TizTaLo!kL>)@P2-E*@c(^!a<#vW9ISl9ILR@K z+WLxdv-$T5u-UD2|7wyrzHaN`G}PVOYCmKow!8z|`Hy<#j$~kG+N~xw!wGg{nH>4b zbYL&7FJW6!0S5KHz4pi#xGp&Ay0m*7%w!K}ac(BTEt=D^1WsBo4|ThwC%zplo_0++ z&))?r8wU;n3;cQ;ageXd(}K-ufuE7PFM-YGM2P#VuV7m``f~f*DX>$cdN5hM2zIk{ zk2R__!T!cA(~ZTKU_WVBcS^q>?kX?CnNd+Nen{7QZD0z_xRW%xNsQr^c*@Sxs(EmS zUT*yixi(m4y%Vvju?1@q-6T(gA7Dej@J%l)5^QO<5)$1s!S;i%$TmHHuxk>|muTG! z_D(`Uu(ty4a`jrg`(+1rTWF*k%RhjF;=6{fF%@uloE^ocCII)OK}W+}3vMncN$m4; zg*&Hp#2ZJc!0Pa?o8!&AU>!%DUWRu@n|DtM-^NdY9rq;;(mVNJe?CUN_c|loy(qeE z7ZLADXIvML-Wh{?Og~~`(yqe2*OtYRyNkh*Xc$GJ+6+z-gk@0~J8&93+C#Uv8=Q^e zHm%o@DKpKccs`%~ckTb~`Tyqip{W1AzV^GioaDQ*v!IdsEKgQhDpZN-d>1~Y0Hq_k zwM$wI=#@Ag?%+%UIcXh}W?5FqIF1Ts)jkYq10nt{>86lk%6i+FMF|q7q8Gep+#z-W zOjE}xfS`A|srL=CvyQRk2%n^YNIAmQ&8{EF$2WLR*xwJKs=Ac=gWS-4PU`1;cPQh~2g!RQPx=#FUrN z#M!w(RFT9Irz5hc7NWfOKDC2zt+t<`hnu0N;xXT;QAKE8`ov)+#tt=px1tr%lUm-I zmp0n^5lRlRNPRUv2l<}$D$nS|A$!?MC?9ngnY*+vmibgbTK%0j*{I7*2{*Psl05{8 z=Sli!UD0n~?ZAK4`5q9rgijm^x&qPDO+ywp8z73}hWy9mix6R$nqzNx9(rel#_v2Z zgO+*6q|Rf<-~p505A{Qbppw}tN`tlnN*g}vdv_efz9m?uab6yBJA+*2-4r3K$#i+} z5qg-U600Ahk1>ru-!-Lk29lqIzG&NV2@)PNFrTxFgg8NYlV2^$KzRE)q@|G^qTgy6 z2 zBAnriJ`~tWJt$&NhFqcc%9_k~kmc**>!*rrcsUyD2X5|=rWe6`M_UI{ZpV!E2@XPH zgF(Dp=kYPs;IRFJowBnko zdQhl8)y(vi8;WSHRouA_KvB{2<=hZyC_ekDHcasm#MHa}U<}6{8Gm`ojYvaCdG533 zp{5LJ8~fLv+>wHe9u`)D)&gYRHouxy+=BZ2JsK2=Mv!}AtHNFje#kT85VhZlzHwVW z2HunSx;K8__Qf3FLRpevBD*1KOAh8V7`%s~md3@E7WC*CJ-fX2*bj==)W#Co&e zp~1cSIwXc=C%f}%L25Erq`SK)q?aZPl`%v?W?&SL+RrY?7C!LqwC#Dwsi1ab@(_bO z>VYb?0x`&!*5y1%RsaRp4%Ygee+h+Ggt^{1i9^w8-p?GY=pUo-4F9Z;8k83OEN=XK zC1y8dj&I|DF>JaylS&T7&5Yz- zC$B3%Q;{Y^C%F?$xa=+siXnrTEeVNi|DaF zs+4R?gFCw>Y2j)^^!)BuwBWm}1_jC{QCh~{=qc;4znT>eB^EKF6Z=E=+GnBc z(58`hUm%o?Qa^5avIyl49LE+<_Cf_^VYkWMdZ-9t)(EcK50%^eJ<2_eq0+8ra`J~4 zR6dUqdVd3-Ehpm7TXMbsUHiY!{l6>!e?#qe^>P}x^r%APZsptef_$LrT#^3Cbu}oR z>C)20?_t4@T!W$A`yi+5`cEGtcE}8sO0~zsJ?*=_f$~f;q&#eM`rfvR=S>jvc3}pH zyOg+BR026Ky?ed=hNvJq`Ezw2ml{Mi@MvGXjd?UoXQWcrFrQaWfUYR?E_BQMBE1ku z3XP8jXAf_`0aZ^*V%qekq3o)XovO_qC~UVjdT|%oT=vdO3Y6&WJd`Chr=$t#-e38$ zLrHK4=}As$CId+vYbHIbxGURxQ80FC9Ee`SAp!E#5FlF<&rb2bdbjg16*HE_hwCK&fw@@_5%B|3c`Zc`| z?$kdzAnWt{`nF9a$T+Jmr+4Wbq;0J__BjrBbC=F`*5O&_Rj{Sfkn z1kRa%AK<|Lhvx0uiZc*-N31}*zYM~s@5xcn6+=($|>5vYlgnZGh` z0p-K;Jr`$@53DrP{=^X(6h+=IPTI{u4!a*UXAyc{&yGk zH9_J-aqn;O9uW71vEc-#Fc5<{xx6=Uu3;&rCdr6Apx9#!ChFLKZ2Wj{uVD$j3*YRw za%Div2eL?c4k38J`&Q(8j5bvAJs8Pm$2`WTQ(JobDWI_Pz!U8cVUS1Crrf!SUf~}J z;*9mFkl`a>`1u(!S?qQmc%J_jQf?Y$?&C(i!7bvY<3^}89$rZhwLz9>o*hFn(yX{no4Bwf}#g`$EV6#CIr>(9z!blg;rz z8Qq5W@bJeUD?9%BIR5e9x#M%`I^edebQHYwCaf%8JOtnV=^W)U69{;9uxl4%D(cm4 zGc!*huXhh~h!YiRB96@N`l(KZULJc3>USs6%d;86nED;PJZ7(VGxbAMTDDH-0SAbd zKg4(`&IY|aPJMi=Dd^=<w4)pSH1atN@17XO(L zl6AQa5qk~Q%;`z-b-7v@_HBWv+gUpEtoUrbU9>%@$_`>KRSSzaCjo(d^aXz4L43K~bWfGcn|$v`nH%X5VG=jO}3ELLbl9)yN%~}A^UOj@#IR(NRrhP zOH5{foN|k7$*Lv@KYBzpbiN;=ZNJaU&LS5;kgDq2hcA%eucf*9RusQ?W*+t?MUa}X ztd+{e3~57?k}tjYK>A|u9T$0P$e4RD_(DDhJ*JlSXUS1(6y`r$%4!7JQcG%*9|RzK zvc9N;WCt=u{dcBClS9se42^f+X~@;2@e!?-fe4KwJ>N61R^w$%o&DM%&QR^=GOGY2 zc4{U0CsVUCcJI7~tmLfvFy600}SA2QBaiPrhjAhYAX zC{L#ZWbgk@A2h!gJ-p9n9qr@L!~3@7wIeU&-7QuV&N>hIS4(}3cH+K)^TA;4@h;@| z?{g(>>x4ol4+??XI6tAJKNr9fjm%Szi#H}+A$3cFLSi=Zh>rWbY&?XSS!WB^x?du% zha=_ruXQTOdHI9Qcv}+W*)%;D$UY7Ev)d*7nEato$o%db`FJQY-ZY@_VTWQ{Mc?gg z6;NWbZ6+?K7QJX!jr(y&Sn5$sb|UH|dUz?e?sb=gvIF%833v8Dnd$Ws^H+ama{a;V z`lsjr`_%sf;PGEy`&~(woQk+pp&@Gc%Hus`P?;vbsi$NPr3Y&DG}Hgsm9>j}R$)dC z?=a~jpKM6CyjN5Hiw85McW84q;q&~XL&NTUdYF4|{w~wM27P?4&Kal$jF@H}t4h&7#-Xb;Ui%yp3VbFdIr4!xzD(*Z ztAIYfW4Wx#$g^MI7#QyS31K{}%aNDZA?R??zF7rl@UM5Ws)c9ptAcfm5{ z1*<`5+V@l6L316dhGWKE_VGj6-k*Apr8`j%XGPrOg!%%36E0z(kL;@RRzgo~A+^|_ zRES*#64Q>JceUq-*wVe1KU}+nKEA3K4I2m1$H!DHnEMFAIi({m3DZLG!2|X|e2owg zL(<>>jv0JiN-K0z#-YcqB3l{pfjt}2?!KvHeRCImd>i|gl2ajWe11lnAGN#&ni}zb$XLDegEKru z7{V808{aBcL5MF~iOoq-xc^A{lUG(A_(k??C1)&x-VkSJ@}Hy7;yPNoTL$<0tZ!Do zEIUE@*AL!qcGJkZ%D?>b?i^-suyqhWh(gx*0avz{^pKw3pQi4<3sSdUF?U(~0ST#5 zl0{q4%lqD#CqWv$+kGk)t?c9w$ttOK>Utf7#g6Xjl39hIbp352J2WAH?}TYg>I8d~KWAs=bKNC`n7 zLa7XZm&pnO6`E+DM7;ie?*B*6|0lZxYyThqzGQ$em6fwQmERONU2x#{Uaq!VpTsFxN* z5uQ~Rv(iFznzGl$Q*n515~fW|aK7)u+OT5*_ttvp<0d~mAoj?Y!Cs$Hh+Ry1p)i2j zmqe{Xb%A3LFEu-*z`+k*#f%QJn6KmaYnf?!m;(Y+c-MwiFweI)$y;~+Ere^(^;5`b zKtxu6>{pr35ILdKx+r%QqDf9{e0_(rLb_7FZ<%j^K-)d#MS{O?emlF8Y>fQevCW&; z@qSk-xjK;_0&#Z1a7$T4cTKtist!XxKb;A%xqXcVpdGtOFM8?uJDPk5#QQ!O=BScK~&}`gkg(%B0%cFYe ziJ6_`V{%mk!nxwTM&c?!3_ZzrsN*BVcCxT<;gEs2rClaL=Hw8+>2)eNCowIN;`w*o(4BJ-RVI_0 zB!qWF%iHf9*3nhjBOuF8PL97rZRxPdg7lrw-`UHS{jU9g zdj3BP{~EUON+g&5=JQMH_)^JN;?KgrY9BxN=MSrYdoGXX+T-ji;RdLkR6ZyujOW5H zZ_lK_1qk6jO;F;Bfv}Bpq3T*f5Fs0yI7hn&BJYWoecCP$Q87ECye5$$okF;x{|D?KCD6nQYZlCtt06%c#4@?bCWYGdEpq}obILR^C1dAo_nSo?>>hX}La zm4E+D!3QGveg7W8ljs3~@o#MeK6ydNBf)3&2Z<1_=An}Cs2%V38y067#~|{BTiEm} zz9%IXs<;c z5FeXar@xzk*e5Kf(|M6)-S+zSaNz-NwP?(Eu0h>s zIRwOD_9Xwary;hTGlRIGj=p(m>3$+9#Q!8q;tVQ*gkLYOE7*uZ;zwm|b;}))^qkX6 zm~9b~3o1RnyNW=HRkz}~NBbbGYgg5=>ja2+R?xcEpN|Z{IAHCY)N4emW9{=PjG$IJExn`Q_WMg%`^W1e{AYjH{_mdu&*I10{$Ei0-E=*> zYG}=I&K^B(H`xKzOim|l9?wA8;NDSb58REdO&i6XBZs^vuH4`3Qy@Dwmn=#fdAQ#v z+}FtqAnoxHr)SfakTNa)kV_M_l=)P;!4)qd?)i<1gTgLA)ZYF;`aCPd929me9MOg- zUT)=qZO_ny$*$m~jNalC zs5a$n80l^m0R9#PYuq^1JU>}mh)QA;S)xTNin zjDLnq>cbflUk^aZ8Xa_KqrNOeOq}W!>K56lxwm#bMqYv6M7yREr2pi#Li;JCk<34o zWgvs(h!*Y=Gpy~fGxQ9n8zA=0h@@lLF(CLq6*W#qzy9r}UtR1&5b1wSirU%+diRX1 zo>-EA7OP;M-C=j3HgT8POqC2&yyuBb>^uRbJvuLpvdo}xU9YqDChGWf!lZinJ0aUb zcX0S9vctZO4pl4Tc{cNK_uJ3tho_%1U=$64#INM1o(*$CJU@S%$o*s>7MFSz?#E}w zUBVnAau1>$>DpB44WQ4se(pmJ541Yn4;GIafx0Wofn$TH?KbH+wnKOd%4y&5xI17L zhoEIw?u+M8pvIlN;1&}t?LPxqegV2>_naX^=2_g=cOiBo)8B02y%bMH?SzNbH z)UPAk?AIL0py(4wWB=G_j+tG_i&1-$Fxxxf>$wHZGpHZcN@u1uA!2`Ma-%W$uUwx0 zgVC)o^tX$b~CSRVbC6A$a&saA+b8gflGH zlg9-?L||{5vI4$WCqsIR?UEsS-+Y$;tLG3Sm34sV`2+|WCpltVuK-a?Al>5pD#R)( zlmB8Ag*c&nRW@UJh^OIdCaKYZ_^~_fFGh%%6|tZ9OhytUs#R{Ch(RyR$9<kcnQ5oy)7s*0Dyw<6^m>&~rFm1y^FAw1_@5$4O1wf?ks&&P18bmcmC6MgI zUX+sT=)P$#+*4HQ27ffg{$`Lm&1VW?EgGH6XYoC5x!y0{J_hl|v|BmkcSC~wkoMV2 zsGU9VHjFQc5)zkRDP32+4oPLQ+>|(v_8)YATDH3tf{#vw36CkDzyDfI9~m;!^U}kE z?Qkb2)aclBdk%M{oQA{7Hz8(KvDf118z2h2+-*gJ=b?`N8Ohz`5O^F>u!*wX+(9*aT=2O-+j3&k6zcW5}pf>6d_1{y6rsiFoao( z9h$Q$h6n;f#SC90L@CnSaK8hHo^llo7yk+boybE9qKARl%5yG%E1vW8lM4@mlOSGI zz;kPw4J5eh3SCfnj+*e)m{Su;$a(zsx=no&l8dSMXcck3o~Ap~Hi~-t^9*8JvKSz> zEU!n&R|O*2#7`-2j-$sn0Z{vyeia8e|?#>i|Xa?bN`>7|Ifnzi`TdEH;XfN+Zd&l@d#z?`{#dh zdvM41XYJFeJf-|sYkHlGIO~kxFoDN~y_y17RKRQS`u< zK|mH6^OC$gR=-iT^jHEaxy?zgQmt1r#6J!Q+SVs z$w36EEBH-Z$7cvB>21``L{gDS);T_gNKcEg3wO4FvzF@7h2}HhQI+_zZqEns7A1Fa z`GK=A!?!KV9Hii{c96MVv;*!l*X#+t*8zbIj}L3^6NTXOa*xiw$%BweISVHZ4+z`Y zB;PyYgFDE+oeUFg5Z=Kbz$5z1BYY4rUIL!>_H{LBVfQbxh0 z&Gt!0a|C#*=Q*!&3V`>MOP?|dhr#!kAo-i-vzYBIJ{n!o2=^a;&LgKOg&?a98`|q~ z5JGxJP`6?ZLS1#Q-leaBu$iZ-N*4}6c)Zjl#b2fnamw6rFyG@r(ynM-!3hy2f#R2JbEPX0!pe==&CQX&@LD9y{c{%11+uCm ze&k|C=X|uUD( z=qRSa{HRj4{vF>Twx(Vq_Tl{R+W+12|5^Nh*7kpW?ROvFPt|xc5}Mf7LV7|+pxSMk z+vs^8l*=o&B^09HdK;67$aY@zooBFAPgmp4<&}tU(i6zK!m!$Zh7mGOD|`5J<9*8F zh}rIv3}hjaWkywsK@!Pb&k-?XC`8oon(`y>|9WItE%NpV7Mp~l)=?0h!_Pu5xD&c7 zsSP9Lw4rHokHXAT7N}uAnqqR>70Ua^cqqj_L&>|Dm_GMoP|$h8kp?v|xuG5vZ8Dg9 zx5h#+*!>AIx|4d3u&qGahwtRCHzOfcgHbHs?InGAM zAK>+ohN*{;HhF)bFdntW8V7fL`VxNIpgWFYin;)e+34rP&>a{x%{EUNL#i z4tFYb)QmPJFg4l-A`J(1n>3bL&u-WJ=2HMTbk+pVP;UoAV+pl zeF^eOO=QGfUOEGVmZSIda=;t4RfxXs6Rh#=HN=$2$bJ}80>bo*;>C1%ywB@S zSPZ!#XHZ!G=8_Y{?+Rg^)L4LoZA+=z@<$+H>HLF{+x^IMH~(<%)CWk4++n<0xDS%m z8|;D_ktdKrJ$GDu0)owgdKn*J9-t6!%qU?FB9@C;2}GO^xC-BSG7K8PuE@W&Uv-ojME_$-s=M3T4v4F6x|MJqXNb**>czgPLP9OB*2JeEG3#LAOOGB% z@@0N^MvfYiugaFWL`Ff%!Q*YtR#R}_$?%0S2WqEi1d7;he}V9yEANMQXG3Ho{jV(5 zDu`B-WNO$m2{Dg+zdZkJ14K>==?7i7zqs*1#^bsk?oE!#mR8XrQ(d8bcNRY+3@#>L z5Fm%dXN68f(wva=82p1!i6?FTFVss#(+Sgm2_wb@9EwHTXtanQS1l*C*`U%nBJJ28_G40oc=lI z)O|gW*j#a0R#*g*X34&8 zYw&GoDGib-i`&g;wn2*7mhC50(jfI3H-lxE6Qpj89OH{t!R$5K$0Jn8eAz!Xbg`ca z((^Q4onXVPn4{MyL~N)aBYQ!}%kuN@+W)8L|EutE!}tHc-w*${XL8vy8jK&W#|-V2 z7{wp=UxDkZlow2i{}*}h9nN+C#(%4fWF%QhAyi0963R(bloeTJRYGO2tn9tl$KHE} zkUgSdk5slqMr34F6!&?pzTe+*{Ow9+`jqCEqJD;QT{W@Rg`FuW}C%`#wOiMHU zKDaXVoydCa4sIrHz$zR8?m46GO2=Zrqe~!=7H2q~<3!IL$+*F5Vzf`wMF_n6KW1vL zA@jN3*M_Sv66f~AnvLkK@VoCE&K3O*{P}nZ+jvic|D;i8a>f!^_2{0|M9qaAA(JxI z!~q=bZ~h1lGY03G<_F)aN5R#=I`UiH2)MmqU5q*Q89Ye0ZBPoSfal3Hh1M-(Vn~f# z4Blr2-q#s3+KzjH&s80%M=!d-_v}d%!Jn7GkKUWoa(WDN?YHZg#hylHgvRU5Z>A7n z!qI*7F#64APM(a6+ynNW9XG_(gTd*}bCyTW=HQ||?B!{#4X$H5SQIP`!Cm59yo-n$ zc=$S<;!_p^&+1zz?wz9suc6p+`_v`yp8Yg*VbmFX=GwUS>Rm-1HglUo_#~>HhAi-_Lvn8;X8}o=}TTUc(*G~P25cdpD7lG57o#ITp|_R zb5$Dr77FVUH7daW!{8S>B{~RrDQc5MRttggm;F!8PC?KE-Zzakg5ba;&sx4l2F~nq z*S$mK!DWkfyYq8paC>^COUm;nct|Ryway{ew@N53tB@bO_gkK+6yO6N{k1KE4|4oc zg&#cmkqUktd;A+d?+5=GH9^W991!q*&zoPzZbIO9g9eu8$O@ZX_w5#NgW&ts`TkyG z5Zp)hqFm7$TnzmC_O>CDMB9YF-833J&T@;fGx&n%!c42mCFJ)7XQgDAr-Co@)&upr zN$?9Pnn~U92K?79H~Q>nfI#W$AGUk&%n0DU)2-tV!8$cB4Xf`$@bW@YL8UB&IE~Uu z(^o(!Nyf)d1ZxO&zb~{iVGjNK-*=uPcKTiWzkB|_5BUE-)P6HKcgeFBL#>1<^Mvmg zc$V*(Y8Q=j_Q#7!01&A5~U{deYpj65B>7=UA%R)jtBoRpV(+AJCKX zru<1pbR*;&L>~=*f~+q#eFq1*c*wNXNzHqYzH{;Kt_SEak59X+lJDXYBv(IG&HnKY zv%_?m!cJyE0<~3s_G_Gly;6R&QjVWPSuM#&sUp-O-4+>L;D%PU@*$oU%m7dfxD?+zNTNMx{TV zf55$mS#+)aQv#%vzpG9^_YsoM92&^5%Y?*o8QLRdxc`u22>TFd0kMaAuNnQsK8e>g z+IUR?+DdO66u#sK&xa1=XfMS;m0;uzx)v+U0-a=MJ_AsEgk+v@co+KahY06KZbE*4 zmek}0{2cnWuPEudV&(|dt76R4%{X38Not5bgX@}~h*=IoN`9{pr#KTN3o-ge(hs6O zQqSow2?@kIh$}}Ck|0*iZrgc0uRENbo1X1Ug+?v=3NJ|osCgq;dj&Jq%JU4*-8=XL zN>9AKsq=yhp2o!89yLeJSy;Q=2mgBfd#HM5j)g$(-nIRDmZ;M#`TQ}T3o~HSM#3B~ zJ;dBVvz913)Ikc2dyC#&K;~RxYy+b^Bw0vFgi}RAg4fSz&D8bZwf|o||KBcNqD9#c zf6nq`|FZ`m`oq6ZQ-Ye`KYst4;f;U%ch32JD(&~Zuja+~rUI=(od<+6E_SFLlfVpS z0b8SDdLX>$t2>{t7b4|BhI^qOqRItWo2h~zX6Ko{T|0Xq7RH0ze%eEvZh=|T+ct=| z5#c%+!GyKH#Fw{w2WmLXV|1sVLDI3Y&qmIpkQ6iVscK9bl6P>76bQcs-z0sNAPUs* za9H=wn43bVi6d1a8)jVSYC3hT*r7h1Po?bhGlYdL)s zVhc@*Y@OvGZlGn1FRCBnm%B(4t)?L1=jafjZ37a&g|KaeqbAtgN-e|FACktVqAcez z2UpgylKI0`>9IXUwx;}d-$Be0dF^pX zXNdtKeV@cHrDE@UltxNAWEizN>$z4(jUbNl3aM7e9*EaCW)wy@2nkW){cQpZ=&h1> zuHT1#M3Y@t>u<8){GrRZp$mIp%eOtDs1;70yD2KS8|U5HWriNxxFC2fM9%z~5rpY~ zB^?P?143x^W_LDvfV@Jq7$28Hv|{kBsf0j?*?8+;`}G3E`6M0kGHZhP&ClSNkqn92 zr%$k>S0_nD>GQiLD(vYQjb6hyNcMUDE4$hNQW$@99X5CiDGBp7!cCaZ%zA`rr>+&G zM(-F@Pe30;*OYVd5uC-*=Pg^u8lmS`@a&||35ew?mq@Rt#a-oAv`{2wcNe=#Zk)e~ zp6zD(mLLvDT0EDVEYkyIw2nq)<8!inTJ!5r>n;ABzsC10 zq`jpKlbV}>bRAAEKjv+a{wbt!P^j&9?f=vB|5^C|XKkA@jqfyN!=L)d=*L3;$IGMe zZ)bGhO}jldtltF&bQENI+PC3h+-(nn>pd`z)OaR!=rWkbPW#3la|g4gpFKkXK43nS zEm_td1Qx@d_j|gW!Ls#jdzexpSY;1t?YS)r)()=}a>X*?kwk8qatHw)Z8dM*rK<&- zqNx@Y{;yzr^GU#>vJ}{^7g}E4;|;oshbV`mn!zAZnZdg%6AW4TeKvw-!GyVX8!6`6 zn2spWTyv!WbLvT|py45~IBJ}rL*xgRd^9A5+OA;5O3+t94~_Mq~dh@OS0j|gS90BdJB|HA4xJkt22Sv+L|HpjE1laNDTvqE`~`@j#dEjYIR>XabZ=@dkMVBP?G za!$E8`HP_UuJ_=Uvm!ib|0Gh7*apTY=MQTNse&ot4OL1{8ko(g?7VyRGk)J3{wo_w zU?~GypGT*`N@Ixkux~h6-%?RLr@adv$?g;FGZhD$Q;ydqHO_%8d9P#Dh6LF5y6~#8}J1Rkk)ydDW= zk9j5M$2!4+j_;+Ue+pOzta9Few+L1X9R;4zSK*Q9j0ItF1Z*DL-ww2;0^96Cx)HJG zVE5WvajT^g?0XM4CuZ*ehyG{!oGN$VaTl4um+=*FtgPo0)aV4K7%S3+F)?sH7w7(( z`35*AoN>-3W&K_IzkB|FPW}I!>;JR0-+ZGfPVcr1)Y?3iaa5UrXA88O&Zl0&(-yXI zzi1gKq^+WHt=$fJBE?T$?tO*X7CoQ!dZr#qknS4&AIwSHg3seKU9`?#L3w@;4tG#~fj~q!bOz z!tm@>oC>di7Ej%Bp-Oxz8>IFr|rw?1tD#4R8QY$;U zv><2mDo5l~TgWmfH!9k@iu}Ao8MkK=A{C7@T%YCSCpdYrh&9;KJ-e>PqaL?aB z!t`A!t`DjR^B3dypN41c1{~8vx1l(mWbCZ3ClpOhX5u5AHRJoFE1QW5MNTCsrX+Rfo6g7qSlJ*_+H+N+EWymd?Yr7>Fyh zmMlK+1PRAwv#dqxAu*I)e!Db1_Lz06(&+h2rhI(jy5~JePP!PyL~jBqyl;Pe_`(V) z1zjT!x$cm9tg2yXq8E2k_Bmp*IrPh?w|dqMRzMQjhjXd%(Wn(Es0m(-f#mDP-zN{C zk9+zecP>9NIIMFfsC7J1f2Y^Z8r=-34(r21cl#lAb*N}-bPF>wW?NV+CLzq6I%EDF z&b4=!_Z~Tc{sRT7_leny5F>A&aP~QBf>_BW_3e;d-Ym;2n~1t`W!98u@}7`%H%`{q z@Hr&!d00w67X-}=BezG993{u8UjJ>wOos(hq*=-@*kh;*jO&}i`=Z41v z5_?epU?ZP=j&&Wv9k|a)#5X`B<;||K#cqg}E_pQZ6?bBCdRjEuxF0#}^ks#M9TEmf zwM@?9E>VayMOBvxb8+8JG(MTd-q*x?PC*lSMoW98aR-;ODiP|VScW-5#KDY84Uoq2 zCZu7XE2O3Kt$rmhK@LJ{q#CmvgjH^_pEOuQ?~DE8T`Aiknsk&fQi*eQiBIDx;b$-( zsj|pKNL0N1qJI(ZYQ@G}-<=E~Io~n(t}Q@Hbi!3Oky%K!NqZog@EOu%SOr}= z*s#XS=qc)gAiYP5uZdm~GQ2I8*(V-D=9%?7`)**STHntXgHvLVWx2HG;Y$VCZLbLOrESrWZ zFj)zTjbGLj8O}pt&e_sWG2b9x`LxZ`CFJv5q{=-hi+--=5uyEgm=9O8)wwK_25H;; zlx1j1AjM^NAeGSul23gu_8C@(q@58DjtoWM{gg=c{p%%&V(Ed=Sb#!@7$7PwXX%PGvPnYyTi!DzF_=L7twq6Q4ik(C!lzgt>i%K{pc(A(6lMd*4+e zh?ls*^I+>L#JYU_c6RbOv_2M`$T+eC>c6-?=%gCQJi0@ho(_3XDxzkzxseV};mrA0 zk(0RB7OzZbtAf1FS9*@Okj;5u?1oTXK~1?d}cL)Om|AWe0s-#4EbQl^EX z-K3wQe*IO)=QEhK<-8~HM6)m?to*2ZseBmX_tU?US2KXNaXrFQc6(@`9Y~fhD21xh zN~W4KZt(2u0f!D>an#ht=uT;-LXic>+F3VAD3H@;NUGz3JpF1to?y)R-4vZnI3Wm` zU!~>)5^N!ZSGlk7r3IwLKWSzpp@q~7w(LiwQz2#htL!B11xT6-A+%~ZL1M?nm*;d` zprhfoa~bmW8gq1{SVt(K*1?L2EprAc3^I*Qi{Y&Am!IV4dPXR=rDJy&J`6>!H%kYT zzCppeZkj_Mc_5$fy`-hu7UaxSef?(d30bSW)iRryRd#{4fcWTkNPiaPc<=_kgVbG| zbBEb*-XKbwnuqV??M{?(Z#93{{(sJW{=e8AL`1=VGrRuS@Bj5b|Jv``A?@gqfC?5}zg*g7=qKKcAmm2j88#OB)9Uz>nN<`Iwp%?wEd%`YXnPf88}n z-w|pEur=5tt@#-cR8-P2tc0K)Qeh9Eq@LVIyfxt5&F9NDJA#jwxp48_GW^zsFJV9-!b(S8Vv~mwP z?7aK)%;C%6e0#*q@d)Z0oqwq><4)22q4;d%2>{O{mZRKkOyE_W`9o}t3VgVSi9NMT zz}Is3hR5Xtm{&YXLe_E#{PR~=Hw}>ak^Hbt5&1ZQ4goi4vqmtJD?QEapblzw-A+Z) z5U}5P8_7*v1hyna9p|^@gQL>N-LkD6;Nl->QY}geZqLNrZKtcjBX3i!R&@cq9tXJSVDO%5^y^R01U~_zj5&>$5TH7NnVGo|q!4u8ssl5>f+mbfmT-5cD--M_ zQ39byNTP#eooJgsX2~L3pr{>ayP$YO!a6zZxJ5Ypd1J2ZX7QoI7ovLUilr`zhjS5HC)zJh7(< zl6Zs9Y1idIa$wovmv6iwrDKpKc$Xjc&fH}76Jn6I^y{g8%RWdS3U8|N*MW?JyGvB) z+sl0T<# z(w9Lj(J$SQZ^n?|Dp1ccj(nGQR>Lv8?2sZhXe&{IJ+03J)+>J4YZhsD*1kE88Ce%r zy{!EqBQNc?-+k;cJqU68F5Lfva~ILVBo0|?qenzZz3^T<8FBE95#+ERuWc*Qft;jM z@z>bV=bjo7lJeOXqUrBllQ23B@zhbyZz`|hyoB@o(MeX+D=ITT#m_NS@AP$l;uT1% z{3JINSp(^Fk0c+2-hs^RPqS+{u0iHc_1bql+aPOXC--vMOUTZ0`EYO$cX3*8H6`!n zK<@UTnZvt~w-@1EnO-RcdHXNxUUl(>s5X!H56HJcyzqXzwD;I2rF7GO={AEDyIDEy zd-{;ZZOE~^7SuK9p^ z-Fa@z;*?b}Pbz?XN*;?O+%@J`u1B83ea{m$zXR+9I(Ra9zIcBqH6%U%Ty^y4VMtk@ zlyMrx?~C%+s5*%=WUR)f+ea}#)-&;Q8SIsiqeypV*xVU%n;C<@O|3%y!5a0hi!Jcv z=E;w`M((6mfI2Ajp}!xJZoF^iLMsJAy8 zo-&LMmdKREk3%m$kIlJ)f>PC<>Usn8NT zJCxcV=IX|tws0}$yu$oEWuZa;2* z2v7KCquM9K(@w)5>9Z*8z8VTE=2GR-a)WpHIoL$EP4#k}5~$ zBeJwq)}tlN(Cggosb5yI2qDSW<|jG~P&di-LwC;+@MpSJzV@6AS}T{v$>YkQzI4N0 zW=ayullevJ_PRiEF#C$ujtnT|q;(@ckPo?Hhb-5)tszrwq5s&ybCAZL#m6YDhjX36 z{!%{FD=s{2q`1X`pTpr%MoxZ+;ut+aY;+9>rnNRV{SHDH6T=g}Q<#xL@qj;9bOQpN zb~&A_)rI!`>EdabA@Ka|_Tx+v*P+sBzo8PB6z1`*|FAfKd>!xYl~z&c-z&UFv!Cq+ zWV?};GM)3mJU+XNfi9_-QF7WhA(9i4iH~-jxKIWObfQ;_F#9E@fM(RwG6^DUoeSsk z=7DfxCqMmy284cDV&rWwf#4N|<&ZSj-?jf=J^w#0UYf+#U4M9WOz(H?GT_Fa7rJ&C z<01ch$CvQe*Z;NWzMVmzBv+daI4j&@tA3aXuDvSUL0Q|ued|>;$M!PtoC&%+@m?Ri zv%bl!NQ#56#{`%{wvLi~<9>{(2Q&|NKx+*$Py>T1i<^YAX%Yj5*)z+T! znm&G<1{XE2c?;Zw|=)xIvf?;UES zCsijH1Tio6-rkG4(NPq0a;Q}FY>}TpZk#(|q;9}m% zHxL>sb9fKV55f*_-K-L>gRqjz-&U{ugm58Bs^!)+aA`KX)T!$X?hff*{Qm9WIm>gu zu6Pr3hAGu%QCHz7;KPwUX$1is#esEw^boi*J}>HL1HmdL+d0_pLCE3B$yvn=2>EhN zfjJp9uL6aa*CiVRfVtw3lx#OD379y~9S zT(Fzy#la8_OE73TZA z*1LN9wFQJ_)7joPx&q?sQPRVA54a&8{-l<7!t`E<*+ofqyeJKLS*kD^cQ z=HiF6OYb1KpPP%hgbPA%I^RO+9)wk&R3*t_0s`?;?~6OTAwuYRdGAx?-QC)0ps`nh8j3yBrADdatuAZY0Fpt>H;&}ag+-17*9}|c|Esps^#wu@lh*yqM z<0)805ASi=?3d^@`qmR8P8@-?#wEwdw+=xDK6IV8^&up5k#NG44Z@@fw|tEsL-@!^ z4GMwN5TPy=u&{g>B5S^D4CVzx^ltqERq`7UBWD%7?GyvVI^=w8S-^aNSY1bj)&Ypm znW|(~Dn(AuzL}1EaY#(j%yLZMh92It*U9Xaa270Ws(l3CQOt@~rDCrjj8TjCoT?T2 z`UjMS?MNV^?3MjclRiZ0bPK)yj{ApYE1}P!*C5uMgtC}rFT@Q;mp;o$fCND&w~4!J zkmzj8{lN$`(liXn$}xW+=__4XrEnK|c!k%io8Lpqj^x?KW6C%S9+BBU`wKn1QlHld zZog~)chCRNssI0j+HXF^9RYp1P|KWkiN`<;o;_Z_K=+*meS9XP{M#wf$7ftJ?i-6f zzRmCUJ$R2EJ}y7ffb0sDJ8U11qi;(%T&}hkGpUUYzdM{CMjxNs%soFL^zkj!@~ZPe zOepuqFahiVb$_yy1m{465uar~-eyrBfw@WZz6bMf#mqwK>rBwcmzQ`! zHwk@wqtPFps-lnYa;?YST=enTx#e{u4?g6;02i{p@_OGbSBbtyAK&Q3Z?E>CkFP-K z>Lph6@x3jE3pmG4swiVTvJZ81%V9Fjlj!4n`tB^bG5YuhoSkprorH#?ES9JOB3BEJ zv&PV%k1y3LLiGyz_>9L#{J)})FD7&5wgXfgq~{!vSwbJ5XbRC375ey6<};6S!4n+~ z5>I&*^zp?@a7%naAK%Z`nem6{;|t9@$gv2iDakP-D(2|pdv7+vYXM0&j+GI7f*^k8 zQP{Uq^u1gAB}`-y@wKnSrJh0`UuU4sp>Fi?b+I^&?L{Bo zqnIWC>*(WCZ3*qBvIpj^zp?vJ+G2OAK!Mj!^Zc~$9L86h7>iVOYH8|Ko3u< zhMG%N%6>>LykgOSnOsR{`1I-C@IZWJq`%|VYxGr4-IEQlglL}E_Pm`d=;M2&zV&q% z`uI*id2a56KE7~K^JNDpzbx7kP`8RczFgtfG+B7cLFu*gbqVe}?w^bwaKpP;kbU*q zAY`wrmGAPy9bS;3hIJD98m+Vp=h3g18q20Y_Hh!DSC3sk|H=_}ljmLtt1_aG&(TtT zDhp!gsM{UMDt_1gfA#$Tusdk}_V53{u{#!J|KEu%$kV(emBA6PE6=I zN;>e$i+O62(hoibWDQzj&EV%))xB}Y2m+2~&s<}C27x7?bEbCoA-g&|UTdKmf?sGh zG^Qcj>mlWXL2=Z^lBf8yk<~zG(#z);L$e_46hrLjfmGZlH{8uK$81K?$HM#}YT)D` z%j)8K4BTid87^;Nj&0*YwALmEcvD9iY-(QuUwSGrKBrLdn^6;AyJ8LjG1(ko{{ezd z>e!suSwf!Q=YwkDsSrZWnYYf_10lsXNxDqzAyip&{HNzG2wmJ|-Sx>9!rY24ho~Yi zh9+Y;V(A8iM}~NJwMT)ogZK!Oh#$BW^C^ftS;`F8*MFps}g!yPW7NFCJfbX;X{e_cxi{a)*&ksoi*R5brdN0)iR! z{1A4>b=yHb?19%`nJ_&--kv{y-NHaV>L?r-gXEmR<%vnr;huG5hdR1+dY6EgT6)8c zhDh*9W8vGy6G_8iI5>sorQ_gkTRg%BRM2*yHPeQ*j!EP%7t` z7`G{WZq(WO)bkK#=9p%FstEmYstjTEn2lP_eN(}m4q4thrcOneOEX4qcw0UP+`hll z0&V0p^l*9A7X*UOE$!2x1ykUc{=-x(B^Lso`%qcw^FUBp>L;$#mmv6cZ&mYaXU}WR(vQt&bzCb!UVi?FRZj8|cr4JoW;DMf~Pkbq!{`j~rha(t(KQ zEv3w_mXYTuKD$D`fnoaNo+_4}_wLo$@5p5aFSdC##ORObUJ%_tfr% zsJ(H=73Z-Z?X+9?x)*g5ZhU#C&_5Y-l6B7q{?*^L|4+~VSKueazMWCSeLKsA z`u}8i(7gQl=hsjE+u0qCChz!-CCI@wRmXjkMIJn~XtH)%41iY_bk9c<;+``)I-lfrX^Pk8*Y(-$z<`*GDD@Zr~!Wp%jAAd3n@=MPpXYY-sJW$M8RMNbIdE&l1WQ4@qmKrzn&C2+Q( z+_yj?0B%o4NFB*}!BeGQWu{mMypvyazn-=O-#ndYx_X=udUu$)n&FH}Jj-P@umFNa zNc19QO(D1_aa(6bD}>yCP1gOr3}=h-9}<8SLZ6GC&Z!QDFrC~hiUKwe_Jg?X3Tk%3 zeFudNSVe)rz#qvbMFcK6{I}`b*TB6(+43B>-dZa=r)aW)v&f#-CBhKx-fQ(I#cPdA$ zA)GNN`KGHRggmpZv6GL0Fxo#oWJ&^VE5V=J{p`WB<4e9;kUaRPQpI~A zYtt_|H{e(mzQV2`yO>z z!Y@}bY-*+&^*Ly@`~9F z>Mj?$Ry(jlS=6Ujg|QA$e5>jD++}(w4Bua$u+azk?2m8hl~O|vDX07=(@NB`8s56N z{W_!@vVt_ZH|DZbcll&jLdw2lebIK9%TgVd%OHfF8j4SO-pyVRH?+{6S!Vz-V>X(H zYdRpBuFjB~qYqkqTwa`Jt%CZa;eK3}yP({Xe7D*6UMOK$z9w-`0*VBmbSb-`k4}=b z{^_kG$fcmWTTns*S!VRz`n!=|c&VlKIBIdz30$nPbJJeG8Ix`D-KJg+UTK zQ%QrzZHWK*HJf%i6JqCO$xZGuKn(TS*2Gl{XnpiGe$DP7)K93Ce;OTtiYJi@ZD$Tc zDHlZ>ebIM#%0(ie8&LoSvloIc5_dwL_q9g%ZF?ZQm2vLG57hR!l>0HOWkGu2F^}SD z^rsJwRUNo<0#Y;<^A!C&AerPKSqMosB&J?ZcP%+XdT4l1EbA>uU5X?hYaxXcCy%20R>;Ua6|gcA?}I%4 zv4!2Em|r*~QZ`P!13JoCTFpe{pfPS-?0v|WvoSK~eu z!?I8KrwJ$m$MNG|rl3H?^UHLyKjb;?)qdm<4LO`wcLe;v-73S_B{T8~$hcfpzdLIX z(y~N4(;V?Ga+*STQD+Y%e;8?`?s)}CIZw(Ww{HBd{r~Fu|8(&ppyQuhoW#L8!}%s;I1D6p)s_b&J8^fcEOCnI;tGP`mf}X zU#^C5)gNxcI-Vp&wQ>pbO~I9t|6St1>Bpae+kT5gI7v}NAC9r;Jd5+ z{p?~t_#a{SB2Ao!K&s9k8cqVpbUtzA#m!>ene9Cu^?DLQ>}W5k<}^X*Y4%By!}$B? zkYT{RDG2kb+)ZMOnIDHg-{toHXUq$5MtEJnCSm&&5Qamx1u1ufE775_qf#u$ z%TWAR&UVWzM<7zA4yW%r+bVav<>cKe_CO=WdJc`QFP1 zA>!tA#c&ngbwuCyDV$~m&&PMFLs0wVBitY{eUAwI5~D_4sPP{JkJ??9a=zhGh-9ah5$@InkJw*BR5wz=JGP@S0J%kYauc4tfOOcy>#XuOc zW$L(WfQ)oO(pez_W}L)xG%}%XL3@RnZRazHKD?}Q{qskNo^(1r@XQKgl880B)7l_b zk+}b=pVaT#|EK5wv&R3*IZIu5?c94eL-Zp8@uA@2*X?RMa|zs(1&X;O!oYpfOy}O&)8HvT zIi!9Ovpr(tD`vd8!TZhegO6m`z-M`p=G7fi@FTISD7_N`{^TbZDvszw0MU*r?`9PU z_)_X{+L9W1M2eK}lKCMhD}wH_hynzk_hLNURS9-w4)>))YQd>fIdX5~PH_2IE%E$x zA-KI{CfVzN%nY@kqK_U`fahz9F+a?v_GWVGAJ}>aK1%0#<(U-0*FJ7&zw8b03*UC} z!R9^iPl$N$Sm}hdQ@SmveHa2m`Db{U?m&>e&rux%^vv=-J-e+Gd)a92x9$&5f|h2|T_FPMEpRgICz1`EXn} z`0VK!5xvF(zK;sX)h8yvuX$1M7MTwOP^is@`Sd`bsCv}oUgQVfO^x05O$35PAFbXz ziSwA3j*Zo)HX!7R$wJ#2F@(I(G?-Dbg3t>`iR3A-f}6(cDCNHG;BmJm&g-HCcuAzb z@S(^CpX~z9n@o5Hq_e%*V^9zNr!JUr*_?%d>`i&5H|7wu$Kk|HsyJkdFZAs(;fCOW ztD!v7xF4}Kt-Zbj?|ih)@8W91Av7bf!@=ri|1ADL5Zy%o^R?e>Aob}&>IbOJQ<-|@rU+#`+?^gnols2ev{Ij_2Zh>&rF$Yw zAa5)vLX@8$vS<8CUL!jr(jG|#{N*8iXt>a6#yb?eALS-0R3 zJ6T>Rj+6EGo(KU%)N~j9#C)2%EexS$fSjU5I_*QpAWJxM+g!(U$T;y*nWQZk(#$tH z8VIP<{<`tQpcJr2-Rr_Ml(vJrQRB^84f!PjcARLo%Ig$d&$MkoYy#F*pJl zS_}6G+`?JNG?@>MPPqoHLA07LBM0F5Hn(-cp2twJD7AIaR~<@C%uYRbbjN(zP*sIu zZYY%RCJn*7-~4OaF4=O5K~C)6q~RS~kfkNLGq`RYGOU^R+Qru39qbHiE%zvDUXo=L zJ5E6gg&$2{j~^tBT8CdbW6_ne|-o4l>D5NE#DymG znZ&s97bHV|QE2{{Am%6Os-7J-9>82?<40v4Wsn&s+cUNQC1j8W4F(I1Kw30~K%|I{T2EIqHNjz8dfiNmz>D=<&5VqRA zt#LQbk=uT(}~Iw9)U znFdv=8i*#)Us}EqkJ(g%{#kVn;L~7#KHvqnbCWY+k2zeQ+?|X$?@9d6?-)OaNcC;^YCc|q zDDo=~k&jW!QPg2U>^1|@O82_Hl=VaOyzBV8wngx~dr#=;w$tG2bgZG<&J%TaL6ec& zwIEoAMPbUB1@#SlThv}SvrJNK&bB@dVaaBJ_Ca+J9^^KY@y!4T#_zl0P0vGw_%;T! zKz@iM`)=~Q^(5*I40^X@=ON1eRECL^6tXwOpB%np0MQ-a!uI(fv)uUZ)Pb}b@OmSh zz)5Kgeou1xRXDLfnmD#hK|+eU`vuL#yiN$+twPeU#R6f+cF3x0;=KM;$@PSo8q{Db zm`_dPb9besc21$*V4Tb&C8!A^3k_zIkmV6&c`g1MAsM1iq|SRpM?&b-w@cIz;VztL2J%{iv^B+68W5CP}^j3a9NE zi1D=Pme)gWk)-pE-KvccyP<1AkU-{1Zs2K!fG`MhyKPL;_7g%B_ZED~5`oY2|0g?8YGKizia=W zp8wCnpZW9LXTzfY|H|T|`M1};feM%;M85$eJGVi5xfC$1G1xis<{+5geYLme<`=N& zl8FAgQ3qCZomRTqsbDR<=PSX92OeGBeONBQ7Hlq+iMXUEgYC)7A>YcJBTOkB4~jikP3ked>{SpEe0#OrG9s z=b8+rze-N6mz05dilcOA>vgc)ZOk!8aU85}d^|&SQyi?FI9gwq1i+)<_MFjKYOo1j zZZXer23zNP56ai4z)ts~EZgo#us$Ym}I3O>DCpyd^D z{||VqW}7_sh5{T}Zak>JvI87@50XjUKL`(BT=`b+P6MXwgL?I1TwuOUP?oha8!QrR zd~4n&fECrjD@jG@IZ{s=pyhUfM}GYJw;eRVCbMN@QkM&C3;T9a?2tt-RF_PSb~V_? zZ`8Wil7WL8yNCoae$HANtSf~R;CM2Ca^H?>aNH36D0t2loN6AtT-$36#vhrPxH62v z%ptDHk4*|JB-wA9e@zC`TMzR78dX*a@v!c|25cjEX;E(-U8dz?Vhe1 zq+m}WE=@mw6dd+CX=De{!sFdNM&`N7;J7V1g?N`aIDV#iz5O0JIK95}B3RiToaLSw z%`fU8lf7q!cb7GoE8icOy37lfhm&<_Zd-y?dt+lZb2U7=!CX7t83#7?b1u`t;b6x| zIp*BV3-&kt4K5(l%^@H)cM9i5kDtDfRG{tv$JVpVhUJvt)aSP1$TAGhMy0w!J{!n= z+|al=c^O>pDw-B%2!Knk#WMfHNN|B-RKy-H z_qZUVC~7JwS}NNuao(TK9VQba4Ji-I6}dQ&)vVZ3I2&*nl2mIuG)~h(!t@t?4h|-W zzpC%I^w|cf-5Wb!Rd zO~@_zroOfr0NIMui+YIxkf|;oU|Kx_>3R1{G$?c-P566?W8?uySt~uL|Ir$f--laf zZ`wmr&ny|OO$#LUc%Dm6Z-j)S{$EZ^7(q+T$)GnPN>Fc*FG6G34;2*V9J5Owprql~ zf}vXk6s-v#QM_RX1rt#s`rbZ}*D=|cy->sMbhku+R zIo4b@A5trLMs6DDLrTCM=EGN`AldoSId@uQTKV+^=BXz^;w?Xhxe`5SeR_*`J&_8Y z9}~K4w0IFJl`g4K9K-qchbkWF0Ay1yBV6E<2^7A^rCD|(JTdm+EcQX4L(d(K8dWXG z&hg;6J6;8uZ>Px!Ji3q}x)BwtbRNeQ1?r~;EV`j2^he8&L=$)_-;=fT zlPNOG)4xyqbiosa-toFtPRRZ7OZzkX70CWMrTm${1hOQ&7X0>jK}KEBvq?wv0O`Fd zlH7L>(oXG=(ls@KRHmT5j=Q{&!qhf)$@?yJ9ypYKv{MCM>{g+o-2$l7D0q3Z{RLF2 zn@ewA;)Q3wqW4Io`Mhazz2E2lfA#$T zusiBv);;dw7zr24p=9dyEPSZ$@ z17WwJ$Cn455TV8Sxk4rkbJ&_b5k*HMle?=nWBL+Ar;8eh7%4$aq)V|re>Con_Dn@o zY(tJ+GsET`Er{o!ZgMUVh4`tJkvm^&At6n<;LFHfNL2ge;gK{7zVE*EzZXNVDMh8> zZEk%CJ>VNLOWX!wZ}S)BE>hz@I?hJk)(3m}_)l)jE)e`Ay2=Y`x(FQ^jThK{XB>oRi}tZdIO_aO->W5`3W5kaLnepi5zO37K2s^og?Wl(@@sPVT%L1QYllyvK5yXp>Q!0P z3`@Wp>t6hvXJp>1Wk7;s!MF9K3P?1c%C5SNoWkR`LS3VCAt}Klh@=8}fx9H)ViId0 z*=#z0?;7$6jhHSCmMWp#4k}(T^@J`iOdbR{aZ~TX+OJkQPu?d5Pz;dnC*mQF7_8ZpW=~UAXeCZ zJqA*kvvzu%LLX>gF6oY?Xh_-gEn3@({ayRN&;9?fJO1Zuzd4X!+jRU5)KTAP>NMJf zvZMgPJj_Zc4o~A}oVX5!t8ybdw{^f1Zclx#yLym&t?&OK?>*ys?%zM|3Q^LKk`!q# zAtf}9b}1!7G*A-JqP=&0+k5Z5_uh*%H7F&7P?S^}ny%wqe!u^X>$+~A|Njj-A9dsO z{q{XR@8f+Ouh;YSuquQda!B?@e0`dQIrCNWyH}VX!?Rl_>n`$WIQBMF+v!5;9KF@C zn@*7OmUzc5Mf{yiY1Z#5t$<{5Wgj)#OOWVtwm|ZGEOgPgZZr8*4NY&f^cI_)p<1c* zeAQJkDCg8m=duli63Zms_#JalL}kguv)lvuObM?ZZ16%(%PrF5YUp9@^P%F1AA?L@ z>97}pevsbyGSVnbeJ{yZ$7LD1 zI=@u!jkpHQwr3tB@I^t*aj9PC3Cw_=5z!n?CWcaWUDk=2K=iYXBsvFuhJv%PHL|26 zklUeZN-N(9*=_VJA*U}u)*fmjw|mbZBaHq@y&JMb6uwqcs^a<2$|~Ma>5reiDqr!% zCP?9Ldj4d-6g9U@XR-(Z(A`Y$RjPOhTEu0&=x26At-Wrrx>W#FQW!H2JN|$&_LlQ8 zBZ+v<-EwYEI|+sF!;KD~V}^W{+1BUVQX!XLvAy5%KF<7hsByDh!}IL}i|B1J$aqM; zJN|(y_CX15u6K}^#C~hIgb)g;+!Nb>(IU%B=1WCKxia($N6q=Ss6*?csjqtW%TOP5 zU`ivk7pmU79QHez1?8Pn2Q~KuL#dyxX!y)H6!%*N3NCg);Yj7cGSxif`?7sIFlaI+qf|;v<^hfE_U{Uy)yG%U;Y|aI|Ewyg|d;6>< zy7hE$((E6bmC^zi>&sWqAS1&yRd8r5X&T&$PjIX!`G9-+4zBlHL*U_h@8oXBv*4*1 zpk1fr0G=C)`OyQ+;FV~owc#=}~>_kb(qwMLba@8BlYbND!VhTX5qnC#6u z1RiR?vMH!J!Bc23FHPMDccDW)!ah#Ov!`xkoAU>6J;sEVhRfi+s=xo6ZU+!tS4dmv zG5>J$R{o`|P%zg!&sd7SL+eLWx-8CaU{BW(9#4)t*IPVxN6V+dr67}6gYPW3?V1q! z=%oYhvZ`n9_wE1>y^lt%*mrr}vvf}0xr$5`YDMM@Y4GMf8Dhb~3EtC3Jcn(L0U_oy z=}*49;B$JX+i8#M=tm?Er`Q_{zKTI#mkBt(**xfZ7Vl7Yn_5)u;rZZ{v+(h`off!m z*IM)L8U(kXos7d28>szN8a&J=0-n-cr}yteUcYI*hb`?v@OBM*)A;TK5bRBqRWWbZ zM=KA-Iz<9O{d#0guNC4YLm0F&lpW-($l|152ye>lAN=05DY_Zt7a`|xM&|MgQMBC~%xr#D1L z?1tslWQg3Gc^#8?$@%u5Um( z^X8=gejdnR-B)}46A@%meD)^ty$PA)B5F_0UB(?t&V{Q&OOP%9_M#Lf6wDLg;bc;^Wt3AabPJ?rOwah<$rb-0Zdl!}40lQSJ$opYKSO4`^HR;=JM@+mf4Os14YGyV z`Fp5e<2m%O@MkqAvc`M_+ef`2my(@k0JA%D177S_i&(&(^Q65|*+a|?SQ90ExdR!N z-?=gmpeL!Y?kI;n>f$V&o)6GnMh4c(BY(;^NIzY9%fW#L@3GTjV!XkSX>I<|`{)d0 z8Fwe|ltxax@=5oERb+$g4W#LleGWP82MW_&H6iyNmn)q}I^?chJ2!f90rDKDC@FK> zAi_J;5rT`?WWSbS_^gzn#P|D!Z7)WEBYu$*){$*g_ z(fMp*$h;{_CDx-4SskCgiHu#x*VnyKOgaL&qV~Hl*}jH6#iD5T4$R6DZA$1`l!XEY z>C61V$k-S^`b?SB2nxdl&$;iFgCgOWnFZ`iiaL4T&%9kjR{Gm-&dN=Y7V_xks_G#A zKG{FD&nrMyT*I$)8Aiyt&Gs;gx)|9b^UocF`%%Z&%_dIN0tF*uK?AZ9P{c6ObV~Ch z6d%-nX4HKbiW6)}Rr7v939TxBYJet`IC-w=N)|xL`1g?gG38Kt`q8MF8tPVk#Dc}F ztA5x1KRy3{761SG+V5!i^u5o0C)7_xNSg0shYG6u3oY9)e=U>qEw8dQdS<@aPv4Ja zg3zK5PHkL^5Zow5emB$<0{079-WOp9Kc@X7!)8aIQ>1_Qz%I;TOK9b(KS}`=ab5=> z30FV~!yA4bWO?Tc*qE?rAeW|hV(C^S*1T~CC-0&wK8t*L*_6~!vwPpI@wGQ*Jy5sJ z@^a&SJWV)LgdQRSTdw`mafC3b5HDV)J_wOM%N%}f6@p0DM26xA!EagrgquwTbUrUl zXy$wXjUGc8Ggnff^0IG)D_;kcl$cIaQ)xiKan@n}LI=ps`*k?FT@Nw@PI_6y(BNI- zthV~>F-T@=Q1mJXh&OoPa;*kA`uxGc`+p=sq+uIJ@N+@*w(y3m+hOMTvWMSmg$eQ*Lq)of z^Qmp4{_c6mlri|4Z)gH(nqyp3Had{JY*9z`L$XfLfDW30v^zof#Ke+Zn>g7>a!<~kh@pW)5 zd9DZIySJ?f?Z;haQLA3;jU6~A5ug}12!!y$YA(*5A0ad?qEfj}3W8q>x7tzvg5J~b zbmbFnK+7`QFJ8P`)%N6#Qpq|%h4U?^2&rZ$`IMBoz^R73#Mxo8gdxb)QaBcUI~THc zHCi70Mh)q*p?c-JE0Gg9SggE>^M!3Si`LhZFbkgJdJ9tr#59SfZ629|C_#_BLvN7f zwOHA4x~>*NmnPb89FzZD`~TJR|KsBQhsUQTe}hbo^9GsO1x+%ee-3^8YW8pE^;sSa z5y+Bw1$M;k1~#54;5e&&yNiJiTv99YE(&39&Gd#M?}{6^n_o=6|MNO{6poBm?Pmec z7lu8V_LxmINq5O|8d(!xtd6SPN(90iC8{4(n2ptDSm^V31bh=B#MZR-f}au7N#m=? z?>qkTtgDd)_>H!Q*oUBOpSCX# z^Km-_AMdM~0Z+08Ep3((@Dh3!b%OK)c%T0$zdpJQgv(i%IaY6hk4js?ro9UIp4rp- zW?vWhF`Sva^@14urenN?ES_PnxpL#F#C`~P6yfNVH2_v~##U@HI$$5@S9C~>6`b#P z)9uA)pX=?_>nh6*;P#pSM4ntXcqqslhKr%sDA344Il=?Hnja~K9y9^(cWR!qJgGqV zZc;jX2K9dPoMDRRoxpd(^n~W-9O~a5qzEyNfPdQk4;^2_A;A2Qt%<}21fCjw`AZ9T zl;zU$J;^cP$Z|p8u%I^HZ5CMf(_j`Fx5Oy6hdTXu zPAML|ns&403C;oG@X5Mo$|d0QU`emp3U!T{uaYIrhrw^aFG@dQ4g9}J$!*g}hk&)# z`k3AB5V*FUkWVuVL30OnX1nYl_(4#dH;WJI@XYcL|5yRn2Ph0(y8-Tc`9TTJGT?c} zblH%H4!nK{6Wtvl1wvTO&FvFe;CqNdXI+R3^^={}<#&;bxGJ~Tc9R$a&qvMZXNf{k zFjt)zAM$wg_%}ao`wGEJ1@)gJF^||aKz^zgxqhTI6R$LNA=J}rAB|luJ_|{NS%kfR z*Zw~}|DT2b7mx2BR;R9>sns1dBVCg_L}Y((d;Vd0s{Pw}eV&ycZ#B%iIi41eR&1Kcb;fGLOPFJl#^OJuAhQPyP!nCoY|-dOPl%c zB@mqy_Izm~5@KRQcO9HihFI@OMTrJvNZb=;k8bTmt%WynR+}Rvka|B(i=l;t$JxZ> z+ta|y;j-@3H{`Y4$&Q+MFbzQu_RL*(bb$~v4%=`x^uw+8DP5fRgz#Ix`bWQsK}0^W zZYKKrBgdz_dautwG?BevmpA6YQ=ba$IZcN?`2t|$+6{5rG?s@`G2dlsD5Uwv9f)r| zbY69y4ibVtsJ&R|heY)h>zx$r;GHPF9HV6k{<;xw9Wi?&SYWtBDQG{0OmjLjxuOrx zHsF^_!8n9}YPm6yl#X1VwTv|X=U)Sq&D!-!3@+Ld7TUX z>BGdesOQa*n_}mOxB^%Ek>lG3p%0-Gf)AI&I z&rl05T@{5`neh#Ig$RiAA(~TP6M^^!CZ+rxjgavEj-MtIGTfJ6cne)a57Cy5!N%*a zn8o?^b^od?=JsR=+>mm{EY7mCW-W)1<5cM*+QkOpbQAr@4i>t+ z`@gpKJ0a*;(OoBKln`UjZFGamJOgQFiz>|H6JQuz{v(giFv_3J67%@J__4*@!92d7 zc3N(3n8!Ep=&nl$q>TriD|8Y?jbzB!fhC;dN0fiNQmGG#4&IJI@h2djk)UAUC=D?` z72U7iMa~SFTU1d=9YiV%$JJgI$2>lpM^RmBn8)`-S!ZA#^Z1@xk4`0F9^YnWVjpTZ zg`#OPBpzZO->9_$dSY|fFMVlJ`T>~{<9_-2$c?k4AAfIyIdtg@Yvv5?n8z1lK4Zm= zd3>9%cy@~+Cx`6GnF3$T#B0O4T)3-c68l0z&yS$5%DQls}&z>Hy zRO5>r1n}8#gk;aE-+hSTX>wSZufse(>!XdX7MRB;{Y^yA4D^71w zc7)VIzA}A88`;yo zkG?>|AVI_ARSf3wHIOO1PKJu(oE+~LuHjyP`rgTxq?pII>2~@p{yRoX;)p1aLAo!X zsQ{VY*%jiBEfp4!nZcL;W`z#t6srYQ!^lh*3Qo*cS;suSrQ!FeKS+Kw7eM013W?t5 zgpN%A=f3}6J^x=8eib6Mpue&?{@CmPvETn=&;LJq{=a{Yt-!xi(`(ba#N$5s2At&l zT{#5B!BsvmB(3%gxbN|AxGnS&JQ5EED`2LE*S6xvjvVsXV_&!)_K6M%4o7CDvvGbL zZAY`GcNTophqRe2DZwwzjQh1CdM_g8DHa51Ai$BGLn>t%Sy(mJx#=R@L3_=V=0O~=dL@;CRQroPeJ+ck>WWB*p{Hes1^YM-=8vf z=@dfXpp@*3xiSdKBjhd0xJS?zD2;bgf%DMin0(aAxc=(N)`|R#cZ}0k z8J{G;Q=5B^{t)u}y7ti@6(zp3<$!}qRp6g0 ze_*(A9RgCtC>Jj*L14sjoltV@XPmr?F1eaO@UbKBtA^ep%i51g>^c)TL=IA$-}(qH zWzXDaZ5zO?ex7@E$1Ct~=dm5wXag_C%dh!g&VzU0qS56YkwBOdJ;3*72z>d*VrO)x z!A~bEmdqO8Z|62|GJ0g8hZ`piMqh`(_;9h%`^*p&%fVM?gRD}8Jzp66=^?nj=$s=H zauoUBy!_PN3NE`3T+8|T8r)>{)vxH~fXA6978gvO;GTqJUtDN4ct=%gO+9S{A3BGV zI-hdTyHqj4vID(Ky&sjkW0E0&s?<@C6}g2{HA#C9h(M6R3)!F%P6&qe`ui()ko7?_ z*M`~MA&M^?eQ(%6$deMf=(cJIm3Z`WXGsva-@3f}0?$|QynD{!{wjXH6waF5N1dk6 zjt=T7u2k^Nj+v%m^8kOL7uWP9B_W_dM51~HIez<+Wv*er7JSN9+V?d2O2-Df+qrPA zW4G268$=4B`;H_YlSF<)*2u&9kU|JMRs5L50JYK0dzx1Dbs${UWXU&;_4m2|PtX5n z;cF40h4=qg*EjcX&*gC`&^IF0&jSywqQ|qG$m|e5zEbye0(>&wk7UV>fnU>-*=-A9 znutpfY|}@{USI_wrzOu;?Q}*~U+HD1kp)gmcYVJqRRMVO&d1fH!4C>H5r&V-*twT7+rCgW5oe9_4Yfig&bQ6fAY$y>d>zX>^zH3b z-`Q;ho`x&p^!CPxFj{BqGc^a_#L8B?Q$*n3Sfh5J%?kqa8n5bge}>@GV?%Bh$`C^F z@#H=G00?;@!Cn(~9YTXQO+fn_gvt3!^0Oh!VqUu~gTRQ6SiSy>*O6f%$jI7RTJACy_zQv9}=jBe~Ibp>lk^%f>rAc0p)$@46uc@`yt6?025*!8_TW_v?0! z=rgb{nIA>2;D?t?D<=0LGP*2d(D)ccDR@{Eu(mO~`GpYZ&PFFQomcwSZi6$4R0UlP^*kDz}*3X?9LmhL}$o5;X;V5S!?3 zmJ)FV;x29%*gwwwyY_$g{C}PL|0im{^PU194>Btovt)*6_0UJh!?!!)X%zbS+%Hu) zV9$FqS$f`g7y9@j&L3;5MIT>u#M_`^^zo@N~-LXy~&9s2kJ<>Y3OWxe)_|ErGz`uHj-ALwHq-|TY@_nWNf<2w-1 zkXnd7KIVAQJ(+)wcCG0!sn?KLy{_%x(O1Tibj#MOEw>LU91eiW1ioIxL7L)wMVa`f@( zh890Lhd#a!kBy0+qK|KhY4Or4^zpqso^tIc`uK!%Jo|5O^zoe+?=x3IADw`W%@hPHC67=z1uOb#ck2_|QvoAMP(Z^T#Nqb2a(xZ1+ z8j(ao+IeEFrw<Y3zW?g^|90{I|DOBB|8`EVy|1$fBiSWzsV(cfk?jud zBzqbj8k`1?NqJ$-CCsDo|8;et`vwq5PQH{r?hig!#yvf*A~QO8=Z-bCROHE>>s8)< z3j8}$&l$YF00I4iS^?U3Ah7d&qhf761XZV|D%?i@xa!lABV$I`bG9Cy3~EKTbByJU z?W*8#^SNWkYh`dHAKa5Cy&K$BZg_actApnWeg%Ou^WZhS=hy956hKgQdsV|d2R@lR zM{<7+fbYi^i3(0e@TXzbculSc0lbnHRI(2sP&n0%G&uu;gt*?&%(p-=?O4b))?NrE z)C=BH&Vb-mhJ*stjfdQkb8h$|4vvwl-y+LPz?DGk`P@Sc+?#ex4DG>rbF$2UaoKI~ zmVbJK3-o}{w%3_>y$)Fu&t1}JkAvUsu@;?&OW+@V-Q1Dk8U&O(nG=W;An?h0hOn6? z1a%A0W?N$p&h0O8gOvSvj_u0tkHFsdLL#Y2z}UkiBu~vsddq2t7Y! zm0=(Rt|8f$rVrS_eP;AX=i4IiTs!Kr7PSw&pT$0RUzq_P>-E6CUw6QdNYQ<&02v!5 z(dnrd>~L>j&f@sP4T7kY4(1I{VupHzeoFfk1lNcd=nKBa9^Z#Ue*(|#L7QDyMC2h< z!zx}w-5Nq)z9=JHb%!wZ55(&v`@v%=PUYCvJ@BSEzS1rr4L(E)F&imj;M?BYoW`>N z{tq`U95~Dcf!lp@Z3D6Yajki5-+B!h@Chmz#TgL7(dm3~7c$s;rtK(qtU#yU z{uD#Is*1HAeY8(S6nul;)%1mMW9@VEX}{~k+DCX~2y$kgZE@%OCPQFH?UxUz7YM5I zG3&~m$J&=F((}Q2a=naOsC#m_r$5eF?fw=fNvOF zz1(PHZ6APpV~S4Nu{!Wfnjkd!31aO(kgg#|Jzi^j_4L+Nto?uz`IsW< zvlFs9SbZx*k77Q7;OBbH3JAR_Hg#SP^AU!#8ymEkA?#AC(YA9qUzi_WbTSbEZ^}L5 z`43xA@5snhWnYhbr-bjbk;q9jc`o+z1V0248@gxh62rO499?1}GTbdC+zwpyfDraa zGq0>jA*4Iua`4to2sN6>4pHNRuw81?)dR>lPn5JV?Vmsq1HT5tb`=P(e@pr6*Am`^ zJluEDdH$~b-#!0-ivNFo?RPrR!ZU?FXdJD)9fG}i)m^VVoA2A9O#Q1IRkbc=8?_^}NdzuI z=qiuXWxP@V%{LCZ6vPHW_56ztZBi*H&!i`d?YaXc!!Kz`_D(}lvQuM}(;din_xF}x z>_J9#^Bs%scF39===VE-{<)*iI8siYhxE*!*--Zp(k@UWa-Ru79e>A%K-OhQ*~v{S z>irIqDYgtgn%;p#wI(~>fkEibZn?6?*9$EyX?n_^7NJ&zpgws;5-OS-X{o~dpmgA# zm5~`{l9t3!4C|UgA+z_~iBsl~XP>?+UOx?Tlxt{yAkQw#l#nOPn{j1 zUizet>c$SJ@+Tv`EJJ{DBhmvo>`urHR3{zhy#mF0!u(odl29;nwIk?EEAAcE*fz5< z>x{s1BS6j=vYwtxdT~!1GDQo{1wM6#^jA-r!W-{FTKsIqWgwGW3B3&X=a3yyfh#X&*$9;@Ipx(`7$lUvK zDE0Ycyc3BUNsnGezGM4-s+5i2wf|o||DP^iga6F>RD=aoP6()6l08p!hv?5Q=ZP%v z=ly>(y#KZ5zU%V7e!BF0@JvyAIg=0%gok^z+V=Q@Z>`qZ?6YsdKR?bwy>vGO>euVM z@qLY1k}78}ovMT2!hJi6%_|_}N+ug+3uYt!plR6Ub{0at`o+J5A|r{GR%${-2Ew9y zM7n9!Fw>)DT@%lj@UT#iPlm|)AZs8_V4(*$OJS%z>Cx`?mg_GM|m4m(bpB?wm_pQ^9(}SJc=d!C2%jE z(vzGVh}jaaj3X*_AgupGp7l7+h}1ds^jq~IykF|N=#%ddp;*$Ba>5AQ`CE;|4fMe) zAaf&w{S5K>TM)r{puKWk%4ED=i zLhZ`aQypKQ$U}&GQKN5s6NCz-9@}C?hV`p21LZmgAk4<3(eqW=G4cl_)5{6=g_aZ7UaU^d@F!*j3`%sKUl z4xo3%Vt!<&=%F02vXxQDV!Z^`gK2rpVfmr?Rn1lWCco8?(^WeZ9 z<1hT89vt^Pnkaft1CC##(o3G(fm4I4HAfsRID6}V*;RHbs*1oLj{UjCicU>Ud&HF84~tm)-m zP3<@UHjmt$$i5u}+rkLDm%>_LH_%D;sO==!zl}J!XIKy%-ekAxp+3#AkIF=2L<^kC z+s6uBTfjM3$&bRT7+g;1ZgINFflK6;+49a!aQW$|=W6~4O#5tYn9bj)|x>)}icZ7tW*~_tb zcM0rzR>XG|oV?a{?PwlF_Ids!@6SeX;X6E*hTbHXsIT72@$ukFlpQ%OW(=-(D!#TW zkHbSh@^581PGJ5dH*!m>3oMIl8TGg}z*?s&@)~BC+l&uhl{7%zCp>v0`U6=VZn~Vi zF2;dFXfvItJ^-c?xc*$K`cub<#t(FGS1s)WMN-@)Y*c`wNt1Gs9$ zmPyO+2G@3W`a=t};C6x}vqotrSa=@G(vv;`R_5z32+5vcb3#M-9~oUqT-3S25z9Cwj_N8aSs6E}PD z^*vUP@^_N~H<`?uw@u^V);L+HNcs`nPt`PzPTsNO|6}V1;>w$(v`VhXt*n zdr-Xm#L6RRxnwLr!6O2-c|;xRiKb8~)m0)lPzq%?h8GF+U!g=)x?}%>BwXG0P>8{_*?y*$Vu94DL7> zGMNCWqb!*x>MuabGQ;-6mx!QOne=L0;5@YYJu5#ND+KjV4|TpcWdhZQ<(|x1H$eqO z>b;w1pI|=E&+a6ln^3}Jb1^EP2a0U&3AJt>K)=Jc-oRoK$dgi|E`+tZF=iCh^)veeW>m>c#noUI#q|rbDiwYZP~)~Wvw(B) z=ITj#v*Sf;f(}uXO&pBk6GKm`3#tS@F*sE(c*2$QbL!|WVNj60lh+?$U-dZ7o zsE-Ded}JBOH2GXyB7=Fj8aZT2TY?a~ZBbi{9~l(c?dNE8Nim;qI%{vuIfxr4<9}aJ z3BDa9`!(eKA@J={NxlfwpYIQ*|GG~B86wvk6-ysOSRD;rk=|>}ppYuN{06foUu@S; z?ox-yrq2bc^dk@zS6%$gTm+);%kydMU4@vV8Id{YwThWEC9#uahuG-xh|Bc*AWpjP zCV>-k^FHsL2q3b7cz31k{D~zHaL*_9W}-F(d*9(XxSS55bjG^1x3?h7?Afxo5jTWq zdM~ptSzPHu#3k;QFC&e}pksgc8ykQRi{6jt7ymxR!im1BqV zkXb^`=e9GK4O!|u?_%${K%|4la`2EWM1_7Mmgkv*=%}Vm^`C|i6UfJ~aj*wst-rQV zQgk9q#EG!u6|z(KF1bk%6+`?=_8F(B2}r2dh~%L1g+x2o$IK@WLehyb6}8bONSaV% zP)K0>UHiY!{eP%E{|9QnGfy*d#8(HJG>(s+5BLsMOW!i7iix0Xv5m-l(gR9vUNF34 zH-YSolI_k)j*u@s42c2~kh`n$d1-JrWS^WP68JO)nb}bnj;ty`#$|`7k36c7&UfOM zNtPzgn!dch=$;L!rv;l;-poVFZP8;j=9Q3~q8^xO(ga`{ zcZNDqDEBF~I=RaeNzk;-K{YJ2vfYg?IdvcdeAm!7CjhIR%NMW8|dDry| zy1u@mA?vDv=9F`5Rrg|{#(z+h^p_n}sLx(9K1Knhk%~w9w(6lcS?Dq8E(0i({%lyW zSp|9TKCvcluR*;-6$|~h1jv?tRrsvlJ zwf<=T8z>3N^s+onL*_=6j-a|@qJZ+ygLSAp2)G!$*4QrCrAd_?7VLGXX_wy z@U29+80Oh$t$(H2dIjn6dd64GamJM!H^z7*A2UQ;u6<6egI?W`2E&$qXwB$8U%AW! z4aENOpZfBl`X=FvlN<|FTq>jUAE<(|LxN^On0;Adl=jAyI24L$6h0Cp@!#=@Xzmzy zE#z(Wz1|pHL7(0ISG&6~yJTi}rpkc<$jVe(==5ucOg9T6Q8{ABu*+-~aX13$zV}&n zuGK@INdb?hvmQLY|BhJJ*c6&+Vs1$s)q^@g3bmuzyihf@ZRagJ4XALTQ*xXQgt9a{ zE4WnwrL5~0)^4>!@kF)%n;JnV%26P^sBMCR7bYJbkcmM4>HfP~U&J7{n<3*l|0?Dv zrm&G?R%rJ1M4xk?%pgl?f}ULV#qZkx&$%!Bk5^~z@9d2~vN`_xrV3HJ@(TR*^Z#Ub z{A zY;5PLpltkX)3#Mc?mrvSkfw`H{FH?a#U^P$_kuK>3w*A32Upo$g zgR30JyVIiJG|iGA!sZ1o0XG=LC)~l+cRzJ3Z4kJbD9TOaEX-Zp#jeB-x%w+pvXe^- z;E_zqSmF5qJViDm(@^*3*&@_@Rv7(gV!s-8B=~_>{&c@KM?07iR#jM#C1zo8_Ep?b zXRz+M80#mr0(Q^Ui`8Y5knK4{MV=A}E+m9cl+9G&%BnUeAR+{A9NS+tygqFHN`bsRz$Xv_{COxf}SOw_ZxQw3%pcr-_dc_!5 zgU`L=6f+R`*thzJuLB`Hz=_nT7Azy*=l5Avf$ddyp^dl(aCiz7A-W&HdA4NA&VCQL z-t!f>Yg`R(wFVl8Ar0KWKMaiTf7H+U`R8zLEzAw@1e(_-}#>soSxE_i7S?y;>20Ppy3n+JAS0-=3&Sr?zdJ|hwS zWjl?)cOczPsA>Us&fXsf8+5_nBePT)&zb;&F}x$)_w?~@FG`q7DOtv>{cwl1+mJn zGiy814?eIts;L+Q$##@^v(d$n@?OjKJ+CgZpYqQRCi)|jN_`=vd>3S#e{t{-{XArz zo*nbr{T8w)3{y%kN^F*9>w>j@s5~ z*h4N<&+wl^u6(n)@bDen1H^M$nI)w|w9MFgyZCY3J&tkFWgdW}<*?<;={ArOd(O+b zrUcTc-psBEDnPof;p@ZVHy|VA=uj>gLuTq)aCWdHWW`oe3b{5xwym5+&;2&Ykt*lU zQ?Y=YW!cS4pn=>NRheY;B<1n%Stb5%3VFrzWP7KLA)?&q_|2(lh`l7EL)Rt`iNc9cGIEag%8B6 zS&ewl9)ZLF2E%Qh4UnRBDJa+LIHXCQHFdz*ZTe4_ej9mH$RxO1Tj=LN){lD9fZ#~T zf!)%?s$|F{nc@hHz}IDc<6(cxHpqYA)hMT61_kGs9uRIRLLm)BdnD%n6}Ip7?n&PP zMTWL9>RXafv_kJd={%|TY%W19{xZOHLoS((M$ z#5@5eXVK9_$j_6Y7rQ0}g``$nSLkh_NFngrOneO#pA@AWj>LYXVq}S78}5er1*E8H zFGESx~ znRW2<)%DT}BZtm2DPwJIHPDcndbeIU11eG?s&}-cK?w_`c%2z9-?lAxFL_PpI~Hi$On^xErn9X+qTOP-HoaOY)~ zcw+)JCU&aFv!oA0kj+YmUVtI^8y5b|()$RVL-nFUln0>E_jn0+3p-S5?cHQ6y@LL^ z%ExrkRZt-HrFL#a6}dPgJsaD2F>89^!%v2C+*21HI(6CG z+_o(WnAL{J)EEonH{=j59e&Kz@F-@69izgD1O)4|mhR}s{G7NAem+Hd8q8u)ecSyV6-mI$H+dLQJhf2ulb?gyD#mapBtRw2z|%&t(9 z6Mc(R!u2G(AdySCT}iM8VmlRceJ1=NYSQYpEF-d3?@Lr3t;Jm&3>nU!*M|_zprH-( z4b;uwrC(DIg6@77V+}{_wfpUNl6akf>hb?MD=PRKDRw$PdU+C&C`5VNYTxvl#N?%3RY z9j}X{kHcv3XI3wS&MWDf+TxCsBP{9D$?MQ7u`fyY+jeN#5P2p*n1tF_-uKy*o1r3b ztgMck3pvSmzJC`ehQj1(=UjXy=DJ1p5C6pM4M7znrF;kUFVgN%AUzDJoFu2q@EMpa zc#&*g4fPvj@g@G-(jk_`QAYnWGDjmAIO!~|K*TkEZ^;mC2)o{U?n2_^@7n*bp8ro5 zFCOm2fAjeMV0Hb;>_T?eA3i>6;=TXF>+`QY_sv(UrC0BM0-NZrb+QUda8O#^$>rw- z&ZbWkYpo7~E91JsjT?8tO*?`q3v<)mJ@DmMJphmBeY8pECcrZ$A^+(j_R@Z11|{!4 zgSVNnlXT8eASkj0?5;(h-M%tzvM%JT4eLJ+-!=@sft%dcY_i}dR&Bf8XBjN=^=>;L zf7X^a+5QqY_PWEOXZn>FzMfO=7Pj+8fVZy0#BwHPSsT{PmWyP7 z&u!kzACzmsSMA`|53xY-6Lc0Q-17qeoo)5aMK8lzhJye8SN)CT@Juq+{Z8F`KY(pSQdypt_Ys7o{vW^Vn!E>P<-LtY#@X% zwh(V*g3tF;bOAiM9{5gFTjzciel%M}J};WSPTq50!0#A6Bh%U<1j&z;OZq;AkXzp+^n+1@`L*$e_n~ZLNaXQr#A2po zr2Lt^X|532?!f#=<`P6r=mg)r+5*wvueh>bT7;Mxvh8byc>cWFT<1wU4RQ4!6>`cM zAU?FVQowQ(5^kJJc;sabiOfItevSSCUZWC=A5)saZ!rG+d6zKFdVRZ#z@@eBdlZ9KEPk`<(<_I zv#(WRO~r0YC67r{f;dXzs`HO`K|Gl*HAA`!&YR8is-B>padaPpi4St|iWca)t->M6 z&U>*d^Aq@t5N`bF7~S zq6{Z@tj$J2bScMSlI|9q=Syj+t-ORdirJY=2V`3uQMoxdU=9gfLhgbxINxTGX;XJ1 zAlt0l{gA{PNSYBj&0Agu$u}3CCB>*ifY~HvY7-x3Z8{1b{&fpNN56!exKoXq8S(2g z$ia`4ZfY3Nlz^y?yy*`L3lMXvy6hWFA)`$pKlv##*4w7?QI~iO45kK?(~W%f;{gkP^D>mL`oagvyL4RUk={D`9fss;K@WB_Vn}=( zTmJYAvODhFEx0I!8B}uFW+TQYAo}_~!n>R85E)-O+_e8Hbhc}4lm36mI}>j#_b%>N zBvYYeP9>Qo36D$0;Vl6juz;l6M4JkRqykEJq10}>%)qB2yH@!jV+=k%O+ zt@j@|&wJK7hjp^LxZV4@_HXa+_w#LTg9bW*x#*xts8G*hn>vY_UV1r;vub;wP?me% zuc;1ljUI{*6}93y+O7CSB0Z#+{8W36?8elvBi9yeK0@--Uw69PV<1UF-EHS&6C~tT z4D%f+f;g$Pqz_i&5JNLV;W4!t`92@a9^tt=Ldi01-@9IDdsvii8PN)j)O88p*d9Tp zaOn5v1J|MC=`Wtw9pq3re2`;UTL$txc-g`@cp+Oxng1Bi0Axh%mpqZ)25EYn(+_77 zAjN%|Yu_VxNE$6Ed=laWiI&@#zc4gGyiVT0^^!1%Wfv4C;;t~7)~U{8^g2X}o?@@x zGz#t7X5_Ae} zR`UwT99L-hR*uY0Qd3s4oDrl(@TQ*9!u|b+N89clzXeG*->PW8umJ>!9+U~Afw(N) zyRV%zAjawBdxe@Bi1OW|+BC`x9n~~DCKp+tX{1s&YDf=iPAgb1N^ghqhmw<#lj~3- z@qIy!(i{r=R6JfBxej^j{j*%p1tELGkFp9mIhjs3nxDVgi)RrqaToD{R2NBE@%es8 z9tt}n$NmwLjP0#TEW?m%DAo4eBm}uW_m$%_k+0Yw`@q)S6FR*$Dt|RI!eaw9J{7?p zs2eL6{w_ujl?{>Uo>i!`IQ=e4CoKYs3pbQ^vS3C@&ftw+_nVMUdudN=nL6a0?f)9n zX#|;HHu2Un;htq!h-;q#W|D7T3C_4G0V(D;NL{1PA$jxFhn_>24PTPAzQRI>_d@cD zTbTvFYyTfT|DP^i?Ct;Z=c|a{I(6&MKi~8RvrE?o|AYS={gIUX0Mj96P7AYjN}^z$bVxgY+>4dxSO zYc>ev45w0>Q-Z*0rNAvZ#SoO&*{!=v8f*<;T%|F#0Vhd$B`$$W;L4CmryB_1){xBW zde;Ozq_W+MMc#sENZ^YCkqPj6nO=8G-~xCru~*(NY6jo!bvb6wZi3%#E0^aP#^6tP zEueuG8DtwCi);d!5HJ?Kd!Vxr0_#sa7-F-8AObbxuBjUktVxPZbweiify8|ke5>GG zNVj)Kg$}qr)>OQDN(tOe20IFzJ;CF1@>hgpVvjFIR&#SZGCX{~W`*LJrv3+8@^L2c z9kixjrPBt#FW1Ol+n}#t8T4J@v%b zMUQVlP|hav8}SB0V_ZXn-6Up_v|C%etwj%$Dg7oLH+-GHRV=NUf#3#Gudo+m}%D&FF2ldZi6WVT>w=`!GA4fog)Mv-EfE|K0QdUHJc~ zZLap9}nx>w{nV@6`6VQfR#CrJh1|hKYExA~|>$VeeYQefBu|y26wNxI&LcLs-vMD|#y#a3vV4 z-d)c}-yY3KTj^);+4W_J^HBu&xmR-yE+UgLeaDfOg*pf#`o`aXei;b-z2WES$bhh_ zoLL!(%#fy?rIR}_E7Z=~@xiue2;rZNJ8>5o7O!S6icKJA&|GDOj87WEXvRsG-jYFB z0#8Xv&;o=DISI4Rt$~}S)0Ue=X=INYukn``flr?0=%NZTEZF_6+b-4Nj_7Q&>FE&& zKJux=t}_k@P8urBkO;&pn_fMa+6E+!mJCI6JR|f=JhKd1gpi;)!$1{f2$g2`+J6?c zDx*7$Q&spN%w?aI%5_NyXMXuCZ+ zY0AfpQo+u}J2ntHsYe;vgHxD^;K7=1*KU^BZ0O7r}{ZG>3A>siQ-A5OD@LaR6 zC+k9w@V1NF&SQV%UtB(OIT&k~`Oe&DMrZWqnv%!W*Q4)}V8fSoQGAB3C| zqAnW2vw?iz$$%+79oJ{2$c3o; zoy>D|uOa%-mIig1Cd_Z@`QFmJ6=Gs;)^$d(|E~StJ^z1;|L^De|7`8I8W(bDxs*eF z!J=YmXfITVc@K(l-GLG|qnVQvs!(`;?uN6kTWCx~@b$Uh#O3+*9px7YGL zp^4(__3DGDEn4~Yn9RWf${aIBBcmDc>~-~E(J*GA>uwTS=wg99Gv)^}ghkZye!Sv( z8qZ)pc0!X3gScyqj#*#ET%^^0zsZ~`NbyxJynb&VB+IZXZ`TLh2Yeazvavvhb=D|jN@z8uEnx-slH`J_JC<;Y~K!uFyBju;wP|D2EGrQIUMXip; zY%%#zurZ;?KfDTg>^EJ`pEp64O3dMk3p|jSY$D1pRRrmMD#m&R$k{)A?Nli4JyHhN z4jnKhgXD-5YK=zpRX&srKW6_667Lv{z4`b7I;SdGjmAsiarX{|VM%(Z?`2t{d*KXK zPd7%CSj(YYN4frU7d@1`|1jTw;t4Xl@2^CySVH0X$0|7-_B^d_Zz8iGbtv59{d_K@gep8*|B38Q1JMBM8+pHL{~ta7 z-!9(6f934X)hAHTB_~juZHuLTh!1)CD9q{olknzIT^t69K8=L z&!43)i-G%?;7#EsWN19lymhq*=gKd29^_c3gAd)vt~tUJ@Rj6^Ha({be&?K`gE^7k zrt)6UZ0Z*ToT}U`mWlUq;cwRmht5OL_Ro>F?feilA@cLX<^l*ViFnbGhU_V6zAY!F zR>6KdpFW54TX2yoF7Ps(2De*mg98f6;K952(=EaUJWFd|$QLw$H}k$e!`)Wkqjlme z17$S$dIpLXtdN0U0*TNhQ3L)NSLjtp>kyEXtnj8*83GBHgQhT>GRUI#)2cgiHswWC`iu)z0^`a|HPX;#z}JLxITfIL9pc6}2qY1Hv~>Bm4YA=;{vC zM+PkRh`5m-hoDV%bi{OW2wE2`x`jKw;Q3^}&i89TFqyfM%&-p#Lr=--yoZ3OoWI@m z**VO^rDXUi)H@)2}*D`11*gg~mI;e$Z9I&MGj?*W9~71PovWR_gE z>vO9^c1Q=AqCub}kYuIGOdkq?`-p+qlG9=E9NgFTfLa&4^94hm=4=9A4O> zJMB`z_wA$O44U|!XH49BhC31hPTCZ7N3B8NWx9K(cEv)_b?)J)FUVI>uYKw;y^K9$ zM#axzYaoPIiQOLE1jMZoga)ZXWN=^R(?L(KBQ+`K)Rz@-HGPun)Dj5p)iv$yT@S!B z%~D=_fd#x((=zWXyMj-L#@h*9JMiN*5~?br1b-vSrpuW-ARyv<7pV&O&q$G<6}U0sc#`XT@6IJxM8P#c0kN8+7cw@22Q6>#y3B} zOsLQLTP=))z(eTeIpg~j;Ki7g`P#@Ayc-q5EiEL#S2n9J&>Zh|iM90P>ippUF`deU z<^}|^dF!)&y97ZePg(7Zdj!F%`K1YO@g5_nz8LzA6$n}HS}Ub-uYTav+t(w!K#VAP zGYrU=**4DyePZCkUahrJd=YhXjSpQgd&^_Sykqo-CU|uMtz%;iW?j+nT=C2WzfFQJ zr+JZ;Vz3y1XJs z{VtOMF?BU3eih(!0i7&o|nZFJ+_GYuY>Q#Rcj`T<^d?@lPrzXTuo zEu(%trnpnnf4k>B&TZ^I3y8BFhJeqT4v;V7y+w)9^wlOGJ|ar?#tL0`&nVJ-j} z<0|rH?T$e7*L`xm&I(9O2SZmr;dwh|Trb7C2SPZK&)#`}{*WlQj>Wjm;Caop=-6Hh z@U|tH9~nais>zXeN2g_QS9si3|Z!%m-0nz`ZGZ`&p6s6!CCp_9UL zTOPuE4ipQH{89Rc|1-<`-Shvq`2Xi?ztwq-(?g~d>c^5vaSOgs@koC4-47Ee(LHx% z6yHmQHHlqjlt&>iJBBMQ{3!OClc7_{XwEdP?j8UoeCFG`+a`@HdEWdd_p97xnykPcOZsp@b%$QhgS|cBoeHC+)5vLD@?; zBL{z9C?4&SWn!~{!Y6(wFP&zDd_`3b&V2L{W(Yogt|JOrw*3nCPTWTyA;*BMVF9FX z_3VCwtc=uH-bXKEkVCI^l#GUv4*e7l23R|5AxYHK**fwmB+6}FJo?@ZUT}U@p;g%h z%^FsdZPZVp_L5(J*0}_zh~M)WcF;ko^B3~f+kC%&Hg3L{l%GBX)$PXMJNNwwbG!{7b<_b?Jaul=$MW8`ZWYP8;YJ3#LUk-CiNP-;xO znNQ#&6g#xsT27sW!kH|NHeqed`0CR5Nl6a5OK!z)Y=1xw`Sep7WUyqN=Pse;cZ7^) z9n-$RZIFJGjX}Wq8Kkk_JgVY911aA*#LRkHf7kv$dj3Bx{Qnobq67A>}`^6$OjGKLg)x`>6CmUW zmGBp>B?w7#jH}??0-=l%OOX}A;QBn3{PF|?cnV1kohr9RPTb&y2H_55Z})a49Et+} zm#@EJ>Nf;hR7n?R+<~Caw$qv=qR8+tG0fSIv%hA;LOKcT@ibm`tslmoZ*r9OTgNLP znFMazjXAueFZvyR=pzd;e8Ikp{|bZ*-pDx=eggFh!EYFTV6SVH{G+J38qXHh^rnHj z;G?Jzn&kKz{EUu{$Iv?Btkd8po#zMyjysHromYln9db%XekCB>v{|97#98O&+Jh9+ z$lr@*y)JEz3=ILU9La1WAeHR;+#;$6A>w`2-lvhP7asx9KtMd=aSM>Pn970t70l8$J^IyK20{)z9Gg=@Jzw^5fD2nfDKbPAM!pj&aB zr_O8w!j@GH!uSRdo)UgS{5lXFOe_1MoPfk@7?99{SzE197sIVXAmq;aXJR&9_va&% z4SmeO@>o|-q(aq0s%;0$-I>-T#(1tESxSt2cc&} ztNrdFE9J9`zCI}r!u$m7{Z-Q;e7{jJwT3x_m(*;MI2;KP@;j7v?nnP)`*FJ)->(0z z{l9zuKMP;w550cjU$t%aNQ+8M1~a*owWzHAI1A(p|8MB}OxSCvPdv4Thi-?78aI{T z(UV6V53?VFWmKb;XXKH>*1oOs|VHwj%Beb1z_|1yT|SbRj{MD z$S@;v5A6AGvp!Zvc7^2Jtp4*ba6EG0;kSe5!HJ8}VN!q+oGCJ=KQHHl^RQgn=j|!r za!vC`V^1%*)NFpe*tZ4simPhQ=n;NEBM>CoF9_zcJBOr+Pr$;ck5k%r9jqjJm#8=1 zf_2LQpCF4Au;m%`2)P#lc7{fjwqdt1!%O+%RR<4nh-WNUBL;$FLVsNI5)qt2Qlw*~ z(Su{}rYs%I1ujCaVau5#$m}RQb(JF>Tvl6&E=&Y)y_H;QP-h5c_fNxg*Ih7QoVCrE zQUObvE=|gIKCpV(!dS-M2R1h9*OJKgH|PY4hW~&Q(8~NBAJJQQJf4 zEHV#*`EJ|lSF5RDq3!ufIHLfpRP$8MwIzeiR{qTPFnO>I=qhd}IDp-}lr1ajHytD| z9c3Ki2giE>F3VH1;N- zbsY}XA}40p2!bML0^2G{5T`KxY> z;I_BN4)+(1VV!hUGIk`eyHfnX@6A73MJiJ(gh!WfFh~uZ*v0QL4MQo)SYLeA!jD5radUS0ez zJ37?1WZz#^k-iAIkNJ)lkCGtUJncJ|wJl`&F{sYRk|6y{mho{eKS=YvrpGm1iuo`% zyN46LLW;CzZ2;yCB`dsS2~4NPEU0Im3Tm4mG0I}1`$!hF4M#o5Bg#S}sgC2|LOE33 z7iiOFKLuqX1KuZW1EKfe_+0Lw%A^e)Z;|TcddPv51$UlWBpX+g%Pb71dA>ZM#`* zJqhv{W=qXuaqqJ1U`qK4+3Dg;q)DAd$jBE-D0&+U>DS_#6CJ)n8ZWWS6}`QwOs_1| zhjv5C-g(}ij0BwjKQk3Cu7eksMsxy%4ncG1q-3k%6x0q7Z)G2uhD!0wSM<`Gq3qy+ zasBKiDE@Nk{H2cvpy*ie-a8wTP*5^_GHm}{$a~=8v?}5TIc~42mZP~K>z!Cn+EM{z z>TwNiF+KtrEP0(DB%L8`ma8#NPX|&*O40@UZb8b-z|J*}P0)4y%}xHtj_~AasO7?m zC20657g;xM3pHf??uF0pK}B)*qoOlaP^MU-X11dQN(_!J8l&E@XjHvbKLz(t36Gzu z)*#b_&EW0R6C02xbN5_z;WFez`|%GABfsz9oMUQ(DrEN7zm0DC2^ncqR3&52Af2F4 z^<7@+ckTb9=l`4CLHLWu_n&#dU)mn}fz=9>`u|^CpMUMF&->!O!yoM;FuO59u+D=Y zf-U6kyU!v!<3J4vIHMNg%CO$O%da8imUL)r^fH91m<3!-FG23y#j7V6Ya#5bBU!Nb zN!(W-uzt@y2@x8kn=-X#5V7vH`{Tzb%&WWXZQ{BUqK>TD^qlpBsK=KRr_BW+T4k+| zZSf0uf7Tgdy}|+kx9|Ed|Du85H|#M|gTH{Nwpq)f^N%_0R8qs8b2y(p2Q=ll-*pgJ z)4?3yuxl(N4PQ0v={ycHkW)hVkgvtu=0nKvxEs=7hS^`oend8?AA`tu5^oemoFU5m zQnwBX^YXSb52oG4j0Tc|Wf-j=__Rge7xLVSqSM;W6-V)fY2u43Emu52#Zg@6L9bvgc~npKNLF-5ki!`bK%<|Vub0?F{xRI z3}_;s-YNi5htE6S7paD*juIQ2$~UMvMpb>QDnx(Vow+bD1b+O@I>tLFA!yG`kr}eb z37MjsRvo0VhhKTBJKzl=hjYv-4j}JOI*jqUIQGn2e=xj^JdH4a97&^?!W4Z9s{WSi(JCar_ zX%9pA!fm!EilPvK2eQ}Me#r1J=6)0V0-`SGnrWX`glHOuj5Ft=Ai6qErh^m=F?Ylq zN{BlkcB`kOWWO%NCi4WJ_!k_* zh3TNdU`?V=BN{3x&pPIvD1uU|8+ZB>FbmsqPRd}@Iuyv}$gBNYhg@p~&7YZbkS(j5 zvtxfL&iqoHw=JQEcao1oBsdJxLL1IppLmX0+3UvrkGdhni1n$Y6>2J-lUQsLcS2I` z<1c#dDvrR>`LuEPDg|`96p)`Jq-)3zu6fN)4By>$c zA+xgn*-w`sPfbVZq3aRIp>(HxD1pohzQ|dYA2W~h0?DFB^-ljpZm#j^&r--aWvxf2SjwQJwUUx9qPvQa(c@a1ysY&z2B1lj!bCga+ek>MfA z&Xhp`8Ei*xdc-zC+Te#|$DZqu+S2c{K|2O1?eZKBWb%+awUDLW=Z^f|Wrt8Bb!h*b zvZ{W751PzXERWtAgzE2(ueRj!LV1XYGIPKQD5<0yzf^|%^_)+Nl-S~jWbx|Uy6$F0w810{ck_Qu>bfW^r`u)CZx{%=sR7C zv)END%T6CLNa3=7=TFZFFYe7t4KZdwb782(zB4DFjy1p4+%5@kB*z1i6;zm8ep_ahy9 z#07W68OxD-6lHMs_fB#2G$$>jy%|VbHnBmbS>K+S9dN8hg2js{a>@bzZu^D+ULHB7S*Mg04gw#?%AQaw-_vE zshXO<34_f*^4Qd!vtVx&mR z3t^UqIu~U;=IXjhl1zQ1ko7>WEZ@&_9^7j!ckJ0i0*~8)mI*mQ;IULjbQEdr0R9aGT3=Up8mcI*`E?m zcHbD&0q1lRnh=*NaGCXywLf|QTxU7hYHcoqTkk-fv0MYVXL+8nJ#-N~4CyAaO}>HW zPR%_L@`~V@JGdjkSsJ{K4aSodoCdFo!)MCp_klNm+TC@ZJ7B(r`taBBJz&`~(XS{f z1Gd~{&D3)EbBjc@vKcRd(*j#QQ*0*YC$LFNm3{};!PtPjaoh<`nk>n2ZJ@7F^rn(U z33wFueLJ9~g0JtJG&fHf@DdO*&KArgsv|vl~Jd5d^JvcmEUQp{M2j}1tj<&gBa6L-Umh$-kZfqYP= zAFo|;XaTQXLoDpXZt%V`r+?;t1nxdhj+9Bcfv>NlRQ$;`@N>4TTM)Dde;qjeARe>c zg;~V~PDrA*d3mT<3o~O#iv20+Zy`uHy3^||YJuxUwchdO{;vJMW_^DaK34M|v%bG_ zcmBcdp#Fp1@%I*RAAbG^&ksNRJNNrUg2Msf2JG1{URY}AmxE~amYdOcCm?Qcquuf_ za@<`!60?mOA!$mSGw)~!qzDdpRGY{`>V;0>F{kgy=pw}S_t-+Z>_?0HgUIY)jJ$GQ zvlKGksC{91g*zXo=3(773CLoVcbHV2hOAU?dXp{bkj=$We{Rn#ko5O#|0eMgB3N4Z zinjfN7@lcAdXXm(Um5I{_8Hk;-!+$NKfHwG+a{dnZABp^=L@kq|AvWDJR$ohR9+vLPvPzHZ$j22z+lMswsp zgw(sw&C}S#AT6?|?^?Vaq-T%SC`vqsjO6~1rqe-?>6-A`Ee*4w&dEOTOMe4d>l-UA zI$@BVEI~7n<_bCD`$`2=jzLbf@SN2t%*(5@tWg@rGl=%f?4En65N|W&?OiViNsKLn zD^=Sdxqj|gck3BQl?ytM%ZwhCIQvVV_Mx8fZTVFu^`nsSom(VEM+-84oODn4W(-;H zAGG&#CPH=|cf0FTR>(0**e$sr1G&3KRi;_aL2h2cgV#(Wkaw(U;YPtVh$fGy&cAvT z;v<7EA0XjQRyX!FtC$6(D6W?5UaNxCjm(EdW14tA-q-B9YXdS?ykwph_d=Eu%bo5p zd>tQ(Zmw8yf*j`|ja~8bkZWDwvo4UHgCc{C^k!{|~kQykSsoHkk+69bdj~ zoy~zVCJrx4wn8Y(-*yP}AOM3IYE( zvkrHkoyhK(CwS1@iI`9FWGyTaUT5ja0WL; zK;G%^GKNHIK13`?#&dp0AA#lhi}6g~Aw+qf14#mRWd_tl%1A8; zwn}2k_I?cQuXYq`p>MD8mB7Jyz6husd9a&KJrPRYQJ!v?;f6x1N2xctkx%&DhH_UZ z2kIFD%P9<(A&u;wWSb<;Q0+y0X)|>p@w&bsmAEv-aTYwU{dEH8D(kuzwO>KRb(g0} zC$k}pGRJ;VBNBZ8RSS*tm1=$@-=LPw^plMC6?aQ(nRKK6xoA`YS%80H* zyH1uOZ%37U;`I;6&!D(2hMAk$zE`RFYz!gexS8C3MkYwL4!onkcNvm}TdC@J^6>XT zo7J710+S9q;WAX-$pM87`>BGP#aI2hU?%;?@;{b>sbG3JqJ64&`%`~T?q|F-bc z=l{v+{l{~k>JJ|u&ie4qfV;kbJE!;2QwC3NWMkTB_&&8|4F`u!cS_%862X~0SAw~Y z8hiWWE+s-Fa1%VZyO`Ds+*OG#E>yT9xmClx?J5g+-aN&;aa;ksR6c(zdlw7dB3*H^ zgT~-PzH+kaoI3b)9pom@=>*@PkR0Dxa5j-ljr?L+PgJ&(X zUe0_lcop6|FqTY(nbG@0N!1^b|4Z4jFKHTl;n@?b50Al*EF<;dkxk%NukEeMnFs!+ zGD;cGM#0jyO>?qw1$pq3z0bL3!0}e~p$K{cxXA8y{)96v*L0m1-51zV^Eyq&bmJ6w z?BUb#s*nQDV>9#@n^E(7%5ztNqYrq?_>-OPU;!VY=7Dq;9rX8EI|NVqf$!*}8fST0 z@XIw&YqJ3i=v&q319JvT5Dor5A~ zK(845C=T+UmMTClUSBhj8ufsc;fJj5C_#X0<%Mg(2DsS~Xi#FV0Xrqqsr|Q^z=`j6 z>#l0#>32=8(LNUdw>z<$gr|{5`1bQHAwnT|9{JMWKNJRDwmTPCs|&$9*M=@;{x$x7 zL!K!fOa$Mt!o?CE%+dQaR(RQz5&Xxv)05c`As2x?)j*jU0*hyz)m2zg`}>qO0(pN3?AFDZhH%)kJA-V1IF0@+{Frop{sYqEzk@(yn#Yck580k5IBVHMPr`6%zHyFAzj zzN!6o)#C*8El%^WF<@RFFSFgsb<8HaSi?s55xG#NG{W=2`1dU|2~^D5PCh)nddUH zxKheDi4a2|yt}e{N53gV%rDO5Qu`wl`xA^fN+JL4EA!BuOo*Nqsmw%vdQ8WyrQ_Gq zajxrR&FD%Fai&)-jSlr7>sNvHX1p7CO|zb8JBwN0llo>dX|<@?4C>pzT^xvYjMIkQ zR1k7*uIWKbDuk95&T8~HLpT}D@#4U0h&Um2@VRv$L~0L|UkKTNDAUZEl&m_4ez>o| zsp<~I=$E{_daMp&Rj5^coA@A(@4%bc^hAhTsynXrbphh*&MQ!9??ZpWbq13^4SVJsJ9RFCzA&8?`dZ6CJh0KuQ&X1S8Aii~IJR+QNLET}yO9I&G^daJ_AvN%^cFXJHb?%woD%m54YxJ~_s*N8ALKU#rjKGK9M=efWv2+ei+ zRl5!6`Kmz|w#_G?KW;u*q2?<@oeq2{y2BTNWhw?J5 zBN6si?=G%xuPFynEDfDe;2HeV`DNMn9vobIJC=Ey@%VFFrUIxjh zsK&BXW+Aa&K#$}W3GuIX&ydsQL#(-On={>Qh`!ADDR&ooI1MH_rnRUsn`zLu#>5%f z9sN%@b4Q?o-ki7Oy%SVuh`v?rv4#?cU#rVf=};)o^rfNs9^{%d8#0U5LzbeHQm`x) zq*pN{+?n-6|6ao=Wo#ZKcMRkoh>Jq)tkXN%WbD%Nctp6AEIjsiS|9) z8Pl;BH+|JsZZrU~{H=E6yc!VA?(4jfd>0~R^A5<#aYFkIdS*t{GB-YXF7a)D161jL z)phX?hSILC*E?flq3D^B;@897P_W;ks*eG+yhRK5$9eZc=Jc`K3Eh&A9>uadNgf%Z zas4y5IM6@%(cz(1uP7uLh@`fhHig6;BQr-^TOqD$e``9EG{giwke=7x4N*}gj+_KH zWOwvPmNrm8(`eQs?s;CQQGB>qyA$^ek8(`ZFt?!Oc&;hmKmrsFDAaP}^EjXUhk|~f zE#y!b3DObyA=5L!9hIF3z0V`I%4Mb;m1m4 z$jkrs(!gB@dHSz+P`-hW(COnm=BG@6$A(G020=1VH<`Qh@To4SY#zE@wJ-o>XN-y4 z<20eT^yy8xeaPZ0SbcmklLGR0Gn8a=twGM^of9iRL?LrAL+R@>ejlHXA3ONz25O1* zauRpoe#eouT!=Rbl4-RTOk?njRzG{5_~t1j>|bM)$v^YE_W#lI|Hs9PPk#Ep*c|^4 zFVBDag2KPp9{<|szV-ESSH9&N_pI`Kt|nIC;tTC0OU9wWCc z!#{~_vK2hvy8OuC><6#;nXO)!6YKq}5>ukrNoK zcguzj9KRR|89OF`%g1{@L|-v*Bh-`}wbn%aSJ2T>^@HH4O=x&wS%CgFY7K{~8{l2N zn@)_T6+K3Fs*>%P5BySaP-xQ@%-W!PczNzD_?N6i9Jg76fbbW;Y#);#(DZ$2kn?8< zl97B_veF5`TMbvjFW&{bkq7%6=99r$w_$ViH1Y|<#%oSbo&)!j4FU>nIp9%o{Os-{ zt>8u9@x*|M47@MinOAe71RuxqH{@<{f^XE_%eC|9cT1-~FgH^Q{%KW#+zjLp5KXFX z_d{Nurx1_eCoKpvq(73{i@rj+ZL$mK+Y9Fx7yfb(=Y zssmlO(9@;HKyO(X0YR5n(^Yf_AXuf8Od$wat(;#7Y~+|{NOF8L;U0uq=(ZiynQ`F8 z{mE{1(F;5%J~JtHFM;RdHH!4e$H*b{JFLQp^Zc=0BA;wl!0)03S8n`P@XzniyFjpp zfaS2K*T|4{c1*K;vT73q8@VeteQpMVQnJ8o9`^X%8~q}V$Q`(0;HrDJ0*E8J`ZQl@ zfTW|NNj`HJc?)@0sx$wq?fJXs|I@zzpA-Gde{y^9&;JWU^SwOa(dQ<{>Rk!mPb*WmT4sTtq<*qs?id7UG%&|}uY@33F30^h@jbidP<*8+ z9SARk1p}5Qewh zfe@zIY$|>USsLT}heY(Xz%}t3AD1Ye?@lTB)*~OthktvdMBi zYeYd%HnYqjN**9cB(!`KWd|aa!nPzsX&`o9Dg1nL8c5#1T4;|nLC7)bnCQr6^lyzF zX{5$H8y9O9^&>M7#wKTE)G3ZxlodO#%6x%vDS1PFJ`QlZXCCJ)i?#i6Sbak*2(z{; z+UmTkkZ~y>&PeeH0yS1dw=v{Eu(EAVQqVdO{MIyUuO334;g95np$gRRZ(^VA=myf% zsl!VqEy(;%>MIw*p81^RJvDuP2%QsreK`L)gaw&>tP5^|aGuNKqLzISUMYF|yYMz} z&tuf>_#>}inM1*Fb_skRuTO<+y9fb?$+o^@e+)rd1J=q)!axwH-Xv)J0EoLazlm$& ztZe>(iTF?nkQ(Xz70(Dlh{rE}kDf*dm2E3OQLzM}-zAbn@GM^U(3#NFO(%dLqBeF) zk`IVSx>9q9wm>@gNh8q#uSXMQy&T4Zc}14|bOvtI~hn#G^*H4uYd|UY0P*}LlAM(Z`M1I^?gzP>usVqs{rRk+5wJS8Bf38uzFE<}jliZ?u z{hmO|{?(??>v*nwX?jM{2{XV`!gY5IF+l=XzUzhZ=<(8inLbT^=YUMz%P<09mI{K+7Jy7{ad z+6S-N$3uo3CBsKo4oI`yzIWGqZ%CPBU7fMm~Vt-}5Ekfhw|CA5PV+0hbW(fmB< zV@!WV$*uvhA!>r(W$d9n^bA#=*a9?d8#2&~BtX^r{t73|pDA z1#2Kw$SD`)S>`|~+cBY~J3LU-@kyDuaT9lQiIIVOK0)3A$)4g|{64B?G|fD5mzyKB z(WZO|(nl=k%9ig!n#zchv&jianHVwLDAa=Fq^9v5aqN&}|9FJB7tbOOjvRin`8jk> z(wHl#CoHU(!&7qUmk5YCH;1 zcrk^aQr8sneo_t!A+s|lw|2yQrWmsF+5)MNshTkzHg<3&9ktDBeV_ZTK#@L`=!9fQotk8eSS#ZvnDk^4j7=_~YM+^%LZ(ZlM6TI1MopX#zZM z*2bRcoB+=$&+e^Ag7rRk-Nv!;0{8@(S19$DfNzcDBsmeETRjP9h&;$H`EblvI}Z7P zgNYA!Wf(zVS7cn*FmeGak2VQ+XhU%1(@lAM>3|^VTDJ7X1_<#jeJVP(;8@#T6d8e> zz%yo&y_+y!=)6Tn1j!IQsaVHBd{r$$Kc+hfz=@h%8zn+yzYW54WSEK%UgkPO67WtzZDRQU$^&s zN6j+lqvBqMX3RM%xwFj24Q`D!nU@pKgGY`*T09v8c-^|6zAUW)-qQ*Do=XXVuX@C* zhs&6&lTGu`JPNbAKV1rl?OcFBmb9|t<7E(ZdS7ZW-eK;@T72)eoAoq~UVjTrSf`yFw-dG?W z6)e;wrv>6;|I;Vke1UX<_ho4_&ThNM#AvrZfDrW_wHn#u5YpXA6tR~3UHgCc{C^id z7WCh>Z6-8Ed3S1*((KL$%750r+P|IA?Qk(BbdT{Sa6PQ0PwYg$6NVHI*V%%n+4!dO zGswH=P(FM3@)Y<)I7AFqZbz2GHOAo!$G~5BC(~YTe9v9gmr+7(Yv6su(u4Q!Atz^O zRNOKGJ(w>?=JmOdtMWdCdJDeiQg3FcD98bE*PhgsTW5giwE2~kG#NMwXnta2MPHdK z|GS%{&EVcSEFITs4xZH`Jr}Iy!P|h2)iys8&wT+~9@qJRAINzb)1C)^*ZURXB6ub# zd_(e6j)1@p{~4;seGv5S*@wJPDhTd(Kj6rI1qgSU#g59Y0AaA=T)iVT5LKB!F<>nb zYcC&anS2UPdd(CcP58id{g>N^C0p>2qqf`g{t4>hIBzV)<2koCpPdvT4!+kq%iWYT z!LQQmP5qv5oFn{Xxbqo(0AlCUwu;k1&{fk<-0pZ?BMEUXrg0z~Q?Pf-La%Mb@$ku9 z%%V8#o^^AtCJ@uu2qs&}F!R!R-|@>u==1Z5KUayVqhK-G20>KM`T}H;!6{Cax6APp@(h_% z+^5QcP;^39r|$>W0xv}nGxpHMY@!pSJ3tb&&(kP208(N?hv9qF2W~Q^e^&YxJPf}3 zI@PdZcG!w8<5}brT?-s|{ox+?Z7rjwRc-@+;=8o>kC5q2W@hH(&IUm@=O;x?PC;-M zCs(H~`XC;w|%F$SC>dw?^#AeyEFTE<&GGJTn}KMmpQ^`_hm4T)5v$c;AXP^9)SoejkSoRP>dU+kG83hv?r;u5 zonTAjfkO~RQywjwyAENY{W*)0|IOz3-Shvq`2Xi?zttu8?15*rP(N|g`dCR7R9LFU z?5v=Kk~;}~Q(DbXSbsc0`aWi07M{Bzaq&L(nl$|x^<0o?c|Kp}*8pZU#TK7*SclX} zedo_dA|S=#`ckUKX7q_|KHFSv2uWP-|A)NyaLa*z|NqNqDzlPEg~%!yh4Ms^h6Y8X z(x6glNke<@z4zXG?TCQf3rQQoJ7)^EBB90A#;VaPJi3J4 z@sf48_Y>+g1IMa|PNJORN37fpKNOW7^$B!tLHa$9@pU4>?ll_xCJYS@5` zqwaoDKdq3q%cX8zfjm+)NF;&kJHmxqDQ9*dJ~ek6SMdPCE?3mPS8$Pu@C&~kTD0#+ z+~s_$SJ_2~=?`>tHQ$K#oK$WBNk`Q0ZIC?t^eHODmhRjcNh@zrHyMv3H7!^$;)WMe7}b24U)m!nSv#zT z^AQp?x;K7t_eH|_+x#l^gpYqZD@|ahE8-+Qs}r+YrQuDg_O# zqEW(4j2HVD*)xVBM~zY8$YvCriKbV+-hn)8x#pFmc;s-eIXtaUhRoK<;a~xx^K02n zr!cXAG`c2jLiR-D`kx%wZ6F0&x0Z3Q$JRq}P6RBN?#b-|~BSly2!aOU{ z4eon4Wkq&|NtDmC-(0`-_qqQcJ^x=8{=EM~9-k%Y2mUqZ``3>Re?Crr`On<%+w*UG zY)s!re1l`yrT>oM*J9Hb(oriT_R1T;$t&a;}w2O$PfQAb`FsBe)fTG>cpxwr@t@ zqg@mBCpi!}zMTI2NG0sk1GmX=XTX_?BR%iP3S1K=ehWrfz`g02@Rq~2@Qf7GQ{Y_< z@6)Rty;nUVz3j)ID(z&ROWC(#te^jwZtGy>;bgwk`rL+UN+9 z8VqRsqEN&jhd|-)`&O3LAh6)@B%kvq1aYxiKa=5tgTjdXcB3*ldv9k@_a}4vt*=Je zbt&O-qAZ=U?IOJBX$uyRq-q!{79f zSNiuV1gwtWE4ob1RgV>M>BFK3Tqow`bl(brZU=uVYqBA5UrZQeTJN45BU#o%GxA63z9Zni$eivd=_}^bznW}rL7**VgYB~c^1Kvl8#1U7 zWO?+O`R5P>eOoW|Vd@&3Z+ulZ<)(lejjh6noG(1Cx@?ksPJERLzMLM>Gw|VD)0uiM z7`_c}f7hhEg!B4`^M zhd-MV;XyMr+KLe#^R>=p6UzYvPaW6T_$!uVdDjZc$(ACN_J-Hs(__T%`Kn#(?(@HE z|F53^FKEwy%<)as?r0w6zpt-)#PFoPs))Xt`C*+0cL|?=y*BMXdd{ye{)B3E78{JB zehlp;`)!kv$1(ge<1j57-_sO9m8q_zN~Kns4}Xw8A#$#CEZ^%dis`W_SKM1iM%%rm~lW zVX>6Q6#0`5_nG9@JNYETX#5zLdT|^caJBEgaQzodXVaZZvepuB&GPTv#{^(L>3!7c z!39|EYq5W#qK!vV0pU-r$!=1qX0CH-2-eq(&)@JFgw4g*u2MaDus!giV#g>i$cYxXZ$YHM2BCxomSs+N};Fj(Uoy=_%c$BYrdAm(0tm+FU`EJC(`tk4itvxHS zDHD{ZJE0ESINRC-8;`>dd=fvJMPZljIg|E=urtg1vD+!TjsHwKG$q0PE=zIe2^F#7E930TQ1Z5L6Y zA`A_#3w*yuU}HkjeCWCuZ1rEwXPe8y?y#jv%l9nU#l1=0o*M;w#!|n{0}o+u{%D(0 zW)19zy*R5)O<~N-(^aG^j0fg!YFjqe;-S7_^~}ASFyAd^be*dj7FkP6X_U)&v`Iw4 ze}*txZme0{;kyEBuiht3KJ2i`5smIrDS~ZX(U-xq!?4p3mcH#c54*QKF3wv=V6VtF z`j+s8?Aya86-=(f;WYh&xTafhNO@MOt<(zBBNfA+70qC_U%PjDXamf@gv(x_G=Zh3 zsQIIwtFWR?UfeL^3v2xb9^szru&LU&=wB5K+qnacMXy3(7oaY-PW=JNM_#LUCWi&~ znXmF(!t>z3H(M_ls||;I%1?C19N>8H_d1K&8aO8VTwdMZ1t-?FM-vi1{;vJMd;UL* z|G%O3TNB=`N&PT|+OsOWE)gMwk*%ycyqb$-G1AJh2wEWjO!2mw23q8_GA8KK9Yp4L z3;(TG_9DHgPhH%Vpm#vrx3p)ORHXMkP##i~$ceUtrH&j{T&Sbzv?x=iM478Sb?tBjnX|W4gbThv ze*MO(tj3GT%^$aOC{adMJ8x%zhAJ{19npDcbO~vJtb1piiHNQ%=mC{cW4d;WAL3e6v^%K4dq{JTGnQFhcL zH}N`+(GfE5XS>ne%*jCbboY$n&wM~S9I~g$y*Rae(~0|hNB*U?5mBX$LGsbd!StCG zNaC8lEPU4si3jxxj!Oz4K{DJh@tFlWY(7;50?J$2jmWBGv^-DiQJkizf62bk!@-Fk@*o}m-{GmzA%1|^!LY4 zY&(AqX@(Jj{=;%e-4hh7r)G%cMWb(l(i}+os(Jql$!<%W|4_JM^7{*F8f1cz`=kZoYE$J?GO1e{TcJo^SjlbAtdIS+aQ%D5iBsP}qv>>xT)%TJB7`Avx_6OQ>~)g0eM&aQ=Ibt!mwVyXna`Wx!C<^|TQcDR z{toWG^_%bqgXF_KH+jH+Dm7f{q6`AJuG6-${zC339eP#``Uu`Kc)Zq@{QrNC*Wjh5 zM@YlF-rrjX5bAtOYu~;MgbA~0qy(Nu*r)u9x1Qccc!1ch(+x!Hd}M^1p+pc7?Ys6z zmwZ8_N_mldJnfseKfzm76EPZYM1ViyV7)J>*axG2wF(g zQ9bNTSS{@-?Vmp(q}!b1>^4_~Ce6#6=5vtzV-F`DO7i|5_$hMmm?FYQyjkehMj^uY zW>|{>(d3>uAEyz!5s}Y->faxTCwkAdv!(mqkgSufciz8y3;%^V>HbD?SCEY>HPbwa zAm>pH`j%BhpTNZVJUa;?DH7I$0XGpEDJM0()gNIF)bS344HK@c|7rdz9U=~u1}5A* zh=?B*qleFve8R$_7vj%j5v6POh*o(K(c2ro@eyxObc^fWmD}rx-ctJo%Z%RNwg30I z{~zp*|LwKkS}<&F^~MjiIz2rF63r;150L9voJJADy501f+mUav5d3KG0pyBnQ@ng& zg)F<6upQAmkRfsT+|~sbk~hy<*|SX&Dc^Pqs>=%@IgYQ#!}>dtEJk~TKJG=Lsh-Tn zqyi*(-Sk>9C9}Ws;eErNnTT7s^tOcKH`;`+u2DY6fjah6ixvuvC@a@{!H{zbMF|Bv zhQGHF@8!wqEAMlV$JTtS~ zGaruKFbhF>65rl-fpaL<|2^8{XMlo&mfIil)sa`bdLoHu19F^FV!N09ki{MOIi{W) z8OL~b4@rBHJ$sLJ8r2P?a$ouKAgK$=UhCpu8)q+=8rB-i`xP`)=O3_PWSJ&O)#$56OjErBqtUIcQ2Yvx3tvBVu zOlrdD`Y#<=)Y>X#oi)Rw=~@Bmv(~VllA7z<@fNlvwC$|RFUdWYYm2Zh;oED5ZJ3rN zEXH*&sy2xmz#*xw;q*IUI39|8D!-3`ur1C@_RlQCX>03#sm1+pvW}2FS~Uc{)|;kE zCs<&-wz|UfP(4h!*Xd=+D!}a1Mz2HHxdJy9@6bIsSZYN3?sx%5rof={k$2%3Kc*?2dl*g}ansEPyWkW^ zStrR%*b@upgWiN&cR!<;aHMzSwd^riR+fB(j|X9wiU;L>_oG)RvNCM)kK z3?IFP*~6eOORLRcnfQ9+wlK1L^6c)czk`v6Dd z(cL}lIYbB1w9n9sWSX5gI4n%E)}80sUr=n*giF?z=D`!E;VSib-}SAba2-xuyW>6a zPN{b$rHK>%|Io?3tW-~7dE07mWa|xBw<)N(e6}T;?xzQIRKLT1slh^0M4h~@gZ{Dg zU*VJ#q?~b{4bI(cY`*-8aCs;GVfvFF`FqLwm*ptY8b|JZToMTPV8OhEhrIBRmlM9! z{S}_8O26H{H4o4DlElSv33&1MY|guG1F!P3z31NjkC*4qS>L}4Ux{?~mnmfb)bn2o zbEZA3P4f7HoN2AeBY*uc{HJGe`d1p>j@`3@5a$KzL@x`%v0L!%q8cXI&DWk;ydi9@ zBnPWnrR{7w~1|*rE9k9*Xiey_e!3LsnP0?q!4x`;ebZ$#x)K-Lz zNZHoc&gP7?9O2mKduNa?o=X#bzZmKLFVy>gRU<=Q+|i=K0|EZdP1#n@5Gw8W6hBF( zvnCgP*vI{d`8}Xir#goCt=@}YhX`BJ?P&!EhaM829UMMbe-TN`ra|fZDUd?Hsc(&Q@vbjdXTvcuGbY4swk|ELKvEKM6u67N#RA`9&( zEy)e++ZJ|`*q5uvPiKK&L(OvQ#7CiyM5y^WEyhzRn@lHKIydT?;#yv41+n9oMlet>OgmcJ<)rb$d zKY+|!vCHf3^B^m!)|=5G2HB3`qE==i$dM`?pPMBt%~icee~BJKZtC5);+3|@V|S+) zH4#93aFbvsYY!6Nq$)qj(?{}3fyx)Za-@Fm_&{LhNU!BlZW?Mprux22L5>dc@1O6B zsa8jha76!01qI~Vt)Erma7CUh+mXXGRLJXN|1CwBANdl(cjQZl)7?V}Ka9<7(FD)*xa= zfq5s#cZ5zoj`&r#ov<{G7jicfUi%Kp`vo!Pgx^2)dO37Ad={K{+sJalYn4JtK>Z3@ zPX}%GeO8I;)EC#+_XnXkZGBHe&u`@Kt^Ty5lpk5LNjvt(nGinBe#iX$9VBbI@077y z2jK|4N;%R+yrO!#EKhEBBeF(IP3f%$$zY7UNkhwv;H4Wvt)IzmtFX$$*O=(NN@>Dg zjK{+FN8Zzsa`O5AaYuc?o&~fHOtdO@nxV#bBJ68NIZD*oX}mt)Lw?;_?fun!$d;dH zJ37A!Y5h#Rrk6e=SxJcN$?OXx1otmbwx}RZ*4vL>XBJU?QTCC=stAvXXf^n_9wBe4 zJ?erg5M*B#aru=Y0*reNPW>QE%;fL-UJ-0$cc#gCF(4Ri>38q)wzK8MV=_uL z9$LCaQ=uSCJe5-K6>^$v?iPnNB4cyF^FkA%r!bXQT8_#=qF-!tM_6E%g+P}syL9#$_$M?UQXJGGSzS4L54nG! zy>DLf#}8`MJ*(3i6S$1BiBDDR%)3zdu7%NyaQyP@@2q|KjSE@pf{I@_k~`kCkzT|+cQ5tjxyqBvIPR@2}b6wriz z*~a?|IW2qlZs8)jiY%LFK^+H>s<$j{3GlBS-v6!V z@BWkHOa9nDbH8t#>3Knj>n z^T(@}Up2x`;P<*)hE%Y>D|N(ik}w{ue0w$qN5Rpa`+lHC9Gpy+C>Pfj!&x!bO6Apc zxbSV+l*MxlE{iu@J#4&b8JGL z6=)BqZyzWOtk~iFs=`UaxBxDdUXr&niQmqsM2kqqL3P+)etc3KDsXTO zme`@g4#!NV*G4}|Nl$N@;1$FP=UTyAbrK_RDX`Ifs$K!t@UEG8@g}%ED3w+p&4T+y zDh~5`l3Pg631yL&@MylelhJM!Jk4WE{m#+Dyz%;zBo5xwPCBSB*!!d>LhF!{#x3NAP=rMQtWJY+Iixl72*RL*}xQ&%^6 zzWl+v9z5{i4Oms=LiC>u6!|Om0q`85eVh>X9$sm)kGZ-5Z=GXmDHk|kX^UX>x4=XN|?Hdlnm?9zZIuDKH4uKwRY^FM%(1&92%+70kkrP@0_SP#D)Onyu{ zgwx;&E^?TSiyoRgTWJ1}>CZZ*gy}>K@8h)oPUOM+?6a1H7ShCKO zbKB42fmE+uXuG_Irg$~PTzRov`o$WtTUVxgJ~6`0aNBI!&13LZ;45}- z?1o>_awnbQ5XtoZ%%WcGkD!g5U2Dl6IoPp(OTUN~LWUU6-CIT2-)yRC(;{OC7dI`F z?3+Y{X4)`I{3S#haZ2QDxqztqCT5;Vgx#TXXVko(jxa5P19LS?5WDRX1 zP`kzo9(nm`iQBHAs;|)HD_PXz>vL_WWuG>zIo)tsv z1H<#)zSqfaRbg6(c^Gja8^W>!rU%g{V-DIDxyyvys%!+12H)=jax}ZOC0sk zq{b?G!Uoxy&KGhT@v<7WQ%UGBar+k!DZ{(^xYJ>v+6c5M5*CZ1oY4Zkw zYGf91>7Rc#g0!S>iKjsF=MJ6vkXY)EB#yPM`Z=eOptgoNOCla|ud7nyBGnMPd4I>i zA@aRg%H38fYKe#lQ=g?U6NKd%rspy+A!JtmV}2S3T3hY5rGKzSEzADN#MfI;dRr75 zFS4MJ?bfAC?Jp#2IoqW#p1hiR`6K77aSd6p=I>)siz`0mnNcRt?-+J?0)@R#1O)7d|K%g}J|;x6Fyc)NJZ@Y?hRQDY(n$fN zR(i#;=@lW_?X4}_?iM6we7bEIL2?M`Gm9JM&LS@MwO@11A;gq7{>WMT15swqO3ZAt zh|mpMq1X%!#rE!km6}u zu}rUtq{3CnDTYAeW=BQwmA8mbP}+EWp5!6Q4@A5(-;NlCy7?KdR`Qu4S$r|P7@a<0 zs;gYB@%Vn^?xK1HRL{yAyHA`%*|XyUls4Z{taP3FcZ@6wS`U2M7f$B+Pr55dh;KAU zM7LjKC>NQI-#2gO<3u{on=6BfR@ z`zOcuAKUXk+-t_iS5*tk-#_d)`iZxtws0YAoDFucApAC}0*}ht z?WEUan{Hqr>;>Dn7!3D_ri`Eke9CytYjv zvg_dB6LR7X@p(J8{#F+pB8-R0r(QB0#9ug~L)g=~W|XP?aBHO0 zl&`bor#qBUm}b*~$q2c5E!*q1W{NC@#4>zVbGLIH47@;jCOi)2-wFZO>{vH>1D-^=;52EyaV@zSZ|JKw-7eRHMCNm+-OQmS2tN(ysez1?j;tFyVgS<126)$c`#G zOc)-kOIpvc2ojIg%jp*^cL}F&?z0kOEZjLJon!lm?`^}wyUSd}U-{GaV*k_^l4tlW zcwfZ;ypp*-udWb>w}IE5HOeHb`)I}Uco_>=*_*e>zBYi}vD+yYD-p2&w5GFa`4O4p zXFJhXY7wqO)2n9ht8m$USmU=Zd7p0@SLq+FfSc`(#C2=4$z1*Lmf+*w@Q8W3ZEh(O zo>7c_-}xrt z$PX|5diC+9wea?^N)diTI1^ER{As(%^CYQl*K?_YpWJL~XpSNLo@Q>DR11N>$niJ( zB~0O8>{k`q9sPIh|JC#VQTYF^ZN5Ki-%zcaPWv+XdAys>iWK^Wlz%@y{ZFrbdm}&b zAd-pgEE0cc`K>tNs*i?pNEpGRr02-f0g|&m{P^hxmzVHqzpST31QpO-S zE5(WVL_R_UV!hMcUyxl+&X!GQO5vP)YJcd%i*So=v|X@Lh9~RN;cXi_;Vts|YbDPi z;!~4|&RhOUv>+<(Eor;qeG;J0EtQ_h^ar(rgsm|CDO0+O8t6ZAqVi3f8UTZ)3 z-U;en4qD!&fMDJ6skt}02ws_yK7B12AwE%+SE8)p&>HR&e8CMa*KW$L-Yo_9Q>Ufw z#CXFq-{{-LJ3rw)?5yBbTLItxSM{IHcEPXLWP$b_VQ6G*s61=*5&;i#SEcz8f7&tU z<|D~Ow?A3KZF24ng2LnY^@HXSeAae;C3^zFZ{Dc)XAn({xdLNLi#pK)$!DZ}tb^0~ zzLH6&^xOj1Kc8TRe~6vo^p5K5w&!4A$$x&v08+cor}GZ?(~{eNPw~ zr`BzE*f~!a8e9dUd5;m;<379Z02_jQbSqtJzYw;?V!ezXH-e|BKWW*HA|&LoYbvo1q21zzG3>;*XCc(ZU`cjkK9QRKWOwN$qLMs+QwlzL^|Ktd2jOS3Y1pFI z9scGS6pgkUNXDtlcghHottwxss_Udh{-38;o=lVHqfndtIBt&MmcwOySu6-~tu5tz zPc%npj$}|Fc{0n(%(tQS^;l0esH%v)5ZI_b0HhxB& z+?#@xv$lu{7i>tMSc9nAD}%#ptq{qWd)C&0FtTUwS5P!PLaqDx+H6&Nlz!|SbyrqJ zVaa*LLmi9Ao8OnxqWcZmE76ou8_1k@w%7i2ygA{-N$q(U7K{`d+p0^s9!P2u?Gk*s zmS}j>y~pj&B7W}ig`ZRh5!c~kcHW#YD(#+7RT|YGIxJEo#I_NUFMFQfx_t$0DccMC zKGvg7YIko(*-ex+zboLl!j7Vc{z?4rTalk|$WMRW3*;X1W8QsnE3&AE4|cGfL%OZ6 z^16;8q~6gD^oa69a-_@Exe7rfF&gzsa@Zmvcidq|lPcoP(zRqR>_VJsn&#k0I$|C> z9$(n6fT-_XCzIWk&>nVIao{Tp>esytsAC961#Pv{TU}2Sdkd{9tM4ZB{_ux8*>#X- z^776$ClO?ay`7X6C3lZ6jx*mLr6H}Pr|3qCG*bFJU9GB3k<53Di}6qx5^E;o`vge_ zxaq(Z*?JemOQkGs%B)4)S-vZaF{r2O2 zag@sH`aFIciK6{KR%Z&ZApZ@EwxiBTl8?lD&3yX_qMiL7HO(uHjQ7j&?0XZb<4*98?fK1{*F3uv84nx!z0Ni)TCk_%6i)SWfkVlf2TN{waN^dD)gt_OX9FL1 z{FCi4zlGgO+F#z@bZp7JBJFNkpCeySgfV}XZtYEBLlH9W65B?RxJhu5B0 zuEC4f;5AhgC9JFg@5~qJ0^392qcLSUW2pxV2cNIYjQ3y@Li>hfd?`sGEDdQpXNp~w@Y$HK<%$sD;&!C;Xfj*EGC)i7B1;u) z?@O$w+gS+vtmLdyqGoWE=bGMsZ6BPP68&d$OGz#a2kT|u8n}wcecZcZ1vll}RU$j% z;eMCeLi|ZFJXFine|X#{zVo^I#g~Ll&1+_CRz_IZG=|ObeuT&Wa%o|c_-*(k2Fjaf z*27nGX^!G%46M#u^c59k!cIrXj4SaAxnoVu_Hz?W)QsQkrze4MzEiyF!&brqFP_uu z{4NUDPxj6`m^tCTj(6)%uiNn0PA&PK`XfAd+`0B@;SszxdANwt*1~IvF|&&7Y`uqB zrDtv&gHK6h=c=?t__{XHdiZd{Z(j_rTeBN%^)}`fo!vyT&==m;=sksFh;rchyLNEq zJ9Lv@AObFlY#R+ys^L0!m1;(o%<)g0*FCP>01vg3p}Qx3!_%t%#XcJ!czLMv=amv| zve%6l+%q@eC_4eZ#$EeP`;qMKgEt!~%Ix76scw7PRT2JF&E1pFpArpNQlnrU z(Lh-lrEaUc1D6BO7*}7r57*-7SNGE-!+jsOW+0iNdN?uW<(j#{vx8GP0TuA3vMOzE z*$N;2PdutC&*3Y-DKu75mh=rf6eCXB!mr|WVU>{`{DqDb?GYoh%<>6OY9=?eQ$U3DUOg5wWM752{iaGuEZG?8#6JEP*~^s(A8{S^38`O??utB|~QQ3?gtcKAKYIxFn52Y%CA zFO|nN63!ikUwl&>{M(`S!ZEmU2rk)uo4n>C%Ah~CdvcNfD!Ez+x= z_}p*&+Kg~&3Wk-~N8rz6#|PrS_m8ZJ=d)8JUeI2ZZ|8JiyPeAVBH0T#XqB#F$|LNI z!lMy6$B&cm`O8wJi&1b>Q08Xv7l8ZA=ks1yqTz`{ml(Etl3h|dokry{yldlo7-JdW zGsb*bQG7jNjQ_mPJ{t=^`zU&c(W~&MNZ%nnCP4PtGdF8q#}dw-`bAwYDFmp;7HUyZ z!_HGm`Ga&l90ik1&A02!rWev@*-w`;!D_)e0m-6+Aa=V ztP^%az{8fnIySP$n5v655~GKM=5CfsS!p;uPa?^_rp-sxw*$F#1Oz;)?oWg0D+ zTm15F*+os*D9z_jkB?q}x5fF)E60`KyJ11aW3&!_;@7E?mZk{nlT!P`2g2gaH+Z-E zgD?VaYGqkIy@Y_pzyztrGYAZqT%td906~1cAy-0&5Y$LJobR85;45b;R0-QO_!aY- zkCSB9#mD!b3~p6{zfS%Cg4%C&Qv7B0sRK1%GPzU|%TQ`3NpbjH843+KE}PwbguJJ( z4o_JrA-l6=W=n4oGA5p%8@|Aav@i!>HKN%`$ys~i9-khP8Ac{u_miGGxs$21f@oiK zB(070Xb~^tCSH`$iMT79YC;$4h<@zALco?0L?5jYVB38YZRpy5e z7}|uk8v4t{h0jo@lYhzJ>I}-)EtcdBk~w>0Crg^;Y2?ohHwT8KA$PJs_|g#>Wc3w~ zd_UNMj2jQ9o2cuMCfU3YGQffq@1iR1u>mC0yUSk6-h;%_C9&tfqmba9{qp;gDCzxY z7q!#|5N9v_dfVc8#G+;-wZR4Lg-Sg`g94~Oqn;>riFfqZXhvQ@%cV8Vg~++OI*ZbiWN|GVb(48{ z2k8s%gIA}7A`QYE?FZYDQs%xtjn*8=xA^(mX-*LzVPOBZpnkGz8wxVkJck5I$7J2T zn&@JGKiLkW;loDJAE}#aEmta`V0y{K*pqQTyiV zDkPD=uBSBO+#TdvjURCqt3>v>yJ=C%7mz7CxENzohxCY1x$5|Fq;0NNrj8_cqWlRz zfvZHPXr%FJPRSBUQk$ijuK!Oq$A9$ve_8ma|IFU_YtFBtWV?0!jo~vJ*tq^U_&Lbe zfAoIe&P8v`j&OM$2kZRSALW6I+Vfu&6U}hbuMhY-oJKODt)vZQ76}`fWB5zzPI#}U zWNcV_A3m2q$REf$LNdEAIbR$h^HkCGGv>+9;rHaO)06=DJjSwRjR9dr6}9@`s@;Zw z-L^~THIxzHTRfvJ#(;p?vHESL1+e?&vz0!8oc9N_zL=;Fz$Kk3&gRA%;;&;=6XE5D zhk?I-p70<%e^%2pO6-BRe94Ik=`?cQz2fy@BaE!t0h$_d3Ha$&1v!NfmdMwxMo}r^ zL)3eB_!I9S{70iHlGZjOK;g6y-x%rn8g86$5~xGq0X?7P6YQ{$2>N{~i-U0L%pX@i zB-$7j?i2O{25@KHWViYmGr2>=Mfi>vz)Q(ZOmaaR-qlZ(Vgj4syJ||}tQF}oSvmHw zo>7Kh%Gc9*=g8|lSo%?i+(-R0`)E%w`xCD1UYFUu+z1HQ&Q-nci9lLz`b@JF1e&H; z*izkvL*$8YgGOpNn{rbJ?@oYgi!X1F);74;>r(DGN%|`bqgCmV3GiOkYV9Xx1Rw2Z zx}w(i;ae!KSsf_=zXuYZSYKU$|JsMa9Ot+RH@UfdYL4_Z8(rD%JBTB|$M>p@uQURw zypxzyO%Z6xz^HSK0)Z3OUE>?+;bc6RCGkWVF3V%1=QA|neqdex8~X@&Zurfd_-Pls z8qBC)t1!byifYxJ<{$7a&15P#zXg6y^|ZeRqT#=VHWpE z#z)VtcQ1oKlbPVQ?X%|G2<+X(p>DDsL6Vh?J0B39aQ)RQ#V-Tl`Z%c2Cx+Z5zHfUl zYVv|)wEReOy**8Gd|2->T_wE1Yr`VqH3jf9SeGjzRZsZ6BEH8{C*a?A@0yDa*R1UWw=yZCIeX9_Hn#b>cs(MOvg+Wvpv_pgZlb>GkXr|0tA*FIT$@5T&_MGQSX)~Mk@ z=z{dg&t`aNsd=i2&j#k3S!Gk}MPXraz)5n{2$t2YQo0yw!#m|*^Lodo_cw_?tX9lp{yXu4NUBMf5^s%NfpJ^>RYTY_sjXkvDFyon;j2A{ z3Sc03;7L4h4UBz5&ke1g#)HAjqo>Gh>0ufBm7SwPFu$r+ljQaT78L{hZa>vwxh#}R z@sJ)?+-Euz<3eFA_|1#Jp>f(=$ngZg?#=cc z-Mjr^FVdz@eX9ZXNwrcbv=J~o@_uS);smRq^$8Y3R#k&1J7@=z(PJdVWd*V_*380in=>_xCid?KpYL9)am%;`S-Anc6u z543vtlgR5N-QLYKY7DCgBPIS>vE(nUJ zSA8vEqt>~bW=Isa&Of#X`?$jHvUcBH-459GNL9M=%fMcSC1alD5$v101AN#Q;UKhH zcj{CQ9I`k?d-+JV8}Z>LlH5Ym!G6UfeNSNa>ST|~LIo^RzXUBc(c+Qhk)3p0R